repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/dotp_core.vhd
|
10
|
11621
|
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--USE work.hcc_package.all;
--USE work.hcc_library_package.all;
--**********************************************
--*** ***
--*** Generated by Floating Point Compiler ***
--*** ***
--*** Copyright Altera Corporation 2008 ***
--*** ***
--*** ***
--*** Version 2008.2X - April 24,2008 ***
--*** Testing Version Only - ***
--*** Stratix V DSP Benchmarking ***
--*** ***
--**********************************************
ENTITY dotp_core IS
PORT(
clock : IN STD_LOGIC;
resetn : IN STD_LOGIC;
valid_in : IN STD_LOGIC;
valid_out : OUT STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(32 DOWNTO 1);
a0 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
a1 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
a2 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
a3 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
b0 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
b1 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
b2 : IN STD_LOGIC_VECTOR(512 DOWNTO 1);
b3 : IN STD_LOGIC_VECTOR(512 DOWNTO 1)
);
END dotp_core;
ARCHITECTURE gen OF dotp_core IS
COMPONENT sgm_fpmm64
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
startin : IN STD_LOGIC;
xx00 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx01 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx02 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx03 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx04 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx05 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx06 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx07 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx08 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx09 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx0f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx10 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx11 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx12 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx13 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx14 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx15 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx16 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx17 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx18 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx19 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx1f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx20 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx21 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx22 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx23 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx24 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx25 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx26 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx27 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx28 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx29 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx2f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx30 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx31 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx32 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx33 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx34 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx35 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx36 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx37 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx38 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx39 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
xx3f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc00 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc01 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc02 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc03 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc04 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc05 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc06 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc07 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc08 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc09 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc0f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc10 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc11 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc12 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc13 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc14 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc15 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc16 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc17 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc18 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc19 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc1f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc20 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc21 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc22 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc23 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc24 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc25 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc26 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc27 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc28 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc29 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc2f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc30 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc31 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc32 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc33 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc34 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc35 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc36 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc37 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc38 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc39 : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3a : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3b : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3c : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3d : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3e : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc3f : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
startout : OUT STD_LOGIC;
result : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END component;
SIGNAL done : STD_LOGIC;
SIGNAL res : STD_LOGIC_VECTOR(32 DOWNTO 1);
SIGNAL reset : STD_LOGIC;
BEGIN
reset <= NOT resetn;
cmp0: sgm_fpmm64
PORT MAP (sysclk=>clock, reset=>reset, enable=>'1', startin=>valid_in,
startout=>done, result=>res,
xx00 => a0(32 DOWNTO 1),
cc00 => b0(32 DOWNTO 1),
xx01 => a0(64 DOWNTO 33),
cc01 => b0(64 DOWNTO 33),
xx02 => a0(96 DOWNTO 65),
cc02 => b0(96 DOWNTO 65),
xx03 => a0(128 DOWNTO 97),
cc03 => b0(128 DOWNTO 97),
xx04 => a0(160 DOWNTO 129),
cc04 => b0(160 DOWNTO 129),
xx05 => a0(192 DOWNTO 161),
cc05 => b0(192 DOWNTO 161),
xx06 => a0(224 DOWNTO 193),
cc06 => b0(224 DOWNTO 193),
xx07 => a0(256 DOWNTO 225),
cc07 => b0(256 DOWNTO 225),
xx08 => a0(288 DOWNTO 257),
cc08 => b0(288 DOWNTO 257),
xx09 => a0(320 DOWNTO 289),
cc09 => b0(320 DOWNTO 289),
xx0a => a0(352 DOWNTO 321),
cc0a => b0(352 DOWNTO 321),
xx0b => a0(384 DOWNTO 353),
cc0b => b0(384 DOWNTO 353),
xx0c => a0(416 DOWNTO 385),
cc0c => b0(416 DOWNTO 385),
xx0d => a0(448 DOWNTO 417),
cc0d => b0(448 DOWNTO 417),
xx0e => a0(480 DOWNTO 449),
cc0e => b0(480 DOWNTO 449),
xx0f => a0(512 DOWNTO 481),
cc0f => b0(512 DOWNTO 481),
xx10 => a1(32 DOWNTO 1),
cc10 => b1(32 DOWNTO 1),
xx11 => a1(64 DOWNTO 33),
cc11 => b1(64 DOWNTO 33),
xx12 => a1(96 DOWNTO 65),
cc12 => b1(96 DOWNTO 65),
xx13 => a1(128 DOWNTO 97),
cc13 => b1(128 DOWNTO 97),
xx14 => a1(160 DOWNTO 129),
cc14 => b1(160 DOWNTO 129),
xx15 => a1(192 DOWNTO 161),
cc15 => b1(192 DOWNTO 161),
xx16 => a1(224 DOWNTO 193),
cc16 => b1(224 DOWNTO 193),
xx17 => a1(256 DOWNTO 225),
cc17 => b1(256 DOWNTO 225),
xx18 => a1(288 DOWNTO 257),
cc18 => b1(288 DOWNTO 257),
xx19 => a1(320 DOWNTO 289),
cc19 => b1(320 DOWNTO 289),
xx1a => a1(352 DOWNTO 321),
cc1a => b1(352 DOWNTO 321),
xx1b => a1(384 DOWNTO 353),
cc1b => b1(384 DOWNTO 353),
xx1c => a1(416 DOWNTO 385),
cc1c => b1(416 DOWNTO 385),
xx1d => a1(448 DOWNTO 417),
cc1d => b1(448 DOWNTO 417),
xx1e => a1(480 DOWNTO 449),
cc1e => b1(480 DOWNTO 449),
xx1f => a1(512 DOWNTO 481),
cc1f => b1(512 DOWNTO 481),
xx20 => a2(32 DOWNTO 1),
cc20 => b2(32 DOWNTO 1),
xx21 => a2(64 DOWNTO 33),
cc21 => b2(64 DOWNTO 33),
xx22 => a2(96 DOWNTO 65),
cc22 => b2(96 DOWNTO 65),
xx23 => a2(128 DOWNTO 97),
cc23 => b2(128 DOWNTO 97),
xx24 => a2(160 DOWNTO 129),
cc24 => b2(160 DOWNTO 129),
xx25 => a2(192 DOWNTO 161),
cc25 => b2(192 DOWNTO 161),
xx26 => a2(224 DOWNTO 193),
cc26 => b2(224 DOWNTO 193),
xx27 => a2(256 DOWNTO 225),
cc27 => b2(256 DOWNTO 225),
xx28 => a2(288 DOWNTO 257),
cc28 => b2(288 DOWNTO 257),
xx29 => a2(320 DOWNTO 289),
cc29 => b2(320 DOWNTO 289),
xx2a => a2(352 DOWNTO 321),
cc2a => b2(352 DOWNTO 321),
xx2b => a2(384 DOWNTO 353),
cc2b => b2(384 DOWNTO 353),
xx2c => a2(416 DOWNTO 385),
cc2c => b2(416 DOWNTO 385),
xx2d => a2(448 DOWNTO 417),
cc2d => b2(448 DOWNTO 417),
xx2e => a2(480 DOWNTO 449),
cc2e => b2(480 DOWNTO 449),
xx2f => a2(512 DOWNTO 481),
cc2f => b2(512 DOWNTO 481),
xx30 => a3(32 DOWNTO 1),
cc30 => b3(32 DOWNTO 1),
xx31 => a3(64 DOWNTO 33),
cc31 => b3(64 DOWNTO 33),
xx32 => a3(96 DOWNTO 65),
cc32 => b3(96 DOWNTO 65),
xx33 => a3(128 DOWNTO 97),
cc33 => b3(128 DOWNTO 97),
xx34 => a3(160 DOWNTO 129),
cc34 => b3(160 DOWNTO 129),
xx35 => a3(192 DOWNTO 161),
cc35 => b3(192 DOWNTO 161),
xx36 => a3(224 DOWNTO 193),
cc36 => b3(224 DOWNTO 193),
xx37 => a3(256 DOWNTO 225),
cc37 => b3(256 DOWNTO 225),
xx38 => a3(288 DOWNTO 257),
cc38 => b3(288 DOWNTO 257),
xx39 => a3(320 DOWNTO 289),
cc39 => b3(320 DOWNTO 289),
xx3a => a3(352 DOWNTO 321),
cc3a => b3(352 DOWNTO 321),
xx3b => a3(384 DOWNTO 353),
cc3b => b3(384 DOWNTO 353),
xx3c => a3(416 DOWNTO 385),
cc3c => b3(416 DOWNTO 385),
xx3d => a3(448 DOWNTO 417),
cc3d => b3(448 DOWNTO 417),
xx3e => a3(480 DOWNTO 449),
cc3e => b3(480 DOWNTO 449),
xx3f => a3(512 DOWNTO 481),
cc3f => b3(512 DOWNTO 481));
result <= res;
valid_out <= done;
END gen;
|
mit
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/fp_clz23.vhd
|
10
|
4166
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CLZ23.VHD ***
--*** ***
--*** Function: 23 bit Count Leading Zeros ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_clz23 IS
PORT (
mantissa : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (5 DOWNTO 1)
);
END fp_clz23;
ARCHITECTURE zzz of fp_clz23 IS
type positiontype IS ARRAY (4 DOWNTO 1) OF STD_LOGIC_VECTOR (5 DOWNTO 1);
signal position, positionmux : positiontype;
signal zerogroup, firstzero : STD_LOGIC_VECTOR (4 DOWNTO 1);
signal mannode : STD_LOGIC_VECTOR (6 DOWNTO 1);
component fp_pos51
GENERIC (start: integer := 0);
PORT
(
ingroup : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
position : OUT STD_LOGIC_VECTOR (5 DOWNTO 1)
);
end component;
BEGIN
zerogroup(1) <= mantissa(23) OR mantissa(22) OR mantissa(21) OR mantissa(20) OR mantissa(19) OR mantissa(18);
zerogroup(2) <= mantissa(17) OR mantissa(16) OR mantissa(15) OR mantissa(14) OR mantissa(13) OR mantissa(12);
zerogroup(3) <= mantissa(11) OR mantissa(10) OR mantissa(9) OR mantissa(8) OR mantissa(7) OR mantissa(6);
zerogroup(4) <= mantissa(5) OR mantissa(4) OR mantissa(3) OR mantissa(2) OR mantissa(1);
firstzero(1) <= zerogroup(1);
firstzero(2) <= NOT(zerogroup(1)) AND zerogroup(2);
firstzero(3) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND zerogroup(3);
firstzero(4) <= NOT(zerogroup(1)) AND NOT(zerogroup(2)) AND NOT(zerogroup(3)) AND zerogroup(4);
pone: fp_pos51
GENERIC MAP (start=>0)
PORT MAP (ingroup=>mantissa(23 DOWNTO 18),position=>position(1)(5 DOWNTO 1));
ptwo: fp_pos51
GENERIC MAP (start=>6)
PORT MAP (ingroup=>mantissa(17 DOWNTO 12),position=>position(2)(5 DOWNTO 1));
pthr: fp_pos51
GENERIC MAP (start=>12)
PORT MAP (ingroup=>mantissa(11 DOWNTO 6),position=>position(3)(5 DOWNTO 1));
pfiv: fp_pos51
GENERIC MAP (start=>18)
PORT MAP (ingroup=>mannode,position=>position(4)(5 DOWNTO 1));
mannode <= mantissa(5 DOWNTO 1) & '0';
gma: FOR k IN 1 TO 5 GENERATE
positionmux(1)(k) <= position(1)(k) AND firstzero(1);
gmb: FOR j IN 2 TO 4 GENERATE
positionmux(j)(k) <= positionmux(j-1)(k) OR (position(j)(k) AND firstzero(j));
END GENERATE;
END GENERATE;
leading <= positionmux(4)(5 DOWNTO 1);
END zzz;
|
mit
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/dp_sqr.vhd
|
10
|
8540
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION SQUARE ROOT - TOP LEVEL ***
--*** ***
--*** DP_SQR.VHD ***
--*** ***
--*** Function: IEEE754 DP Square Root ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 57 ***
--*** Based on FPROOT1.VHD (12/06) ***
--***************************************************
ENTITY dp_sqr IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
invalidout : OUT STD_LOGIC
);
END dp_sqr;
ARCHITECTURE rtl OF dp_sqr IS
constant manwidth : positive := 52;
constant expwidth : positive := 11;
type expfftype IS ARRAY (manwidth+4 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signinff : STD_LOGIC;
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal expnode, expdiv : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal expff : expfftype;
signal radicand : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal squareroot : STD_LOGIC_VECTOR (manwidth+2 DOWNTO 1);
signal roundff, manff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal roundbit : STD_LOGIC;
signal preadjust : STD_LOGIC;
signal zerovec : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal offset : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
-- conditions
signal nanmanff, nanexpff : STD_LOGIC_VECTOR (manwidth+4 DOWNTO 1);
signal zeroexpff, zeromanff : STD_LOGIC_VECTOR (manwidth+3 DOWNTO 1);
signal expinzero, expinmax : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maninzero : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expzero, expmax, manzero : STD_LOGIC;
signal infinitycondition, nancondition : STD_LOGIC;
component fp_sqrroot IS
GENERIC (width : positive := 52);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
rad : IN STD_LOGIC_VECTOR (width+1 DOWNTO 1);
root : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gzva: FOR k IN 1 TO manwidth GENERATE
zerovec(k) <= '0';
END GENERATE;
gxoa: FOR k IN 1 TO expwidth-1 GENERATE
offset(k) <= '1';
END GENERATE;
offset(expwidth) <= '0';
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
signff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+4 LOOP
FOR j IN 1 TO expwidth LOOP
expff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO manwidth LOOP
roundff(k) <= '0';
manff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
signinff <= signin;
maninff <= mantissain;
expinff <= exponentin;
signff(1) <= signinff;
FOR k IN 2 TO manwidth+4 LOOP
signff(k) <= signff(k-1);
END LOOP;
expff(1)(expwidth DOWNTO 1) <= expdiv;
expff(2)(expwidth DOWNTO 1) <= expff(1)(expwidth DOWNTO 1) + offset;
FOR k IN 3 TO manwidth+3 LOOP
expff(k)(expwidth DOWNTO 1) <= expff(k-1)(expwidth DOWNTO 1);
END LOOP;
FOR k IN 1 TO expwidth LOOP
expff(manwidth+4)(k) <= (expff(manwidth+3)(k) AND zeroexpff(manwidth+3)) OR nanexpff(manwidth+3);
END LOOP;
roundff <= squareroot(manwidth+1 DOWNTO 2) + (zerovec(manwidth-1 DOWNTO 1) & roundbit);
FOR k IN 1 TO manwidth LOOP
manff(k) <= (roundff(k) AND zeromanff(manwidth+3)) OR nanmanff(manwidth+3);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** CONDITIONS ***
--*******************
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO manwidth+4 LOOP
nanmanff(k) <= '0';
nanexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO manwidth+3 LOOP
zeroexpff(k) <= '0';
zeromanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
nanmanff(1) <= nancondition; -- level 1
nanexpff(1) <= nancondition OR infinitycondition; -- also max exp when infinity
FOR k IN 2 TO manwidth+4 LOOP
nanmanff(k) <= nanmanff(k-1);
nanexpff(k) <= nanexpff(k-1);
END LOOP;
zeromanff(1) <= expzero AND NOT(infinitycondition); -- level 1
zeroexpff(1) <= expzero; -- level 1
FOR k IN 2 TO manwidth+3 LOOP
zeromanff(k) <= zeromanff(k-1);
zeroexpff(k) <= zeroexpff(k-1);
END LOOP;
END IF;
END PROCESS;
--*******************
--*** SQUARE ROOT ***
--*******************
-- if exponent is odd, double mantissa and adjust exponent
-- core latency manwidth+2 = 54
-- top latency = core + 1 (input) + 2 (output) = 57
sqr: fp_sqrroot
GENERIC MAP (width=>manwidth+2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
rad=>radicand,
root=>squareroot);
radicand(1) <= '0';
radicand(2) <= maninff(1) AND NOT(preadjust);
gra: FOR k IN 3 TO manwidth+1 GENERATE
radicand(k) <= (maninff(k-1) AND NOT(preadjust)) OR (maninff(k-2) AND preadjust);
END GENERATE;
radicand(manwidth+2) <= NOT(preadjust) OR (maninff(manwidth) AND preadjust);
radicand(manwidth+3) <= preadjust;
--****************
--*** EXPONENT ***
--****************
-- subtract 1023, divide result/2, if odd - preadjust
-- if zero input, zero exponent and mantissa
expnode <= expinff - offset;
preadjust <= expnode(1);
expdiv <= expnode(expwidth) & expnode(expwidth DOWNTO 2);
--*************
--*** ROUND ***
--*************
-- only need to round up, round to nearest not possible out of root
roundbit <= squareroot(1);
--*********************
--*** SPECIAL CASES ***
--*********************
-- 1. if negative input, invalid operation, NAN (unless -0)
-- 2. -0 in -0 out
-- 3. infinity in, invalid operation, infinity out
-- 4. NAN in, invalid operation, NAN
-- '0' if 0
expinzero(1) <= expinff(1);
gxza: FOR k IN 2 TO expwidth GENERATE
expinzero(k) <= expinzero(k-1) OR expinff(k);
END GENERATE;
expzero <= expinzero(expwidth); -- '0' when zero
-- '1' if nan or infinity
expinmax(1) <= expinff(1);
gxia: FOR k IN 2 TO expwidth GENERATE
expinmax(k) <= expinmax(k-1) AND expinff(k);
END GENERATE;
expmax <= expinmax(expwidth); -- '1' when true
-- '1' if not zero or infinity
maninzero(1) <= maninff(1);
gmza: FOR k IN 2 TO manwidth GENERATE
maninzero(k) <= maninzero(k-1) OR maninff(k);
END GENERATE;
manzero <= maninzero(manwidth);
infinitycondition <= NOT(manzero) AND expmax;
nancondition <= (signinff AND expzero) OR (expmax AND manzero);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(manwidth+4);
exponentout <= expff(manwidth+4)(expwidth DOWNTO 1);
mantissaout <= manff;
-----------------------------------------------
nanout <= nanmanff(manwidth+4);
invalidout <= nanmanff(manwidth+4);
END rtl;
|
mit
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
Gray_Processing/ip/Gray_Processing/dp_lnrnd.vhd
|
10
|
5431
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNRND.VHD ***
--*** ***
--*** Function: DP LOG Output Block - Rounded ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnrnd IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signln : IN STD_LOGIC;
exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1);
nanin : IN STD_LOGIC;
infinityin : IN STD_LOGIC;
zeroin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
zeroout : OUT STD_LOGIC
);
END dp_lnrnd;
ARCHITECTURE rtl OF dp_lnrnd IS
constant expwidth : positive := 11;
constant manwidth : positive := 52;
type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1);
signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal manoverflowbitff : STD_LOGIC;
signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1);
signal setmanzero, setmanmax : STD_LOGIC;
signal setexpzero, setexpmax : STD_LOGIC;
BEGIN
gzv: FOR k IN 1 TO manwidth-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
pra: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
nanff <= "00";
signff <= "00";
FOR k IN 1 TO manwidth LOOP
roundmantissaff(k) <= '0';
mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth+2 LOOP
exponentoneff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF(enable = '1') THEN
nanff(1) <= nanin;
nanff(2) <= nanff(1);
infinityff(1) <= infinityin;
infinityff(2) <= infinityff(1);
zeroff(1) <= zeroin;
zeroff(2) <= zeroff(1);
signff(1) <= signln;
signff(2) <= signff(1);
manoverflowbitff <= manoverflow(manwidth+1);
roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1));
FOR k IN 1 TO manwidth LOOP
mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax;
END LOOP;
exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax;
END LOOP;
END IF;
END IF;
END PROCESS;
exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) +
(zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff);
--*********************************
--*** PREDICT MANTISSA OVERFLOW ***
--*********************************
manoverflow(1) <= mantissaln(1);
gmoa: FOR k IN 2 TO manwidth+1 GENERATE
manoverflow(k) <= manoverflow(k-1) AND mantissaln(k);
END GENERATE;
--**********************************
--*** CHECK GENERATED CONDITIONS ***
--**********************************
-- all set to '1' when condition true
-- set mantissa to 0 when infinity or zero condition
setmanzero <= NOT(zeroff(1)) OR infinityff(1);
-- setmantissa to "11..11" when nan
setmanmax <= nanff(1);
-- set exponent to 0 when zero condition
setexpzero <= NOT(zeroff(1));
-- set exponent to "11..11" when nan or infinity
setexpmax <= nanff(1) OR infinityff(1);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(2);
mantissaout <= mantissaff;
exponentout <= exponenttwoff;
-----------------------------------------------
nanout <= nanff(2);
overflowout <= infinityff(2);
zeroout <= zeroff(2);
END rtl;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/fir_compiler_v7_1/hdl/global_pkg.vhd
|
2
|
381591
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lcLEUhFl0x6q4c8Lsuly3In5vNp7gT1QOB2xNiyV2VJn7oh99l7ssPfFXx9Sj3m4iLjHRcAzWQg0
M6s2ArG2IA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aDVERq09vEpxux9KNNAFDiM5twDtcHRqDCuY6TKCwN0uWbq2XDrrlgycu0NMBMzWbARtM2ZGlu8n
1cdDQu0fel3PN9Yxe4/8X+rEd70BOMJqMd/OEHJkjr6fSSM9r6uvVKp/jMbzXaQBn8/iExJi/fGC
qJ0nIvxkAH8ee4Y4/bg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MbYkAAana0SpOy4UsxBYugLLK9hbWDYI98nge/kX28U3t4CelLt2a7tfyzpWZQ9pWw5fznlnjFwO
6WjZHnecZtyX2UMT6tpI5EJmp5RXP4GBFOBuMzsXhOaGtaU3KnJqAxoTASlUBSjMj1/6Bpa20atX
npP1N3gRxaq30LjdKpeoNeBu1Ywqbdn8qTOmeZNPJeuzVZVg44H8WRcsQhP+OOqzRg4jMEwLvaTu
Ry8kSCmbfCz1aHWxX41bN3OYaCYCvI/A1K+KgLdy9yaQwelVBzn6nNPNcHItrvK/+4Bjl4AD6XzG
oNl3Bbv+5PCie3jh1KG//HuAnO0uB9DtVxi26w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NXUBIAP47OfKxZvMP2Q06k0ee1gmVxRcRsGIkHhFg7nlh2rEyCDI4CpRXWXyyH5sGCHBiuQCFfJG
eEvNf0/GG3Nj6i8tlvOVUzcEZBSzeVr5jbQEEwbW3jBsC8IcSrB0oO0ZqSPFhM1Mkm58f+NCZgu8
ixyxiavqojX3GcWkSgM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZI9Lv/AWBd3rJBNjrkXPQiJtuq8f/eiiYTRPSjHQ7aNutFyEc5rS4/IdTSr7PoF1z/ZHpwJX4gd9
9SirllPHnmI8Ve7l/yLRPEirw5KyD2r0iIUs6/g4DarvtSpVzgb2Pjj5kZMDbXENZ1DWKdPLiMw3
U4rS9j2KVzFDAGMpBqfARpFJeEkj1pln+GOWI1i1JP4MGQc2BM7z22wO3PoD5cj46BfQRSBoAmSF
F4zyLL7ojGVOjRrIk1D+jGBs0T+YD/NpLxMTrjC9x5OE77JQezrEHDEhxmTrcW2f4BFkURqiZinD
LXw1yqlg+7ULZTfMlxhc69BpHbLmNlKnwtDoLg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 280736)
`protect data_block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`protect end_protected
|
mit
|
NicoLedwith/Dr.AluOpysel
|
RAT_MCU/IMask.vhd
|
1
|
1126
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:02:48 11/30/2015
-- Design Name:
-- Module Name: IMask - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity IMask is
Port ( i_SET : in STD_LOGIC;
I_CLR : in STD_LOGIC;
clk : in STD_LOGIC;
oot : out STD_LOGIC);
end IMask;
architecture Behavioral of IMask is
signal s_oot: std_logic;
begin
---------------------------------------------
process(i_set, I_CLR, CLK, s_oot)
begin
if (rising_edge(clk)) then
if (I_CLR = '1') then
s_oot <= '0';
elsif (I_SET = '1') then
s_oot <= '1';
end if;
end if;
end process;
---------------------------------------------
oot <= s_oot;
end Behavioral;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/sp_mem.vhd
|
2
|
20160
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eERg1Iy1WKSyjyVvoRwacqCMp6BGKmDEq9r28RNgx3LfpZEXyRjRjfoMT8jsQEUXCvWsQwc21AwH
0wziVaSXeQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ATVzf6PK/3N4J3XI+hCP4pb0u280D51igWJGNsvKHd38o0xuKqBiQQreMmMGnnhWZU0LTrnoJ4mJ
o+he4xAmtRk3S29Wmb8VCmNGbjF71CKo9TMzF+EuMgwjYwea628q/aiJVn7EVEpEdVlRAUuHQ1Nc
1MDu0ciOFoo1Rybp1gM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NW27jfO0i5E2NNydzeSOPFdtWdWfN2YrLekSOukVCUWdx8QlZpAlOxEp2nscm0+tAASDHpTH4aAQ
lyrGIYWao9kuaWtsRJOWrhuXg1M6tcn35HarblKiJdVU1DyYfcY9h+Gfzvpjc/pF3kqAAIvzhxKn
QSanLNBq9gUuDS3llM5NEclvuyRVDIqBX/swc58gjOp88AG2NBGwxxuEuzWiSVwyZZT42Xxj4jnA
Or9xkCEU/eAI3eNLBfT8OK6YrVLC6TGs76d3dtToE9LmIAS45QgqMjh/ctR0jQgNOZbo87YPX0/c
oBL9YJRuWLlCBgDcHAEk3HxFl8FWvXJP0pX8WQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4flJUe5VqP9x8iD/a62oF9gaDnyRxRoifIQ5baBGmnFj+4BGNd4klnKOEDOBB1aPoqM3Uoa3Nt7z
jjADEbQznD48oWi6KIdtSIS9G+hCheFwtIx5yBiLvUdDrM6U/ORiHwEYybqkHuROVnziw9+1l+MC
AityTqqOx3hGuynUD0Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LYhQ7tfXgIfRrGBioeyvxTZvEIjEKfmFuFA3JIpmJ2Dq/5XACdHxi9+bg8AiYGZO0bQb3d3oCo+z
5Rh2V7SzJKSAwkHy+VXLuX7Yw6SptZBr0fdSbowIUVyYvtEwU2U4qbBJEmdp9nSAHPQ7Fv6hR3pD
8DdQrt4tF5ZKZE3uR30x5zE5kJgArrgTtbvmcrlfURq7kL9xNVfRTpwptT5CZqPdG9stN2VV8D8q
GVf7lhgvKYgoeY/o1JDOPMzVmMBeCjtVXXBjAALCDyPLAHjnS0GtDUoH69ibBPJQw0m8dxU66eq3
Il1VPNzwf/ftaZpANLaMvllRLVlaYIMH2l99CA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184)
`protect data_block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`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/synth/half_band_FIR.vhd
|
1
|
12231
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fir_compiler:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fir_compiler_v7_1;
USE fir_compiler_v7_1.fir_compiler_v7_1;
ENTITY half_band_FIR IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END half_band_FIR;
ARCHITECTURE half_band_FIR_arch OF half_band_FIR IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF half_band_FIR_arch: ARCHITECTURE IS "yes";
COMPONENT fir_compiler_v7_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_COMPONENT_NAME : STRING;
C_COEF_FILE : STRING;
C_COEF_FILE_LINES : INTEGER;
C_FILTER_TYPE : INTEGER;
C_INTERP_RATE : INTEGER;
C_DECIM_RATE : INTEGER;
C_ZERO_PACKING_FACTOR : INTEGER;
C_SYMMETRY : INTEGER;
C_NUM_FILTS : INTEGER;
C_NUM_TAPS : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_CHANNEL_PATTERN : STRING;
C_ROUND_MODE : INTEGER;
C_COEF_RELOAD : INTEGER;
C_NUM_RELOAD_SLOTS : INTEGER;
C_COL_MODE : INTEGER;
C_COL_PIPE_LEN : INTEGER;
C_COL_CONFIG : STRING;
C_OPTIMIZATION : INTEGER;
C_DATA_PATH_WIDTHS : STRING;
C_DATA_IP_PATH_WIDTHS : STRING;
C_DATA_PX_PATH_WIDTHS : STRING;
C_DATA_WIDTH : INTEGER;
C_COEF_PATH_WIDTHS : STRING;
C_COEF_WIDTH : INTEGER;
C_DATA_PATH_SRC : STRING;
C_COEF_PATH_SRC : STRING;
C_DATA_PATH_SIGN : STRING;
C_COEF_PATH_SIGN : STRING;
C_ACCUM_PATH_WIDTHS : STRING;
C_OUTPUT_WIDTH : INTEGER;
C_OUTPUT_PATH_WIDTHS : STRING;
C_ACCUM_OP_PATH_WIDTHS : STRING;
C_EXT_MULT_CNFG : STRING;
C_DATA_PATH_PSAMP_SRC : STRING;
C_OP_PATH_PSAMP_SRC : STRING;
C_NUM_MADDS : INTEGER;
C_OPT_MADDS : STRING;
C_OVERSAMPLING_RATE : INTEGER;
C_INPUT_RATE : INTEGER;
C_OUTPUT_RATE : INTEGER;
C_DATA_MEMTYPE : INTEGER;
C_COEF_MEMTYPE : INTEGER;
C_IPBUFF_MEMTYPE : INTEGER;
C_OPBUFF_MEMTYPE : INTEGER;
C_DATAPATH_MEMTYPE : INTEGER;
C_MEM_ARRANGEMENT : INTEGER;
C_DATA_MEM_PACKING : INTEGER;
C_COEF_MEM_PACKING : INTEGER;
C_FILTS_PACKED : INTEGER;
C_LATENCY : INTEGER;
C_HAS_ARESETn : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_DATA_HAS_TLAST : INTEGER;
C_S_DATA_HAS_FIFO : INTEGER;
C_S_DATA_HAS_TUSER : INTEGER;
C_S_DATA_TDATA_WIDTH : INTEGER;
C_S_DATA_TUSER_WIDTH : INTEGER;
C_M_DATA_HAS_TREADY : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_CONFIG_CHANNEL : INTEGER;
C_CONFIG_SYNC_MODE : INTEGER;
C_CONFIG_PACKET_SIZE : INTEGER;
C_CONFIG_TDATA_WIDTH : INTEGER;
C_RELOAD_TDATA_WIDTH : INTEGER
);
PORT (
aresetn : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tlast : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_reload_tvalid : IN STD_LOGIC;
s_axis_reload_tready : OUT STD_LOGIC;
s_axis_reload_tlast : IN STD_LOGIC;
s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
event_s_data_tlast_missing : OUT STD_LOGIC;
event_s_data_tlast_unexpected : OUT STD_LOGIC;
event_s_data_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC;
event_s_reload_tlast_missing : OUT STD_LOGIC;
event_s_reload_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT fir_compiler_v7_1;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF half_band_FIR_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF half_band_FIR_arch : ARCHITECTURE IS "half_band_FIR,fir_compiler_v7_1,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF half_band_FIR_arch: ARCHITECTURE IS "half_band_FIR,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_COMPONENT_NAME=half_band_FIR,C_COEF_FILE=half_band_FIR.mif,C_COEF_FILE_LINES=5,C_FILTER_TYPE=7,C_INTERP_RATE=1,C_DECIM_RATE=2,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=15,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=1,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=22,C_DATA_IP_PATH_WIDTHS=22,C_DATA_PX_PATH_WIDTHS=22,C_DATA_WIDTH=22,C_COEF_PATH_WIDTHS=15,C_COEF_WIDTH=15,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=38,C_OUTPUT_WIDTH=22,C_OUTPUT_PATH_WIDTHS=22,C_ACCUM_OP_PATH_WIDTHS=38,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=1,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=5,C_INPUT_RATE=16,C_OUTPUT_RATE=32,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=42,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=24,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : fir_compiler_v7_1
GENERIC MAP (
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_COMPONENT_NAME => "half_band_FIR",
C_COEF_FILE => "half_band_FIR.mif",
C_COEF_FILE_LINES => 5,
C_FILTER_TYPE => 7,
C_INTERP_RATE => 1,
C_DECIM_RATE => 2,
C_ZERO_PACKING_FACTOR => 1,
C_SYMMETRY => 1,
C_NUM_FILTS => 1,
C_NUM_TAPS => 15,
C_NUM_CHANNELS => 1,
C_CHANNEL_PATTERN => "fixed",
C_ROUND_MODE => 1,
C_COEF_RELOAD => 0,
C_NUM_RELOAD_SLOTS => 1,
C_COL_MODE => 1,
C_COL_PIPE_LEN => 4,
C_COL_CONFIG => "1",
C_OPTIMIZATION => 0,
C_DATA_PATH_WIDTHS => "22",
C_DATA_IP_PATH_WIDTHS => "22",
C_DATA_PX_PATH_WIDTHS => "22",
C_DATA_WIDTH => 22,
C_COEF_PATH_WIDTHS => "15",
C_COEF_WIDTH => 15,
C_DATA_PATH_SRC => "0",
C_COEF_PATH_SRC => "0",
C_DATA_PATH_SIGN => "0",
C_COEF_PATH_SIGN => "0",
C_ACCUM_PATH_WIDTHS => "38",
C_OUTPUT_WIDTH => 22,
C_OUTPUT_PATH_WIDTHS => "22",
C_ACCUM_OP_PATH_WIDTHS => "38",
C_EXT_MULT_CNFG => "none",
C_DATA_PATH_PSAMP_SRC => "0",
C_OP_PATH_PSAMP_SRC => "0",
C_NUM_MADDS => 1,
C_OPT_MADDS => "none",
C_OVERSAMPLING_RATE => 5,
C_INPUT_RATE => 16,
C_OUTPUT_RATE => 32,
C_DATA_MEMTYPE => 0,
C_COEF_MEMTYPE => 2,
C_IPBUFF_MEMTYPE => 2,
C_OPBUFF_MEMTYPE => 0,
C_DATAPATH_MEMTYPE => 2,
C_MEM_ARRANGEMENT => 1,
C_DATA_MEM_PACKING => 0,
C_COEF_MEM_PACKING => 0,
C_FILTS_PACKED => 0,
C_LATENCY => 42,
C_HAS_ARESETn => 0,
C_HAS_ACLKEN => 0,
C_DATA_HAS_TLAST => 0,
C_S_DATA_HAS_FIFO => 1,
C_S_DATA_HAS_TUSER => 0,
C_S_DATA_TDATA_WIDTH => 24,
C_S_DATA_TUSER_WIDTH => 1,
C_M_DATA_HAS_TREADY => 0,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TDATA_WIDTH => 24,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_CONFIG_CHANNEL => 0,
C_CONFIG_SYNC_MODE => 0,
C_CONFIG_PACKET_SIZE => 0,
C_CONFIG_TDATA_WIDTH => 1,
C_RELOAD_TDATA_WIDTH => 1
)
PORT MAP (
aresetn => '1',
aclk => aclk,
aclken => '1',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_data_tdata => s_axis_data_tdata,
s_axis_config_tvalid => '0',
s_axis_config_tlast => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_reload_tvalid => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tdata => m_axis_data_tdata
);
END half_band_FIR_arch;
|
mit
|
zurkiyeh/zurkiyeh.github.io
|
simulation/qsim/work/controller_vlg_vec_tst/_primary.vhd
|
1
|
104
|
library verilog;
use verilog.vl_types.all;
entity controller_vlg_vec_tst is
end controller_vlg_vec_tst;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/fir_compiler_v7_1/hdl/transpose_interpolation.vhd
|
2
|
169577
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
l/sFPDU1FttZazPR7XanbWhf1oCkGiBv1CEBvA6USl4zKseuRl2TUay4xJ7S/0DDk0k5L4UC/bZI
eDknqcWavQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AeYDaJ32kNY9wll+88FbkIt57aU34P+MYKGBFHri7bNETlJbD5+AkFCxxjqdXFKkQ+V6hPpKkI92
E+6FU0w+5jYPce0sG89H+ZeeC4XPIPu9lyvVMvZd+liPi8e6QRPMWntBW147AJXQmvcPYGiH1TiF
804j9n3W4+PcPVRePhk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l15do28+PMo4NRJjVXf0C5Z8gZ23W2d9loHCLFNyGKu38XyktOvF8iuJT4um2RVa0FjLIpklXg98
kW9b/O/PQ2TodR/QW2I0twsbjVya8z4b8foGHIcw5Wr8dLMr0DUss7i/4tczdUxMUhpNRlNhDDHP
Hc7q6Hf4xoLux6YmXQdVG3Cptk4aWgVkwuz6ufK5BSoyUFdWwk0R7avYz2MP8LdR9fj2m8vVQGCj
UHjTpruLYENAauEN+a3ZwvK0BzLW3OEFrxoffyZLd4J5xG1ZggSprtXm9UTlkLL2FdJDPT2lfwVa
crP64yFXktk4yxTmI8ar8xzk87QUuyQmRBIUrA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
co+UKXIOocapS0VF7up/CE/zvVFioSrBTiPQ43PGkS1OoyFKpKW4UF74u0VEZXoEBNUY0hMkAXHp
+boL/yNZqBFLxfo9fz728psb4nO1+ClMZ6GFJycrkgpjm+u9nWz/5ql0NohTlu0Y7bycZYgM1E+r
XG3lCuMHLO+1hD7Sj/o=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aGjl7ICceHbNCxbJSJ6Rf1AtrW4QYMiPZSL5Si/A1dPNAtBr/ehUw5DRip7SRhFTpd/+Jwb4YMPd
ivjfs6Cw9bjv0oFg+jcllL+I87QDAmXZFMf0K/iWzlAyJvw/wRWAlqwCeqdkTRusN1TlRL/5ymiZ
pzV92dhOUVJhwYWtdgqbB57MP5MBjkFJKskVw0PhGDxxtqpzIYKl257YQ5HQ4zj2plvILqzK/o+2
nigKicosZbLEWY4UCIgr8IHMy/CwD2K/5O9LAdQz5Ds50/E5ofWUCUtxv7RivAosKqvq45K2DNAV
9R+1EqBFHxeSBs5fTC50ZUlYTPj5mwxoTlVKcA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 123792)
`protect data_block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`protect end_protected
|
mit
|
spzSource/MPFSM.RegFile.Sort
|
MPFSM_RegFile_Sort/MPFSM_RegFile_Sort_Design/src/Reg.vhd
|
1
|
755
|
library ieee;
use ieee.std_logic_1164.all;
entity REGn is
generic(INITIAL : std_logic_vector := "00000000");
port(
data_input : in std_logic_vector(INITIAL'range);
enabled : in std_logic;
init : in std_logic;
clk : in std_logic;
output_enabled : in std_logic;
data_output : out std_logic_vector(INITIAL'range));
end REGn;
architecture beh_regn of REGn is
signal reg : std_logic_vector(INITIAL'range);
begin
MAIN : process(data_input, enabled, init, clk)
begin
if init = '1' then
reg <= INITIAL;
elsif enabled = '1' then
if rising_edge(clk) then
reg <= data_input;
end if;
end if;
end process;
data_output <= reg when output_enabled = '0' else (others => 'Z');
end beh_regn;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/dpt_mem.vhd
|
2
|
17890
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
d1Y7SI75/ncj2NOpiXBdeAKKW4YIZc5dY1wmgmKo3UhWGF3tkvasbKJk3GY/i9OUTx1/mgmLaULn
aLOVyhsmqw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CbYMyUVt7f8Cm8P0rJpVliTyMNLbqm3t3+8tlz25Zo2uo5xxwS+XkHmLPngbMnq4+bLrPqra4ABh
2m/Hjyzycd4PQSpeUb3s9yTioEpMZWOPVpqEqzeiQ/drmKK7CyEIQmAhza6rCAFxTokksjNQa+Df
1t5XnOH8cHmyxGUOSSc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lR+o0AavWkhzvm1Ucst81UbWHwHgfOuIM8RnPF3SymuUKj430f2Tji9c76H4VsgY/VG8sJQzKPWu
93zIrU+pJsLvBaUwv33OwhKsCWXAOGmTn64Y0HQHuHBA+Snf1fTuSYq8rvDWjfwxZ2Fp2yo9yuZs
dWCehUFpiDl2sC9hz1gAkc4lOVcM2Y1H+sJpxCbLUFh9L7QMTtr/WCWuA2VwANzo2UgAKq06jheq
W53Pg6lHrfFiedOTmw924MNY3jDYDKEgH5ryJDRKpBz0nMCUEmL21CKDpjhv39KqmP1x7eoSK+N6
HPDoGXsxiCdRKwx/RbRRyN3U6Dz5km2pAefy2A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kVLA3RY++qsWoQfQsJx+ONEqVOlC22D4lp/TfnJa1zRbJanKyH5D8OmJV1ifKAYLOKeO2Gxa5Lew
QT8Q33ILgUOg+pkVD/M6iqmX+mg47EiCvckXyvPK6GYtQ5kaVayaji0nVOSInPgLCjHJTqP+NSr8
ROrL5sZYCOHVR4hwcX8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tFKRsyYcJv+aWum7YxS7RlWNlQAAnAKpldcFBZU+86tgQID5vC07MiomkZN7W0JRnGlBDhFukCkI
Kz2q2pjppHFjHGN/uZvzu2jEZocYe4nOEKqy2CcyON6G2STV3xnTpwu+qsmoyNxgfWkowCyHiRFx
QLHuIS/yFuLQ9F6WqArpU/EmTlR4xIueMHCJq1KnGqG8J1srFosBt5qJeiKMeaZWw5MEJ/cNZiSn
YHss3W6YUHPQUgui7k5GN7Wyeq2S7wTwk8rQKMy+Z9LMJpswqXEnYQrjIWC0aMGgYuCfGJt7fauH
rGq9r61ZO1fkEH3DnArNLFyBdMT0KfoDFiN8Qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11504)
`protect data_block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=
`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/half_band_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd
|
2
|
86797
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SBx4vEc6TpwXLMRY+fbhI0Y66Cx+gNUJHjVjW55v0CcleK400rp92IEk5qV78BnqAHHKhJ01y5AX
Tk3OrsXkmA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bIEvQ1XNvTRmCyu7aP5mozlZ06zXhEdKcmav1pVx2wWAdOSUseW+O9goaKSzJ0O288Vomo5pFfDq
ghYGvwIwsmr4lFkspypV65td6kSzb4XCYD5N87A2U7avl9We8wUjLS/WsRl3SVuOW3h/hHPuYRmz
yCCaAwkJQBz9QI6gcCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gafcvyAKLHas2W3azlmKOD05hlP0IQh6nPHlDiuOm+WO7AtgYFDpQKApfib89+WnpSBXo0zpffrF
FU9oU52uvvJXXjxkrp+128NtFYcLSWjV/IFrCw2A+bswwmdIqHWgnz4oP7SS7oojEPIcKRnieXOu
em6bq51mioZ/ENg6mOK91aSQsocQu2dBd/0od0nGcPGJSsXqpLu7m+4UGPlf/Mea5zZivtEYLmR3
maHS0PV5X2q2Egl//myzJUars4ITfyzLXRmQCOpplQDTMOBc+evwyntVJlMS4sDjpV/802c0bEK2
HrW8sCA7ICheG3l8sxTOnEIpcq5/wbBww8l6Jw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dBjI40tTPA0cTuZ5T7lsu4UCVuKSZ8xbmdhhH/YOe9VDTw6OIe2YVvl+nPKHoMWhIo1dO3iQDrYd
pMxsNuHlx9NRsWUXkoXzLhOTV4wssdaJA+WSs4rEvuUGGuBU+9cShwHwpFGGoJNJuJ2sCKM3TL0i
QBDazEFX3rqHYuZ39WI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FE2EuDEJeaaxY2E1hEGP/BmP0scTX3QIQuJ0qBz8qbcJxdsu6nRQ+tGGhvJ3z1ueV881dglO3W/q
HB773yUzTkzsp31O7sM1zHpuqxrdb1m9EPf5aN6s2/Wip/KxE5I41VDWRJj1voxD/jeo1umAoHBJ
wB6OrxW5BzEh+m3J61q6uFSPksPcAf57tiu2+y8jd2Izlow3xYVjQiAooj+V2ySgBCgkwWs+atL7
1psVCD/6EWXhfvmzIK6rtIEIfqXBkn61BVHEqesyWyovrpBQtBgknjgFWAks3tqD/txJasMDUJmY
YQ2jDLcS3EZk4FdOwbuBgjvpow9qmReLJtnY3A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62512)
`protect data_block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`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd
|
2
|
86797
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SBx4vEc6TpwXLMRY+fbhI0Y66Cx+gNUJHjVjW55v0CcleK400rp92IEk5qV78BnqAHHKhJ01y5AX
Tk3OrsXkmA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bIEvQ1XNvTRmCyu7aP5mozlZ06zXhEdKcmav1pVx2wWAdOSUseW+O9goaKSzJ0O288Vomo5pFfDq
ghYGvwIwsmr4lFkspypV65td6kSzb4XCYD5N87A2U7avl9We8wUjLS/WsRl3SVuOW3h/hHPuYRmz
yCCaAwkJQBz9QI6gcCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gafcvyAKLHas2W3azlmKOD05hlP0IQh6nPHlDiuOm+WO7AtgYFDpQKApfib89+WnpSBXo0zpffrF
FU9oU52uvvJXXjxkrp+128NtFYcLSWjV/IFrCw2A+bswwmdIqHWgnz4oP7SS7oojEPIcKRnieXOu
em6bq51mioZ/ENg6mOK91aSQsocQu2dBd/0od0nGcPGJSsXqpLu7m+4UGPlf/Mea5zZivtEYLmR3
maHS0PV5X2q2Egl//myzJUars4ITfyzLXRmQCOpplQDTMOBc+evwyntVJlMS4sDjpV/802c0bEK2
HrW8sCA7ICheG3l8sxTOnEIpcq5/wbBww8l6Jw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dBjI40tTPA0cTuZ5T7lsu4UCVuKSZ8xbmdhhH/YOe9VDTw6OIe2YVvl+nPKHoMWhIo1dO3iQDrYd
pMxsNuHlx9NRsWUXkoXzLhOTV4wssdaJA+WSs4rEvuUGGuBU+9cShwHwpFGGoJNJuJ2sCKM3TL0i
QBDazEFX3rqHYuZ39WI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FE2EuDEJeaaxY2E1hEGP/BmP0scTX3QIQuJ0qBz8qbcJxdsu6nRQ+tGGhvJ3z1ueV881dglO3W/q
HB773yUzTkzsp31O7sM1zHpuqxrdb1m9EPf5aN6s2/Wip/KxE5I41VDWRJj1voxD/jeo1umAoHBJ
wB6OrxW5BzEh+m3J61q6uFSPksPcAf57tiu2+y8jd2Izlow3xYVjQiAooj+V2ySgBCgkwWs+atL7
1psVCD/6EWXhfvmzIK6rtIEIfqXBkn61BVHEqesyWyovrpBQtBgknjgFWAks3tqD/txJasMDUJmY
YQ2jDLcS3EZk4FdOwbuBgjvpow9qmReLJtnY3A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62512)
`protect data_block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`protect end_protected
|
mit
|
zurkiyeh/zurkiyeh.github.io
|
simulation/qsim/work/datapath_vlg_sample_tst/_primary.vhd
|
1
|
461
|
library verilog;
use verilog.vl_types.all;
entity datapath_vlg_sample_tst is
port(
clk : in vl_logic;
cost : in vl_logic_vector(7 downto 0);
enable_Change : in vl_logic;
enable_Total : in vl_logic;
reset : in vl_logic;
soda_Value : in vl_logic_vector(7 downto 0);
sampler_tx : out vl_logic
);
end datapath_vlg_sample_tst;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/fir_compiler_v7_1/hdl/muxf_bus.vhd
|
2
|
8183
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JHw5c4Bc/wNgxXqI6IBeidhFDFHU3bLHHyr/GwOi9Qbjk5XEIV/av1gHcb2utXsKw76UCImf1gJx
pgx/ujzp2g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BF/TQveDRqN4dG0cQUv8/IDbwVDeeZTLtvBy0ZNw1wsuFXxJBA+pznCdyToL5pkrU/NQRtAzX7/W
XynYXaUrjeFKLg2t+LrkMDxuRYTLLnk+/e+0asr6mzDKH901oBDmTVXSb/ktP5dcIJzF9eUOGpHM
DniHNElYK2vgPN/G5u4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bOXxI1o82JrSpl7Qz2SvLJWk/OCs6UrY4rAD2+ZWNXvYO/YziFQurh7bgbFvkHy3aZ7Ba+NpEqlD
oytjMwz6fzSD4bKTkrK9jv2hfoEYoUSgaUne378AUOdTJye45AlJI3tChwUSb6JG4njeN5aTOqZE
Yw0N4cxO+You4knDw6fObQsbZctdjoGdZecUpo06+H3FBQ+6g7ohc5YbZtSWClaA1NHzO+90IKgf
p8/bqqfa8xgJV8eZgzNEkxTYq14oYnYsE7T9Ptp/5WvPnJJHS5KgchdC2n77+30t2VqogjjXUGo3
sHSm+N4ypyGB1/QPyOwPzS8p503SSOQt2+0piA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FqWPr4UL4V9+fsASByaB7KN9y88sMfWY9I5terakMfu2goIVZUq8C4kcCwim1Rpyb45+Yz0A6RpV
XgYxqf8pG/+Zf7mMJpLefJPQoLUYwC7Q4ME6bx4UV6Uw1Clj4ITi83/09iXOl2xVk+7RsJpiv/YF
G16CsNGg8kUUmZiDT6g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WzPdjy8Xw0F89kDwDo4G2syDmxnZz2/UNU+HVQ0l3rg8K0leePr0IwQXhNvCCKGkwbNtZjU15R3i
XI2CUDvtJgIP7dEgI0F2FXR8k5Pnds2wY8GCVCcAcVnoHAwbeYZZLZfBNYO7lUrNMjAGmJ0rUIxE
tteX5yAf/FOffj2s+OUxEE72EKX2H4btMAShfnrgaB79h03SdkzdEqkENCsaZj54Yf0kc5B9OIAs
TQdiL0bPTCszfdJqzlRVFW5y50HywZPJVJkQnplcNzB5lmwMDYKMYs8srRZ537/i/3BOSu/JdV2u
eZkBEhMrhXQMrJfEqHuIyBI37NBPsrbGrydE3g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
mit
|
okaxaki/vm2413
|
VoiceMemory.vhd
|
2
|
1939
|
--
-- VoiceMemory.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use WORK.VM2413.ALL;
entity VoiceMemory is
port (
clk : in std_logic;
reset : in std_logic;
idata : in VOICE_TYPE;
wr : in std_logic;
rwaddr : in VOICE_ID_TYPE; -- read/write address
roaddr : in VOICE_ID_TYPE; -- read only address
odata : out VOICE_TYPE;
rodata : out VOICE_TYPE
);
end VoiceMemory;
architecture RTL of VoiceMemory is
-- The following array is mapped into a Single-Clock Synchronous RAM with two-read
-- addresses by Altera's QuartusII compiler.
type VOICE_ARRAY_TYPE is array (VOICE_ID_TYPE'range) of VOICE_VECTOR_TYPE;
signal voices : VOICE_ARRAY_TYPE;
component VoiceRom port (
clk : in std_logic;
addr : in VOICE_ID_TYPE;
data : out VOICE_TYPE
);
end component;
signal rom_addr : VOICE_ID_TYPE;
signal rom_data : VOICE_TYPE;
signal rstate : integer range 0 to 2;
begin
ROM2413 : VoiceRom port map(clk, rom_addr, rom_data);
process (clk, reset)
variable init_id : integer range 0 to VOICE_ID_TYPE'high+1;
begin
if reset = '1' then
init_id := 0;
rstate <= 0;
elsif clk'event and clk = '1' then
if init_id /= VOICE_ID_TYPE'high+1 then
case rstate is
when 0 =>
rom_addr <= init_id;
rstate <= 1;
when 1 =>
rstate <= 2;
when 2 =>
voices(init_id) <= CONV_VOICE_VECTOR(rom_data);
rstate <= 0;
init_id := init_id + 1;
end case;
elsif wr = '1' then
voices(rwaddr) <= CONV_VOICE_VECTOR(idata);
end if;
odata <= CONV_VOICE(voices(rwaddr));
rodata <= CONV_VOICE(voices(roaddr));
end if;
end process;
end RTL;
|
mit
|
NicoLedwith/Dr.AluOpysel
|
test/prog_rom.vhd
|
1
|
19877
|
-----------------------------------------------------------------------------
-- Definition of a single port ROM for RATASM defined by prog_rom.psm
--
-- Generated by RATASM Assembler
--
-- Standard IEEE libraries
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
-----------------------------------------------------------------------------
entity prog_rom is
port ( ADDRESS : in std_logic_vector(9 downto 0);
INSTRUCTION : out std_logic_vector(17 downto 0);
CLK : in std_logic);
end prog_rom;
architecture low_level_definition of prog_rom is
-----------------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation.
-----------------------------------------------------------------------------
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
----------------------------------------------------------------------
-- Attributes to define ROM contents during implementation synthesis.
----------------------------------------------------------------------
attribute INIT_00 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_01 of ram_1024_x_18 : label is "86B981F181098179A000871969276712680066C087C9663F68124D3967118779";
attribute INIT_02 of ram_1024_x_18 : label is "6712800287C966C0880148918002815187C966004899A178D301D201478980E8";
attribute INIT_03 of ram_1024_x_18 : label is "822B0D11800287C9680187C966C0680087C95341682687C96600524151396827";
attribute INIT_04 of ram_1024_x_18 : label is "823086B9842186B9831186B9828186B98779A0018002822882324D9082324D98";
attribute INIT_05 of ram_1024_x_18 : label is "690C671468088719690C670F68088719690C670A680887496914670A68086607";
attribute INIT_06 of ram_1024_x_18 : label is "670A681A87C967CA681987496911670D681D87496914670A6818660780028719";
attribute INIT_07 of ram_1024_x_18 : label is "87C96713681B87C96714681A87C96714681987C9670C681C87C9670B681B87C9";
attribute INIT_08 of ram_1024_x_18 : label is "87C9670B681087496914670A681587496914670A680F6607800287C96712681C";
attribute INIT_09 of ram_1024_x_18 : label is "681387C96710681387C9670F681287C9670E681287C9670D681187C9670C6811";
attribute INIT_0A of ram_1024_x_18 : label is "858987C9663F68124769CD014E69800287C96713681487C96712681487C96711";
attribute INIT_0B of ram_1024_x_18 : label is "6812670C8002858987C9663F681247698D014E69800287C96600681247718002";
attribute INIT_0C of ram_1024_x_18 : label is "85F185B186B98109854986B981098549800287C9660068126713800287C96600";
attribute INIT_0D of ram_1024_x_18 : label is "86D33C0086E3DB017B1FDC017CFFDD017DFFA00386B98109861985B186B98109";
attribute INIT_0E of ram_1024_x_18 : label is "6600800287534748870187C98901800287234848880187C98901800286C33D00";
attribute INIT_0F of ram_1024_x_18 : label is "2540A8090401041F053F454144398002878B0D1E8D0187196927680047696D00";
attribute INIT_10 of ram_1024_x_18 : label is "0000000000000000000000000000000080024692449045912580A82104018000";
attribute INIT_11 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_12 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_13 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_14 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_15 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_16 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_17 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is "8640000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "3CF3CF3CF3CFCFF4FCFCFCFF0000140034CF0CC3D38430A0004FF3CC00000000";
attribute INITP_01 of ram_1024_x_18 : label is "868138F3D089089222EED00000004FD3F43C84F10F213CF3CF3CF3CF3CFCFF4F";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000007F85";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
begin
----------------------------------------------------------------------
--Instantiate the Xilinx primitive for a block RAM
--INIT values repeated to define contents for functional simulation
----------------------------------------------------------------------
ram_1024_x_18: RAMB16_S18
--synthesitranslate_off
--INIT values repeated to define contents for functional simulation
generic map (
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"86B981F181098179A000871969276712680066C087C9663F68124D3967118779",
INIT_02 => X"6712800287C966C0880148918002815187C966004899A178D301D201478980E8",
INIT_03 => X"822B0D11800287C9680187C966C0680087C95341682687C96600524151396827",
INIT_04 => X"823086B9842186B9831186B9828186B98779A0018002822882324D9082324D98",
INIT_05 => X"690C671468088719690C670F68088719690C670A680887496914670A68086607",
INIT_06 => X"670A681A87C967CA681987496911670D681D87496914670A6818660780028719",
INIT_07 => X"87C96713681B87C96714681A87C96714681987C9670C681C87C9670B681B87C9",
INIT_08 => X"87C9670B681087496914670A681587496914670A680F6607800287C96712681C",
INIT_09 => X"681387C96710681387C9670F681287C9670E681287C9670D681187C9670C6811",
INIT_0A => X"858987C9663F68124769CD014E69800287C96713681487C96712681487C96711",
INIT_0B => X"6812670C8002858987C9663F681247698D014E69800287C96600681247718002",
INIT_0C => X"85F185B186B98109854986B981098549800287C9660068126713800287C96600",
INIT_0D => X"86D33C0086E3DB017B1FDC017CFFDD017DFFA00386B98109861985B186B98109",
INIT_0E => X"6600800287534748870187C98901800287234848880187C98901800286C33D00",
INIT_0F => X"2540A8090401041F053F454144398002878B0D1E8D0187196927680047696D00",
INIT_10 => X"0000000000000000000000000000000080024692449045912580A82104018000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"8640000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"3CF3CF3CF3CFCFF4FCFCFCFF0000140034CF0CC3D38430A0004FF3CC00000000",
INITP_01 => X"868138F3D089089222EED00000004FD3F43C84F10F213CF3CF3CF3CF3CFCFF4F",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000007F85",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => INSTRUCTION(15 downto 0),
DOP => INSTRUCTION(17 downto 16));
--
end low_level_definition;
--
----------------------------------------------------------------------
-- END OF FILE prog_rom.vhd
----------------------------------------------------------------------
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/cic_compiler_v4_0_comp.vhd
|
1
|
11620
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aVqLpj974PoyQmGfqmUoxmdhld9MvdlriuGNHdLw90hs9Z6YjCQk9VoW7NhAT9Nlfxazu9fkwTWg
gjcYJqNrwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kJeipTua4zRjScZkipS44ib2AEoL9HW7RWB3zyrvMPhZdMsbdpWZFdpPHFKv86csEv5QS0G+LfRT
nkjp+gLZWmWeL+74BMGTmKTfXIWKwFhqQQ06lekiiuuTYIBJH3nk0NLxMs2Mh0rjyv9K4PJc36Q6
ed3J3NDj8Ahy7YRQI1g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gYAnqvm3Nw6+mRhQclXKMjyADfYalyRavd/6WLTF1kTYbpngTtqMI50ag361wOFGaZtdmSILBkYx
xRD9WjN3AqnG2WZzd9mPvgaEwZEYUuNkBg2PsLdKLAPCaEHX+UDLKFFjuA3gTQRx4Awpio7ziW1X
rQdlY1rwJ221aN9M/Cm6dIjH+XV+rrgtolVIdM93RLtsNTUQejlHM+oO8sTG2sVJH93GmYe4ubbE
y+1JA+rYhI4g1yeU1YiZxsJwTALVbfgyO9YnWZaAA+ah64ZeOAQsLVu9UGe5hoWjOgEcwDK7nOqL
5lLJYswYAGYSH2XlwkGk2Mh3bApnQgSaFx5Fjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0pHjn3ZJTazrMRA2mX53AuGYROmbZ9qswzrl3JiTvO+JG4FD9obKAiThYTnLsIryEZj2KfjdKQs1
YQfvtV1m5vLNjmr4em3l0g3Wl8YCATgsD5y/vRYx8aRt20Z4M40cdBiMaezqmMT6gEmWwWFyGKhW
AzTCJexQ64VQje6AVuk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pK7oE3C7s/xAh7DoQjeuDLOGEK53Ct/7yFTy1xSszhEME+uCxwPC3VcUPbqIBWlmgzssgjhi+4IZ
LfpUpZZcmxwF3vXS143dgJ62+l6gneHOh6wnzBJIwe024MOgfdqqdHYZDzqQijPZ3Prs8Jx77y6M
6hE0UIO83rb5SAIdqXNVZl/knp1XQR6XbqbB21fc19juP7OBOP5FQiF4j7BVZwCkHm7pKxjhVeDD
xu0iuqzFHvgwLPu9MnfWlncckjxz1H4SiGMm+3k0jjLNXkKA5XhSaj2J+QsgJvU8UzoZZ69Keeha
ZMDnybfVhJIzVQicXR6CldhWyl96N44dbiQGIg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6864)
`protect data_block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`protect end_protected
|
mit
|
zcold/fft.vhdl
|
misc/template_for_twiddle_factor.vhdl
|
1
|
2459
|
-- The MIT License (MIT)
-- Copyright (c) 2014 Shuo Li
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
---------------------
-- twiddle factor for
-- {{N}}-point FFT
---------------------
-- Description
-- This is an automatically generated twiddle factor file for {{N}} points FFT
library ieee;
use ieee.std_logic_1164.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
entity twiddle_factor is
generic (
-- data width of the real and imaginary part
data_width : integer := {{default_data_width}}
);
port (
-- twiddle factor output
wk_out_re : out std_logic_vector ({{N}} / 2 * data_width - 1 downto 0);
wk_out_im : out std_logic_vector ({{N}} / 2 * data_width - 1 downto 0)
);
end twiddle_factor;
-- Function Implementation 0
architecture FIMP_0 of twiddle_factor is
begin
-- twiddle factor values
{% for twiddle_factor in twddle_factor_set %}
wk_out_re( ({{twiddle_factor.i}} + 1) * data_width - 1
downto
{{twiddle_factor.i}} * data_width
) <= to_slv(to_sfixed({{twiddle_factor.re}}, 0, 1- data_width));
wk_out_im( ({{twiddle_factor.i}} + 1) * data_width - 1
downto
{{twiddle_factor.i}} * data_width
) <= to_slv(to_sfixed({{twiddle_factor.im}}, 0, 1- data_width));
{% endfor %}
end FIMP_0;
|
mit
|
zcold/fft.vhdl
|
src/cbf_slv.vhdl
|
1
|
5130
|
-- The MIT License (MIT)
-- Copyright (c) 2014 Shuo Li
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------
-- clocked butterfly operation
----------------------
-- Description
-- This design unit `cbf` is for performing clocked butterfly operation on complex
-- fixed point numbers with configurable data width. The value of the inputs are
-- limited to (+1, -1]. MSB is sign bit and the rest bits are all decimal part.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
entity cbf_slv is
generic (
-- data width of the real and imaginary part
data_width : integer range 0 to 128 := 16
);
port (
-- clock
clk : in std_logic;
nrst : in std_logic;
-- x0, input 0
x0_re : in std_logic_vector (data_width - 1 downto 0);
x0_im : in std_logic_vector (data_width - 1 downto 0);
-- x1, input 1
x1_re : in std_logic_vector (data_width - 1 downto 0);
x1_im : in std_logic_vector (data_width - 1 downto 0);
-- wk, twiddle factor
wk_re : in std_logic_vector (data_width - 1 downto 0);
wk_im : in std_logic_vector (data_width - 1 downto 0);
-- y0, output 0
y0_re : out std_logic_vector (data_width - 1 downto 0);
y0_im : out std_logic_vector (data_width - 1 downto 0);
-- y1, output 1
y1_re : out std_logic_vector (data_width - 1 downto 0);
y1_im : out std_logic_vector (data_width - 1 downto 0)
);
end cbf_slv;
-- Function Implementation 0
architecture FIMP_0 of cbf_slv is
component cbf is
generic (
-- data width of the real and imaginary part
data_width : integer range 0 to 128 := 16
);
port (
-- clock
clk : in std_logic;
nrst : in std_logic;
-- x0, input 0
x0_re : in sfixed (0 downto 1 - data_width);
x0_im : in sfixed (0 downto 1 - data_width);
-- x1, input 1
x1_re : in sfixed (0 downto 1 - data_width);
x1_im : in sfixed (0 downto 1 - data_width);
-- wk, twiddle factor
wk_re : in sfixed (0 downto 1 - data_width);
wk_im : in sfixed (0 downto 1 - data_width);
-- y0, output 0
y0_re : out sfixed(0 downto 1 - data_width);
y0_im : out sfixed(0 downto 1 - data_width);
-- y1, output 1
y1_re : out sfixed(0 downto 1 - data_width);
y1_im : out sfixed(0 downto 1 - data_width)
);
end component;
-- internal signals for x
signal x0_re_int : sfixed (0 downto 1 - data_width);
signal x0_im_int : sfixed (0 downto 1 - data_width);
signal x1_re_int : sfixed (0 downto 1 - data_width);
signal x1_im_int : sfixed (0 downto 1 - data_width);
-- internal signals for twiddle factor
signal wk_re_int : sfixed (0 downto 1 - data_width);
signal wk_im_int : sfixed (0 downto 1 - data_width);
-- internal signals for output
signal y0_re_int : sfixed (0 downto 1 - data_width);
signal y0_im_int : sfixed (0 downto 1 - data_width);
signal y1_re_int : sfixed (0 downto 1 - data_width);
signal y1_im_int : sfixed (0 downto 1 - data_width);
begin
-- convert input std_logic_vector to signed fixed point
x0_re_int <= to_sfixed(x0_re, 0, 1 - data_width);
x0_im_int <= to_sfixed(x0_im, 0, 1 - data_width);
x1_re_int <= to_sfixed(x1_re, 0, 1 - data_width);
x1_im_int <= to_sfixed(x1_im, 0, 1 - data_width);
wk_re_int <= to_sfixed(wk_re, 0, 1 - data_width);
wk_im_int <= to_sfixed(wk_im, 0, 1 - data_width);
-- convert output signed fixed point to std_logic_vector
y0_re <= to_slv(y0_re_int);
y0_im <= to_slv(y0_im_int);
y1_re <= to_slv(y1_re_int);
y1_im <= to_slv(y1_im_int);
cbf_0: cbf
generic map (data_width)
port map(clk, nrst,
x0_re_int, x0_im_int,
x1_re_int, x1_im_int,
wk_re_int, wk_im_int,
y0_re_int, y0_im_int,
y1_re_int, y1_im_int);
end FIMP_0;
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/axi_slave_3to1.vhd
|
3
|
39418
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SGxjY4BMYHxNNV6vHUvIcABDfvEx6mAZCSoy83mTtyL54+bmu5lsX4L8iUUMjjrC/kIrTlXyhnjj
FTguvFAreg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pi32kwP7yqLs1N79vPzcCN4JL0oJc3aAq7Jmbmk/xtPItDPdiJ+/yP/YnXONgQcgSKOdAk9wBZrr
8tgz/g8eiPXZR/ikt3Qk+vdcEc3fnJDFTy8qkyLr/rz5lKgPl4rYUurTev84liflFzVXMw1JRsQ8
2B0H9XotWqtrx9fIpN0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Vovqcj7xsHKISOK9dx+yppfeRiK06pfl0XO6WA1VhTXQhPDCwzlgtvaLmp83/KdTkJTYWL61kpLQ
XWip0UXgdYBLQIv8OB6I590jYmqQYgMMIWZiU2sIlamlxB1EqYysIqg6d3s0cZGQisiS0ze/3Ivg
7Mj0SPqn+hADK22tYs4PFTyTu8Xscj+bXRTh7KZsZMYF7sRmGw+X2E1lCBsDNjaZpq99lFmkAzUM
fdZAw+830BSigv29hQUloNDVaemXpRaRZtcBdLhCEljvudSmhS0zULMg2ZlyWrF4odtvABeANHLk
3xDzXXp+zP8UZUCg/KBgLOWArIniR8YsnEIZ/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IQothDf8/o8sy4Ouc3t22EHmN8MUwS3/oLuB3LmOi3JWu8IZ/W4Hix1rZkGCMaVI3ArnPPyI1dbJ
eDMhsLCKQDgsBJuYk1mwCn+7VsK7JzVDhXxO0Z2HlwWDgmY3M/FnddFXboEXTOMo3kU9fN+/oqzN
z7XuhGqWRBvyYoXAHNw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ecsDZYaf16Q3SqX8Zh/qLgAbssG9oJyJpWr/+ENIV4MGuFBKjwDISI9x3g3ADWmjLfmQ+pbx9PCx
rvFj88R0c42YSjjo4J7JhNE6IqVvADdc2uaQopeBYVxFQ6dDa3DZmKFPA70ovnv3l0wIoFnkLMRc
Do/YpNwQtqyu5+jWwTaDVKECbMgZuPkcxPig9sLeS81azRcLNbTeF5LMaZV9NPW7BL0ompBJWq+8
OcXZhgln96zBI7S2PSQG5ufHH8QhGwKSUNb2GjlPaKu0pGr+s22thpxtKOGtX1N1+DZwPyL0uwM+
jzioiO9KI/hUFaHRrqs12zhpcerSgCDViC/tVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27440)
`protect data_block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`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/axi_utils_v2_0/hdl/global_util_pkg.vhd
|
3
|
87227
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Tw98mZk6U3T9YTmAG0m4q74szHtuJJVfumtsO1kNgk07GPuNul0CKqjDe2NoQberdWMUtAEKlYgH
cBTWAOl2bQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pnUW0ICIxfvll9MnxUjjppIaxe95jFGa10cooTr1nxZxMIohGCol+7PGM8KE84c0CxEkwhHJz/DJ
UlBQXiO3o+3zmZmp8KDalW9POcBxf7n8bSYG30qFTM04Bwmly0eWz4mW68KNhyowfjaiNTOT9P1c
F+jJbN9Rv9d8phnEdBs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gnhvckv5qjwjOzlRuAWsm9wEF/8WqyRZsK2MwpbaTubIJv6pz6VLnqXjBdjeWo1WY1vG5sTvKs/X
jrwoT44P5bXbfl3UkzzCJklzIrVX0BecHL1IZhAv9X96d7w29r3ACZWobXFT1DGlrTp6dBVphRNn
gE0vluBC5DKHRhaOL32gld9aHrpQsC3l+rGO1ufXREmeMyeJI76Pbcl8iaYq8HH14jCMrGK2ioZv
rTKeGaxQfCxz7rZPyQqvt0tPn1LG45SFdrVds4i3R+GdYhWxLcOMQQZqs6jBuv6/r/IWA4Dm9Ibf
THZfsT/wOR81qklUHG97oGMaNTKeR7/A6SpAOQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
od0zl+rzCEjsmP1X6+Qwt/QSA2WVHaYLiuPTzGw5CO+ujpWrCbyx9EP6Eh8PZnTGnyFrr814Do8F
BvSImJITN9ONr05tn5QC7S3WpEf7kXbSA3w/PIsbHuQe2kBZcHnR2rSCjLe3t62FTHRDcGNBHgeZ
VNynCwJHK3aZBREol4k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CEDTW6JUGroUrWbDTp/1yMXL0mbFjUlEcOj6H7TIFpppM7vzYr1A0FpDU/Gs/g/yLp3Nf8zIOSQj
h97YaBMTyn1Ga7C/o6sdFeiseppjjwyBAHxPMSiqEfxS+To5WsMJxnJZy9WLb2cXVS36ct+onubM
cjdRedhOw33lB5wXf3L7htZbpSbsmM+rCpAhMu2cBAKOsEsbsKI4+kKy+LWdWxJbeBlXX42q86q1
YNjMyXT/sxWffDxjPAiW5WEwFu1VSx/X/PEYtzqsd8hCIztMeOG7tdxOgVZgW+YKJC3YRqf8b5Fm
gLyRr+F2u0+VIzOljO1X/a0vflr1ZEWBD7buNA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62832)
`protect data_block
dTlLWOERQ157yCIP3AVUV1SWyqIFdiQvTQQ1qxRh3NIN3CLbfCF30hqq8dhzc7aQJxz4j2cuWF4c
8899mk+4h0dpe8cqUfoGcszN/n3j/r4PNHkPb92+0hWqqRTdsn4Wo2trHNRIwoAhXqA6CQQTh8LD
BOIMv9Ixfx2rkKJitvjNsPfBcNH9uKE3me3ykywYi8x4kdzVtHwxAIROfC+G1mwqb+/hLvmXz8Be
6XH2RIZhe9rp7OlGlfGpl9jCTvMLktVumbs/vyqY0QyaWnB7++c/zvticbor+p1jXjxvAfbiCab6
uv5WETQyAUat5jse/slXTvlKyvQVn5KgPPdXG2sRYtwl2qQE+YD3XisEwKcYFOqV8VL6LS25kJ78
cWVE7wYpzwaK5JHHRrC4nunOOzjOXwKQW4rH6wwFTq74BszsOOebJ7i3Ck41EU2PxNwl10pmhxQl
EcGYhfC7vtQ7jhkjT3TAKWJzUAiVLaMsEYh2APz7rq32ZnHBFt+u1Fogf6x7lWrac4U2dCJ+Hb8b
hFXnrMVlAB6ti2N0Bz5SkeWzCKDzcIsDSmc3CXKBoEcQcYvkLq1Xt5oXPpFs2nieqj+OwEFGAbMd
D+X4yiScDLLYbHN1eE6mNri1SuapytDSFqpazCpk2IVK7kW+MD7/g7OC6POxD4OuiL5pBttFNvCy
CV4ie5NhHGMt9llua+rxXiKSmtNwxfZl6MotgXXMCb7CzW/NRc4GSIFGZJH2/bkQja4xOHfC8D0P
vavG3qm104wFnEjbKXAQdr6Qdt5tF2/+K9ubqcJsdzW3LZhjlkRRIudkyUpl7W8aPh13EtkxNaYD
YnSYOSH7CMoAdrcmemcjz6w5XFFP07hebZQCCSSkzDxRQSvNXhQoh6Wn1P1TBFJBn+DS6iIzNemF
+lG/3KK2fHEllVIZha8HiwoWyc8sRgMxCzXjHxBexTE23z0EDsqiIZpaAPquGzReIUJCKNiU+Eet
ggOIxVFpPpOcypEoozM0h2JwowDr2f0wbPo4Npg6N72UqB9tIL2EePFKh/qtvPtpUhvgyuXsxOf/
T6OuxCWfe+TUgMISjv+wyX8Z6NyhjPctg7xCtus6HJzwL7gs9COn53UYvCsVhB5J1aKsf+V6GqXv
KfswRIk7/JgNx2p7yOOqDBU+wPSFpHprKFfmTos0rbPAkbIEYLFOuotUZw/a7yCmSsopceuzSldX
89YIfy/PVNy28oiNJ8/QOqEUuzeg7s5vE+nXtFvgDRxlJoflXp6lXOaNr6vc3nbKXUyOZozpcChh
knfRk3tgcy9TJq+qKY00ETBWp0M2EAdGBGI2xZ2gJX+zQHsn3JohSp+rCllSGkndupdj5zQn0l1n
Tp4iKvgaaRi1pQXrJAGp0p1kMTaSrJLNbkz9fgo1XTxDRTBkldLPj8Ah6nx/w7VvG+uxXNRdKv2A
4TMOKZy7oXJDZS4fjxu4w5nU65BRqujHoAGEF7itm6XJ1AtEzZmm9vA1QeZCw+vRIfrv3Qc8nM/0
oWMEt/wKw3eZRawNB9qrUY6FTb5i8vYhflbC7I1DJMOggTa0WfU7REjqJSrz9jIEXUwlNAovScLw
lQtUQRsuqHi5NCzS+JY/DVzbzisDLymKzHqPkn15i23VIU/tiQNNrP3jjlGj/Y7Hq7HROpRbd0QC
UzETc+LpPI1znSK5TPArmTMzvAlbE9vBSR6kpgSzeaQt3fq+uBs20A3KWkBzJLJ/h7wVQVmIhk+j
s9DPTCQ7DFO5ZG9U5GGv0PN9zZfv3okAJQF9mI+AwI41KOBzU6XUH2smW4jEZWauP5O+ps+m0vGN
i9ykogFljueDA9nnbWftb4lKLsCkHdLakHQfuU35IWZHSc1q/LpK1pqEG7Pivfzn7ALFOGty8T6d
Wv/WT1ebfOX8SR3A4PYmiMn7j1Rq5FkHoYswSn2eAn+tZL/fAKNGuCpelEwIvrGe+LaCaFNE75h4
+wAD0t18bu3mqCfMo+6Teh8RS/aGxHVIQlMxATEEJh6jjskwwxsLHtIPkIsJJUvRygTeLGeX4vvF
uGXMD3sLji9Ee1Mdp8EOK1AUNAXspgMuRVrXSCi/RLHsAAVTqXNXbzIoA+dlFu6MKMSFpVlZBFCQ
B+vMLqycMQud6Uqr0AJGNBjNg4+WI9agDX7u0PyLaOx0SgoSZPx6WVLVz6SsmF5hnnQ7Lbsql8lJ
eAxINWTemzVIS0SLu2UdfyELlGzNDs+XrtvxL/vhbRkzS2ipNrof4T4jSfG1x7sODEJLgDBk8iYB
ZZOLOpqUvKJJngSDmD4X2c+TTvPAtj0oU5oLQ4R1txIwbr2GK0X+TT+nZt/rgnUYz/S/mYeN5HHU
/d7fOjlx7lTw7hOF5tolbD6ok3YzqqyL/JgeEC5jBwvjBP5Uqe8S56ohN5hEe8ddc3xQjisjnO20
W+lpcNKJF7Teg19ywkhSDZEV+TNi9Jq6NOAHw7Kndl6gpjkOEQSyzOQ9QewKso2sYBi8h+Dv2apB
VBLrEwcMDz35WnoJP5OHXGEidHAbceqWCEtGFesnPZ2iD76PTaOI5BOsnJXPP51oN+MD/bicI2wA
EsPO9BmfYGwjiiAQi8ILGK64WLKgtHeeZMk1wZzEL9o8rslLpNmr8LkXbwe0HnIySK6G7LxmaGiW
LkWLJamG4PJPzw4afXDhGUHZvu8vEA1Rv2TGj+S2hqYyZXLIaXCcF83eA3Ia1zYrstUdypoqb7Us
AsXEMNX4a/FmZv1ZGnglgZCJMPeXUWNRQvP32MoImFKOY5UEgthhasVbjIQeqmHVkxKUIzBOCeKL
BuaFHOnk/Y9KK8prr5Uyyv9WxNuQIZaWruTzDG28HF1JwDx8/qnK/uJKDNS+lA+zJzvTneQ7TSeq
MdZG5d+IVOZfnqqKDPiFs62Lesjaed7k8IYBv9LqXW0KDmnRK8GoHDp48oweorONy/hwckt23XW+
61yVSmty9NZqRCkFpfotO2vcKaTqRFNbyEYxxnUF4uD+Ps+eLyMGKCGQqj5SxQgtbGkuMg+7faSH
M3F0dLAFDKSTPnr92cVAtZvhkJOOaKRT8LCwebVPN/Cq9pNcCiDDYQj/tqXspnKyZppbPCX024/b
xAqMyCQQBukiZPaILc/Pz379bay19KuL0JZYipQUW1WFNvC+O3GFeotLZ6VgAsXvkvCQP0AjHbZt
zsPJDIu9EOwRzO5YErYwgqGJC9Q287V8e9e3BtRsJgNxJIVZcQgW0jZ5xWqcYFVZAbvuX0Xcz5WW
RYPMUJlVtkZP2ukErDDrw25jv7X0qhpAXyDJ809AC0iOELvgRzMGW0WGQOF5fNJ0LIB5YR+tMtVA
rOXIb/lsebFSwjMVpmYZE7u7tq3b+YgpS5ACslPuGkBeACymCldk5J/vnqWOwaIs9nIESdToefxC
3H7oGkw4QQBPXJITb8un8jJcmI6haSLkE+fmJ7rmUqf3AvW6D0uehFt7Zvr06XL0TQL08kl4Kmg+
tX5vjMs4kqk5s9LO2R7/fytSKcoSBCKTI+QcLk5HSKPh8N4aO5qHKtf2prvHvaIRo0LNwzjXDMaU
PwhYc56Do+4C8AA7WPpfNPwBAU33RfoJH4Ij85BtYmRWPaxo90SKE/gzb4TXeuB0Fk2v44Kbsca4
BVfjubqGkQ/2OM241JpimD5TmKsMo0VPOFEu0S69KngOPTAmjTSAM5m1613KwcvKrIwI+0y9k8VA
36H6JOLg5nM+gobwP0Wv+J2FqswkJXxbJ0nPWnClgDCMVO9Pa6I9ngMNq/iubJtd/5zDYLq3Ue4S
Gxks5BED8lwtNw6HNTn/yHLJOOPBQ4bgqacYK1a9zbzf4prEDlrC25qs5O9IOdHrCXEU+yriCcwg
rztRCQzHtdl4QcSYNRP8KTTVQcHRdeSPf6gY133yaDUH51w7+kSGjHOzM7xTKFaqqNpFhllv4CGt
xr7gDF+MSD0VKODpHCMK/VWbGRtAoJpuKwKqFvpLLWjNZgW3pu/3Zwkg2280VJ51YdON7Vzuw6bi
q/tlCJygtwj3ORj7JTODpqkH5/nTHG7UOdKgzr/6Pz+8e9WcBQdEfRYPI5dUzyARlhAhNEcw0XdT
WlFIhfcNceBlWQOLGnZsLCdtT31J/I7Yw3Fzk6TWz1b9PL8D/Sb3EfSFanHCLJogJBH0AAiMhPD1
aky78BNc2HBTJXn2BKkZVLTlcltcGXiHPvxeVpWSjOZIo6iUpbJVkJwqXkuVvpCyh2p+X5QIOIY3
rFu3QMKpwCKNaxuLzhYo8iRwMZXG4s3CDz9lOfj9hrSyyFwMY/bhUX8wiYChJPOl+QKkrKa+r0wl
hvppor0xJ2I7wqhuav6j7s5C6V3R/LzNWwIiqgGhLuX8AJAvRUtWC9HlvU5OaI3Pt2TNacBp2f3q
0yO4ouWDIlO64638haKxTQ4DnkbkH4uSDY2vmMv47psQSouPhCNdjPPwADbZ291hTpS9ejd9NXNZ
lCBDEDjjkjjbmskJ9kyhiPdOo4+/ZqfT7fgoteO8gwECtdJD1wjknc97Pr6zzXVW33riJj6hCc/p
ae6ptd4WB3yEkYeZTk4DllLpcA8iId8f0m+dajuebJ9WZ2uBlJlOhZxENsD82eDZYRXH1JU8j1KP
Sh8v3FLWI9ZBkt2JCAuBjKaquV2LESuCWHysfFKRneB2zN1GjAXoVvMAUavRDw4q5yCOwxYFiTtq
7lOxVRVoGU2b89c5BYjxLJJACIcVJvb2C8CnEu7FeijfDMv+NIduf1XaiejncjvsNLusHp2J90Lq
bvmfBumd/GyffHK+FEFePt8p+FNj0Sj45OEyRYB7I+C46mGnbotAIR6xbeTkDmB8bhlAv6nO9dbk
jl12/crSlk0rNWKNHIUs61spfOeQZhl2UeTghhE96NOwvaO/Hg7aDT7lCgnZwgG23EUKyTE8h19w
NkzPkQc1bBO6m6/8COiwBlmoNQVADjMZNvA1C1Mk3RYilMunu1/+slJxiLsRlXQhErpH0VlWGHrZ
Av4lodiianG1wYq0qyGbMzH6k0D66ecXmpdYNTAREUwfu2Y//zIFEyToiGT0SdjB+meil0wcpxBI
QdfdIjRq2737PKOUt4hEqdAKHEDjn14d7U49fbT2uSzadSBq+eGY9wGx/qqPU6XQ7BQekp2hzawD
tA0rBxoniMb4IbXuzXfQhQLc0cq5n6kEXJ86nfzY8TAnuO+k/XyOPR4P0gd1ZGWIRNVELBerVafB
NYtBkjXiWy9lbXPrPV8n5pPaO2a5J9A3qIFZhF1SbDiZ0YJpQFC52CES2cSUzvZYmCdPj23MVgNU
0STmdaCMIUMJJkuaGfX43+jnRO6oIWCc/cnkBlBjKt4k3UAdGk5CNrZjwNaRrsix1Bb1RPvj6YWK
OMjIcSm8IJjfYLavC2gfhyTT5o0MRevXNO4QPw3ZYixHQDt1Q5gsX/4xenkfAKLdZf1Nbb+FvV10
WERuuUbCr5O97pD1nyaN+E61JDfOlAmumqdsPtwz7VGH89FrycGdqULYkRN5uXHJPQNOtIu4giTx
Vl9S3YiAjOVuEC5IPYW8IWfUHiRf8a+LZYLv/7RG6TpzWat2ulLY7aAoreu3qMOP4lII0iQq6etN
cTJWucIf0OOrahWB28xWWOazZsWEGJBA5/tyukt/CkiBmwLgdPLnZcOWE57wcsoHsm99NpD+Dyw9
6FfsF4jGZfLz40s927kaUV6jOK19Kw+RxzGCRFMpVqocLy7KGJjOdtsJoo38g9iQYulXEEZxVEqp
PPHEiiShdfP0ShZt9MxqC0nuzn7i7+0vEorYuyvP0+K8KcN1d192HOCgkFZ8M+8XAMulW81PV8gQ
qX2eSnX29stsMGIhVyfuu9lHnJRMcXZhpI96jNxXLgzUnG5GNjgIZUTfebK/Yz6i51h58lKg9SZd
WwQllUT/SvkeCKFr5sWtUtPTF5vUtQKi6/1N6aUlf1oUxUbT7IFiIKzTivozqcuDCVAh3mHnlrBb
/jNhiz5EhVWSbPV0kfCG/jpwduIAAFXelKv0vRygwaey7MAlbNh0TpdX+LfDStdW+EfRZLS+2WIU
NTZAMXlrZ3qRqQTxK6BFqWVPZ+UznfyQi6miJKp5SnRdzrcq0fmlZkHcL1sEsHQVsEnFRcQYEFl2
4++0lte0mXHlfj3AK1MjjIdjhYK8tn0H4HADuH/E9gLyNNRgyTVVvhiIG+VFNYHs4tIpR8P7w+/i
MOSjOOOWR1ufF9itv6C1Rpjgrc2N0mhgmeRwXgm9v118ptpO2RhkuTBAV0K55OXfaZuHXDfhVQWP
pQFTdUtANGMfshNLxndp2l8toZaF78GMAIqt7xlfSu47FL429iAcspzc7GsPz5c9ZfQweQAIrAQa
kQsJ8r3d3dlpiKyGC3Nrz3SENjMicHKYHC3mjycRRAHnx/vp7JEezEOpB1zweHR7ckZo9umNPeCc
kMXgX58hhYEzOEjqSGvGv/4wTv3NXO8GqXdc4lur6RhiJs4LJHhmRC0gXQC9N/2qRAk3YHsy9yqS
pEAk1Lx4twD62zjfRqE7JYsbxWY09FhKQYPxNhkRhJR+N1v07J9cSHXNNRN469a4YD2+boyeVzDr
RVuGDmuB9xvT35jhbcCsn50xF1mYoC78msW9tgAzX9UYO34gZkUJ+/LkgA62+9TdaUyPlIPHCKDt
33UMk0z6kNYsixDw3WTXfZMSX8vQoL2vlOWFyzSzjqMb3QOkesaOfP9ZFI/wkuwnC5dPsfiDOxll
lgD9kz29k89Nn0YPOwKYPgnxxqU2/fMZN5n1vDNKRpBD1yp9oY+h5HNb5rMTk9FM49sRc4nFt7mj
tDBw4qNBlWpTYUXqeZMDa2eTbaiOu0QPaL6YkeCLPL5C7tjfDoUF1I8ug71KewIrN1KkCzMLqdmM
PMNiaiHtXWpnBOLGS4Fh/Km5be3o/dqH437UzM2Ql2jAt8O2ArVVamqncv7oPnF9r/Znab/wxJjg
RFUJj9pM2VCsXEezIZ2tt/yQPgWvYUm8B2ahOsCwEiwlqMvvtrdwgsrUiAfcoeY0vk57SZrRLcOc
TguPw88Tr0CyNRw3FAqGIipUD5pvOcZO3rd7htpm0TmUlqwYrxqVoxUa+Q8AZmVBSQjuRinhZt4D
6yG0kqVplab9C8JwH2ZCvNZ9jlBS+AGAbWkZnwz9SHYcOFYdofrPIQXCkoxqPsmqmQVLevb/zIDC
4SSeW1E6tdmm5x2CnmrxvHKrPPn0bI3jeFshjt9p8owHl+Rp/MZoBsH1A5TngxJqpdu0Du6HthgK
VVigQ0i8LlieSpRMIot/YbRoc46RnHOLHB++xsR1AXPxaitRRtBHFcaQ0NLjoQapMxKmrZoJMDs4
FMQSDZyyC+iYnP6oCnURirFFUCpUzlJmQxYDWM5NvyfqrbcvmbMT5mfSPJ5HmXAGPMw/VuTb4GcR
rGEIB28hjGHsRxbjqbpT6mDuMuIeQ1llCM419Nwbrq+mYnqoFEvQZLqiseukfPXgmYsVZqJu0kZj
cHNJ3LRRJx86yh2KssJ+a3BSj4uCO5OmWDz13KjkUsGcS+DxpqMt12xMb6T/hpk20w4Q2lxtF1Zs
I9FPU+jPvcjq6Qa89f2vpK8B6ho2myvP4qeGyhdHGL78MmlYx41tUQt4MJZPI82B7kA46B+rM5XY
BlVZCdy3T+Yz0RoUPWsY1f6DgzdocHUxmQndMzZF1s3f2cktEeynTPBGfQTpGnIJMtNU1kN7UlkH
ifjnCYyE/lFlCW9NzgpRQ6H2uwucQvn7uzfqjSfwrZeClk1rXumwGsjZn1sTMaFHg3RJvgUEmAvb
09TrvVhQH0BfXk0VrW8gpuKMia0t68vN4+Z2vV/uS0k0UOzFmxHcmzmKUUQbyNkhkQ0H0+Q5YW/D
JkbbXxvbBDHDiEa7EljOxqF34V9UbkrCvJVBFdUdI7fC+1K9hUzILdSHEWq/6RyNebG8AWW7VAKO
2zrjyn96P+4ExnAQmIboB6XfCllYBYz09H1GBi8l9G0pC6KYyepNthwg18WfV3AEtvCFkI89btQn
O76vguqLhFnAWtnyXuere5MCxrHLjmaZwqpVnHYzV8SJfFzFqakQsZCkOOvcb+337f6JWmAwwoJC
JCKV3MU8zM0h5uZivUswsrcMBEWOzoaPQZZzHSHVBhGT989e4b+bstffenFasyzoE/0iNg3SbsnA
xN8rRT0tBLDCUKn73H+hRLyNeXbhcFSJvQqbjZL0T/goifFHWhhhZeGIEZqF3jp7g03expAXwp0Y
Ms7YnjgPGdKCo2RKqCTkcEw7bmkrGGbowBUTCQzXSrwx2fj05Q1DsuVzy9V9o/5/PBbC/4BLvspy
VAzpRmiv6+wwxsi04mthg3aUAIVUeDV/432u13EqOvUrq4xg2MtwwGYMv+A98jjyiD4CRWIQzuPh
ghAXXliRdOdZjNqa2P1/+w3AXu0+i0M5f1kY+YWNeNZB0PS1JAMCWJWHuPT5GqXWw3mK5Zsusq2L
9i3TFw0H+w9YWUdwqh3jTTPzvG59pFjZ2eoxLij98jb0Le1duo/6uheGDr+tE/UG1I+VQK6yUPhq
M3j1LApZtGVvDzmOoOzuaCgbg1MAPjzL9BfL2q+gZQLddwaw/VCLJRZ6vfd6cqzEwdVE+SsjCLVq
0Ps9fTGbQBW+yT7huOqJ0oovx/gMZzGGuMTCpv5uGU/Mw2FJ5oxZ1ZSDgmUZO233oJNvfwKFLIZ8
dvcylOwPoKkP218eQRIyrJn+/HAOzdm6pzaaImr4YGaZtfrqof718nra/B9mtDAvfChT5cbH5DLm
6ubc2eK+BmpjOw4kDbFZp6ZU4w3qE5yMqy8Lsi8Jq0uEdXUKpTd+lzpbmWAxApurGTOX1z+XdV5f
zyCn9/WyHsIN1Qluve0Mlw0MtmV8v+aM2T8rrdTzx2IZDMpT5tJ5oyfsqEi91CtZlgVObQWz5aXk
cMx44VVw7+c96yDiPicYLzf25OEDZ4gpMTa0FppOWxaSEQ3juMezS9AQPWgS6DYto7Gy7o6uOtAW
91cd5s14jd2vIYksckRvIZ3x9oIwJtaRHn2CycbbG+rtcWKyWR4SPVn9DDQwq6rP3d5Ko55Jq2Hf
9RmvzRLOLHfr6kRX6jtTd6RNZnIqh1YKXSFpPKhw5ioNgu5UTHCTCfrU78PBaQc371m21IjirgOQ
cliRuGSWI9chwexDENbn3gCgnXDTpBiNCNA7Zi7n2qydIWBxzFZkeKZrvINZooopYGUCCWe5Kinx
n47yhcfFAxhkp8hRIPvLkDNmduJ0yEeCzyhWzz+H38ofVXF+rkcCh+D/z0WnoDrzfJwswawDO9sh
34wGCY8Zuw0/efnSPOrKCHb3rcJTVrefFLCmLYlASJE5dVY+LzTENdfOOXay6i9jvi0nQXKx1OrH
JCGGIGOgh4njXxVs26bwJxvlkkb1rXUVMM1iOGpvTJRIoRH8GVzuu4L99iOZDg2FyfqhKKlsvuSd
ntDPg9HHY5dkKp+0XMb+7/4J/n/GRFZDIpgBXauTtEJvCxgUDJgNVl2pgLgm+mkgcHH2WZvigN7t
WVJL3ow4Mvnktq2Fb8uZodf2gh+p0t35+1ljAwEiJ+1BDbjib/PEXB+hwPcroWxo9UGGdVn/bU1z
kqEj06Y21RT05Z+eMORFjK52NmrUAzuTbISQ1VQkVLCqNDCdF1+ZCzILIDiibqh0f95g/hQPo8yX
0zrcYJuuN+LlOk2x8NXb5CT1tmn2xo7WAxHCWtyGGV5V7ghnOYyPkdPKnfaq3u+t+CHapHX7bTYL
15hXBlZSJ804SQ3ZSrp1yBdD0y1rwQxb+CDqrA99A4oif6+u2n17RbseJn1xrmLn2Ir+cihWgNMu
vNrwRy8eziuooS8R+nftjfPKseB6bZ+EiEYwZ8u4Y1u2A6YNvnCHhbX9q5ysCRIMca6o/t1F2oP4
fXi0wT7O5OayEvMOtMxK9oOgjPb+aQV3jRyfUlaPeOLIQvrDKj+7SRUPU83wuijMWcQHMP1PRBty
sm9gcFJc4hXuqztdWxuoYKeNQi1pGcV8uCeyj7ajjLyxi9/Revd56hp0LC3f6JxeNNPpVKqdPbk8
Bk1NrjY5sOUcP9M4dgpwPXlMZceWvIHfDmRUm6wAc+3TrGeAeEx59HssbWvo2N5B1hDpV01njmZh
7/X/myyDuIJz3LNqK6MMLxTH86Hp+VA2ignyfMs6nIhq63rlE5jVP9aaWyNAzcWS8pZGwrZD58C8
jvV11idwvrtYWqMhflH6vAgy5zgEVQOxIjnKn35OaBslNg+zDTa4F1WbXBjQYM5oSEHscsI/ewRK
ueEVsKlWEl4XTo6u2/IqZ4U25aBn/qJOwJX3Uoq8jk1I4kh6tJzmBZ1TRQUBbNqSokkhlj3SZOoK
PXqyUDB772fEi/cHwEJT0JEOpmHAdhLrN6Dr57X1ocogStneHEEHhlbGgCZcGBqWCMKPa6AUtglw
lWrPSEwYVOZv0rx45ptbwAiTD7qlA6QPxzTWLnU9qrrfk36ZNh0xpvLBZ8pau67f/tk7BQWC4N5R
5tK/AqgyLPBvCWK0/tAlyDYIl/I2Izo4HCK1jGJgScnc66fBTDL/KSocAmEJ4wQZAB3zu9Fora/A
X8/0Ln5IgVanBBh9E1MfpP6amc055FZbi/s2yJff9p7hVJavbQPbn4hHWTbIiTqyPuYoCneyLhy2
/hePxtj/zRSq37poc8nzzRdJyZxxGc38iTR83nhf8hObyO7EWnf8f4d1dOSeTaku/uMoRjtGworg
rvQZulDL5I8rZAePTlceEyDS1UygyyIkwzsuhb5IDRgEWqOyuaFxzL8zVan/EGQ4/tDd90Ka08i8
KsOp4nXLyawmzgZKoL4QrOKLVK53rk6o5DVfquMhi4ny/mlVo1pJV6RAyjTjjZzLvMWMsB5tjJqE
XlLTZETzZJ+hdCzmlfgdzp2VA+7kATX8/8WVo0l19x6E3ZP+R9NSH3RCpXTUOycIx83xo1YGLD++
oRe4AzuwHD1+1LhrUFCgfM2CNRjMaOfuPA4QujYPLHV6BhhMPv3zvjDCEfdWm6sYDyFFbvqLmb+t
7pBhEsil9Fq6aj3qVqqBJdHa68FQn9OGs0S7Va56X40s4Z+IDTyqKZ5bqC9DulNcdACOj5wI96vZ
vB6cK8FT8Ii/d4OIJKy1ne9KdS9bLEx6roIi7MWyA7PoW61NvqvPVKahFMZWO52kjftgxbY1EiqU
sUaR5E8o/Ksifpp5B8DkGSPIhH/SDgRcE4mvzcRoyxSpBRRXbhMWIG0uig/SgoH3p+2ekON0KUMf
nndK03qcrUDsEtSfIKKZqVhj8NufxDicKCB+z1tHYF1L63IyUqTE6nDImC+1F6n330OpsMI89rHq
k7mWLuKd0Msn4XkjUbEY5R4SlGVI2Z00CSxHHZrsdXiApLSAZ4DjeTeuexs9Xf8e5AO/oHFX0z81
EmAxUTGEoVfic87ly9MnUjEtk8ZyU7tuu5fE6cOg1c1Z7C3WjVDlUVS1xwPctv7oTGej5EdrHzXY
Nqu53aa/uqrJyAp6R6tM9eyfiUc/SrhlNiAZO0vst7pTeuvq05mx7RxaecFoxkrTS43N8gAIJwcY
gLpUphx9mntlR87ESfvMg+aPdyDehfFjUnyg7GzdIf13WLz1XzYnjDjEIcaAGwb40LKAxFiBJxA7
W3TcSBgB9sA22FnmkpIAIjgivXusS147vFC247yZKVrty8WcqAsckccrUtSrlURl+OL4+gq8k2AO
vV0mKkBYEd3+iaSgJl5JEqWWZewkTGK+h2sl808XwNXPh1WKhVWFozSOvHNCmVo5ue6QLNUDQVY/
4hKHeFoLjZOfatYw6lYc7C3YwL3W1NK9A49DpmorUXZaab5Mjc/qk+3tcS8vGtdmWmFQFBXzgCpU
IhvIfRUq5rqMDIpU2ZuUEkvIOoTaKvOLTjEWEYOyhuMi6wxWbpaM9aKRXk4JjmSn+PGx2NDl8W5j
aBr4txRYMDTdIXP/9hweknM1q5aF4eM6XBWupKL0N1Vu/uYlP6ZQOZEiKQa8i/Gdf66C3OJDr7sS
mPqpC78nJqU3JWKvJNW92cVTa5qWmgT1xVANLbScEnvt8UXbvc5xBxbMxXCCVCEJ8p+g+UKpomXo
Zh/5nbzyAxL+9eeS1fA3LlIITHAIy/nMIG6n3QRXTKwOVWKS4vHT+hOPva06XqjZ478n76fSBzn4
/aPpuQ+/pZ6gs25xU1dtY3rw0BhgKJgMSWq7iAPSU58HAphH3xfofBbHn/DdZYwrXSvbfLHlPP1w
rjRixtZRGRfi6VXqDZq7EG/aRQWTPsnvyqsJUa0apk612bRBzF4RhCupbLDcfTngAigR63BfEbBi
CRq/cLNJwBkksYBEiNgP3lBj7ovEl0D60BPflTTGA9xvIFjxh7myDI0ZeseSzsm3eiEiT0L6OjNI
r9mnR3uHzSpdJtUWzM2nDhITILzjsIlcZxh0F1R1NjKM06TSpjxH79CztCv+pYRmxT58IgKYXjjj
eTHvzxnPgY4tYNWLqfTG4I4oPVx4jfdAq+4pNX9rvxUX/dNMelcCJLmd7oRRQopOCq4vews7ibD1
hZ4iJqKFZnrVz6UnkTYNmh3r54oXHb47KHsUC8mI/+OXzgC1RY2rZSBam9CfDItVHKEN/wsChZ0q
kVvFmMK+lhtgoOWNrvLrGqwd3WLg7wwJNEuaIJWP9oV0nJni3oeS9gqd2VnqbuN5tFtzY2rTIV03
FcF9Ta/Squj8cDSH0rF6CNG4V6dNILxnBBQhCcF0JcyYHNBe9iUyQrSSkqSVm8VHR5/6jnaRxF99
8Qc/S1UAW9Ah+OjSqJFksfeH55X0LaN2GCmvY+B2HPDWpvhCCIePHr2Of81ZTQdgn8t//AY/i721
T+AKt+lFBWKQMHYcuakwbA20OiWMXWv3R5Ul/HAcH0kNHNRaMrQTJDe2WGDEN994FS55miku0Y/b
tP5PsfiyPMbVfgLhOknaeitANDv5uJFlYEhRj1Dr/+EJ0N2TnqO2ZqKN4Im7i3qnmNwWfbGICd3y
ljQQny6KosWoR2q3LLIPYUn6sjKuqyw12ACffOmPz89T1Fi0x8+iu+/SQtqe5E4CEOT1EqGIp8ZK
CcoOdPcWdBym6av306TQk29EzisVWCrVmxtyTion0oOf1WdHW6Gp6MePfPZrVk56EnY/b/9M9BXm
LXC/LMCzJNwtFknBPoDR2xWH1frBKCzKAkYpL+LSbrgg5EqlopkzzOpkGm2DHUTGRku8Ku+6HhAz
ic44dhlrQM4vol6WSPf2ma1Gx4okh3cStONkt9Ntk9OZU+6OSjD3/RJlyU/yn+sxgM5g6fPOD5xs
XnN+5Ksm0fWKxGU5OYFc8+C7BrrxiS/yhFouZYmRHMv8YwB0ZJGezZa2PAe4lrzG2mrqxEgwnx4y
nGysaPVkknaz0XpJM70heOYvpYGV/XP6DtlejQd1an7S6wOGD/YMUwXME2Yjr4Ov9/FuQaBvGUgT
QrD8B1GswuPPiyrGxaOP0z+MSiuU2INcxS88te1sC0SU1lJeRJ16C1kHiszbGGdUOfjBLB9DtHZ8
he1LfOPwwc14DcJ12Edcixbpb76whvxnKhjiISsToN+svO57rxNT3l/F6gNPn5XQWtMy7a/kbDvI
+djDQimE1qSb46fS45/B/p8Job4PEb5DchIrsAsJSerEmKjYHdeYiX2EyleVve4LlYsB/msB/eBs
YWs6qciOpg3EUHYwLNP44EbGuv2s+MLrdFPzPGywwTegjZzu5Eo4Zdj5915MlQEt4fVnTCkLrSmw
o1gpXAmMtuu1gBm22UDBgRLG4D0t9l5iTL8ezkarCZ8gcP0IQc1Um/th3ds0VMmgW6Mj2ni403G8
7EGXloUZgf4of6JNHalvdSnrWUmLrhr3jsNcqhxUfBvyYonhqH/EFYx5hSg+zshDz/n0eCxsSaCG
O8DVTzIcvIT0ym0mewUlWDcK+ZaP/JnJdZjp23L902MsYUrJC2KBD3gS/ObtbHAT20mStnjT+psR
LVs9AAnBy+wUo6lgmGvGrp98jbMz5XUMoGNdbJwekcHKIk7bxvwOOiPBma70KoqBkJT/caftol63
mxKHNRAIJUrdaA1WHK8lOc+/O2z0QTOu7HLU2AwC7Ej4pD0yt3shnVgga3985nFB8/AyAkdy9RpB
qvFs3pxnoh8AAfDFfWhYBgbI4kpmy/0QHjRrUZ1XVqcckl04Q0/+AcqQzIyEnYOdSy2Kee1IubfE
17CJbU1vO5IFRI6CvBtugbYdP/iIyjzzWnCZRkCQ04GJnA2cTmFJMc0Wn5W2939RUBrm6CqQ+Q40
t46jgKTkWIOL3gpEp9/gnPxwC3jSYffz2G8JsM3Ul0IANOO8I1+DXflrlcGUYsl0gYGuw+0C+qeS
rxrgBptxC0gwKluPaKkRPT3YIWtvFqMDfMXqxtPSNlMya6f8LNlohiNb54VSO3BHSCISHfIbbhHa
0F1ipwsTocqV2s8pvksnYA1FnpLdGyhC9o8dNaZpPclOm+DePyv2MuaA5VwzuG/gEp8jixxQ460D
LKAZ+bi9PgN+u8i5fhHPIKZ2hpJ3y+ceWtG03seQFy5G+2Q2C+f1+dOwg4nqWQVbee4E8Mc7XhGi
xRNKGOWzAefHgnVrPOtheit50XTsbEDJO61TBJtmB8lKguJtvMKef6njJ9puxqHth3MoQFBEdaNT
8PRIxY3AtgUmExOyhLyN6OVijT1lNFfr08OcNzofp3pct4XzH2eOjGEt/stvcJvXmM61RnFqfofp
6bm0CZTwmoq5huGUG8N+gIXFr4j0Eu4tSbiTuNKR+PEg5bX9I6BIvcKFpwMeDb4M9JErxLi1OaCU
/sQoiJs+VeddaUwkeZMNz/RdrMEepr64VSOKGsdfYDO7HaJUO7glVPYaPxBBVhx7albnbEjfGHkp
HJwSunH7i7AjIEyiimpvrnhWys7kSygcFRGCiFASefpXLriijQA8gvG3nQxdITZbflfU4tCoDlLL
RSOv9CCCzxpLpgXUA7keyRDH8xCby2ccL6+wgBHSQBPLXZ6oK/OWr83OmXZj1T9Kd3FmA79MnEW9
PxVGY0LfgkJySGPrnQIBbV+UETg+HAfZ99ewINXqEuAUW4a2ClekN8psM46Uw+1SfD6A3iT7SZXk
kI9aso6XaPYjYoOYiN6tANg5FXZJGXPPRWb52ONq8R9VRkK5cqZnnaNV3J98rNIKuBFjgiv+aPEb
twAhxxUkUwDHFGd6Q/Vhi+RddpObO14zQOVidvwUMCiK62q1rkKq6zXkFKrRU3CQy88S+psaqy1U
Q6MljRW0+BOnS+pBmqiawFBDYchUs3r/lPYAGaQMQebyDHaQ1hCb7lEZPDU4QLx7C64wA2X0bPN2
Lju6V6ysqsgyW8wLF+NmskQs4SOcjGH1I5mRVPwg6+0eNTA6P3Srg0PN7YOoQJBzR6G1Wpan9+9B
ksXVx23VDscQqZSVgrPyl4CKeIAVMcvg0w/1XgSD9KkkV4fxIYeCO5vx+LGr8SHcb8juLubwLktD
7UbsKPLsokggUsrMl192CGi1BIXfBHUXSFS4Z6JB8ofEG5D15AvJD1fitNIv4HF8Yi8o7SvpO2Ft
vExoDlbDN9R/KUxjc5E1QPIgG9phgxiVEiKU25nI5smSjK3N1ZgHqVq3egSxwcc/Bg74BAglQoft
/VKc6PTRko8ribYO4VMnpcoxc5kh1/DMNEEDnMRCafTF2JYySuFVTym/u0YuUCZK32leDEbz5c8E
tJ+lp4rtryT3h++r+auq+hZ4CqNRWo0TD8uXs56nic57grGvDa3QYziZRKYrGRfCAYbzp9daHf0K
FIjdwGn5BfccWx6NsnhIOgt2D07cbPcV7Ccn3ryjl+We3kbDt0BydM+uJrp+OXX65wqOElsw07Fr
ZisJoCSfZZVmoKsec6VrmE9/cTTplXuMaaEwwoa+9fjGuN6Kp9Gy06pgYzWYbMMeuiFdJNJwp5mu
3PnIplLYnIctU9BCqGljfh+1KGHo8QJOVuYV8um6SehpPProG6Nqif9KjSx0gyESwEQr3Q3rXzAF
1Pcx2OWPf/EL7YHn+HeBDkKFLe9PPnqgEhu6MeWzu3oh5JFdUMlhyGLmNkEQQ3atLa+uVKiLOwHa
9FGfhAh8/trTpH52bx9/VBHgz0lRFAQKgS9Kz9lV0ug1dSFIiZW1FH51Nsb2EkYsekFkSQ9mCrEM
bo2+EeLJUy9DIBCV6CfqIAXYR3p9M4wy3tSLAQRgxvAa13vEPUTHl1G0zOr9poPq3VaA11BKG65R
VXt0lRpiv5YI3f6GtOFXY4uu29QYiNaIUSbFH8T/e+D3EIMjlgyKWS4reyPLXXCVzgy13J71Kz2L
Pv1EZb0UAPHOEADh4g5KJqBEWO5uiRQ6YGu48odazNY0/DDaywyxXzcOwBCkEfaAdwM8nY3s0wN9
SVDeQfXwlP8vXFTbrc7aEb/kq7LEUSYWep6i9b0HH7Zi1IV1kFIBg00QVsEga5bjUtudjIHduzmW
DBZi5Aj4TGEiFPzlTYFPUiii1FLyy0ZsSRnaoreFnA2IxE5MizH93nnJIOdiQXzQTkEq+L0wUBeM
VKfHf3RftvuSnRmFEm9mC5QkZ9pYXd8FZbX724dfzDaT0M0Uj6TzeHC6fBJogmTTKa1VXJl7NlsS
k+aTMsH1w3RNEHIzM9Aon0bl3vvRa0z8LUDobm2umXw0SSXKmrUEHtrn2UTNJvnttIEBe4MAuI2b
2hpDik2aIFa9ld2eDaQfJRpBNHrt9/kHwiQq7FWf+iS0nDKYOnOZAHFlZ0aq66F87luG2rZdVllP
95lssXz4L3O9aJ7tK+39o3a0UWFoUKjZ644tMTAHniK2uQP0tcC4305nOPfXOXoya9NwVXqiuSxw
YoKh2EhzHg/j2Ic5YCAWTfdAtAAT+thV0mE6OBzSCkh0cG0rTSqhGp86xxYa6YyB6S2TyisylRis
B+w39vpdqI5MvZw1vSWaOEHdlSbH1NL3zSaGlxHHS0S57ORUubaUy2Vc8pfJJVJLvnf1yuVxeZ7X
LpCu6QzhcEMuS64pYxYOocw6zI2GWNkkY9pedJkYPrl7YOAcIobob06QeLRXGHfbTOFde7nmjzcx
MFjrUNZWU+cA+uWr5aCvbReiVYXtlXggml907Tfma47nwjZDb17zO2bMAzHHkG19XYuvE9XRTIOo
IhjRZvhQpCq70qaULuGX8xzHobqA+qY+ZLsqKCsjLBx8RloE7JJ4pjQMph0eekBXw96Sab0ZUAbT
oupkYxXsT/cz1BjGsoY3ww7dV5zXPCK57XCzNJvSLFWZP/0vVt3MN0VV7IGIWpHkMyXUYcVv9+Pi
naW6g6DDN8MHCf4u1LxbJOj5B8urrfBxzToJX0d6x5r4qrea2PfPjz44tjsRoOvxtY10QGG/b47y
9jC4PklyyLtwwN06b/wBuRQ5BCsbW0dSilWWOD2p5v5CD7YI+OZzeBy/fqlWd7Zaot+1je8UdQ58
m537nXuG0zopg/3HRd8mN5EAI7tGcxeYmCuckLz9bvfjucCXql1qUL8X/zzwWuPceHOaVFuYwHnr
7+OfvZffBJjtnMRsmkUZhpwf0S2C+v0X9ozPgpaKt9qBUSmFik2UEKVaR1C56jhd6Dkg6YWV2J6c
G7EIpjIaWOaBWoO4HqpaovwgSaXo5w6TNZh+/HrC33p/Lehh8dePiMtDtkSbusHSmMch7lvjJpeB
99Gbq2Q9fKtSG85rscAyAxBH1mcUQdlXkd1yH0W0mSf23tEL68fE6PUgiYeUf1NFlEv863qrRv6W
te1fT5dq6rD5C/M0s44IyxgAWxJel2VtSIPe8fkuEXnvJdUnYVg8mmE4N+gz63MbriZ9FoX9WnSn
zb9Ct+eeUJihKsg3IHXI72ipRH1okKRdJaoQkQfnzQyo7K22WCwuB/ppqDd6mjca4N1aYebF9aXo
c1RR0SBXg5y80TyZG/iV28dSr8Ora1TnHvm5/Thrs80AfDFhNVWmPGvNGt42RiIg3dPn5ZI0jSnV
om4H4MmFkUE3Tgabf3bd+7IyTIRboi5kNX8TSFnTkO2n5KYt/51WpToLh3JznT3FVCm/+sK0FJdc
zzVMI1WIp4Nj2Tio40VVvL4m+uA+jkKfeBiwvkpzMIEktIuQnMMO2JUYNwfOlnUheGGX6IBNYLVM
1KGtzOEVr1bTanoS/B7TmsoC69jYHELDKvZqmKw0io5NoH5paQVMm1NwbBt4Ozx9BHzeJFZyp+T1
MWFEhlgG7wAiw360/ZQ5JEFk4QHwvZEakyrI9a+0ClZxjylPBkh6WIjUU6NWShFrrH0P27xGiKtX
+6vn6ZvAvgE/w2mVXZgpzuaysX/Y2gNi+nbehBuDuefVGq8rfQLqFVgh6Il51NHjaULLVHr/IJJ4
XUn5yqsSHmnNV7uN1M+6+Dvyo0MeerCWFqomqE/3KAxcQZfkBNm7QbSigxq7EXxzX+8hKfIOyitm
5kcjYSysk4RNVQJQ+LJNrntR2yz/gSJXWRNZ2WDdRjzTBOczLpupMHFXeRZt9Bub75B+hcdEP/4F
tubliRl4/umJRtAI3RHOBHvMdEzkqFIvIU1UEiyhKaCeYtrYfTiNQeLuk65hySMqjxFMzeM7teSD
lLrQSW8pIouZ8Of0dM04VXfO8PGvWSksP0UoqmwayR1VDgMqvbMQssNyGXaQ1SmjXQBWzmKqysFP
ay38tjZHrF9q8ZB6m4/oCo8vwZ+Gs9drNiXVQEjy4jH5rY5MyLKJEsXSPZuF0P08lQelTyNbGb4U
zFd98iQxU8tzzZ9RrQDkDY8E/RfmDhkCQipQdDrDkH1kOEHaHvyMIidcHgd+pF9wlKHDnO+eJ5nT
FECYNqS2+ENBRZPkfi7p1W0rJUprA70uXSJBATh5Hfd76LJNqnuFGm3oWjRZPPgNfejZwt8Zfe3M
T5Grdn37tipJuItwiH0THfpuEH0LlYnVSkbYRec8ZDEr7taf1hzZyFm9NOxGML/5Qz/PqsxPZtPy
hj5Qi2sntTAzYwe3U0zXSOKE11pSISLM8ioscWuZSQPy1c3qd3nHprNIyQ0kE1U43qkdG761qNtG
ma3KObQ14u94tVe0SvLSZnxHcxKtquXzeskxkeeVwnsxz4I8a571CRUAndXQ+aLox5V35fkCpilk
ybajm1bZawiNb5w+t8cbMHV2s6AySUClKmRRJj6Bz3t1LONI6ShYZaG6W5cEYGJQnvrrDEtYf7m6
np6v6swHH921VfuY1+h0DRW3emvpnXdv0zq19RhRtVbIu4NHBs72P5vFdOmKqIQwneAoECTeOauK
WtDQ36sn3PJjV54uy1I/aTczwzuhwYH04Lo7ERMnyQizvmNUbubS84tpp3D9hkEzp1oIUtOGaT87
hwny6md7AKNfCWqsv/I1HPoYjikAVlPXZU6wiuM0s8anp6t1Wu9hIgLWMHrP2YWtlH6isoy/zUYN
bgKaJqPR84cSRdBc99GQ3AwqVCZACvgTD9DQm2RUguQoUdekmSy3eOJ0S0qjbkWJec7GKbLQ7nnJ
/a0JekGAIWo0y4lbL9JR50eLKojqs0Nmyz7W07N7Ba8wHLcc9buuuIE6regG8x2Oaz0S8uU6X3RO
CH23oje8NAxvoD37NydsgmQeBIhiKNpf4iP1+c/ypFIATuIGC8973XLQF9+A+Dn6shmcePFosZxl
x96NbkeaJJ/8Md2Y9g7T4hUIA/EhgIStZ2Krn5oQU5Ww/eAyG4QTVpQUVEQctr5XsEqBdTNXVHaC
L6sCzgXovrVGuzE140aA+8yPzpEMMtLK/Tj5YVQXoSCFhFflNYQ+G0QEJzsALRyeLbd1vasG7MT7
WGgZZkQiUd3JG5Udm8BMpJWg0+LUTOa1ih7vBG596xj7uS9semBXzPC/A8UljUibGr8JZshRLUiq
sSvn6gfbzCoWum/ztk15D/s/JH4QVuMtbL6TH+SpoT9YToVGtrLGWqmaXp+25t1kUl0enjjTqMsm
c1POuplr3Pf1u3/vHCmG3mmPBpdkFCNR8+7mUTxh9pspfkNH92fu+VQh/+7XgWyuUCjHL1KAl7sY
OeEUEbCzSl/29g8JRxlgbBVyY8TPZNKn6G9q+sgjhp00u0lHJCdHOjgD2E4U7KF6/j2HnCToGzRE
pGgeHv3qjlTHf5mOa5NvAvBAjsXDNvNTYKgF/Tq9K1Z37ozPx5VKl4dMZlUQrsxW+d7aZFriehnH
uYudqhu3pvsCyNbJii7xBo/BoTJqT2CK+30SKkfdwZK59J4o6YdaVKzHsqOc83H5hLb+MTpHmA8i
MriB1tVu05YDjXl9xKhaUUoeWV5vOVuCzJ8F8cTrdA5GCClmUcNKNeX+nQ5+p9KiqeeGM3YdLlJv
ogDOsyuAb7enaLitSHyfzfgO9ZJhUKbuqbwHoIA9j2OUKW0scuFOWBMTYUsVHBbWC67g6qeKuTCg
IBYP1QmsJ7qhcrUTUaPCa3GwcvDDC/m4/N/e22mT55xpj2wcKwsfFEPeAHur4JlIHcdvzYhDF5MQ
vkG8rNIbasyrcH55/SXp3I0yj7R1MxEu7Ehf5iDIsuKOo8Zn2VTlwCU0judkcwfaBLFMRXh/jfA9
oP5seOgt1Nau1JGyEaWia7QmwzRxxWWmz4rc2P0thhsCl8YTZvZZ+YO9bX6+t2+i9pkrltp4se2p
5gMw8n5jOfa9aLPiXEZfyFKixn0whXh0eQZAmBowe048uiFzcNVd4fZ9kflr6bkoJgvanFCEP+Ec
5RBWa2Ne6+qohKgvaVffniyYa4QKnnvR0iBiZvuBX0SE6zqY74fdIJ3O+nDycDoyfHFWpQ2dmlei
rf5aRRsKvq6y1NjuMoqCJ7yWZrkYrR38G8kXF7nmqxQQ6VqMcsiLnNUyHkp3+mRDdev/8aRcIUKA
SrI8wqen1cvrdpr8rpMZG+Ae08rayzWDu69M0eCgBgx+PjN4WvXKJc20z0NqpQkfeIvuhm8d5Jed
PIJzGzrPAui55nMF1856XaWuPHELxaUWJnX8bteUaB6m47HnKp4WwzJRkjoi2uU4P13WrvzTAYhZ
ZP4pjgYnq42lhUnc1T4M4m32XT2vF7t4iCKc4rKjq3LsoM7Yb2d0oQJkdcoD4P9e3ESca+XkfJse
u4OpoNKDtjAagY1PUqrGpTi6X0fRQ3DgRSyC4yTxOgYtTq/0lN2gblw3uTcKhDWEGFSeIX/CIyXP
7UtrpyOAbz6KRr+8ZQ4VXdMdtczZlt95+O8XA6rcwCWJozKriFodgJfLoRPUlE2g3RvQgEu3p7/9
0EAKmK14wNmg8Czftr9w30j6kbsmhx1oWbWLtAJjld4hrxjd+KpJFVX/GgvrSu3g8yXU0hXkGOZl
7/uHwM+LMZcA/7Z/cwzWirF/8Z0otlYzoFg+GFasgNXT5v1tEGpxjOSnR/+hHvDM8arKtBhQMGax
WbMwy9zvxvVWXKxZfk+JAIJBsoiYsV5ICRUaO9v/zrhiR6E0yn5XGRYcgp0AJOe4IpzfKU9iP/01
c6pYZOWf65OC+m+fd+nCSfMt6h6h8I2yAA/CbQFcZEmDE2ahdxhkXRriVRYIgnTozAYylgvam6VD
1/z+GKzVwfSAxac+n3wBol26EDG+5zAwn9GNx7zVGrnZBRLsRz20Mftwq7tR2UHvVZkFRQTn9kJq
xEB/u8GsPIdkkR/y7lSEzbXD6QHjOQf9jklnwLtiytUquhNr+Nd33tmwJcbecJhZF+rjjMa0fnlU
I4rCxHOXbo9cs8eDgHclc4UOHd9BNkkSRXQ++xFf9htdro4UQ44MxwrT0Xm3lCb3p7sxxkDyOrSe
V4SeVraU/69oS+3vivVU1f8MYymUFPruS5rhiF57IhUcE8p5eAvzx/HboaIWA0zF8VY/yMPo+hde
nWQeZ48dd9r4jpBfF85E5UJw03YpdgAsRkJgCOfX7aJhtCguyyD3jedv1ziIG7AXS7BQebCADrIl
8Q5Zt5f7gCeNn0Kx2gHNayaES4e5jpI3PkUNyITvndbI/Mwbvq7BnP4yQ/lAKJ1vX+JmrIeDZw0r
w/EyCUXR+6wCryyiaebYiPmhQI8JlDlBa4SHY8+/+uGDb0+NmJArJm3s0Lc4cmDyaLUG3WSQB7vG
9nCx+NPA2Vmew//TdaDe0gulGogl2e6jTwYu8YaTPE62irV07YB6CEuEtXj0MVUySqpregnhGdJQ
DMQjCgeiJb/MTRIx6pGUCNWPu7WCJ1FDPDuC69i3ZFq7RGAIqt3WmV11GfSDxRkNN30ZJijQsUto
Me8v5Zhx8zzXOOwd9Leb69LgQiy9KO56jDJJ9/Lkh5/7+T/JcFyKupdziSxdcNiu0QsHDAv5X3qq
G4Z0vIbLxwK3VpR3RSmHhCfQLDGAQ8cZpz9ozc+2XOoCmonj2SOgFKQX7liRoaPh1fN11NTkj3uf
F8OTPLeqtq/djGKQFORfLV20OxCyIv52vR8d5L8dfcgGkvtK74ze+JuVTVe6RPchOjWMooaZAHPu
3QujGaAyuq0sWH7sbzFV9CImKN27ahyysskboVa83K0j9mi7zcYrZNyhUeKoovcB+arQ4Qitx+To
U69R/8V3XLqN9xRgJzDI+iq9QVS0vlHLYTOeUow73hD9GcuIYb2CGNcrLgmTQAWZFJYQddiC52+l
AiDKSA0I90DBg5rtNZBXEkJR5UUiQvL+4zAZAUiigPqAt5EIZH1htKb1yXh+XRBHitpZaft4SyAY
Z7qp0arw7y2OBl8NlHEIDra8zclYmRxCpjpFbEE/0bh388DdKtzJHxfWd1Cj5P9VTqOQUUXEDACy
DLUK8RARiUjpebHyMo05/vclakqatcH2a88S5xeS2Z/eWuJ15LmfdPNMaqi+MtC62ig8cjMpXMYE
PixBMX4eGstlR0Vg5aTJEdmR7jeuZIBr3z4CeZ/Nr6KCjsJNqUwQvQn6L8rbw86pvU+isMe6LmHl
KV/L7228MjIsOZBjhfiVfg/+8gm9mdwoJadYWkzMIL2Vol8Od5O+D31rbdaICwIKOEgq24pcPFF5
l717E8Znd52lu778tp1JPPvweOpi9jRAl9ZF59GCSVCroBuGRbiH9dnyBdToHq61cqxOyWJxyTaq
ai34ocbqU6o8l42Ec6/1dcJrdkB0RNcKPvStWbMIFV/QEbQZMJReqR/8inb0ODPcijVPriqVK0h8
k45pCbanQS6B2WcqUHnGt3T2hQ4uWmIfy45yJd8GYRjDTagphgz7nn2iUifml8G7gHEab9MAQo2f
ifdW9RSY8985E+ubKH5E6a7NiUWQSEZ9y/dFsU68rL+rJBK6NoCuP0gDPrn/Ss0iXhoyPxDZAvhn
GDJntLo+CC5Au9rUBooqI5DaizlDpv7wTNhPyHQJO2lxTP7fskvxIUfa4p5yjdd3ZbhDadfZmv3s
Ar0stM82zRhiGsYJlrzfnFTghdZmDjxz8AcCHV8SkW9buc6nUThcm3Q+W09wk1BxnE+ETttV2/4i
pn4lebfKuapZN5091DT5mbITTdvuBCyU8M755Ditx8GPMkENgtv9agIFI2qRpiEsHjSBpIZQC6/k
VrPpA4/ylEpvji/AtFe11N8bVTRsJRAarLAH7EgBqRzLUFaDvfriqVn8juFm0yex7/iSDq1Iyo7E
Sh33L6m/WIjpu8wVgJFRk8aWozZ7c0HhiNIuslCsG8SIFEPYqZqsTtsZbaGAvqpY0x02hUumV5Xt
eo7e3JX94ZQDg9OGA5klH5yvewp3sfMBNA4jmrrkmvzUh/7w8nJWBkOHOtazt/RgfIZh6cHPpTK6
Lyg2wM91rGu6XvtxK3r0EI7xVjU8HeQclGnKuPKG0h7vBUZ9DwzBHByrzgCvvYaCl3QD2OocL4dH
gH/1i5LFMe6dl6nwRSmLqWdHqNLPIVEBOHFZu2TUOjlw0m4C14iYg18H1h8PXuAk8ZOmS0R6dTXh
Z/JTTcyUCvO7O9w+mnB/0eL57i2ZLR3Y8XixnNpPnqzJoR4KeB4OV8LOUxhXy479z3A5s2GqESRW
1Z4UFcDxg+JH1Z4WVlx7/8fX6lkcBVzTiP/lZF2Zuw9XFYNJp5oh+SS0vhvaM+jDeOargaFm4byf
RDdVMQQ1rwdfA9a7kZYqQX/ZGLtkpULS7hWDNPQ/wa7Wye4q25FzYB/9bQam9n0B9ZhW1E1PNQDs
nRTOEyMpHrFIrUooXOcdHS7AIegS9fZ812oa2wgKlKQ4Ul3G0FvCLDGzG9OBSIUagy3Z+smsJ0uB
LfpN8o5Yt/1woWjPrwIlX8WgDIYJZ/CC6GiksjXPZq46UM6Lb5R15npdkXGpNY/1OEvYVg3BHEyD
NzDseAQcK+xbcXLUbMsh3uOXnuNP9V+Wu7JYlt3+Qfjn95HkfdIRFP9zxewaQkcUZD4QpYwDahgv
z32nDhjcAXo+XxZ3dfQGUqs71DLmOaXUUysR48Pmd2Nf0wqpW8rDYKuehbwe5I2fwUeRpHxTv5sK
KBSse6StUpGyi4uicmEpSiVFNJbLF5Yav92Vg3JH2NIlvnf0fgfwF5OsDGTqIYmbfIgDbN3w0nsD
5H97xqOMo2yVuHM41nR+NXVnMFvPFeDOzwGd2ktPDRwPYz5takCZZamPL46FUIoiaSv1u0uXkHWL
I3vuifG/Q/KR022kdwf80fkRgM05yTHZy9zpQ0BfEbRGAV8zSE/C0I2A9d7HP40nv5BZv4O9bNMf
nyrdSxn05CMneahRCHFRbL7h/NXMiXrEh+OWRHsw0YsK6ikuDJg+CNNDcZLwIgPQzG8FDUFb6w4e
yRAFlFOx7zvVF3nYXJsIez9GKYA86t3oxHH9QbCi0T42Yi/VD1i5gNGyrGF93qLv1667aKJdbs93
w6z+VB+Y0zDZZEX/SluZToW0MflG+Rzad01KSS/DLwtXOH91qpnVaIXmgor76YayUQG7Z4rh53xW
jFwERu3EAXOnVC4Kn8PfU6w6Iy1k2mcbSexXMGJOpaYZfCso8O0Hq48doduknZfh61N4MjpnnVNy
DMT/D6ivrujSk+uO+DmCH6i1Dla+oFULOQt3X0Jydzxok8/hXmq30HAYmbrrHcKZpdYyxqwIcaTb
YKnS6VnQilQIFhNnJ2KLdMajYXgzHQxyIa8LH0iH2Gtg0+xV3NPUby+Fe73VyQXn7pfl8vy/ihuo
1Sn+NrRzy0UIzsDz7mZbiLAOY6AkkQo6HhbY/cYxlfE0QgSTJyQiqEx/YGMQsIyG4OA2cbZ6xkt4
HgGUrnHpRwkCN7V37sKISgDLTltjEagZpRzZQBMb//dM87Lz+InbrjuGL6Q2QOimEFKO8bEqKeOZ
19SjKZSmgm8iDqfl39ytlubLbI9jTsjQMIplOwGDFUwfWIveEhT5Y8DCJGUmXxGY3OdCxqEQdiNV
i/djM6mxYaTtgoEu7oxN+39VfU7LSre+qvLNjg9g5zfbgvGeJhwd49Wkn8Bvz7pigoxpPHmQWzGW
qcMWbuhUm3B96z/r8IOGGJ2w1zVe4//+TMwLvHgA8eft0/DEl2nv4GdW3H/uG2KWBAtP2E/zjm3C
xat8tbyfVNihFGwQKPpixFUjO9EqXJ1BerbMf5lcVQBMYV8k+F83If2qGcE0eSZ5rf3aTmukitEJ
lvOnJ/RIgRJCIoHLHojJgDYWH/XzR2dkNLOegfUsAG5hDic5oVnfYe+9rAMgLFGZ6/Kro8bLGdcQ
laSXe8424YoialbEeZcptemuzWM7aU39Iauvzq5prp4w+oKRPZRSidHIjjWHDHklj513ogzU/pwx
PXafcOfIEznHWI94cFJNNYG2bQrQCRZKojEi7G+qgrBRNAO3MoTus3WHtnfPzODYKbGCaTHPU0RO
oxnmHa9Ac6thN47AOBU7SSSFGwXoJUrZg/OH56UV99HT5iqdczMCUgHA2/AYjXlpoWhUvI6yAJoI
aVMJrLM46S4EqhrZQpAyKM7z7kLAsKNF5jZWl+wioIZmd9Rg8VfVWQC8tzb9KMXeiKLVyzIa2rJf
U79lv7TrLnKDMJVStPCpMkuxrWl61/mFITX1r+wfuFElGqQrx2b1RBtc8D+kYYeuduqO4rDrV9Y0
ZrrfNexECeLKti8UosdiEqhjIVye1mNl6k4Sd2L7h5UBndO3qvXC4ZTO/ybd0mR0gBS60aVBdPN0
vVD4TB22lkXbpljTnu/dg7RlPIotqAgyoeM7yPWj+fJvKohSe+oPP+TgkahK3LnhhTJtzI7KWNN0
4i26tfx5+vxO5O/XorHTnZ+Az8S7akMiA6tZJZh0QB9pa+gW8FGR174ZPydsQ6sdYw7/OpsMg2Xz
D0qlBLkS69/pWQRi+jLQiGv8rs8p7kCQYUFrgukwSVGvgnpSZ1HbP8BtIBFBO/COYSpzkflosS36
83Ury+DtvNCcppQebZEwBgbQP9xg9Tv8PD5ALQD/yiLzbHEnUAzba934Jv2ES2SYTide7mkRNM1t
WdnW7aPNETk/7BIiuZ9CZXEgjG1ET/1mIUikBgTIt7St8OAQGzBSZdB5fcuLvhID4C+brhAE5WkF
+u/DD2JCImfT58gxFKqaF6P/h6JTd4M6ZOCJCXhm0kOeTOXxmk/S1HL2m72L+w9AqnFNCUj235RA
4MLenaOBZTxMYP8P1bHwTRZVAOWrUOXVRk7ZgzqQ/VamPy77jn/lzaNMaL/OORisak7SRUHpts7f
+xsdeZeFcHuX0x1BnSFzlwmL3iGHchZY/T/Z+KVWf3O0u6dK1x98eAsLis5rQxzWI+7RvzPhYe4K
lSDi146CSH0H5PJrhbycjeqw/lrXIJjng42kVAGkOgp0BM116DjVD6u5f9bpxScM4v5lPiwjBRG+
1ez55Ul3VHa0u7d/HJ1ZE8ihINGFkGZcyUFwwi8JO7Icdk0+JizGBhm+/4YGD+el8R2XX+MSbYLD
D04zQNGA2i8u810kC3XvilNm4/flg/YZJvnKF7jTz+lq1MN6OBDe9ndt7CjNy5a8xZTg9F0KYugP
efsOD6Am2P3zENxaueBNfX3dYnBvdHjOVCcTgKC+iqYzQ5i9ATu4KFELsg3CoyjU9vfvZ8VpSHbR
GSRq4Fy5PPfLg9vmCBhdQC4kFOZKsLT+cJeIjcDFkxUge4rYx4JxydWOsjWRZ4KfA39+XjZ85JEV
wJZNT63/ZyLK56laCYiis8DIqMVHcbGr8Mg88BvtshZpHe5efa0BXkzPSXr/HNaGT5aCejsFJY94
xrNOwJH71QwgPeD43IOCU8WKKPKvNuDmQ66pdLEOFFVsw4YkvFGBQJAb57kDM6Ohan2QVaCcHlEY
1af2G6XPVLmammQW+brjwPET4M0d16G6ToeK/eCbfR3bUsmwtGvlWqLB2xIuzya4QHREiPQ0ljqf
jsYx9FHEqojwqz432VW5E/oNYICUHgkudi2QEvZPq0XRFS32y1sQjLlSo0HxUcUbuL8LmRI0XXnT
x+R3rx+KB20AtxPIOjNTVkdImOrmbmjHNjFONSwewcCVcFUTj7LbPTMqLqtX5vXw+TVqxJMIuW39
LIO9oNsHlSz56ZKKdEwfC54ghMMr70QMKZXr7XTwZ6RLrLERl7ObM08ailjvHZ6YDUYgqB9UdbJX
fqa2cuqbAGj8HrF9/JDn5dH0B08SmCarHaChphKooKNSpJAVynSaatnEg1L0Sj0wrWpXWH+lJRTS
bhLO7XE94lGiddqTe19f9i4uRuF5chVXKYpAxM3jvD6pUmnGlI4iwUqcu2W6hiA4xPOxsaokf+L0
Tp1osNRJX6/YCcK/eO3+HWnNPGU6/FpTeRygIyZUJqdHB8G5wyZ2lPSD4imZeztrwoc2MjDo7c7W
Dj0P3uSaoeZBo3Rd2dh4SWga0vRviQ4wP/iTxm2xttMQpJGD7aXFVIjE4ysKmhKtnZthAKbMBPpm
K+2EKsfmRH6ddU8qjg9sFQzuG0HzIdpJyS8UlZPHCDn5GwkDCFVAE4Z4Ay1/XbrIZJvM171rWtkS
LlG5i8zF37XA1Y6ipW8PDe0CKXjEWu1BZrQSbk+ZHENc8xDTFS5YnZg4sil+tuJvGe4uNKEOVeDn
0DkEup3890zZ+LuDff5OW6gLvwZZQ9h9IDzFPZWXngGkRkp5EyN9zUF1Osk7Gek6NKC+dzf++diW
NDIj5yfuI10IC+8mFTsduiIqga69mj6j3CZaXbaTgl+NSjOlQGFjHxEhEnYSjhGJmppDVpXrosrr
Kp/WG7S5nPbkN8kmEjJJDGwDOz+KJLkUdjQNXdlZRwNPo5snnFuPwv60ap5G/q1p/aeLVOmTUUrW
egGNwfNW9zyTwqgqwM8AQSK9jpZytlmhEpTRwvSEJZcwYJsttBrqIkn+XqaE44pTMq22hWAbQEg9
bTiGS3m1QK10XuYWhBYvSldeIe2gYmOVVBSQHD66ClY7FdsLAx+kySwZioMnUaVQ8OWBrjAG5CrK
Vr4uDM/of1CmqDiuDr4qDsauwl8yGCFK39oh86G5A/PbRnZiF3xDOG1CjLva9NI2Gc9kRZ1zEkqW
Ujl3Y/RZqv1NIPUnen8dUHxS2nHgaELITX+DxXeoq+PpPm561elw0B57tpEjU8PDbCugaifBrBTe
0EF7y0pdcCEVmx5SANYyfU5bQaQta7PXXR1sPS3TjnyVmcpWJEqcMuIbAN83tXxOqECxD6CNuWPM
lW/0O4yQqm9/e4AZYBQh2JLbKuIunLh3wnQVGMChldkEMUj0aXvtaJlO0aQSQfv9cpBtxFZ2I45O
3ws7lzaLIA62RC7lXtj7vHSkBxOhOGyV5alGLZefVBlbXXi7yFX4f7ZHj0CIlOqV5Y5iWHC6FYmZ
5zsD54/rSi9M57WUy1jC3BjPkvqD5fn5D3EbPmmwnYpnPe8gnNc6ym8vCJGRr9pLJSv+fJ1rP1iW
r0Qkp54dJe0lcmJhYZVRPSfZLfRxZLhskHvpdqDBN4WJ94L92L2uVKYPZx9i9+itCiUZgGIIQNOz
h0c6FTTwkwLESeUhG2e1ToRNiFR5KmMf8T0oUv/rh9grU1ghNsYwB7Eia4+dTD7tpBEWYMLt9kCH
r5tN0GPS9E4fUU7lZQEwLm3Ue69r9p/pNz46s7KlYXDr3xzf/hQ4POTQuoz9w4tcjV7WMLx8R3gh
g0Y6T0ncuO8wgOjTvD5p1mQJ17NzSaxZFEwzoEwezJGuocbXnBQO3VKmYeoMR9ZE9wX8OZlJbyLF
98NqaliLEGPaBUv4Z8ki+jrEWYVmh5Wg5anS1rp86lROjMgYD420al0o8l6lJuXMY7LGXn9N/kk2
B/NZEZPTElMsbMtR+o7cmtoyYabkVe9P0bHwQLiM6fW3iSGaf9xjnRXwmZ58CKDHS94CW8MSUjdb
fA5NI5KBvh9TMEgTYpDeZjMrOjsjJVQQ3ElEvPCXNRKB7qZJa1SVg4PBsuvgyyx/7CDOmmoDIBb5
3YswtFlfCtOewnocupoOuDj6eQFMkdr40sGBYULAfA+2+BkHNnP2YW6K+36RexILFecOHL+fZ2nL
iiQme0Qa3OqsOG2j8w6mBO19fVOFsQ73E5D1hnItezF3UTN23OVkOIdHnV0NxpRTiybYJ0WEB+2N
PzeUWmrH2knGQqafLllFQVVQvzNUYYtICm0s/Xgc2d3sDt5q5lRCAjWS2hZnNrwNu2pV6oiVenOK
pag+t70SPdGFJ8M/JZlpkL4dySnUfJ6JA6DH70TOmCCBCqmyTQ74sPcJlPvy09faJaQEfV9Jlpop
L6mEe6BOLUHUGZomE0UR99+nR7XtGXivC0c9uUhWPUfMFByQ3ammxK4y6exBdDlRahygQpncWxSe
iQrigMM+LTgkdsxIx8y2KZPWpuNaBBLbysT1a0iPXyYkDdPdYKayk4WSf2yn/3YIyg4FDl99wRHZ
mlXTU5Ix3ug/Kff+/TslCbLOAs+CgfpK+Gy12oBDSOUVKZYX64/xWZfbZ5gx5vtp/pyTXXZv7r4u
yd8GOZYWR3wRKhMY/7djA8B7RzlzaEfee2tdSttxj/nDFpDTWye/fIoWEGQPVR03+1cigEJ0Clvu
dfnsXWNXaculydUnYGWI+Ezynt5MNeFkrM9dDm4gAW746Uv38oWHSRisZEV5Ct3oYqZQbv6Ijyey
aWUk4HC6IzqRYAsYWQlmN+88yXtTNKimUHNrjZHsUUrsx4PShTqfLqYEXRRJ4O9rEylyHyU+NRQu
RMz+4/EbpUr2NzWpI2wVISbJGiRNi6erFFdSd/yHebMjZf5Aj/ZFU3AURk+qZohnkwMYxnKgwltw
s4Aj+M+IVcbsh4YTv1Cbbr9nm+hcAP4FVIgAF5wqhBI8oSD3vE16a9v0H33N6DL/gqKvsgrh6W+s
1sp87kFe7quz5GdTrGRGiZ8/PpMz+kPA9Tc+5t0PuS3ES79XO4u12FTuuXBKYqQjRR9E/P6MTffU
HVOzY3P4D3iQcHYOjqCbvyHC9h2Kkdhq/5rDyJTVyUWxxYIPHvC+IdupXcDb3FUvFNTsh+fJDHaL
5egK6yH2hhLHxfctqchRSTNBbf8pjWoV6+CQJO3YB3aiWMem9zSYQUXe+sPGik8q1OztcrcrQUOV
Jn/QiUVrq60x2cT0yS+hqyBXjw+iY/SW1FtWSR5IWrHcWrdtBHhZ6ew3syGZt9wDlc0xQqtnnVhY
xpBGetG+/6iCJ6jkgtPUJtxDtZCd7rjYvy4gAYZJTU7TID2UmnrubXWKWPar7c34wOu1qpoa0Xiz
vH9EHHnpJV/zkjMT9kNeBWUs2GuSkOHRJ+DogqrHXebdpKyarx/f9oGQlbJbfw+0rBNzB0UTyF0L
yBr4YWYcJtgg8r6Faw0vbLMH50MQDDMtrJmAVzCpRFL9NK2H8DH0RZhB5ixutQwwQVhLeyXMbWx3
XRSZRxX/9Upr3HqUjaRZ6KbcThlTRhcqB5pjayrEKnViLwl1RAwD2JgvGZipRrJ445GrByBy+1dG
iw0uxLl9ztNBldtvTfAnLvUUO4j6tIoQJCLA6WldfcaLY3unalDpvyP+MlUA14ATuvosx//hG9xl
4vo66xQkyn6q+733FYOQCwhRtrx4qeGZcuUgMxkPrjLlegUUDFVCgg2yl6ra50eSTktHPF5KLN2V
DapiSBrlOF94ej5ZREpMrqwcSsqTdhyxXgwBQij+T+2OQ0l7TDKY5XZhfA5QxGXc/1Tu+fbtYeuf
igcm7ye4BhP6N5pZpdc4HbyvYq+38LbddpbY2FKq4KX+Kz3cmyqZbDXX+rkncsXYJdJCCB7O9zcO
lHTn4FzjeYk7OG1R4qwWdoVV9aGu9wt3tpxoyVJNGQFxHfd4W8btYty2YpvonlKrfkLx7sWUYQ/k
8TQZQFVDWatPky59xoIOJFTZi6vN9kTL/rQDzlRXmEjZDgpTfDRlsZTgI23nPumUtujFDOUChQla
XDHsWM6z4pMjdDwuCSroDbK9kymrg5x3QSyC2WgJkCtijPanmWzteu9+j/6ImroxEJL+d+Hxn2Iz
wBi1ncaB9UHz2pizyt1uQiR+wAzhf3KZUdTA/Jlf2ittit1OCevBXIrznkZw7FaO7tp5eU26aigC
6a+RNMv+dtC4jPGszu/7QpGssfLlgsn17CjzZSrFdoLcdLqsAX7UsA3bmuljzhwEDnEjIbgkiB1q
xuBnQfInOY6KZDeZeQuyRa2Rv4iaqlmZAXXRRvRk1ZqgXk8jazRoIr850qpXD+OAJ2lWJdIZtxkE
YmLLa6tSpMEN/viiW/yDPI7Wo/Xa3bcpjLCeW3YYOGd3F11ph7E1AlSuVBtNounOx1kyYcJpGAYo
17UBR1W5Ugo1fSI8KD01NxRjorRzfRwFMoQT2uI36x/nCs41EfN9qWUDnBq1uY5/zbseWEk1zKxG
NryPGYqxKntUAR5YKofa54y0T9doW5jeviq6anOiYdcvNRBNfMxhtVu+xVWkdSFDQ5UT/Q2AVXzP
/TEL2UYB2/naHe4okAVGEO0l3iNSgHDneCexLx3z6TcBh4YlZd13qQQqGfdsNX65YgPRWi+P4lb0
nxKlsn+d8DcvvS1LRzb689TZa4QQfNsYKWkZdB5szPApLRfE4h8Np00xkyhbzSL+PQxwcw7mIrJR
avLXjPSMvgOM5Dpg7bKBPhlY6XriorTB9tQqUVzDIo2ElJuYiHIOxfjVMpp8sn2mcssr4wW0Ce78
eyFA8CsxGYe2wj1AwlVUXQbktScZD3sZv49wykQpcpm/HgFJwhEIbgUrgKbnTohgTy5ggcAo0d8i
Nfqqdooe91eZelRgy68FxpZgTWpRSRN6KPbcj+651KQPZrYaAGxC2Zj3U3GR+B24SL2NJEqo3oUO
/dbJcWhoLdyXsLmSVDFxf8POMhEtSexvr9jBdQsBmv2snOSyNdXaRUbrCXA0ZvKP8C8d6XNWJGRZ
Aus4GePv0juGyou+3391wkkzdXyyB02BSaxmsdVEsD8vEvb7ZBxiAf9Cdqld0tJOOwPHoGgVx8b7
7CrW3tXC+tA9BkGBQYgaGS9PiK8U5ViiWJJdQbxhL+1gypet4trfVsiX9NAQNMWZBpyi+GO6L14g
rcVl+l9ypWcqd0J8v8aOnJPr0rla9+ovbHmIqLWDoFVWm1F4lodFQQzGFq/ETaS1yvlZNp6HPLmt
U4E59S29spRvg8CWgg6DL0HZ2QA3O3hsuSS1TiCJ2WU0WzNOnWbjdZtb0EeZaI0gkvoIEjh0tfxU
6BtHkuPgUlTepBIER8ttUa5bV8satAU/sIBLKvu2851jatX3MVSiRxeYhOuzyRYu5lNttgpPVyfp
TIqgUJFzjEY0VcTmpYa4QmYW0xeUYx0cx1sxMbxTeYYFLlxIsUtq+3cDaOwoVO7Hg3sl5awKO2Z5
uxEe5OZxWIgCFEYP3MA3DKIgaNnvyLS3G5oLsAv+x2UqoxwV0SGTLHv5iZ7JgBGUHN+7nMOkBTgl
PdF3zc2dqYLbKjfFCx2n2zalvA3J6/cU5TccEm1j70oFYACQpiYr0mdo2VwR3ydWmo8/Uv+ZrUGt
uxD55wkc0saEw39m4oFvYTzCXWZM0/6a6KvJBW1Bec1+oFv841mIAXGbgDHZpCUY3uuHHbFe1Btm
Qq61ZVx1AB0K9Wp2Xc2IEbH4yf7bhayF5YkIXoNM/aagUbmjGRzikr1xiV7hCWgWd18n+XcralVa
lWSu5RwKTSrbR6Fa3AAz959oSLlW08DOPL24jZ1cp7fUEpIakvwQlpWX13fmttxdvGH7+4VkdlAP
ajoDCCT+nrTyzsscNcNIXyIngG+Qs9QSIZzX0hEs5iXDb2BbyVhDHycXEzl+vqx7m334dVfq369W
qAMFrruXH8GbFZaXW5csNMJ/ggo36kQU1TYihjMRLkEwK3s8ZbatWmKyBt2xVT2Vuupzznp0R6Tk
+d/0pw0X8VFGIBuqp3Y1bRZZFmVTUnldF7tvRUpY0zKUfa6u0OvNHL7nDQd0H3TrUZCB9BrJyglz
Twf6P6u7jlwiN/1Os56y/oMXjQxHEnrhXw+D0ldtXdHyuunG/sm7/RrSzp+5gMldnEkxHXTIEHWs
RxD6wJ5YjF/+pi1ZUM+EpvSgQDcE0RtnYwt8cVO86x9FpYZRagVkv9CzzG6J2j57rYVvwd18zmmh
r1UCLmnrIIemndxE7CQewm1RqVfm5/TxoLxD9lig2jA6j5R2Mtg+TFyUC5DxN2jMSBvevDXV7u6u
aztecxSYwHThGZ3eukXN/H9q79FTwcoRtrpdDJfC05VtBI0WDklOq8oMJdAHy2PA/o1miZwr830n
C7qK9gjVGdV+CN3nvZ61GQKEZQQHp3HyaZ8vNOwTr7miFVp8GxTLy/KOgwQNsPfI9vtcaRg21FG1
yJWCZNYnRlCaqUWNzRWgIytOFO4ngU11NGDX5CrD0XUrowZMJI/AXYORStOo/6Lx47ABSoAW3TvO
XkX0hbhc/00M5kpVhsM8/SY8/Uf9VL8Vgz8f3tKBC35L33C6BvKES9q0WbvikxUtCYtWA5a6fU2A
/LyW7Gdfx6Mlg7UlqbUp78OrDQYOfE4J6tLcl9AKmumGjULPjqwkmgWYiDo94id2PB06eYjACHj+
Ttbdp5Y0s8NeygzVdxmVLfa5C2PhXwpn2gYDYOHrHrmOLtPckyesw/bTdZLuwbxZDuJavQ+VrH8J
XsxFz4yhTSwkuw0RnlWkbEyLoyWmoh+8K1BInen0kjbEdL3hNWsvi55l+QEgBUYnYw10Y7md6Crt
9hg7OUJS/DkUlbQyR6m3nPMGTSdTJoDX5DebgRDODalfoiBOc89nIhtrq7iLH9KEpOUyaUv/Zobu
quTtD+XS2Nv3IsK69k3f38N+/b5m3rekJ9BX1LqdNEaPSsc553H2eyHzQbQ5mv4kundSCKyUWTOv
wcC8RfDWK2KvIbiky+FQEpepACqIgLySdSpuN7cqjE/I7Ro+WESxQUlojIAWAjJsj/+uVbsREACG
5zyc+hu1XRxSkaheUVoFRkcFlLd0YpVLD2gnZcTmOvI6sT8+4vPE0gFPfS7U0eg39MId0nEkAJox
H/uKET0EsXtMdtXM/JXUpmo89WOUHfRkvxrVFrSt5qcf7wTo+mIu66KcagmC0p0U06+uBcz98kKS
Ryo0BPFJ+fJxCijHCEyhlLJtL/6R7eNl0cwsTOQCx6Ju0zljQPngjLbWU+H4JVDgLTkfayaswX89
GVcfbX/sqhUzo8YDA0RFdsNRBDG4SyWTWhePG9nlTFfV1YeG/8GdbL3eECfX4YzxjwRjzreKL3J9
wViD+DH8vgfoUpvc1jE9xO72y0ccCfL97q2JYJsMaK4aHhwh/RKzTrVlDf4yJKStRzGnkoNTZvbF
FnSrVL3H+wuRuc2Yb0alhbgXCe8nOfURF+XlsY3dyugCZ2BqnMDNbJoDjCTPXcgZ/wCFQaqBJOoG
YAQ1wcHSnRNMskDWBnOeZBgRmzn0nQMNMkUjxPTo25kvmyP1wGIABeYvVFd2axNDWz+AAQcX6m4F
bQiKSVFhejygVBciyfc8Di4h8fpQ2/TT4sVgCqOLpVCon4sszUP6DjYuG9/2dJpz7yf03rw9Zwa1
ltLYqfrPuyXxmx1Jax+YLO4G/Wn8jkIR7bQXP2z87eslCFe1qaRvbr29ids7jasknpgNAqmfGvrc
8YBGtIDlRhRk3CJpgoBP21ZXdR+xLHNxXt0Pf5kergFCY5z21jufldyzmE0f+DaHKadCxVaR9jMG
WmN8tZvS1Y/UDaxbStreyS4QB5ibrv4HmUyMnSTMuHKSqyPRpcj21T0PZ7vk0wxSsMEeMFfhqV0c
pd1SfNdf3FWZaEaZ9wWIVeJgx2Tu0p20wwmxihSTDVqAS3v3XwEB8t0rfqpdyVY1ZpsscWyn1SIf
xz1FNctXeGUy3lObKFOC2mb/6jLpFd6hqvqFXlinz2Rl8zyif8Bctstt/bHGK0RW34VpRrUfM6v7
OeMek+UZqLIi4vHGkPiQYnuEHs0/B+xGiGkIBs376X+/7/0mrzMp7NWhSbnNY9UgltCOJOE6XC2K
9hCGmp9juTJ6v+i2O2SGogrBAZfWURZVoHRAE1kY1/2w1JarnvDx8zx58mzhnCh0wzS2fz5/xad6
GlJufh1gROj8OkeW0XCPWfIwqtqYUgu/m9Y2CngqudEpc4qEiaEEdRuasTT0o0vjA+7TsNDcI+R8
Ao38VAWBj4sMQOvM/NUhc/72aqa4l3q0ajFQnLMqzmszlkIuElMXHZxOMdvOV/Zry1gVR/CClVPH
BbKdr5m7PkwZFl1LaFb5DY2Lgy8NTtWRNrsHq2aRwfegtcplGrwyyalKrCf0uxhtY92juQw9+q24
//tLDIVeuAx9o+hwtHMTH4NFp1N/njtqMkAOcIk1++Zw2uHN29AN81qPlX/Q9QPSHp2k7jkL+B1j
bKFO5UDr4qg+CH1h0ySRl7cA3dGApUcNpIVuGAUSiLW0HuRTuOEV49Kz5we8mocMTU4fsW83UQMM
HGgtbQJV026E0RorS8lpfgmkEaDE89KCkGXf69T9AIKpH/siZydJrXW5wBRgIAJdNO8eN1l/mrAk
+MTmtys2slNvk+WHwQ/b1oyN/JH1SP49NN78nlO5kNdPxMws+TGF2jEgdPZKEIo5Xh+7VeiRGOmv
cyGYddv3xmex9SfGolymBDhQB+OAllzoig+utDEfAVWWbwTI0D7+1NQYqHo3BXqnRW5MXb3m4w9X
zdMz4CfyAiQ7U96s6ClgDDwbQd37VZr8DQEKilTce6EAfDv9w3xA93bYqpVdiUqqKB0kitQflxqa
7RVcozytKjvFN8qVSACU9MyYBfHUOeVlmv42DnnDjQag6jRWfxSPZmQgYbnTXlbBr3ErL5v91Ygn
EOeRmIsc6DUThb0oiIqzYURNmNoCNfXFNWwjT+ZqxTYJEQBmp+imAf+N2HG0JVNiLLFt7xczdEti
vuVN0OnuQJI7PKsb6o8+m6iUvSFDOhv8ZCUSHJcKoZYZ2B6GXlcabSzshZBkuvqGVLkxToFWbDxx
JFxz+mIC9446OUYxfZbllciz/M1uN3J1bOsUHxKwcI2oAcwqnlztjTbtI5Tx0JqEI3EHnRGmzVyc
DaGx7BhFh6UyA9hHmxhl+ck2g8970+eslUbBtoNYuECJxIX16nbpychQPteAEXZdeR7rhC70hDbo
jfsRcJP1uYYpH82vtIlFL3v7IhW5+e1eYliWSreq0PTSXRgAHj4vJ+SYO3w7lclW3eqiqk0N0rzn
xafvwZrqjKJ/PaoOfayebPp+zDZqjneyQDAERbcQ5IHrUMTnDNUxcM3C0ldcl3ItrpT1vO/S0w43
oBnh6WODS9jvYwdDAQYO9W0aKofvsdR5EZb6WTKJJLj2OSo64ZIVnnNmW/LseRr4m0q/rOAN7kp/
J/W5lrWHGXlMFToHinRaQ7N/Jpd41x0spZwu54Yq40A2ph5eGxjY/p/BK37ugSibUk5S7ffYb6qh
0THZuYne1gwEY2U/UL6LGxWV9ANp3FfpgUa8ayaZFz3KqJvQMbOG0+oxIR15V8mEQIf7ExttNCzg
MIbmhb13U2DZXraA6T5jkpxYIXGpX3iKdqoxU+5hGkDJ1MHkFd8k58vc6mlVfQHoEGgcKmZuFRMz
Gh9Yu8twh7AbOdHyq4+lrFMzVSu3FFsf1baQVh6tDb1pxyfob9NyTSMbdG2ehMC+CTaD1UUsoTIg
UKFT44IPUA3n/C+LaAuvb7g/f5O+UQ/1PkW+hg0qbh71nurlGyPHN8K8Z+ZGoi/fn4Wn0y1Khpfj
gvfDMswaLBeVx9ZTDF1tRuBjVc5o3zn1SxaKeSvGImquGrTfBgbt3j3Y4V+iYR7zJOtjuwfIucWU
DWlwwQGH1O0Eie7C7EFbbFVyHqCv7r4tZHI0EBKzqxCqRy743xhE4MjxZaJ9Wbc0aou/llnj4Dp8
fcobHhmkwBIToe50hOUzkcIeLPP/G+8Ju3HfP/xo61RfNwUwXJsqR1dKh5MqHNJIWsWWxxVxoEbA
/l2JxC9pdXEuOcW/Z50ZqrHSdfomjlVtb46HKSUciiMPBpZB3zZFUDXkrbg8EWRFvhtsEJfzvS/L
jKCsY0um8MIb8zPhTJmIs8Na+mNmJDgxAekd10PhdjcFd0+FfOhmqrY0mVm3HXjWjTT5UCoaIs/6
g2pinFa5rJmuDVkiiFzI2JkhAsRhgY/nKffXCSlREeNkLV91IFLYR4ZmsRN/xD90rgK6H0N4pPWo
in3eEkCyWkI8ZYGY/odenggsp2I59zyyQMj8MATQ83G75Q+ONEWftq5X003nIIRTAXVh736fjj2q
ap7/bIM+BMvtkORltyf5Iipt4lNBBBy82zfHhD81rjm4fARB41bv0cgSB37h4DqipVxKgtQqzxPR
sZPunADY8a8ts4J2laZVL7Vr1O2Utx7bnCmGO2+ffHhDfX7UPFsRKiOu8cUKcnZ3Dg3Y+HIjhdLA
U9aVTyYvYNflTz32h79Yz77WWfnXPLiIMHAf5vOzp3yvBAEb5DodWlkMOddfOCCx2U8SqGiUUOjd
iphlIYTnyLDRAdHkVz8A4k8XRPFcLumM+l3eMW86CdxeZuaiYgoBJhJ7SjwT4WkE2YpmKv2bEJml
OUidaZY8Z/4GLaC24ohL5wIxQwNGeSfnxtM7sIo6ZaImWg50+9Q1XL+NwVv7+m/jth67L8YxpQoy
8LcESI7yh3PLvwkbB6dVJXK1ijFoJX0iQ0D+EzYb1GIC92SeLC/tt2WefmlLjuwjU5m6GZwfNTTx
wz72/MiczuFlqMPvnsIWQPjq3vc02JO/arQx0vVdNikVINY9j30WRPMQI86iICm7Uch5/jXJ3vc7
tjr4z7lyyf2E4xs786P1BHb8si9ID2ecYEeXEsv8/rmv8V2ECVy52IwsehYuUoAGuDO913y6aICM
3V+TYkwEOq3DaSdvJi5JIE/3J8fKeboZQ/8xZ4EuLofMKkShJQI7/DLgx3HmpFYYN22Kx0oLTUKJ
W4MEm7mIPJDJnAu4UklImOZ0q0lEfOMIRZsPl8h5R2/N7cGsFo+Z4n94T8LRhoAXT5oiUt1fnaAT
O0T8lBRxm0hEIAbM2nFUslJgbfAEQLan6+34qKELqyXfuZLW9LsdEfFym8fIBZN/PwoRQcb6Ugwf
6bfKBwFbq1gzJ2BZMut1qBftaMCl7dMjgkdHWIPTj5BYkIqRJ2mYbz+2Jdg4hFWmNzCujfRI0qul
3M/FuleMMIQDK7NgZk4eBV07/AflcqVA1KaOZzwgtNEw56UGeWWl+OVk/KDa6/pP+1tvjOYiuXNu
z9SDUh9ToIpcRMK3uX6ThAgg2a0nbIzrykHSrZ1kjmMxj/lu6yPBNGyP468rYy4tkrDrDYOfLB1G
OXKWRg8OrilPvtYot5nIUoU88AvCMMtgWNZ5YkkveF3cZm3LzilVVHAJeFQNNy/yHQinBD9RB3/b
RTg4KE4c80dBOe//OwgG7WRIYO3QCEvPpVNFRsCU4SNzYYUtJlS9JcL5Yo/IYQLZKjRyWeO1QpLU
FE6SGlLxE5KPVn2c5PI1hTm43BLJCo5QQTWR/D6RAhC7yiiIjWgdGrud1fqPFRD7CvCNZh4xOsiM
I3d21h/4yVB7ngu+OP6vSVp4SaNa/QfUVX89eauq6GZ9nSaoDAg/IVsKZu/4vClqusSFqTYpNWDZ
cfH8xPiqiSfd74iDFuJQrbnJo6kR3M0GkuMVq8ukaIJzpUNj75OK67lUGzVZk4a2PK5W52DRKpde
0MEulsFMtE/jsC+aIlO89H/6JTDY7QKTpINCud41+3kZKtCq1xakEkxe0a4yDKGVs+cEw33DHmJe
gOBHwX9UX/U2feCIMBQMAj7mZkvt+WAvBuXA15LI/1mciqz4Xqg9DMn41K6ZrZwpLDPNgDJS6HNW
9Kp14R8OcaSTKBtCC0Yo6vFyA2H/MDMG/SJ5SM7X3AfMMp7qZMTX260+nkN+YBtgK+5hne+0LYd9
IbVK6HjKiSvCvYJsbwenLPdUSHxAvuvNYbDC+U380dvFaaYBy98PvpHTMGDW0MgXLuQ9eTGh7b5B
8AQaa8/WuNC/GJhgimkY92fO1nQPI65fN9QfNsL0mBDkmwp7f22XG2NXlXiNeyydHhZv9TFTffZo
wghEcGxjb/ZVaU50u6mJw0HFi2pMG30lnUuQiZ2jDRYe/YXR8gE1mtwvZIdSR14bKkM1vl9l7qky
8V8bNrZwuNEhe66Cf2izHNyi2BVp7jMS4PpAIOXInkJpk2gvoSs2Snu+d2JX5mjbv5UnWyrbPW8z
34DADaNwI/OmIDo1RKHRzlsohk9v0J59I1LgwHSXiXYbQs2XDi2DKvRY0WT8I0zHqn+wZv0yH3e0
5+WQo4FqL3LZshcSBM+A8DLuMS98tGlX35oe5C50xwu+hihBVoRzEbkvP099Sn5ONrMfqCcrxgRu
B3ClZegkknHTP+5ZronRGzptkz2EcQzxMqGRQyzFI+VklAZVWMwTa6KTvN+daqbLkyie5iOW41wG
GAQ8J8MSFvWYSGgM0FFy3DcGJ9Hx/JWqRQnh1MIpcx84waY4dslZ7dtKJegYofPGK6oV0PgugX/K
A7bsiDRRDHKthvI+s1e5OiNaaKuJygtKMf/pXUO2WcQDrjGy00CduZWjM4VLil3grX+03PdC9D7I
8oHnUE4xD3B1V34ikXE62JgJtPGPFkTJvBvdm3sIcj1b3UHhZKNIMLEyX9/4AP1vEBmla1FWWZDt
e5KPrh9EhbKjSD6s50vBAMRxggxDguWyfMLk7sbMA6QSSvFizjH5XDauIjF/D9Tpnb099gR70h4O
SqOnt416+xRwRUgRtNck65Ci4q/JmwznByEAQ8ctJIvuBBfhHuOnE94A0ZvuGajc4aWrrfx1KJfi
y6eesQFX8I1igvF9GY8Fp1220f3rPnyhT4xiqHb6HBJMTpd06BJlSNCGMYwglPPz8iHfNfK1wp5i
5T5AweYEDnYFDDr3XY7qRGp7bTJUEQcVOmiEO7UKGFjLMAuckq+G7hAI6AJ1UCiwP0kPxS628rT9
SpN6Qm6pMEE+RXf9giiX7fgwTAPmtKdWxZqEXqHdFF2zEF1LgxQoPubNkffod+l/+qg3tvWncQbm
4laSLiQb9jQcuo6tlbSSAFVPE8eiFAW2FYZetgtVr+zDtolvi+KlvfXVTyHWiJCoYAqGVQajsdXK
nFTtzvhQcY2vik9FfIYZ5LS/ViUrtjHFZkLSvj5DM3RXxkkDFCZ794EGxAHSSacwCrcHOw0lTGlD
Vz3AYluJb6KwcwW6ho/JQ6ohob4/Hj/S2VT1wz3JFTpUvlUt6oiHRN6WW7ZLCY6SuOQ1CkPnEoNz
G16tjJwr1CCbff+TzuISHpOB6w9y6OrnCB9fssR+Vo63R7yFkbLP545tgLsP0P7hCBaJ1WDX5F+R
0ht+XrPx9dOoVFCP3q9OeDKw3rFTRC+iCkkVAs3T+qGwKGA4412vtGY9qGArcZvFTJ7ha/qhRjLS
OajVkXfp0vgZm9LbPHH1JGt5rfZ7RG8UhrWLoqHylN7BNPJHeBOcAEDqALrHZYlHvuTNWWBpIAxW
XAaXh7/hjtzbR5RNq9D9Mu0SFWwgIhg9KfFlzPoIfrwqj8hbeA/ES/jR8nU8TJeQVNc3YbgN/GO+
wXOlIhflhtmUpiCaSdBrzeC7YH2NivITecd/yy7qQO4p384zjJ3BAReZ1g9Yt215qb0ysc9ZL9EP
V/ADR0hXrv4ljhpMt5Dj5j+OJPXZpJb5iqXfU4pMEJHx8LxTFTvhMLQLI+9LFckSSN7W9StCjVfj
ZTf3PdQ9cyaBVezQoDQanB6GJ2cy24ZsS7Qag6e3XggaW7yd47Jox/6mi6ZZbTSUa7ODcNAXBS5/
Qe3xMT68TC90J8ygdnYqkJNXiNWpKNhKpzD9oza2zgru+CUXeW6vGJm7kT66x3NO4l3RmrsnCJPx
jpyc4CdTB9JpYYPj/iYlQn0IXXCI37QqX5HXOGZ61CYJMVV8nkUl6LwGFXWYLFHYx+8R2SOm2Dpf
NY3PAhLkRCPHWQ7VzCLeyWcaGDtt4jWzNKkY237yuoyZPFer3aSlol4esujTei8CmflxwhafSvRZ
Y3Unb/aAqRGTsWhhL8ZvSFOQ4EwB/CaqAq4NTjLGcqgoZ7T8HOaXhxfCQcREKr1m8nDKHwSrQ6QW
cpdZ43vAoGXMcicHSCvaFR/1LZdGG8wPnV8TMcCwbxX9Nfh2pwz0FfeOju+i8ykWbhtY0Ueio+jQ
J5dPTUK1nobhwEHugz8nD88TJuaOZ3pFzw07TCya1VSCP5qMiaLWsO0764segsbibpfBXrdHEoGI
rKf/+0ZXbHxTBwetkeXzfSmcGI7ub9RLVcPmL9/6DPDDOxm3QdRw8qlCdiKBnOiV9gLmmw9UpdhA
VxZ0USWFR0i6dgfVj3VC0NukIzEoxaV4RnVXjYZNuxNyseeK8BXo4PN0O3p40d7H65/b9VXnUj+5
ZXIoOF88XhtWBjBHhRgfDPFxxkEtUr1JWGPPPOym+kwS5qBrihpny9R6stogx/gHJ+mnZwIW8txE
MJ2QoQxJRp8ih9Sj1rzmgF1G9EbVLRkbGvMh46ZhmMSxApTXVCpZOI+IHNEXc7Xxu1nvOQTi0HJn
U9fclLNBvR3VZG/YGXcoHd+MD68RFa0OwxsCMRWRZiPg/t9uPolspVpbIdt7sJB+xe+1RqgLs9yw
b0kY6TYnEgT1qsAQtOgfA47luIeQ9zhORdsOlXbnjEGfIGna6idGc6mxYiadHeJDYpdhHSB2/5YY
W8dADq0okycQEeOvkTBfUAsbAKFXU0BPOM1vavBgH6SnpVYBYQSTVWuF3Th8TgPqi4VUYBrg6leu
bTPvFcXyArBnyrmEvccn85NNXZtQ/TQm/AyinxcBMLmkaECbOnpmRM2gQ8FVKEIkSvur2WE9BCHX
5yr1ibn4SYWR4xUf2FTCF0N0gBc4yItf/7aCHHXwfvTmmIncO6mrmcNeRvqgfuAt6xMwti9xa3wz
mz3rzygtXyTbHxduUKA5gfoItlPrSUATZKHl9zrmaRhoZ3QpVwxXr/Ol2vemPcFBifD6eSLfk4fH
YMPBwEJdyIVi6MNLI6h2auaZ41nXL2RC4P+UeOJs/isERfDzUWZWpZXo7MEbWs9de0mPeA2Pl7/F
6q6WxgayiMjobNrK7aQKeaxs8Zeub59/N/fwZEOEZ/m/bFbxvooZpqPsO3POZZYBIBBmp35mZlBf
6LQofANiygkfJ3e3khZq9eB9U71fOqSgYY+wbKvUy5N/PccukdyjpBuCzjjgc1tgj0XtegHYxFtg
Jwt55Z3/yCN7tYoJHVLXj5iL3RI6dv+NKpBf1SY/5d4BYgSw1nqjGvHSwzrunXCi0T8idiaJFS1/
T612sql5bf1gdRvvRqmO5FRGAKVUEUsklvg/bXTbtwhrZzWNcrfTNkTvHku4BCVkWOXb81Z6Kmvn
eihX73UqKhPjTkL1K8mus7IhqUvy7FmM050tGlr2Gj/wE6Cd2o6r6GJeCjZ7Q9FI5yFHfuIA+DcK
v6O9C3QmX7eDI6n56QnfI7/nUi/74RNlPMdAo55/JVo9awyYlIydsP+LPUZFUfKgLf6ar1w4XFHH
nDIXq0onfLywrFb2fV/2IF+s0zAu0UGmkr5GBzDjy8QfeQTLdhGkWf6VvSlp2gYKiWufPAglqWzS
xFCBcHRiVA9pZ0U8hA95oNo1ELkyAjGmWNO2GcO3CSbwgNxns+DFqtGsMy6pWAB5DFfCsk8Tq87A
AoQmaJ3eXZIpCwVVGtjTUhKkTOpR0FiqSfwQCb2R67n7YVPSNF6i6MHTyLu63s8hBEQd6rasmplq
0q31LhkOzGM4EEFocW7j+0YMpSJeJxEKip5NSAH011t8hvteoYbvygP248KGNCaOgK1mVD8FBfMf
YpCHDvule+UgAxYI4w+gEMx0HgsLbjLdJry0sJYEdiDpb7Ad6GOHZVXyGAgaJDfnVnxosagZmrst
Iu5JwGGaFOHcnaESfgNv0Ekbauolsfy9fYJUQjGIC1f/EeQPx1nh0PY8aTdY6lnemCprx1gWBhmo
0RPqt+AwatvPcrfXEs41hz36lgY+b1lIP5Csk+W4fIx71S20hcMcgA5HWyB2GAPXXMEbfoa07rJq
7yWbqtYFpApjCBb+l9gL+90HGKFD3qIYMyV30PxB6q1CQqBwipT55swq/yEf1Y/hbBKd/h/T6hDz
z1pX4qhRJCBarhy+kWQwCI8dY8wlWxjtOdLbxcPMU6se8fh0saq/OaVKYXh0o/vggA1/ptldcEtn
YhDpliG7b6TPDyt0ypDzYpHdA4fDWIvpotMJaIrkbZl1Atb5vwFRLG4Hr9/j4fLIc7J75P0xxSRx
aZOHbkJl6LdOf8WADeCpXfn8Q9APg4aOdmcSknWzg5skXVXmrOzvLoy+MYplHDbC4pfFIReEcW6l
cHwh0BgQEx7jpPaE5r3TAVoGu4r/bqsZs83JuCKGQmdkQe8IX2ISbE4WThi/9vI2G2cYXa9/JM71
XHUc/B9fIc58h3AGdAAxriwWdK5jEXtN2ZvADdaiZSIsSDN8Y6Jgpiea2vEgc60E6fgkUkvXGXsL
m5CWtqzJs9rHDhOvHQOZI+2rfVaEAYN6TZRGzy4TdfEZPWYpuG9v61GgsahTu1us9jEuuNstTYLX
wPgl2Ma5o6SrZUPY65ptMIfuKXqIkiCUhKzBhZ2+EUqxkTbQBEQfqZpNZpbl9MhNFkkXSCHWWR4s
u006aXBdDNq5+Ph0MFoeYYBhWj6emqbRDOkDHhLoRQFoqeY+aCpeqlgFSb9h/9dNe9QhyNAxqzh7
ynZU9hlwHVg8/FA+D+suXeiZ7OR0y+PPEBjUjZL5lXmErFnlGB/MRo5Td3QKv0XFm9rnARS0iDjl
UB7WFLOal8TGyPWGJcovLIMD7ypTpcWiUb8fENMray2jN3Qx2Hs71XkuF/UfMIBT44mD06QgOeyj
MmSQpBKb419VWO7tTxV2LKw3ywYO/tjuHGeCgCVOOaiH9Ewq226BtV6DwMDIja/XCtcQ6ctg0nce
BgnNJamGkM7lJ5IcNGv63u978EcAIW1bmQ/trvtCMLZeJ/C05RqmRQ+jwO4PNRCgi8Icl+ViaeTj
/fPnaWvytpSxSnHpQ4nUbpHP0tK1prYn8k8jKBRXmutAs92qlSyL1/qA6t5Y+18flWXGnJ+0g+3L
jiTK1bserUoHMlGzqTNa+rd4j+JgzSjOyJQ1S1oikDUPEwWrJSUgE7QS/0+tUTVQ5zqNVP4BUfKR
m4KIYXMN/iaFbVu1+bEmrRFRt616W1wcCAnfrvbST1edy2FLYra8r95My5mTf8ku0pE0rpipIYzZ
rH5sEBWa/yVgiNov4pCVHvgK9E5mLYZnlntm62se3KyqemlZV1CCJoPAL7+WOGfFOjMAuZT1vVCA
ljE+oogsAGvBZFNeso8MDj9KL0y/rNdu+yMMgS5/8t4cwrzZW8cBogqXkMhS8P0stWR19Vi9WFBs
7LyYHX4O6cqugD5dSRt6qiDd51AsDsM5fPSse0G4kexcBiF7bPZaioh9IAE08QICqLEwEWv66Cp0
tHjoHaMpUdIIdEhXPDppVVxvOC+3MOa4nM89worm/n61jvvHq6+Kcm49ZKbcrIL4LhImalg7c3FA
8UgY8oKbWNP/FsY7Gv7OAEiaXaK+6fapoALPeQgk/2LBHbQVJPopTKInt5ugdd6DaCRuskM43O0C
uAG7lpRE1PzcLfV0OwUvGZD4B7aLEx3B1JRXCbmcTLH6igyp3K55Xrc83ROjG6YzVLatAezbqRzU
E8fshWNsiekbPyo6QKwJ7sLVTsQRiq7Up6+j7fOzYsp/8hSJ8K1qp8uuTIfP7ehZM9Sbbgcv0RpB
YBu1oHxqC+kxOE1s1L5pwQMDxThAw1Gi+cAAEccTbQ/81vvT1zU+zYXWQxFJXfks4X9s/UvqBYvh
PXiV83jm+zckV3MKPGtkYvyKaqB1Ryt+fPO4yqrtCKSb9SUbLwpoE2YGynld3stmd80N/RRpsWRh
l6d2A6l3ZIZdAo0Kgfl1DW1oOBJ8zxBS8ra3uJAIjExMq6TuGdRvRCAWJFQL3hYH9RrULlwM2T9K
E/XJnO8H2Pr1k5L5KCg5luUZYN/XKOAitLNC74TRRKuOXvcVvWoPfDsdBUewMQXavyeRNcxEH0EV
CToHxewfutAlTtQ87aEZUpQ6vK94goGr+JtJG5ftG0y4dfQPKfC8dw5Q20XYxWRrPO99u5gg6Nbr
eQZMLmvv55bC+Xu9MW4UhPPS/ciohbtFteSKtBY8LMlqqzDGQ7U5bKOeJHvDtnkrWmDkYt92uU2v
QLRb3e0nvaA9hdqwcCN8VQy2giKpgQGlAbzS3eiltr0Jerlk6DRBRd629XHePGU3OYzoXOg6B/el
KFAR7bX2vdwuEOqm8UewyDYdFbptddWyUT6+/e+uu7GKspsiyaKYL1JAoF9nkYsIHa5lyHkRLDel
5jXmNknIb06adF/nIfiYe5IWubVlCRAEwQaitiPsEWZeu6Xi2HEPhRJfGetLoWtPs/kpeR+YSfqV
pPMJsRo0qSLAlEREVEstqyU0uxq9+Mx42hbM505moKQK52BScmLmOCw81kBOKV5fqVLR1HUuJ+0S
VL0xiP46RqD053SUuQS934jZMwXApNR8vuDJMtss76Arghd5dZghjtyviMazCWeFkqpyk5fGLOJJ
K1nBv5l3NAL33XV9DUohrvj447ZtMSxe2v0XiVajGT7gNOw8KVChtjkI5tQrFy3jYvKuCLexid9e
bBpw/tG71PpgYHQlik6Lv97Joa6J0uTJQdM/FV7b4fffS4hfcAv/0nGO7neneCwj8z9zdZ/rWsR1
x/vDkrf8neSmExjV395VimqBZD9FnntugBS2QQBK2SI5LDQ74URgBSWOJ251uiNoVvc8lxjwG94n
EKpQKU/2aKbPUl0gr90VOvhZYDG9x+iRtGa4fZziwVAK8RQ/h1esN1U7do9wVr6m99oqFOGjPDZj
qMy6y4Y1qE+hBV0hCVIjUD+0grYhmjRxX1/1tT7mNQ9SEASJOIFYc58mk4ckaZtvCmobxRKhQI6c
onCyDn7o6A+PxL8Bmkx92m/ztkWI23JWpOaahi4wI29KAqo1nGIfROKHpSd9VpDMiZ7z1raIAbXN
sidzjBI+Ozl40LruaROzHTujCulJTf0jzhG1nM6Mlyb0B9rO94GHB8PokuNs0rKnYJ0Nck0nJ38w
7s88bprN/driCfm4x8EHnjnDLAFSsQuL9TckIwxhgj/TkQ+cj+mhGfOuvLLorxq1S+D44mXgMmaT
DxPtEI4+7LnT7lBBZ40axmI6XsNgc9yunC+JX83agSIDdC3hNN0DktmlbtJXA6SpB/MQgEZcspcC
4rCtJb+4pBnqB9C5G7pllcAs9edYFFEQFofIV/eEUYBV5FL8kUoEtJCSDBa1SWMJLU6i3+9FtOlQ
/kpCuMJGPTOFRWEupjPg26Yzt1qX9i+khETQOPXjhAiTvKQpunxuS3w/H5l1ciOztXMePn/fvnMS
2/jPbRWoj5Zmts0MK2f0kcZeo0bnK00lOMWsOsjdC9xMr1jMEmtWT9v23wGmW2UQyb5MZiVyQSD3
h0wORgmZzAs/ORo4dat6vGr4i8qHBkuxGbPWBfBpKExNye18idkLYJbfKkjaF9sFLtPzjYF6on24
iWZ4qsNDl+HOvfqhIT4faRRVNLCAYNHxyf2b9EOlieQfA+XsY9hX7gjEcnOcecR63K4ukVl6ECe7
WamvwmQMifAdlD61IQtSzkUx9j7TIDIg+v1/u5hXW47AMIeOxtX7YHp9STI8eJ8zxcTA8N6hSCoJ
VN52bAU5vhXOT5XS4eqoRmJ/lmJErYVWe+SsyEnJGseW7cVSzTOKC2rwk8xtEjeMH4GQDtaRq7yD
DQuaFp112tCNPwkLi4gQw6O0Yei/t7Lv9LTuT7vjn3uwcnnj5QISHQsorapZquHDMOVR3zBNiMKg
bF9qM6zOIi+F+FHinTXNKl7fGSBqhjYiytOSPU94MVyyWKM/1ypaZF0BJI3gM5SBiTwktw3oPwA/
RoU5ng+b9g23nmkn+5WcIk98aj4YQAWclDb94w6kb8ZYfwSd/S8gRq66CiV+XmlwYpbHBT2/FoJx
H0n0uJ5xwxnI/rR9pAX8RK17R2tDG65R898ohkKkgkRBRacTvYIyzlIB5wSb0UNG5b+PZKIVt68R
LINduP3b4tK0uf4uYWYfrrDK2NYciFCslZLYyU6X+biookgEb0Qym8JKEBC76QHRhwdb7zB5LD/C
5sO4k8n/SE33t92T8zYBIs9ZwAp3DXt+YBcgmBo+tED85BEn8+7eJ4E9sU0qnGBeaqMIyH4fVTcY
dDp96odTqfi4+Zt4AyZMDlOcGTKjDxzNO+PiOL2SiaW3nKqcwnfpbIv8ZyMWJm9JB5wXZLXica6Y
Ml5VI+B6BVFiOsFAejYo+F1Wir1snWabQ/fE6ABz3r1y+LPpWJu8X6y8ZVUsegzC/MgKb9MhQwAT
Q9PBC7iHyix12tIuIsoRYQXZh5cwvOJpfLSFgCF4Q2h97m9PYLpphkKOhogM/tRrXXtc/FQgR8Qn
QqoIKCeDyuM6SrApRjX1XsE0OK82g4w7AHEhz4TEctkQak37FxGNxlsdZF7NL326FnIzNdeJX3pe
7xJepfvuwkYwiiuDLTOzdu2J7Npi/afnRFHml1YrhP3ZSruG3Rc2RJFKq9wkR/5SYVR+v8kc9WCc
P1+NvVxbdTiprVyESm/6ioWJTrw1oHSunOK9Wc5F4XEslGD3PJV32xPNmyQhkMyXqLOPYoJkQVXN
uBdz4v6oOsECQGoZ5CizEc5mCwe2+qN9PQJafIAMb4/1PKPE4unjerXKLjvspLm5zY8XGYpnIDYZ
nPh7MVnz11sRYAzS1pPmo6cJVGIkm9dv8nhoSjS4mP9xMmcyIzC8H3aITZSw+1812aJa3vZgayN+
ADHnTSVqJL/tcoB/5N6DVcleWcQ/AYhMqe+S5sUf1RdwEtFTDBE99jOywRF2tAsAtDzlGGq8wryK
ShA3sGPmcMrXdCZJbiSiLLCx7+Ud19HfMZFMdyF8c690yBPzkky5ZqexEGwJ1ml97EYSC2ZStqbW
wgTq+kW3Thff3dWpzK4SCr57jBmV3d4kgo1mlP3ExJqrEaGp+zoyEOQqO01E+/IcT4dAFzOy5OG4
fdbVteANi01rrE25s8gSywN9qi+0ExyCaWEO0VP89lIkRFFJF1FOhm2NlwQBzhiJkVPQtJPUVihX
SVU+5Ds0Kfkxh/SUmSLRmpHNXcUubbVvloG/WC8FMLurBebD5w8hx51bD1jS0UFPOme173QQfs5O
5Z5a/eN8cnEWCFXG/YZMZs3UfVdd0w6fCLK9Qhpk0q4Aqu7pOCQm76bpP5xUcQH2yo26Shq8/vaJ
lEVn7OgfB8W/rKlOcrCSv791/ipVn+6iVJCclt2bhIJUXElqyLJveaUzCyFX9rmNZtly1gpdmKq8
u2f/qXEKjlIXXEgc53QoU08J02Iilj2VrDtQsGxPbDnqtSiKOzedjwHqJ2rKRO/si5TK+8xIXzWW
K1QUQiOXmSFONXsxRe4POwUrTGOZmMY+kRESVVhYYOwqJyLOlsoldoc6xlelN3o+zUCePw7FV437
9ZA6KMjsCwRYDrVoqL/1ukEs+wToMPw05rjaz5/G7V+K9obd5dROOXYxQIyiDDcQL3WM0MMArYQa
1jhD/XHi9nj1q4nWHKJQuQ8VpBU8A1sFdGaQN3i0aJsJkUcX1V/W56v4aAMxoZjHFPf6Zd/INZHM
L78q/OhDdzzx01G0miIUqH/BayH6dzJsDTabIUh8PbieCMSzKgvc+Z6aWOHWNg8NKs8GMFuIQGBf
P1/weHm3Jn8rEJMh3SHEZsP0zv7JnvQbgIt/w2mAqdZALmuMjaqdrfhagXZ2EIFTCiB8ZSFpkrCc
b17I4ytXOLbzi5Uc9j0q79GdLbn49btaADlZfsssEZu4f/Yf+odID/5n2/NCXQfPjfirWQSFN3E+
KiilbATAYdfxbkhV61ov2DIY4dybl+8QX6kEqMkzESU6cC7uS3JeLJW47WFX15iQNT00YYP+LRaF
vd/5JJHdkyDF8gd9WMIRMrLhO/kWWM6iwCaTi76JfaoidmSaQpCPXLixzan1CZPB8/k/ZG+jo7mq
ed1i6glr7Ja81OEBJBzxuAEmQ7YpdIvYT2MOityZlKADOCxXUbbWu6vioR6W+HWNbQinpdRllC0p
fHURlQdj/nN4kBBOZVGRr9VdqaIJWV6IN8wuT5+hmH0NQmAWRN+eqDLd39+94ssfaiNZ6Ka/YbeN
HkdcULRlxOb4wv+rndVTQBYHoX4C1YTIGKvVd+Ag6et2n3c0q5+W+3cu3oI0VACptrvKrIGcLeQL
HlEtjoIQf+eCFhKVaqhoJHGfzMMczbLNkmaNwn/8Sufnv5VED6HtujfUSPbOwMxrqDcVPjxACSSK
wZp0qaI4cxtYADTSHGNyppewXMoAkbKed6owTm91YXCxRSL6OkFML8T2Z1fJMT9Eaaxg0VLldsCF
TA/HrOW7kexPXenopmLbm7RTDHNjcE13pzGPu8cKXWUZ2lJ2fMZ2DVq7zmqe+R4jUE3f3DoZH4nU
iQtJHe4PLlxluHByQWwpuSLiHg6jUiI8fl8XMrtxSmW77WyqL5oD2VeOXJuu1NkSSU23jSSz8wD6
A4FYgTpKWSsNmDTxw+vwXGQyboizHGTcDTb7ST5InS05TJyaVZ6fiLf5jfFDwmk5vDVBIo9jU0oN
6AXSxLhNC4wOetVSJEFBLSbxtsN8c16SM6T/euu1gR7oIjWhzUV0Sr6fAfx1M7/U6ZdC7sC0El5n
mapc6XplYuJqCgb3rty9jdZ/eER0bXTesLnm2ajOTJebPzXnycqICe4I4MojO3wG8jUD9d4bNHWU
ZMFis5STKK7V6IfK7VTWKi5gFztUm+4mdxhvx8JkFVNE8rejGM6OAzhvyCgB1xKK89HWug2F1O9k
HtybCEk2QnqkKOujNokPcJNdq0gpnymXOFsxRxHbvqjS6Mf9ejr1zGZqZ3IQbQq1W3/9kgl8gGCy
zfIBrYIx/DwWKqajbwgfOLLi8mTtirgzqjHhTJIMbdGmO8KvjkqzRWycgng9VQDh7N9I1UZ+OuUP
vN+z0PBaQ0gibm6L3kmHfRo9PgfQVk6SW78KgnCIuH1XJAWITOPm13XljVEKYqYrNI4NIPIaokXs
+fS0Z66TIFjXyHHmP2yBup3HDSssSNTLCB2Q/vEwNb0ImGCNRM0++Fli2RvdOcaaVo2IFkUxzU6s
iXmMyAzPpzNB/38NMTUzPVXDVDoSIx+7GuuuwBx6JMhxj8ypmIqU5QXFIQB5CLOoj4YtnKQZvptA
UtOfJcPcY9v+NKjVmS2IUM0NJab/0L9QuUyaTXhz9GMSH3NqCyxM5REW8zLhOmJF7Nerv0bYjVX0
RqZ77zTnovEXc+s+izwioCmwy5R+3KpboogKAy89bi0boZTmb7Ygmjb7/9ztP+9CKG9BDDP4FK0X
1nt9zoBnUdW1jUHvyoucMvAz0hBm7AVX9q/H8lf/yzOkG0x2GFpfjFiyiVNNg/3YpUsmDp8E9ssm
2kufGQCi+8ihgyRt9By44sbpFEBhiOOQkbbVbHEGe+Ub+dmoTfRqfUxeUX8b6pBuCxEXAWyFFNSk
k1cEadHNhU5hSUh4vZ+QbQIKZRUmIpno9soDvUchDvSCP5wa0DC0pPXCuvRd2hutAURxDpjWGzRq
7zRavNjmIUOyBLKevU0AsiUk2oum/KDURIzWi4NyZlHBUSri6N+m0AgS4UHhNjtl+Ao9S1SzfGZS
80VRerXx8zaLCt8gUno/D+m70j9h3oKpa4Yezweee9rtfPbKD1ExuC/N420viSJSE91vpxs73EIv
8iYW14brgPJWVMtEWS+D8IZInFtnTOWeyPm9bhO3O1LKSFPaIw1qqgYsaZ0girKIETE4w3ia/THa
EFUgDDOUzsfPC8o6hdkc+g61TSJzrPj2ZeMqA/itEfShb4UyvreSyEVM3VichyuNcWvf/YIFnk/m
VBuFvSLe5+ydel5Ix4MeeiMEfrC3/h2By5D11krYuIi+Pm4XAemwpMMvrLsD4+W40hnoPh2GHEJw
gdfwEnJCZcsuTKPatwesrx+8uG/N2lWjo9zmBsJPVb3cIlma/xyZuFw0fgmQqmDqyxETwp40bzVa
KpaDnqubOQDa5FrRctWC2XFBJqR/bsf+mX++tXSkEeeTnrUFaSITdFyuN0feT57aVErro+R3h/1B
KGi8HPL1F9zLq7Qe1qI1cMYl/isZhbVBC6un78w0+1bN/SmksBtYX3AaR4Q8mQpYexRsiq7fy9eH
uCOGsgb1UjZXpTFSI2PdHFUFCgsXXZa1Fp/IqsIG8WrmZPJsdjLVg7G1YCDuTisXEIQU8hW97Vp5
opfbexrA0tcMwXU7n3cHsptbxuuzXTml5wZ0Bv3BZBEbM7bdomq5ojR5Uv3JQESqmwBs4K5sgt1F
MQzcU/1SbJsoh6h7OVo1kTJxyGVTc0yDxL4xlLhEBxCwWobBpXn9QF3x39H9+6BLLu+zfZ4s41a8
7RCRNZqNSi9InP0JGNGZ51F3xjw6KwC+25CVUtRkcRW2XiMXrzhzQt4F6nM4WMBL2AYH0mnxxhFd
QgVbC7AvUcwqOW84FtD8nUbdra0Ku1l96fD3CiLgUBsB0G4/YFjFWZloVEs64DdxW4LQZBtWPKiq
zCzTlG/XoYsfGd0VKUozQg9JCQejflbZostZlYWQaBmZV1/q1OjYMl68GBQuWXdKf5zTFbeHvzaS
m5wj8uRD2VYlRLp6fw4uFSmX4ZZYMy1ufk61sXQRu7x9lg+7uGFZ8EgtI8QMIlCuRhxL7V/HsiVh
GcQX4YfbU28UOGJxcoAoPrTiQrac26UMoeGnpxv/czo9tW3PGw3q53yAp8rMB9I87EfSY3eMvbw5
HX75Q8AB59ys6l3rmCXI35KrED/dvQHFhX5GjTkTx7XnzZUb0k3LH0N9u2+nCIjBWCpV2ckIsgI3
HuVJ+IhtHtXFSwXacsova/gQXedAwEMT1mj0HAFcjViCA9touaJWIb7/7KQjtqS+G6czT3up4Hfw
EfiToKEeYTVf4Nd9JApuIeaubL5Ur4FyRwJQBE0idHR90wUQTMh8IeUBM7itAO8qEIJArUxbW41f
WRRaBba9svtZsYtejHSBJjuI82HzfqBjn17GK3ngXQ4eDydENcaxOEpdutE20MNLXVfJt6mO/GoF
qdLj6hUdCK9Qpuk/bQu2xOfj6HWMUF88lmGzBZrDVZT4L1UJlqfAFwJO3jthbD6zbRLU4J3VRU6n
v9UtyHJ7ADNRl88P97KogyxjIJ3XxhhfRTzSqEYUCifeafb5L7kkj+DDdL1jC197D3pl6VPGzkmT
7/PGUjLIWf4kqg2Pk/542xv+TWySCG1/knz6zvzfrpg0HS3OKLn54C4AS/nlaZGFOhZZ9jEeMprx
XuVHofmLNBJzMM3oSNcUYzRCSd6Vnnuszn4UH301NLtd3SON1hyO5DgIhS54t9hvjG9zugItJVKK
qW+7XWEDXdZxFYPlyGhUOnXDk8Y1ZMFpEk8LRY71th4NCWo/INxm36XtzE1QR+YGrsEJY2e14LRH
9AQJjxX6zpKDyp61LuODSLzNIOE5R4Ob9XedmaPbCgpFB/dLwbnBZbLQi5lz/e1in/c7QcVf8eQf
4PHnc7yFCL9QbE2CJAZ7otZvpV3WtpJm6kRkn8502Gjki5yIBF21iUywg7uB4FgwwH1bZMynoW2C
xXuo+ZXPRZboRcRUMwckDn0scEQ3YUOqjOSBYefgdSk5MJsmoQ466dq0QBpyd/yMql7H7iS+bY3w
hQVx/dqcQmtkIzsINyZuYnTptU5WgzDgwfBkT4dfuL/akY71SkclEmU7YMRZJG9qtWPwmb9+Xz5P
BTwMVYmfq+uCjAQc9q7+LFe2g9hqcX/DKmZsLoAqW1La+YHLpPdIfDo5zzwfHgcxmrFNR6zufKCW
REH5ua8H056K6vUXSy/aEtZh30IFUgh+S6zabNXpv04krvu7L7f9eqkzV4lTE+DlWsnBLHIY8PeM
rK4hTsJnhe6J8PYBYeP/BkX1RIL7HYUnnZuO73H8tk4iJ7jq57XmbfrZHCdFrONYTK8w+Vo3wK4d
2cdAcPhxD2vXJNZ0ZSFgNqQz+D0dvJ0Owv/XwvpSKczr+Z9kNQO29GxqWkRTc5WfwIxTR5fzSsd7
VCUT6svadoRr2JV4fE/CEKicly8/VauPsKTTN7FCTwe9f66B/uAHTRS5cOHmXQlYqmdZKYyArueG
reHXe+zUSgAo9b5juWOuGUbUDzVD9f6RfVl0/rm74R+ggi2+6UlPJPeal+RQS+iwk/nhSm76h+M6
HOErh/cy0HZCe2dhDmeEh5Bs6AMkJG8PDAMdfug2lEzEgqhbtexbT7IlwJixYwwCRHHOVFRT26v3
I82GiUgxdvFQz34RzXCLoH64EPyzHA7X6FI0JbG4lXH0Ens2pxQPnzmNnkDaJ5/Mp9oP54giLs1V
b1Pgxm4JywdFG1MyeEwmFpppM5Olkv2jrr7mHTtDgBS30b7XNPVtCuoD2gTJD/QrE1wum/Pi4PC5
HJJ7CFwwELRrFILiaHE8587qI4S0Iqb5rrhyb7KUtY2QcFn1+/RsV7998Dm57Re7JSKCX6IeLEBF
uZkaxL16N2H5S91Z32xAOJJE8GWN5kR2Y19z6MhPJKuwB05ielt4FfgP3kFBvXQZ4PMx6xGHocXa
xyuJ7yrqmMOTw+HEP6NI01ygerGP8zx4n9x1GxFFENqTenU+gYULUt6iE8ZAJ0vNY0cJTmhNBeSc
HfbTqsjDJn3MU8qK7pydtULyEI5sWZAlwMhIGn7wX15I/UQ0nIV6hhsyXWMBujdy4l05OGixLLja
QJMsrqf0OfU33PhRkgBuzH/sHZd2r4GTRFWKw1/q7jJn+xbE/LaJIER+BSirSyOy1y8Jh5TZLlXH
cunJkEyWHUrDu8IDiSm7eOBfqGI+MBOfAE9h87qljp6IpR4RmPhd7BcNRUjxr1tbreruS6+q/oq5
JXcSI+xdO/h1W6JqyDPmm9FoWSoD0V4u39+0b6shAEUcGEUiOzC40Z4nqJZNZMTOoV0UNWojIuin
yGaiiuVUGTelIs5rxAwkW9FIDA7WoIeK0OsnW+U/ef0nxgzDMsgyYY3ZjY3DehOQf0lfX0q83mT9
DHHbaedN3aHl1swLfwlzX4qMnkk5NsgA0bCv9iLW6FJl/RpSRDLbOx9NFzV0ZsbhEAUXqpq2WXrG
bqXAMWJmobRsNTmH6mueiGtgitK/i0delpJl6qL6CpYArh6B+YEMmJF+9zVNmbuAZY5ZRkdUrbEG
zZ0DvtcBxh+iLtEZY8NelRv/nBZKwLOiKVe84O+NYi+6y3aPNBTR3be0gHJzrKqyTO5D0EBrUx18
BhViEVphjHdHFpEZjOB8qsXbbX0VvMoqpNlsGG1fWJ52k7kihKC0b5C+8rFMMu5JBNhPR56i03Jh
udLVa7oN3P/PaNgjFu9fqg3vqwXsEfQznuVis9Zfcuyl8i+WuNcuIWqSr2ust452enFJAI4a//Qm
DQ9gK3Iugd7LrMjBz2QoBNq6BmK+0Mvga+7ROBZqFFgmDehQpS3mWV0L+CB8l8DDJixo8I2Mv0+D
EongDN36PeNguKUkcBG6rtA4pSKxNUWaysNAF6ArDODuVXvEndOEAneqe48aCl9Fr1gmG+3yB9RS
gtGcJzwQWrOkzkq2GVBiopfcYWoZ7OGPxsY9rq/ulQieHGg4F6rzw8XmelFkeOC+LRoiuBUOfCty
K6tNMFrkznES2o4GeaArpVkKBF0CGL/6/0DV9i3a5QDzC3AcWcTBlwIfGkDz1wTBUQTxljWnbM48
5s5VowNSCb5moL1ZCJqt65z719xYhVjs1JuoiSBKD3NKHUEPbE8HPh9HMRt4I2ipPD1t+fZvBVp5
3EAzZR1diBnGP9tGR4AsDU01YbYtEjL2jwqRgYWffcTOx34ypcI1BphXkOu/wdEbKAh0a05GSoUc
RnDZ3C+RakIWoOUSYey/yMvSr/rI85dWUL79DBByc5Bf+IZuVifLnW1npbmM+/vAomM7c594buhz
7JYsg+DVhTTzeHH4uQYyNTmszhvOjwYLjXNuAP064Xmf0MgKUf/I8MnsbqZ1Z3KzEEt43hAWhDTu
r477baHQm/yNLmCz5eNz00UqdNHEEjscOsOUEdMptf4IJrzPrSrujmk1XZgRnS660RxRHp9RnNlU
BdhvRNSPSy9+/vSifl6nJ92tWoZD09ZQHSMgI3gavvk3vTfCalIunQ7WouR1H1pCDAVZnatP5pJ6
C7w4jAZYWTqOqB474zZFW4NSmZvyul9jP3q39XM1JE3UpD/wIZXkMMQ1NSet65UPZllZ9N9yl5dU
3jKgtPbWLGynpeCuwzC4OIpB92LUhteo3oA4OxzT6wSY0psaCdRWKt/flaPorFZx8VRDEahHbWXt
Pvk6mx2T+4PvS775Ag8AZ6a/WA7qqY/R0NRQh/h2JBef424lhxV/kqpOB2wL0YbLviU4FDUUbS04
lJGqD3ikALSbX+8v041qNDM8U/Wt/zGOULKxWj7hXVQFZqNmk0WAkeR27j27ejj/xg8YlXT4dA7M
vkOHLMMpo9CzyBi5anlNQ92OoE9G4qHplO8lSGbUHdQSVYPVFzMmQ+DoiOBKh1Ow7/3cYwHQbwLM
/DpALC2cR/o8uymr7x5EKtHDo0qHqgnAeJq+EcqVPObiwqynMJo3/XrcC0HlEbOcb4AY47ykkpl/
qAX03SouYgdWcCzE51ehYow2PF5beV8WAkFgkLJkqQ0yeDXJMWL7a2AshPVKxY2/Vjhu3LFEDosH
CfQtQODgepBuwbXjxPjqk5EHuGD8skSh1dAQerGw/RBiXaRwvoxz9LTzyv9/gHY7FqjXRAeP2sod
izybnYyIAExlESjMY9IfOHnHjVVDsXeO50Eivle1WxFBp1FuKXYRXuA6nqVJcAcgbCpELrl+w1BD
ASuwRYcbDsOg05LClAOeDGBthcINtueH5U96cY74VNl3uBJj6h9YbDvd4cF0IsXsEU5+SXz0yCUN
2ydYQLjJoOQtvGjdA51UUI+d4TVyDbshz8ySS1O7ZyMAQzjAaCOu/57YEz7BWNUFz6fLiSJIuquv
zHaOrHuj0IlxAF9zX+IopeJZKnZubVBO2anK46fvGcnHM2MwrtkBHdq8Ut9y7ooTD8eTShkdiwUS
4W1pEyu0BOzwaSj+thUiQT9194FrDsgsnPDUN4W0XF2l7sNygp3K7/VgwItJM/FT/n3kZ4nRBCbE
oENy1JEcx/LQ2VwXfmYzPkW5ic1cvWW+17yhs2b1xYWsnDocZcgB0dbJlem3wqrQMU/hozGFo2HO
dGV8KyQNmVngAq+bhP+jSCNS6KP4PI+NDS5oAm116QJ9VoCintZwEi/DirTnK9MeV0OnEVy9zilk
FU++2zIwlLxZKGVmeJ1xquOzebG/3oUY+NO9zvWzYEojakDX55M/yMh4KfpjJ167s75Xw04CycQc
f3VsA5uNmfbKE+B3szqb9K3QPNe1a9V/sB7+giEJFb6q/40a4mGMvfY1XI7HLD8fMELvL5V5M33f
hjTk+/4Kb25/7N8U4Sv7hGZmFR4RJd7B+lAiDW7UBPMa8DV7tleI+J37dVnT79vGB6XJkB+nCLR4
B4nkzLUqIKe2upzQ23AjULD/qS5ycDwa/qifHWJzsJTbzHFZbR49TeMJ0zWmNxBy9puVlzLhAKi+
Ypal8c0aWhgdF9AmwMSJqlRcc5h7IUCQvp7nQOiaolt4Ad6ZLXhE9zLeyk7JV6Qz9Q2TxUTEATnH
bg7mAbxhRkK6TIo4Tx4gU/BGLwERFQU8DRTp2gxcynYQhkjXbPfdYggUQ2V24HVFWNvRA74BaDSH
CEAg5XhsQvr0GVBcbDIVxXm0+/PZjHE5l40EjHe5ixqHHk86kBieZj8s1NIb0WISDV1wChfN5QeF
o33eGDcqGoBRnbBU0NGoircHAFKuEucwg9ILPic9i8Q0pHYoJ7m91VU6vZYxB8DoImJtoEYfcKBs
NJAPEPOiBEzXgPsHx7i1OsJMGikFdC6afSkW6Crtpv8ozXtOCpx4FLSNy1w1AxmPOeaE1tJbJRIQ
mvA/QId2QKLPCxMJKtJxwAmTzzTtay8NWKDzaj6vX5s/oplVIQ5nP5LCsZuOYirHMm+dBwBBJWjl
o6nvazW8INDdOpn0JkcqH3Yy5dAencIXQ3m58oJN/8rTOUWZdhKpZSKgA79kpNGjz8j+MKfem3xA
eXOnF369pNU19owdya+R6eSJp07L3uYuC9TZAVHpHT4WwgtY2S38u68Nf5OFhph4Qqc+nIG6GPxr
Mz8nnV1Jf98v95E1Xs7OUybysG8MBpFfNjsC+1jnIGhEY7b+/8rqwIwlPGKmLPagGeo6Iwj3/4Ct
5xRlWWevaezaZjS6oTCJvrJNjtsWh+E235NX98Kh6aZjx3V8zjU4BWj9jj8AVRV5VG8Lldgiyort
tyTNTevx83Nom72gV5ngZ0xbTdOBwwkwj8UygVECEwkMKbL9I5KhNhpx77wLzDccFOIf5idJw6DB
QunGcteptLk/S1Qj+y4jna0DLbEfNeRt4AbyDp9jzfpLu2ZkEPqvzZa3cNQquqtr/oNbswG5gzn7
vIJbhd8GOzB5ZsNvYqbHQUQ3DWTqYTU9gG0sihVfHF34lZQV//T7Dd+qHTxqjyhsWG0/d/e+M0tW
X30LQbnCtctuO1JArZj/PyQgGiVDL8d2t5fjSNtdPmLbw7UGzbY20HVZzCNWNMco3wX9vOVFYZi8
2AT7Ws7CRGkigCJAdjaxYNoaKMz4A3VXuEMFtynpjD/ZPPLcOLrPTC/DQJKi+HiEC8sDY9We3M3f
WMsuTRdG9z7aPqd+uSBFqyHk5prmXW7VJbXEBXAZ1QYK8s6AErQVE6QxK8TQL/0kOWS2RbGYVMIy
onpMJWMekeY0AqqXRz4vW4dZqedJhwKHdV5EAC/7+cKIWiV69KfmECdiOwjK4A61/8q6njxOLokr
/NU3ZS5sB0MNQA7aITjPkHqB4190IMLc35UUbt3H8a4HkKyQqXhWpeZlcJr1baWjlSqKUmth1DeR
f2nHZeRSE254fbCKrY8qs05Z2IDlCLe3zbM8aAU/c8g3hrzFWF3hNId6vpBrDErEw5r/fYtupdnb
ToI+7Adso8NOssj/xtPmF4urrblX+NbcPWFuS1uo/ljaohs8pGCjRwbFGvPeB9UHjz7gL8ZXDPiZ
sipswqYyM7wUSp2iL3ko+k4PmjVgAbrWQqtcHV1DX6N0EXlhUBKimNTGgl/BigVAwT90FwlTWsjH
qz4VPsyNe0gMKP4bxTJRC74IG4bLeobLPVuXevfTVZdUnidKn9gqW0Q9LRe3iCmZhL+9p7EpCpAr
AgY8k+IqqzNnCNvYY43nxQ4F2vlOnJraxFr/A0BP8rVQ+dYAvD+aJhsOWi15XXNLNHom0JzN8gXf
wTFZJ51JpDiGb5MgQDJhHaoJdNt19XDP6gN1SJ4teijT4lgMpFP9oPAmJcz+zneOe401YlqsGsNC
s5xL2r0PimA9tu+9STUhVQs/qNdu3CQjzRZsDj64i1zScCTGhaKHdGhDNUEM1ZTQUuPJCts96gEB
IzMgMaiezFPTO1kjJ6n32Qhp/fBYYJ4j1+Cy/9sx2mbFCvXMzOFbyQizEIRxLnExrmf9o4/w0Cak
JH+F1PAc5IS73WmHedQcWRoj6bR6GZGuCTmuK6zT5vf//SlVfFsoYocPFgeYCZEygJLDs/Q5x2yq
vDrOtwrqS6Ug71t4d8s1BKTLtGKY1PFcNM4g4ham8GGaie042y/GMhdTpVccVC2DPNDD1YVXZrcJ
VoDQy1JdjJs1pCqvL1old14Ka82U2Mi2aiK+KZaDjDayhdTv4/miWboHJgX3aB4ey4ZF+VcfCgVW
yz1POV/wWy/FLnYEQnxnTBiHwTx8LcQxWrUaBDyXLjDjT9S2FEE/6/1TkO0YxfJKmnXYoLyOmamK
t8/O/4uuMB7UZfUqQGHytXBMLD8dpVNBiEL0zHmhWZCadVbxCzOLcZGziPcUnxJjea3BGn2U3n8H
8IhpHAwTqrln6GVU7dloTHudoeNpLBRKfuSAvc+W6bRLksvvEfQAR70HYzi5qHHrPIh9EW3SdJ+q
dP4YEZ9DYDumvk7DguBiK+LRxXwN/cIz2TlFqv6VektDU142lhaw1fFnTiqbFn52DJYRCpeLg6nT
oqRj9QbE6kqk/cTtQCwDQprP9bKr7NareMMQBYgnujji3WWxLX9ahY6Y3TMuWgumMYmlJiz4hSlF
xsF7fCP3Ri/kLNh7n2Ri7e1WuebcR5D/xZC6/l+Dlw+LG9rfXbgLFCNPWcnP9vw2eXmeVwaXai9J
uA0kooh5hxr+8MumGEaGdcqPs+TyzpVoWmJjas7VHI2tvkGaTAqATbNzynPbgQkbF9TAYB5151Nx
R3UHeO3lAXk3+RBGm+eHcnZdk45HfxP6jzSpQzZoKt9O+efZZSxJin19/faNqkJWaGDRcvW9xGGs
9PxD25+awStaSavlhSjXEgQRtmin4kraVEXtI5U7L4utBbi1otQH3oPKl7epRD45RbBYbk1QcorW
wkdz8+qcQ3pjyz+fUaA05uyK1yfBhQPuDaaCqhMhpm5Gv0e3WYWD8s4djVG0K2evz55DZFD7meSI
JwgeNCXeHTJ6faf8rwIZG5sXLvBZaB8zzfuijZCafjwR4+lLMqLkvV19tfjPJn0U6VtcZZRifHck
pQm3jL+ruVX28wv0Aiuit+58esVz2c4jQquqU6b7MpODi+ghBKKUMm9mbD+2QsDvn8x7OR4ejKVK
u31wONffaE0X9e30qzNLOROh5i8pIJlMQKgwU4d5j1kxLs9mp5Atjrlt60ruN3DY6XBdQOv80Jq0
9cpiM5Ha8LduIiEHCkJc3MyWPOVGg3vSDjLBZRlkSBIlJcmy7S79SFuLBNh2Tp/Pb4MioPHqOc0U
oSACGNWTP95ZShMF8sfdS9I6zyECoxZ63IHorxAelWYAFMse9nlPqG1FTUZxR62xFlDtGPJ9xeiU
Tm3TiCUv+NECdZ5wcv8AlDvCvPgwSmYb462WM/6Ex1uia8gJi+zBKtpmb3vSyDHE08iIdlXHPHRe
c1h22f9xYbF05mxnExtpDMfQv3wlpX0WTy/pIKhGzpVL7dT1lQOJZAz3Osia6wJzhma0vdsosZ7P
R2r6hjbaGFLeoEg1h0gtBsjP5I9pAiBlrRYzkq28K65WyDI5Nutu+qojN68GKY02XaRv7W8Nswbi
BBLfd905q5ucG0eCPqS7qMdDpQsMTrfMP7v6yLfGv958Gp4b6ZGqRrr/Puwvvso1/X8F6/poJ9z5
8Vhop4fnH3b16xKt59nT8Y9Gf7OWStRPDrNOf+0sRhADL0uvjC+Xkzdcg6fH59abvpMEJb4AHVrl
vQfKs5wOUb6FmoB5jpWc/fWUzjT3xWzih8tahWSwWpTn2Himl+bWwzIqq0ein74+OJ8xr4VJct/Y
CuSiCULj4L2Mehh0a7qo3VzoWgmFY+sH9OdLwEiX3KY26k6/2yybLMSa/8LfZm+Duwvn4tfkM/6P
QETRj1Il6CcPuYWOt92KrJrEc1n+ESED7BVgH2PHhQAZsR1df+5nPXV+Wu+9QAbGVUsNKl7YQT+m
UiKgVWNmIp7YmwSJukDKFGRAfUbgti0h7Wz1WQQJgxpTofRVYa7tw8REDuUFJLLIOEOF4unszaA3
utJoRSBlDI9BuzAaO1PxqhtNA9fYd+wDztWcMfDyJ29k8rldmCJFzZE/JUcR7Z4CNa421V/nVdT+
ZHGZKNRjJjZ9MvpZFXmb5SNjPrbuUwov+IMeJZutwS9gcmuKZkD4lXjOWRZQom0JygPr/q8OcFXe
hvf4vee7UpO1rCwVOmuYo1RnkFrTbCCZmoK2m4DlFb7YJ16GnGpiGFj12G8bk3QZU3jVfwQE/ApF
V9C+o3oRsyUtjcLqpETh0DqLBHl9BEw1Jm7HaaZ36eUwVjfnUq6bdFxmB9hF0g/BXLSECq2k6qHA
WnrsQdVLavYVWbKpx4o6GmtXR1DX9PBrxPBfO09FCJV4MMNOak6OVyejRiU7wbGhZomN5soMNUhK
alLayDWzM8KiwYMq6Yt5f6Fqw5pT5ydpb/uvH4qRtnV7M9Pnyjancwmr7Jp2pXzP5w9rmyHSvGj4
lOlWpwCfpvr1to9fifkcuvd5bhz35+DN7U3G9tyE+hqNxoOIS4Yf0rVcA7HGIClfHXXq0Fg8Q0Il
04amnowuN1XltjVvhWZ25SJVLvBexlhaAjBAg9ijh6pA29LhAzavuN+IFymcP3SX7eEUyVU90KpK
+Mq6WkAk9iXWidS/PXP/Y7AXFKfuChT6hYiyt7knqIXVwoeJRVWlIX416mGCq78bc9rShiPnFm0P
6hAeCiY4shOmvn8Fjnf8cNrgxp2WY0dWbdBEBKQU/pW4WzIPsGCEN689AtXxyDq0mUi6jy0pJFwE
ynXHrX6QygQvyZX5o7Tk6mHzJbn4a+T/EkIF9RqItYhCwuRqxBGs/LFE8mM0ERaKkuFPYbdbr9bi
eBFFdPFtq3XhAx+fsNY2zAidJX8P4QJURbdy8E8Rv1jPKa04BpzwsNfXIkCo9A3awC5ewgOsal7h
jKwtAKphHZ6mfdBmK+Y2hBmkxGUNc42RF6829Jmu/0cb+LIiLZ+Yplo5/r68QPQp97NHZB93QNIU
gS6+35WkKYKhqvkxjTV6VoyRjCEnH4XKQSRMxKKhxIqzksBgVXxJ9tOJOA+1arLErWMmOf+ulV2c
fxzUNZB2LoVqxcPja0z1aoOLCDP0JpuuJXZXgGZ6+bl2pHvLAQik6hPnu7jjGUIPcp30hizynHDm
Kb5hPNl/zjpabtVEzbCE//0mB0zkU62htiZJAb2WyjAFL+uA6t+QeixAe6uyu/C45YYNwhUSbiJd
3dtS2kkF8Dl0GaM3Y3qbZz1qOQ9VJ7eQDHIaejMdxWsZhqbMnedyKQ1nF/V1K3njH2Lg7CTtG+Hz
nnrUpY4CYBDpEQNeqQHYKqHdwC1EFb6Ugj0Dlya1IRFMY2PitxaoE5N0RgwUnisDGiCcyT1hddKu
ArI0GpKqa2Uq8nsWif2SKXDSYAi0BbFxUG7zcNO/ny1VTIoEvJ8pGB4aro4rnJT2HbiKZwjQknfw
n7kdIOOY7QFYqP4E3uj6yE7TwwxGI+jS9IUlv1ISNYWIfPlgKtwnPHnf57evM6x4SMC2c0yfVShV
LeBoQcAVDbqu+JFz4ojq327KzV6bmJlKwylxAdiMkO/fTcyQlOBDFsLG6NxRds+tcRPEx1FxvxUG
A8jGswq7O+qjQmtWH24qsP5ogMjFOR+QPoxtpuHwB4EC3EBqTURV/LgJEbPNuWQyNpwVuaaFxPGI
HZhdw4V83YXI5C9x5WGGk0S/pqo+QnPXmwVWfivrG4wu4UuTlLEVhPW8Wv4gUERy6GdLpEbcf6mp
t3uA8b34uCudkj9aY12jeAIpm0RUDu7eO9Fvm59i3XpfQGAwd0feE+T4HiOOX42GeIf8qoq+h4ZR
1Q5KawHV9dSLhOkEDJYMaW6o26/FwMMKFGlIHmQxl7vZQGvmfbHf3PARTEzi/5kIhtImdoKvBCr9
mDvhAM6i1sNB/ElHshsgo8zyxfDEAjH9bUvOb+TKPUHFiwJocqF8mB4g9LMwrh2ajYTL1K5Euh1b
wrgOgLmnxqatuciHjb57D0JjD0LhVzVkDhuhKfSHu1HrEgTq8Bnzbdpf16GPFZeZMIj1q+TfK8EE
jhU4W1ZFju/3QaMfThc9gNV0KmJoNJ6nIylkdPXRK6DhspNggJTeyL5qjvsImcCU0kI23WrTSA4r
ptwnOtkS/+6f5Q0Iwr2FiKb/A+0E85Su8bm1rC5QdUKfMIYoTP5Lo5p902qrw7xwt7uM5Vg/MvAd
N62nGkC04wXcD4lJ6B8exnlc/5TKxYhXBXpAqBwzd7L0Uq2FqrgSrE2tjPbQL571PB2HcLtoGd7Y
neiwmHHafJh1mdjoV0+TEln3oUsMC0Uj00I+ungudGN1sJ7TVqD2dKqqDbVmCpGNGMzjj4VyR9vv
d3xr1fnUElICc3AFiqOHghOwNPDgFMlf0aMiNZl/juvvnHbFNZq8Q9XjtGs6h2tujBBEqnbvLmkN
A9tZ6aRGgTeG92V36W91MayaW9VuVC8vtKe36FZ6x+VdJ9z5XeZq2ltPOvDr6t+WBcg7Y8Vtf76I
uodyHbKpO0+oK1iXqdzvZmNFz1YQu1CWFZIm4l9dGr4C+gu/CED484QTfXKpLdQbKVHp7PKSIByK
JpYaAHzBNPSc+LHuv+wCB3E+vsjxJewGIVHMQLvyiuT4uto3/vYrxrnDk9oiWAy6/dI6Bu0IP+3D
C+YkyyepJgXaI1KLGYSK8DvyBnGxdAQWK0sAHSfOJPgmjneV+bUPE0MLucfput8pBxXwZO8En8Wc
sgxyjllnsNyAe6IdOwwIQKYHBuoaS+dm3YSfuptmtpbzSBudrQ22vIC88vXI2Oz4Ot9q7u1o1W93
AKQmeyG+xpyQ8Wt3c4L0RbCXNDlKfSYbOYznnt+f3fjxCcYvkgT1+hH4LTtAKt+/iaZzeUMW4xan
BJmVEDtkQuv6+kTmt3h2pny2KKZIpCRRBsoM9FTmoosgJnsTj1UMZdq10rXr7oZ7Y/3IVUSyfQWp
jqdU2Gt6+9ilZNdq0DBz9uv/Q0IqUYAvai2hquiqb7KRw0TpBZeU8cz3C+/Gmq7Wlfn8fL0UaLvm
akM3bYJzsIpbLyHe9O9/8788AA47WTG0DWeXdcLIqVmox6OppTPORDJaLFlDiwW9c5JohjzjUZy+
WwXRJwX0mreylHXOuJnvxi6p9sn4Anxc6HhR1EGa8kvw27dv8xcG9duRfz251dmKwzF31tBzPnr8
XbzFWojGsJdof/O9F6gFMDvd6SGyqa1buYjQaZizikVuh5IxnNmYsUks0BRFhQYsbQZJjyvpRae2
FGx4B2gZAdqaClsjOQEIy8Cc7CWUVF0Dj+kOD+nq3lL3TZJMyAk2Q7NecVa0QLhj6Y2T4IRBIeTR
+D+ajyltJzWt3Pq9dFxb1IuesWBlc8Qno+dIGis+DbE8vRMmXLyJsTLoM5rmfNR6rkuMzho7Twqa
gjxzSB+ylS3/xIIz/VgdqvpmlnzlrKu3HVGqHPlZ0IiiSJb0k3JTJRjMpwVs4TQJZX9RwzJHRo8x
KyLHAmJVDkmvCcI8sXD4DO3XKBva4ha4fyn0oR71fDg2ssBp5jht2B8u8b7jbnba6HcvY0ee9TSn
qmeJ3fWX3t+HRtUfAtQAyrapGy6ceHDPNvQeBXceRkqFfwHAHMoIoM84OHeffnQPeX8tREbtpZid
+92Hf/H3u/iGNEFC4TOCFUcmreIlisDgyX5SBVB6aJQWd6eKN06cSdCdi0maeS8q9U6mx0efernn
hTd8qtcV6W3Y7HWAFSyXpvsw8FMXsClOUhoxPo9CwJiclWtgKU4HQZf9pWRYWFTNF/PII9MgIRbn
LJxLZqfTQkHrsj7g4EfCO2+ZsUeGSXYhjtkIAMIgT/SwCjeCwha1WnKFNAnhYpvcGEMPaOJ5MDOK
rQ/m9C4Et3qHtIkyXQ7Putd3lFYoLcp5xNx7kpbFtEPUqfKj/pwT+dpaohBcQlmvaLLazU9zvnm+
ExlQwbrQuS6QzaoT8Pq9O2IxTEC5AxTza6nU+ujLm/EQbYiDJOzGlfMqtFlfUjahjzaNo84we+kO
mfoUn+cesS/LmYgv31bqoFF4OTi+Ql7uIOWHOLBhe7K32j/uWf8Zg4K8oIgkSdAreOs4229wnkdP
4J7sUDr0+1VgfnsCwTVNM19N2q4hsxUdpUsMT/fAqlvmG2IGiE6+VBEMqWYn8gsp3dWzR0Yp/TL7
4w7oMxTqe5YbXmDpriLGBjoJq8extJ4qV9kFOBxDTrfayIdP+89eUt3rC27IAKIROW5IO8OzuuT6
jnDYGV4+R/NtBofNTMkrkQaOykrcpNTSK2F7xy5aIBPucDDo4xeyZzTBm29H2CbH6r6WquCOCR1I
OxV48ShpdZctE/kMQFeGf69zZhxjLnqWdEQR0Z5V+JLtvzCEpA/QiboToWB7HmCNibuaju0dw170
Ii20F2wvZc87oNh3qvu3aI5RJYiY6UAZTwQnXLJdHaalT6Hw60sOs5P6CD2cf54cGlxO/i7dkGIp
zs+Xb/WbB44cuNFsFYswJPA3SXhskQtuzvABOL0RMHASeJAigzXcoXL99mvJkltvqp8HJe92W27D
7BhcqhztmgsMWT+Z/tZxaz5WLyZTtombVk/LUH42h+53YTd0s5Z0NWatYNHKrBEWXyb0T56WS4p8
4O/aGUjpxOuA5r7f1wUUNiXf1UmaVgRDF4wOhURUuepEDVHnD7wXVzSm+IWcKuul95yh3XwpQBzQ
ZebRpdzTs6x+Aj2/p4blq3HNKGK45UYwjoN/bDEOWY2R4t4mOp2VvNz449gIvrB7jaSnoIQh+wmd
r/mK4ZdUsM7jEB0eCeVww8rbMDZiHHfZQyBjMMyYcaJmdUXKtg83AB/YZyLlue7ltw391PbKMo3G
AgNyOaRMY5WObABP2KeMxeNkDUcFuDtlMKm19UB0saynwWX+P62zdBwIxdqFhSfGXqhRE2naeYW1
sus+lKiM+lyIMBua36VyLBasMPwOCCfhn8lR6iLMT/UXTa8123y0zfLPUxqwnSJ/gE8DpS/GP9Bb
Uoim9+/Fpj2iTvVdzRm5UyqfwLhqSwpZ4cKOpqSoOjYkuJSqMQ1e/WE4uQkGTVXYWYrH0vU6k4Zf
s9UM92EgUVbNSFdB5UJzSYlNA2NP2Lsg3uwvWuUnzzM+sxVb9fBPSrQ6icRdAURwZRWR9EUKg3nP
/7Zf6uGSQqZHHy1xzTfLiAOq8AnAogAK4SQnHJ1VHq1H8sL+bJSPT59/7+G8vQLJ8w/mnrZMpE4a
uYvmbU1QQvlOb2adXkkGFxu41fNyHdGmz0PCc4YW8scY1mbhtHoHu0r+vi9T8TOSuXV947zfirvp
qmaqZzgeMf5z1AiHnyg7iNNrj3aSXMk4XYBQIjmGq9OPsvTa6DlKkBOIeVYEQvl8uSjGRt69I6sR
Wj8Afr9dAl3XsF3TdTIxh71i+FhKDlQlAuX6iWV3RXKNzaUQ8epDTmiRi7fXYSG/sboGtFpYcdkZ
psa/svwlPgrmBk+sRzua2sGxQoJeQRm4wT9Tr2iTPXPDWSDBW1l8ROMt/uBHJWN9QiwDrhaMhnJP
PvpnsRs319DbNN9IOHJICJNe+ZBzKOZUlJNs4vNXW2KHGLaeWIdjI2/Ot0tDT9ulDW+2Kj1Q7LtD
fC+2kt6y8SiVQ9XMCtyT4PTOfIKjp3NvDiB3junEoWAL9mxlrZIj6dy8Z6EjET2DSJNeCEooW2pn
XsvYNvrtHu7rbvh6oy0H8A9FOOci50OEvgN3z2whrL0pHSEyyQwRDh3kFO5DBFAYnHKZBXYVb5OK
/aeCbQhM6+dJv7KYPyPB9Oje5QUP6Np6YMjASLHRj3qTjhMWWVBzyGlN09ZrOFpWvvDCQpe8v0SN
KpKaxkG/+0y7NQpH3TT0fF8TFdLAKrfjvp+K+qOJ9NJRuBmTEDxb3VSenJyuK5VZ0ZULvBta/i55
6AMO8YHPIu2DWB921gsln1nKQRkC34CQnIwKoUpxe6Xcal0EDvR2di/DsTparTPbx0k3mmixdt9Y
HnY0Vkjixs4P0Tn7mGgjrkKqa7duJu0oFSTQGAdAzL7iyUpPiSoMBCnRfKwNdWHBkMDUYH4Ervmc
b8RAVESk/DMgxo3PFv2PjPqTCtJXMj1IEJZFvAlT8aaetwG69yXh58RJ07rkEjuUgMevQ7AWW2df
CHud7zLnCJvr+VIRET7+4xh/439aQR84IG4oOYKeVAdqu23kGzzUB1l8+UaFDP7LYxBHkF9nbtPV
SWBbF/gAl/3RZdRMq9ofx+DDG1wKd4hRqx4ZO8wV6HHq4txpdjBPTjXsjsN/uz2Ajhbkzzd/A+ji
fQ8Kbdl3rnMEJc0rsG/Aol6PhxqCnT/+gy96QiITtVchB6qnbaSjPP6ugGY8RKvXm+XxqQkYI6wc
eZ7+1b6qjiKxvwtJL6x04Z4PXoIvMFesWI1QUDO0vNEIlaXnTz0pMt8Xh2sB7qzTuwbl/+hl+qG6
YyFm5zFZXNHYTKRtfS2yh3Hr31/8SvmgeIDkKZYAKW9wU7xVOSSF1q+nP2txo1GDEYqtgpATMsEK
PIsLonHob9Pb1xZW1aGraI+1NIHTC1Ho7RP8Ot93B/zrx6GtPZrX7UH8bor8O1KiYzpl/WVLt9XQ
i3ZChS/9E54/arMeEa03oSj0Ye4d7JnWKOkOYSViVYPGzEPa5KoRVRruYBynzI7DSThZp+PsezaD
7LX6w4T4hPDfjbjYt9AU+z6eqSPjUkWMYHhDhh06sBhR3KvKTHa0rIZFx+OMkc/wFU1AuaIT4ypO
Unq1YhnQVs+OYEPngym2ifYWPQzO8jGtD0NFGPJUXxqY3tk4lzvCQKTfuO/QTqtOUSNSGbb3xzP+
iftgfa3C1h6Uthi7kjVHJuX74LbdXDfhsK8HF8ski4N1wlkJu0kfcncujjKhzuyyuu9C0B3MSm2T
Q3AK+x2CntcFJ2akqlPnvq2uuJ81PlqB/3nPZVJXytubGisErn6rM6yW3lrbXAYzbJZCqALIBbH6
aYXPxQZNcSUlpFfhgO/cKCHYziuCKW+9lxUp2vO+5028WbS8qNEXYE9Hqj3HpLWZ3tHaDhIJ3Oqm
QW9NqTjBR/xZOSB5QE8uWIcxu3Mpl27PORt6Mg11Uad+tS9Zljy9s/FPxjKT/nEXMs+ycmC+QlHV
8IYQ3J9uL+KZ6qZjEKf5IwgxtVBbc7cZibrRgVo8x3bNeLlPyT71dVhSpVEPjCIY3EqXycrP2pln
ANFGlAFl8RUExBpG9nyCTA5AsOjHLfaZB5O4rWGHFD8Fl+izoAxXX6/WE01sKKO6yEhz9P4tMGfB
CMQZYZVUgMNMY/uASzwLjc2U9MAA8vI+0XfF7dz7eIJDrsE66QoCY8enOk2fmpCUvLd+FMvWt43/
k99hyruKeLI2G4xQrPBnhfMh3OBGs0mk6qyruS7/jiFvyKjbHw12AmiI0p96RHRsCvkbpA2FLNdD
CiPg7d92p5N+N+VZ74MKVIlNBldWBuzE8bfhcWtd8fJ4r+O40YFpl3B7oQlq9xUe2yJLqpNq4qGT
ChmphQQL6u/fzihsSMZAt21X9jVrtu4L43TvJPd9MqnYoLY7NJvHkh5Ao0x77iRAZadpXoSoAqtR
sqINbFTC0SiwAPHntL9MxinLRWuGrkm9kKJawrYwE3SSlgD+BHVF91yZoxxASVTuGxGi8x9nqmDP
N/eClW6o6oZWbx+P/E7kP6SChTsvA+nLkHQ6fli5EDCv+isCH0GZyqD9c35ILz0xdNYgW2+mjQSs
uZvMaP8jQ68BmH5AyfTOpM2pL9H8CtFCHFITuRpe15Vf2nazgrd37kW0bq15uCOWj2F2lyTkotdj
8SCx9lMuiQWFBJ6tGcYaJwuFfjHwl/u2tlFcyVYM9uqdoyQrZt/9ijsVxZ0Lz0wRlKu9A4IyOnUk
SjWv/eiaiI1DXOrc3cXA3/kgjTZ7+o6NtfFSmaRiVk4VVbauolOiUETORu7+OIaV1aeuVoySyJtc
n2NrA7CPYQAHG2maquYahdjN7h4FsBwSszThMiAFQa3wwXKzpwELMeXIc6wYyk6NrkFtFqWPvRp7
7WxmMPiS0P5Vgnv/5i+HASEckqXHEO+4YaelFUQm4uKyCWQ4eXNxSLR1tg0Srk0/PY+jEpdoaclu
kxLJM6k0qg3r/PG5B/6YQBcbeMLobdMu9bCs4EPUZDYAK1S3k/q5IQOBOTVDp0DV/TepF3oce/kp
Lw/r0c0FNuBhMeAFp7wK/CG/4zJmAEmJ8pjRgxKOVVUX9e1NTuwAxODowtGgzxKkeJvJrYUXxSQU
MpleNpxUx8WibotI98yoZY71zncXLzV9JeQdAYe8RAKDCwMiMPg9Su+eRFY1JXxOWEF9wFt1WVXd
HPt84Q93Cgt1yB14zaTQ0YT4yic8uS/kpHHBq9xAC0iUuMrE3lzPcWy0zZQodEmzt/+/+wVNqXpN
jA7FvSB/Nr5v1pehDqKntU9ABvgn+/68gzjahJhmLpWH8RHQUHKUPtTwLj08DutNcnXpZKZJfhQ+
50+PHMyI9Nf3Anev4xEdK2nmg/ZzX3pS9qUKOvKsl8QJnD9XiAV4a+UtGNvshZAB7IlyidgAxvsx
pDQG3hsHIMUfEFyO4fdRmDNZaTmw1k3WVH7YwOxyGfk9MI8Q78SWhGaV3Z2O2gRIXLoAyJ7q6QRw
CI469IAEWE9dgvcS3PA+1zTik5qQLNl4cC8RcwrqaZTw1GqK72Y5T/iuniBy2X2cEa25HYLoKvmp
SsvBUmjyRuyKUoC5rbWLqKlUqJOT50evZ8LUMY0eb/93G2PyZAszQhdEnW3BUuwdHHkcuguouP+E
96G2Gq+V97vk8vENe5TbpCWGAV4prdOudrxCdzb/zUlOyInRJ8MLig5fURy1VsTIeHAfaNNABTyT
OBAN7tCf3TTBHZ3AZOEbqUtKBddNuYH5AxLIEqVJr/LNLJlBBhdeYKSnuCHOrOIhECQOTrF1OQA8
gwwpXhBlChZCaJ7exT4zcTNwWRahG1qTNZQxWSq0MFWRAa6GmE8LHVPr7AYub6+JZu2pM8ygqQ0d
g/AWk7yD7P8VPtpXPX7mkKofQzXysv8qq4wgwbDlyuqRGLmNfcXmhil+WE+BaMrEHAfvnHAIa8uf
0CtbdqS8IZUgWx49H0w+j6SFtL1RgUc8/HJAXfEHPzueYPDPa/w5Jgud/lsHPnbgkGbIFZT+fMcA
kzJp8vd0jLkNRqocc3OfwuPiBSyDrc3YqxB8CNrgpbIIlkuUyEu82z/TNTfH2bLrX1Sk98GgX49G
0y02tu/MTqDJIV1P6n3gwtFunPXncB6LakfMngHpbirY4Rvx5oIPjEkGnITGW+2BSpwLVgs+/OSB
9OEOB4NoPA5kXmsw2JgPuniDeVyTEKebWCm40O8AWyRF07MosHPM3GMZkCkkguQhOiBIz8ipAKG1
eQdHNO97W7AdG1176j0mIJqwWKOWWMg3hg9tYlky+oeqd66LFoEqwJgjz+7YcGH/uTwClrToVZfU
zKAWkmGAL8EL8ZPlC+6qbbPT8fL6xAPUA+e2Oedpjbt1/+ovsW3Lf9bHoMLaWZfLe7dtkTZL9c/j
EFE9zfNdGhr0r2JzOS+2pIne3FS5YP3fTVyIDyTqq7icwdZNcAg0kpIoCtzudUUkLiIGTUWg1fK6
nQ5K1lCfv0+C6ZPup6jk3gdZ2cmM2aTE9rH9OI2wKRC6TE5Kbv9mivMeipQ/SEmbTXkANlOaUisr
MqACQhdMnMR5d49729p6U4L5yzqat17kem7GeRHm0Ic/Ot8XjU4gykkcqgGyzpQdJjVtG2H3p0SG
+Qd98tzCEXvcr5h5vJCDm68Tc1kITVbEKcQjyyglHoQV5tm7wgAlBmTT6THhbPeV0LGWhhNwVLzO
rZKy3SrW+oowFh6of6tBaDCpKJPqhXYI5Vf3iZYU25LUVEciZqqbQU8yBXfVfOWvgt7LA95/aafu
lzzOnd0LiycEMzPgc59cc3v/NqxE0Pddv+/Ehzke5SIFN0o1WGIMTLmbUubZq7E1+TUwB/am36qv
udEbnxhgfuf1JeKq1c/EK2jGRLJ1lUwlN6UFCSLGz3Lo7vZMiJHo2yY6aiGEygvYi9cuF1frzWcX
H9kRsN2KpMxZn91LfDPjiY4VDjtps0ZOhYj2soWe1eWab3CYwsTQU9x8xt17mHAyxhDUgMXfDQKN
YwKQsaYQ0UNY86/3Ss8hxpr1dBEgIHOo0ff28BPzAa6BGunM0GJT/YzZ+SuChrsKwEh/HUchbY4Y
ZtmntDRAEAkNQPJWQ/HyJi4iQ6kk4/O19w+VzmoDEMuYRYhgTQjdjQUPQatxJL3UkGkaUrRtY1m9
3FwBqvwf5089atrnUf1bNwjmoV/PbWw/w8Giq4u+/VEhP9bJB1+UHGWtdi6YLzX8bX6Tfmm8Vc7c
LPVJB3XLsXsJW32QmCQR6yz5WZr5sOlhKJ+rR0nActbuoFRiEENiiEdX+eBM3GpzEnr1Mv/aCGtm
kN3My3juynov2t4RJc4dJqT4TjBMroE5Yka9oRyXJv08V/iYKTmcjSJ1hJHflgOTjlm7YjiFzzOG
8faJh992gtGK88LnJSwWTEGoBvU1YA+mhgRTHR+r2KabiJciyGjdpFoSnCDBkt6J8xuAlOrao0qb
YdPBHkwe/M8+bM1fGG61NjHZ5+by++ROVnCn3V9XWmstwzH69QHBN1jUQt4Iniuq/lFRAQUXSYxq
d0fAVx3zu7FyuWx8hO/35pZN/Zn5IwJQL0dOp+oDAOVRV5aQAhgYk8nuBl0A9Btb5VT0gEROtYvQ
vohbTm++VMbF+MLShu5F2xYd1DJukjy3Zv0+3Tv2x3Lhi/ZuV3o0bpRoLWqqaOABgNTmA985L+JX
OzgR1homhJip7QIJeDAocRrIFBB6eaYXFhE1dIRI3UZv36crfID41360F/N6nAhIjw1205//p4RR
HUQqGLj9b7G3gnIiRNcAdlix+etysaXHL/L4GXE4i+1ZrVFRA+T+hMBiYSORxi/kRkM/K2mACMyn
Q0pAtlpt4qvNn/vcOdmrcOJGcRzGjKJuAAHg3dEojBI1EPrDtir8l8LdrC0IjuG+fJnKr4uGQxEY
9b4/T9b+k56ZU2qD+XG49mQlcZva32fUHZE0Q9g5WLsaSgFq45RLYwM444QFE9bfpnJDwdcVQjwZ
R2VZv4obqq2P2JlIF8mF56l4B99ABLGJ/axRTbFfYKmu8dQRUhUnmEuh/mPgXl+DfM9fI7hJIKxJ
8+2dDLTXVctKjmV3GsE72hdfT2AuJbfTi/lyjS7+WnE2warxiDB0ALN1kbsO4BiVrzrxYkY4Oa/Q
IgpJsZRiY/LFFHg3O0dIU5am3EdgB93hnfhySbfS/VMHU5Ko98MpbGJ5FtGjcDpCRt+jWTy1oMe2
r9530aVS7aIUAfIIn98cuob1SmGMl7H2gSl2PvD4ZsaU2a/mzGq3fRYoKatT3hLz9ROB6EgJHB9L
3tDU7y2qlv/OcfB2NBBusfFk9U0Vi06BzXc/cp9OpjMbLEJ/V56djXy4przqM6ejEWaezMuXE3mj
9+lnzQKEXbdj4Dfks6gaxng44r8mgCFYFeEtuWJHRfGD8KHNXjhfI/fvK2qzw+nSTIY+GTiiCBnT
r9S2HIyE0xQ0iWxxOXBx/lwZaFusob0mC49Bo/W3M36sYZ5kXAUGY/La2Jrrd710Jn5gqVaML5NP
aE8tEwYMQWeFIRSEsPDmmtS0y+bbQTUsq5BSv+RmvyMFe+sIvst4UuK2hPM79+x0sGBWYz5HOfQL
0Yre71QBeqkP7prIqX3zAzUWQ2VzQSK342NKLdtn8xkkV/JUo2RFIfqtKa4KA3x4WfZtAhjBexF7
wX7f6R06IrztYD/CpgcfIlTQK25a3mPPcd8bS69TgOliOiwY22oxIvMn3mmT6H0Fgl8gxnP26DBk
pik78DxV2uzp+ARQBb3ft28EGdy3OEWiz+wD8bTcacS+Bw/8BRaEEVKlfRCLTaN02A5udXSYPs4p
0ZZa2XUH8mJAiECS2eFvkQ3QICokJDWL89rnXzh3Exn5Jw1mX49JSVGFcjhbLVumL4ffmBvYbxJO
/6VUeXDzfAqA6AjPAsdfwnAFN/d2pr0KDAOlphRsONNAw+Qi5FjOkYPfBGs9sHxXjbgwR2aO2EiH
WdwgVaMNhG12g7pcj5TYXnfIOppqdS+gjtul/zE4Zb+sVkhuvmOrb0YivoSWV0EzRopRj3I3NjCV
RRoftlw9dh4Wlf8XWz6cJnVxdPFjH9kk2T0smcvzM8ay3u9+7tuDKXH3PgSLwCWmjB23NV3GTL+m
9Vu5OKZO5Qu9gQFjZlTYisoTMhiO2jcoBzhJxHYvCQnq4W4uZZrDodDIgPSnUfdtMwX47gv+HMV3
4nF8nv9SroLEOj5X8dxRqk6TomNDwvYKlEGR4n0dvBkwEinuGPCBGAVKwfyFE/LTUTjREHSVHD+r
zObW1fVPCBvpBFNHU02S5ZRexOBfaK9WYgP1+fjJZ77axACxklId0H/D0lS61kenQwEWrABtrUkg
rZzzDcTclonNCNW6bBv5XlEhVzEo45BNPWFcxjCWW4Y9TE2B5rm6oiGMatyw8tTup6AkAm/0bRkn
zL9HNmMAI0T+dIajYPE8NNhEvVUzi1qbMSPGXWukMMZHwIHOeG0gva9kPUBCf6f7yYnvBGsFvODO
lWVyLPHiAmmXRgSekHaq8I+XqgJ275/LEzG+i1tknodUWKBmOoFTqgHSt1MPprKtv0gIOrniQXRS
IubWhQSzBfJnrQ4flRvyYLn4FwObG+/b/ZYlwa6xO3xAJ9/6OxAMnH3bSLPKmRvWnQ9VIs5FA3uR
dKEQVq9Kn1J5KIPIQk46HGhDl8FqPaMGEWpjAMjWqB8nL1JeobphxZFtjuCUme4ydHBP43wEN1GZ
GqjX4J+T/i2EVSc+Y0DrFdfIDFtHJ5PGWVEypztNAvIv+gZurH1fgzAJ/ii0FBhUe9NN5DX+iJ07
9UUToegsZo7WGGVIMV4RJ9JUtp9RwwMX+ljsjsfaK8up6EycQpyhvV4ZKKz30bzAGLDfDOR2KblG
N+cWSxSz69S2y+EbrBVi78SimMtngYH7TqzQUdMZOB0z4vXmYOAxcaVlD4t5itpi0J8M4JwwYCeX
YTu1t2IGJxIJpl0zqQKNkAkNFY2kf3zmPQAGa77hMv24rcxyyE3Slp/f9m4t5XlrkR4DcShc73qI
nb2mpem+fYDpDkajGT5EX3qNoJ97B+uCNL8c2at8deqV/eAQSKvJshnDJEpJx5NN9n5mIEGE6DxS
3vZUBBC0DpAOFK1qa+xrdjnZWMgCGPPJqfFBVGch3oN+cnM5Dtt0T6tfC5kDufxUBg+UAYOcL83A
t5i4Qv7xnFkIOTDj/wvXz7Xfylzas3/pgjhVwfqTK6FzK+97+RzxLI/Xa+ExMD58o74VXHRuVEgl
SE4wmk2/COle6RWl37bOX95ZwVmn+yUc4wGRhhQriEuEnFxs9UZ17GdvEtjMNE7fKNkGCJd7NiCg
2+FAzJOzw2QbJ46WTn30vGxXZRlxToMeWRo7Ssk54z6hn/Vmit4D9/dDjCOES0uvAc0vuBI4IvYN
Qc34Dlhc3ernSrGPiH8d9Fn1nTflKhRYZbFDT2MFgbGynU+tGDpjr/y0tJuj8hlGRTfc/srh803P
oWbIVN1+z7C7fFKoJdD9/R4GIyqtHCo1PgNXMJI8JFAyVq+W0h872EjgdOYb1I9rL2TzLs8b2jsd
06YJIGEYaerjQ0re5mmJhX1anXMhtJuOxGqtm/maxNkLyCEXXhpl206h7ezyHu5/DuZpDXcVa8Yc
px5GzXhBZCxUulmQhjp0ZDPNk9wGcbxra9ZK27e+Pc6kWZbMUiTgZw0e7ATL8GA8aO8vXUif4Urs
S2Js9QIXoNso5aqXtNjasMIm93ko0VbfB0BgaNby1XmMHU55blSrDUgMsLxOmFawlKCj0h1BP+xo
UIP4GTajxcmqnnk9L66N0P35tOxNrEYWyDdKvtSjko7h6tCSRZccIEslwPR85dgPh4Z5XOjiSML8
Ph2CeiTyEgjXYJaIgyQmDUVjlfvzIjTK1/F574pnnTyFII6DEaIl8WZMEqS3KlXczMVFwrM70AiZ
ZQtCfDIsFk62hhobRBCFx60BqM87yo34gnzk1LDqs1Ioe0ogF2ZzGS2V96cyClVq76bGycI44Drm
3Jp8z3zhSh/GnJzjVpMgwy02x/OwkhmR11DY+9Pgx1W1SoMK5rtrkQAZfkVrR/eGTte+e/C89MKG
mO3D0jADQj3bGNl6VCWD28grqsPnJrYGaV1N9NCRGkBq8npUaKdTPaklrLBXap4g8mGmJ7w3iBmS
F2HXMAAsOIIT+dA07TsLBFsOaUJkI5+liXYcgy13KSn5R/twjvys2EOSOtnT8rIMglegdGNDnRom
iyXn6EtaZQR1kfmvp3hrDqOMCyKVI9Z8qVuKPavIkkU8friAhMD+RxFobvJSqJFK7I12LOnLsfY4
aBGJQ8Kdc0YsyPKFVOpNDxfa/4Q16EjXdPZQe5Or607nK8bxokU6FDehWdYH3JVc7lpXOJIN5e7M
TSIMhCcN0R7hQeEY1Q1ecH8uaSyH9x5cWW1SoawFW4uAEJGhmf+FSvK1CDYUsaMN6aYJsT821u1Z
0EK+TMLg3tAyKVKSKbKtvhLKsNd+CSLjH9wXFO2hAg2mPrt51mBI5RoZugfSFxGPEAT35OpSnCsY
6yYVFtmpWZPYEPHNpANyknKJMQXXtg/SUrEBwscaQLeaoH9vlCfviwNjESpKRqL8G811U73XTuNW
F6rv4vIHfYcTUl1QwomFQX9uNrf7Ye9AYdZNf6Urnmb31dnr0Fnyp0xDD7pII1Bss+cAhFaDnRtj
350dq31RiZlgQsPREzqaOT7572AwKBtAdJKxLdVMU5FVvKGzegCzvCD5wJTMowcsh8nY5WcwDvFR
1AiM6mfUNcUSshW41s8fVzwpig+Yq52JKzfWf9h4BHg3IpOxPAmKMR3K4ZSlkHffWdIlr8yEx8iP
rlYiVTVhFSj2HstM6dd12kaUt0D4wDGXFhgVisCuiIS+lcCn0C/Q4dtMewJC9agnNEWDRXnHq30j
yxxv5cY5LLX1mWj24z7RjqIezE/evplvSum6idB//5EHEQewrYTcxZAe+sLPpMNxQLEAPZJ/u0PB
Ix1hyI9lk9zJaYA+E7AGECRgMLTKJza5sX4cqNZGUf2oL5pRP4XEjsGa2JFjX3wT8ElZ1kFbYU/z
qiO/3DfdoV5l2FMEJYbRoDoA1Or2644XJShz4+fpp9EWhld643aTEKqviNYnQN6I3FBTuXF6BU2R
4MWEZJtd1sGTuV/F5wxRGwhRfaiN1wXfB6ZZYJ8M1T2/kfM5WF2JPJeJ2defJoy2e4uWU44HYNAH
7R5j7IfDrcjHresWmzil6r9tSGnXPC7UL3Tgu001/aLg9jKnkDWfWw30+F+4rPkOUnpc4r3HH7M5
64goxrejcVidpwq2UUUtnYUnqfwDFHBMxU0YrmhZaYKhvLVwlE7ygb3QjbdvTcuf58EoMhVlx0Qw
FG91CZ2h41wkDXolse4uBAwlOIUQwCrWfw8wHqn3EP/rM40v+zLKis8eA4uzPb3ke902B/R1yNwk
KhbRJH33reBrG185QnVS+lv2VkHJv7sU9i2TfNdk8UOi6FKSXFjgWm/YHWezG8R/QWgup2ktxF1q
hdmBggvbR+FGu6xTiY4YJmLCCPY5pk3v88WvRDfU26ckR2DSe4/z8ge8P80LVpZt4fpZkzzYoEtr
yrpxgS0Mj4zhMLb/dPLiHslXWLMpujzWEELxqypPlvcyRc0dfmjVaAH1l9gSst45nSgTTXyQdXr1
K6Wr3j+zU79TRsonEvO9qHUL7LcpXNpJ3iB+wiLIzDYsfZSf31MjpQn9kVoeNEtY4Z9j9dR0bmY9
wX1Ot19arRH7nwjFN4QmfENApT6Bg+R2/4NjHOSsBvsn8KRdlxSymwgTo2XqXFNfZFzGGCBf6N8v
X3lA7ZuVL4NrOxBliAmBoxMZ62GpRaqsx8CmkJtpZamj8jWHO62iypgNe1wG4SgWDkRzOi0uH26h
AwPFU7DvHr8t49fMRxG+JC167S7wcmhw2TUCYpMn7fQ0qOiA+MQq+81cMMdgauiSUDY3QI4JLm4N
p7mztDI9xHr7GB3yMDRhSkLLyyTRWhMZUa6AiU6NjB+Vbp9PGmby9E53HFdacyTpn8pyoCaRHG8Y
9jT7MGrHCNA9/Po4rzw4eNtDEBNjHohkZD3wAjV6f/dcRQ+zau231KLa5KpPNHadSY1BYmm4q/3s
piL8pbuqv6aP4ipIGgImRP+xYpFlP6+t06XT2EICioiVZvX5y0JURNtktVKg5noqq23B2mdzpRiS
pumjOPahaSsnnQSRFGMF4Cj+1l/rALJQHOmpFHDqqc2XbJdYOUjb4e2lsmaIvhugqYbH1bTsgrNi
aX6sUUhukUa8+56oltEgi+i+d52N/Wg6ZpzmXXWUZPeqODgdojrJgw17dEBHSDppTnE83IZDDULT
AOjKFlE/XgHI18c46DZ+Fs6vIurTBwSwynG30vDCvSJpOV6WbdZH0BT53eMHHighoSlsGqyTWBnF
fN7ASS1s2P17spknPYFFF7wdtohwzWNTjrv/xdj2VYr+jEeq3cFfrzSBoJWsdzGUWwXxaTg5BdFU
O0/XkcpN0ZQmK9H/SWPfe5jCcQpb0Q6IR2HekZkmHiy4Lul0ieQRYvQ8zNezEWvEkuhWprFucZKq
Q4x0uU7753WPGHKXboB7v1K8KNmkK4UByORF8pbwuHJ2lQF+hnDdKld1peVT01sNVbvjY7srSrmM
64vI6P5jzvY+yB4DMJb5p40QkJeckOm6aOgKgxUH5BkjY7ZSEkhLJDqqSOXBhm+ldEthn8FlJiVG
AU87GxbpHRvUSSBG/4jU9O8xxNO9kIwHHtL2BLR5bSookxa/EmSTZoFQMPc/0h4V/ecDphnGtgD3
kwtcDe4HUB+s3+9rzSxS/alajmpA74LiAmJuIyXwMWkrStsjsoXcFftmUFu9FWYeEFh4kffXJmo3
I9GmR8Ihk8YuxDScpBHq27K/m23jsFKeYlIoROroFwKy5mb59XzTvgntetQhfBRy+jl2QlqiWWnH
6cKy8CxagTZabNEHHPEXOPZFdVtCua0x0RTwazvuAjnaSSeT3s+kViyff+hePv1AMOAHGlH+MH+M
KcF+C1zs/LAEBTNX7ljX4q/gyb5nauTFs0zRkR041D95JjCyTONiPyV7BOtcdtzxcHQvQUBYWSVc
bLv0d0JI2farSccoFGZluo2vhr/Crqzm6X/s7+wX23IXxZ+ysP1fkfvomJhyg3yfGnZcXHCT7Xvj
HdvR4PdmMw4nIPfAkbn2wmGOX1/nP9qQ4mSStB3+fzpjv9eKL5N/xa833zY9682qsQGyA9GRRicp
Va4grkY1xgT0ROYw0odwX9jNw5PZEBj6SgxvyYWqh/XOUX60OhzwJoU0g5fBctunqs2yCSJaTqq2
w6qhLX4O0cjuIyFxYRHbnTd6CSm3eEzZ/jxt2SsELPSfgtjpIoJtyq+ElGDhwx2c6ue8PC/t+ehz
IBSdCI4mKS06lPANzisYA4AAUPnsPTQ+LFJ/mAHsicxxD1mZ/Awchw8h3tKm15TB1fC6sDYuHzde
pxXjRkjExpMLo/xBzBfKSPAd5jZ+M4I5dhD93N1QljZui0AJ+Gp/QrI+sFFnMXTEfiOUwDc9dqO+
x34VJ469YVq4A2qR2lijxPEzbTq+sNQqFJUn4+6nz2+x1o2mqAA4FNq1m+BXw5TfHmLssT2wvYk4
vycAViRyyLNl0yLM6zvb2b/fWa2wH90OHhy3aUtk0dTh1a2zbw/etgN9yFFqfoX0T7Rj0uXyasAD
y+dNPgYMw6JqlIHqCv3T6ury5NZHPDp7j6kYmEtNggJduAA67qgDAaBABX47hV4J3caQI1WN6ugt
mP1y/xF0KFT95MHGn+ydiFCtv9rJzY79XkwvbtUD+jr5PR3AffueDJojaD/wHyMvMz+NyR7/Pdhk
6niuZEpWIGnF5upegJB853hXPmraWkMR+U0q2FmtqcACeoywTIyk3UZpBT5v6bXnNKvvkeRdEaGi
CHDbDf0eGRs3vHw+gvkAYgmrPwjUobqogYrxAJrDRN60fJBN+znBKXOO2xiuWLtTUpjM+By1ebYw
yyZPlwucdjacKmVYq0xHhJ1VQ3MJ7RdvXDTHfrlMgXkoWhdsf4+ogEOCq/p8garYIS3iBeSieyMZ
2Jnm80ye84oVc4m/7e1YT/LFqNTOsqf03wgjzP69CX7/JmGqdf/3ULbOEwn4Fg6GTiMBf5WmAbjj
czuWMqLExRfFlDfHt9KcfIPt4pSTu/r0W7LiPxuhfIFuzj3IN6rM0cNNf9j1GCqVKKgtIVLkFgXq
9k6jiPhYidZ5ZDoQ5XiJ0K883YbILc7VTSr0mg/+u8ig4oe12HTulgusv9qejw5QmnPmZ38b/FAP
gx2MZPQT7/WyEs49tGt+PnciijA4+YxdWWl4Q4k5W9fZQcUrPeWfoXmeNAZFNt5G8LF6iu5izSBZ
YoWThbuvHDAPw/dJP4nNuuSDdF0CdMGL55tGT6yhMSRQUSBP+NFP7ymbrm0KARE+MPS44zjHJISa
+WgiAT8TfFrxMRftFEl0/aC9auTRRcXiFBb9Jz5XZWwwN4+/jy+6DdC64gwE+qGEznZnonQ9zEVq
Dd18VnxVqPY2RV64IFM1j9qyuE+6QvQzznWriPbo6F68CPjzKqlGgON9s3h2eb+jgnTrfgXh6BxG
nDyclnMc72ZGh5DcYelKHORl/qn2mtgcA5Kp/P78VM6ndfVQenEB8OM8SStjhgVm92iFF2L1pQIg
gCZp9tisOMlYhROzFORZZN6Pa0W3tFzaUzTFITCCaAqiSwp97Zs8j/uzWKaDlBO248i0N7LDQq25
4Zb0giKqYc6SItJqBqTmtulbfPe4CupLJyg9U1HCQe4ccTprrfCCJazXE+GHA0e2+cdlJn+ZmbzO
/AYxOlYsDFmHV42XITwluSMJAQ35KckURw9FM+BuBX7id1voYChVyhJY0EZqDUwt5IFrbMKA+2rN
Dc9WjoBr7cRyLYA8ZigJmBHNNSCpBG9ru4OPydHaHI/9f/CutYWdvXWwkri8JTQAOI4DFB5U715O
kCfC7ON/vlZabdQlujmq/LdNmHc9uvgcuoKCbxukdu0FJJA9b4QDTU0KnE+P3qm/pB8MQ5j2lM4i
P8kpZ8KKVJya0yhQ+hVCO39I8f2ApNI8Yn9VVpIsmLIpi+80LBcxuFM2Z6MvZ/Pa2MavLtVuiFLX
uWrGQWt+etbx2vCx1G/JUq7MJjj+9i+B86eY2EP89MMjdhAtXWZhrmVfBX0kNggBdehQcQFDTHPc
N4WSIK2SMTP4LsBInzfdGmc3xZM5rOiWC5h7gR+7mksHAWyumO9lGeZ9nYLv+ZGp1m5xaZOx9uss
3gB2XkoUys/J4kN/byRdLPl46oLzXaFbMRcI8WhsA2VKpV38vrYWyaq93D6vUURkGZ0WPGHDnGcS
+7Xv2f/BuDkAd3qNdlaZsJsAOye9GQc503T+YdSgpFYLYwrKSuCKcpDKqIy5FhIc1t9HFH5zwmxs
nzrf+/1wobZzNaZKUMPWEyM1ZoSx+92tD8yserV8w6BVpo+3rVJIHBNT5Tp/4CyameYo1y1YFeGF
7Nt0LNP0E0a4H744kam9kBAUvkDdmGuRp6ruz5bysD+CXJwNKl0jJ1iVbsQfN4SFmRAa3LCcsG8j
T8QodPB79Nwxn81xS/c8xhlYcLjqio+jVDegLJDv1OxHIzKRiv2ZZ+b87GTnpWNSsgpwlPvUxOhb
19LK8Uym+33+PsPnaVPUEcH8DMcmaDoearCSrVhEveGEOi0Fb0DvJRsk7wglK+4TTCVx1kC59tu5
ptH58zYIexe79GUJmWcRuflu0tsJnYvJeTlLzzK2r9NBNOtuoCsiRhneHBpCfoWHMi/jUb6kUgDx
IYmp9OjnROp4PUI+4r1qL771GTk8+SqHA9YkV3Ilub1SI9d5xFkGokhWhH/f6dgNu5JmbjNTLqSi
KKAUDs9CKVlBqnn8/aq4CWyhjhsMzaXGokcLBu97cnnq/rnD8DWiYwJOLDupOKHqaAOTyHHvY+Yb
yPiwNglzVCjD8xbICcjw8WUtfFqNCXz5iNWJ6tqTFtD1KFxN8YKaP6q+uYmRHmzOPhAoiWoG7A0g
79vP3WEEPX2nJngzValgqn3uiTRmFlNaavjX5bGUiDnxH6OcYhdXgcI0uDvdhK5Uri6ZDhgtJhBM
U32VGNRrpJXmrpEauUpl801SwbOsUWw/Rb5Y3w+QSBBAJ1a2QG/s60eSc9gC36AIIDZKcwIfVuAl
MyanaO7oXPM6Bjn0Vw/eN6bo32gIyJAyQHefHOysNWBl0s0kPHTqeZ7V0/Hhnobfux39aj+Txx/w
WZrnQqKkSXvaoBfMBOndbtMPpTzIUtzsJml+zlPoK4iOXjDaV5l9f/A09tvQn85cx5FajZH1k+k5
WvI9r5SPZSTtmoGsk2kvUkfkB25yJS/61FT3oLuWfMrChc8oEATxrZukOcdHNdT+HD5weMl8Og/d
0TzZXrS5Z1ixDv+w8Bk4lkuSsRt2VAlg7SaiKmwyTCgDouk438CRLueROd2MOozEJo4Mlp62pRfv
JQZaTGPc1LuFTKOKpC2VAxFV1A0hcaucH587TBmBaGkeL2QG1liYJkejOivqw/YjVUDspEzxslM/
hZAInxybd/cqiHoYb+mH26zcwsnF+BMJV5RNBJxi5zBW8heOy+Y5VoYW/uskYnNU8a7V9cRJia7v
6cAKqB9f/CV59Uw8h1flcDROdP6yutjWPXmH4aT7MwqxonyhOPBkKHAWmRxukv5zwt2k747sj/TO
bp73kUW081xPi0yI04wx4asf1p8kBPUFkn3SR9YsT8pkCmYGKXbDaXUApfUlfNYgZk7iBLaiDKId
PJbZ5jsQMXHUDIMMfSv+qIyD0UxF/mDkQQoeEa1/y73GoYoJNNbiE9Dl6HJZVCS76IgA8X0ol05L
Zg3FaG9pXEf/eBTkDCWyxyvpA7269Ar7UNoKyk5qJfkEP9sbsC/1d+YX8u62rIOPntY4oLrYQrL1
mmdL+esgBWo9CsZpZCH9GkYNCVHg/eJLvXeuUskbY65nQlZ7hb+4j0C/3LFTL3C1g+862xHv9exe
4GOPTQ2Njs9sPRf15BLsiJ9FznxVOZbzTt46tVRBlvRNtZ4UHmmib02Ds9hIZ3oZtVVU9tBSL87c
VequQVhiMEZEmQp63bwGEElDbxPRXOsM0fYpnTUlF72lpiNbUvO9G++hZNtUik9WPj753Xyw8ol+
SuB7z6HP6Te9ZeUFNqgZf2qhsD8naqht4vz5iLqGg/RcCHGfT3KAXKQdjurS3zP/cA6km9o7d/6Y
gYeUD620gylzsBUcp2+/hT2Y7/TFE5o0yui/N3HIDEC1xEM5V1GsF5EpJ/VjHpT3xtUOoJO92Lfl
7BKZiNs8KKbwYcP8l6JPkk51niYahb3lWzAh4vN48On2vLQV/PzEMzFXK/apUJdt7lXsExXR96xG
0dTSBSlGcknOThjfR4re1TEmPgFyF0ahe+/Ce0GXaVWXSVa8kugYdqaUOlNI99AcYOrSpTgXtZGe
oyNufYfGe2xVFUxUOT/U/kiKrIQcI10Gf8UhyoASdKI8SJYcuiy8yBjYntdo+xI+w18JS3vp0IPM
/ugY17kYQknMuL4Mglez3SsvrBEMGg4nSftSzZ2JmDsWty2zKF+pg3U+4daj9CT4K2vEeiAV43Mo
UhMAWZMewIbNd5193fclJAz5BgiFOFh2i7B1uoFMGaeotvInfFJ8A35nkXXx01Da243blbHpvuUO
ly0WYjZ/GhmRIh9rmyUS+SNNU6iemL5S4YDp+tnIa1rGzYyLfXMkSGULpiMqX6x5rjUhubIW1b/W
5kILUXITud3qCVYEMiZ4gVWNJBToXPVjJfa5K/IqGv1WtdFB83yBACLAKskBeMyuujA3lFdTxnLB
//CqjSec5zbjOkBSkRCeHGjLSDbAXk6UlOLMSHy87qpwnhdk6ZZ/JcGDMA4ZOvl3kzl3fMZ5uhva
4toT1LXQPpy2nFrW/36eeFc4Uqau6yX7rZUW0b+ZExPhvdTaDxGGUqDF5Y/x1vSBKMVrlXGh28gA
4r7pX24k+HGwMRUlmTmNYpc7
`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/cascaded_integrator_comb/cic_compiler_v4_0/hdl/counter.vhd
|
1
|
25043
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ON8TTIhTwII3lp0reMu8HDmBYqa3VVsykKhFEzeRFrfuHxt08bEpETVQ8s2hlkb/6CQob1dumf5P
YeMfOeGMMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HXVCS+siZCytMuSrqj0CjRq8hOW67335nCR0bkkthpqYBbn4lV/HYzLsvvtlNm7k6iFKEDEvCF9G
Tgz+/1ddWljUpPgT0gaDoHKPImb4KhZM4wapbJ3+lhfxYVqLbAvbA+yoZXhpvRKfLuUjpUU7IfKl
Mbtgdvsi9tPem7KnraQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TITNy5yS+Yn3zwtMrSzx7dKDywhTEbyVyp2zrZt8M8DrXBdGEesd2Jlx/E6vv05YCur9CE4ys7Ws
V/pJyqHxcoRoKfWwgt21TP1H4P9zUEdMgXHFNydWFD0YcYTtUUs2Cp9raEYbazr1iDs4YX7VZ4fn
jMd7PVeEYldSUuX294ZNZSxCv0eMBnLK/pYEElWx6C4bgG9BdId5eEvnD+rLV9YAj1dVmeNNONVQ
igxwkA8+HoRo+mh8HpNbiPqEu+WSb8b9xzaBq9nnVK2IcQSRaWbIx1xwxq0kzgWCKW2xMiuN0lsX
MH9DwV1vm5QYVs1q2J93DcNTcp2g1HboyaeQ3w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bvaQ7Yo2N4x7vka7zWtNEgw7LCBlDSvLpKVOXe/ZYZ8ns/NEWBlx9xhc286PFHyY4qQgxTf5LXsA
7eOHI+UjeOXxLU6dXjyquedYd0hRWLB2La1P3YWy/c18f5YKgzVKQb3cADQMyID2bRTS/2WRd9TG
xcAYkPAmm9SPcbZt6RU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bV1BujwStnn+r2XHc47B7hu/YrxMFpyHla9vrHjydsZ7MCWb36RSRICNRuhxD8j6OmuSLjIg0xAA
qFgA0np4cO3d7dl10skmtcrxi0GboYp3pMdUqvFqpw1T4/qG5En6KsBksSzaIWZB15joWg888kaw
OJS6I6QgtW0bUm/WW7FutYT6bSDZz9b7I3ZgpC/SkmwYPd/ylI7ioMDLYQIuN6arjV0Rwe2SYraY
YDySzxfroPRCIbqGPaE3iZCXMESkAxCQgTUg+6h/BHdNOi1F9XSNPIDur4hzBtvCE4/CzCxRKPAj
hQmKDMmKw9Rht9HhXv78Aj4zR8+I45kaFH/nLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16800)
`protect data_block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`protect end_protected
|
mit
|
cwilkens/ecen4024-microphone-array
|
microphone-array/microphone-array.srcs/sources_1/ip/lp_FIR/axi_utils_v2_0/hdl/glb_srl_fifo.vhd
|
3
|
35288
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kVk7NjfT4rUeDjbNh3KaKqx8aJSpUjFkiZ7HcCWAacoIHVVPoRq5tMtjup/PsKSPAvFLAMmkPti7
Nn7PAutsQw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XxzKRua2xRuq4U7gx6gch//fIXrW2sjuVuACfxkVmSOl0JwUQHZQZ5kPp+Nq8KIjrw2WK0liGidW
WnS2B0l4lCoTJOToGu8Fbpqsz8R/2KU8xnLn/30gaXuvq8is6W0U6r1MJ8PNW79xY3WxHJ47cJqc
nCTAXjT4l3Ntn+V50Ls=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eDy80e/NXXVDhV8PXEJ02bCUcVn/yE5EcoL98dQWVtzBFHfaLlYpfp5TStYy6LMYMdsz07XNple/
McTXYHnaqmmFE6OYDFmfw5vL77ttIzjq1J5JC2m5JaLpVP5pM/p0s345Zv47J/LXHzsGLgo/hzZm
aAoeiAf+76TsRAzKKdGyNEBFOms6yZMJ4Pja6+aggAuaA1jd/abiyZBCmSBRnLe3c9rr+gAQjUYy
+PjbHb2v6H5oIaZtFf9wPC1ZRXyQcZGwjsxr3wEkF6K4AA9B79I0FM2QThC2XNQYjXc4COEcBV0/
Nq3E8xdj1ISNWU/tOUSzdeWPWtSTud97hEscew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A34E3suJzcjc+sGgvAA1iqkPn245AU4c3jXJYhTsxiYnhalvLcruEJPuCHbk3maIgROsA1os9x9P
eArV6Kr3saCuJ3DAOukNSarVd/j6QZTddw5q5DbDAcNgECo1FpIUCALhCjtVQgyYCxJAJTFRXua+
cv0VKRfgESyfoa0Y7Sg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OxQqce/sAzLJPwCmMmHkapde8ux0PVoAwo9TE4uuxMxMylHGj44FMJarza9ZlEDhq5yrywDTe8Ca
10OUzplD0qoL/u4fFNcOUgqY833ujpMXMg4I5vFtTmI090YOZbNPbrFfJP41O6qOUPD7xPLV52oE
vpCpoUgW/HnDAQveiWw51eMH/1fJzXhy1vouBA9DVXLfyttrrUOLD/yZBGPpAP+PGuWhpW6d+PYU
yIOyKEX+TsmHzLdQUmUB8X5zR1MtouxpkY818JtccLbdH5eM7tXbDUnkgWmsjDnW8E7tJ/PzEWst
WrHdME7booJLsSqqENfxAuvnSUXOxlFSA0c/Wg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24384)
`protect data_block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`protect end_protected
|
mit
|
jchromik/hpi-vhdl-2016
|
pue3/test/main.vhd
|
1
|
1093
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:12:27 07/07/2016
-- Design Name:
-- Module Name: main - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity main is
Port ( led_out : out STD_LOGIC_VECTOR(7 downto 0) := "00001111";
clk : in STD_LOGIC);
end main;
architecture Behavioral of main is
begin
process(clk)
begin
if clk'event and clk = '1' then
led_out <= "10101010";
end if;
end process;
end Behavioral;
|
mit
|
jdeblese/mwfc
|
mwfc.srcs/sources_1/spicomm.vhd
|
1
|
4364
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity spicomm is
Generic (
divbits : integer;
divby : integer;
bitwidth : integer := 8 );
Port (
clk : in std_logic;
rst : in std_logic;
data : in std_logic_vector(bitwidth-1 downto 0);
strobe : in std_logic;
busy : out std_logic;
sck : out std_logic;
sdi : in std_logic;
sdo : out std_logic );
end spicomm;
architecture Behavioral of spicomm is
-- Clock-related signals
signal clkdiv, clkdiv_new : unsigned(divbits-1 downto 0);
signal bitcount, bitcount_new : unsigned(bitwidth-1 downto 0); -- FIXME should be log2(bitwidth)
-- Shifter-related signals
signal shifter, shifter_new : std_logic_vector(bitwidth-1 downto 0);
signal sdo_int, sdo_new : std_logic;
-- Controller-related signals
type states is (ST_WAIT, ST_SETUP, ST_SCKLO, ST_SCKHI, ST_DONE, ST_OUT);
signal state, state_new : states;
signal busy_int, busy_new : std_logic;
begin
busy <= busy_int;
sdo <= sdo_int;
comb : process(sdi, strobe, state, shifter, busy_int, sdo_int, clkdiv, bitcount, data)
variable state_next : states;
variable shifter_next : std_logic_vector(shifter'range);
variable busy_next : std_logic;
variable sdo_next : std_logic;
variable clkdiv_next : unsigned(clkdiv'range);
variable bitcount_next : unsigned(bitcount'range);
begin
state_next := state;
shifter_next := shifter;
busy_next := busy_int;
sdo_next := sdo_int;
clkdiv_next := clkdiv;
bitcount_next := bitcount;
-- data <= (others => 'Z');
sck <= '1';
case state is
when ST_WAIT =>
if strobe = '1' then
state_next := ST_SETUP;
shifter_next := data;
end if;
when ST_SETUP =>
busy_next := '1';
clkdiv_next := to_unsigned(0, clkdiv'length);
bitcount_next := to_unsigned(0, bitcount'length);
-- Shift out MSb
shifter_next := shifter(data'high-1 downto 0) & '0';
sdo_next := shifter(data'high);
state_next := ST_SCKLO;
when ST_SCKLO =>
sck <= '0';
clkdiv_next := clkdiv + "1";
if clkdiv = to_unsigned(divby/2-1, divbits) then
state_next := ST_SCKHI;
end if;
-- FIXME when is SDI shifted in? Falling edge?
when ST_SCKHI =>
sck <= '1';
clkdiv_next := clkdiv + "1";
if clkdiv = to_unsigned(divby-1, divbits) then
clkdiv_next := (others => '0');
-- Read bit in from sdi on falling edge of sck
shifter_next := shifter(data'high-1 downto 0) & sdi;
sdo_next := shifter(data'high);
bitcount_next := bitcount + "1";
if bitcount = to_unsigned(bitwidth-1, bitcount'length) then
state_next := ST_DONE;
else
state_next := ST_SCKLO;
end if;
end if;
when ST_DONE =>
busy_next := '0';
state_next := ST_OUT;
when ST_OUT =>
-- output is available on pins for one clock cycle, must be latched on the clock rising edge after busy falling edge
-- data <= shifter;
state_next := ST_WAIT;
when others =>
end case;
state_new <= state_next;
shifter_new <= shifter_next;
busy_new <= busy_next;
sdo_new <= sdo_next;
clkdiv_new <= clkdiv_next;
bitcount_new <= bitcount_next;
end process;
sync : process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
state <= ST_WAIT;
else
state <= state_new;
shifter <= shifter_new;
busy_int <= busy_new;
sdo_int <= sdo_new;
clkdiv <= clkdiv_new;
bitcount <= bitcount_new;
end if;
end if;
end process;
end Behavioral;
|
mit
|
mjpatter88/fundamentals
|
01-logic_gates/and/myAnd16_tb.vhdl
|
1
|
1370
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity myAnd16_tb is
end myAnd16_tb;
architecture behavioral of myAnd16_tb is
component myAnd16
port(a: in std_logic_vector(15 downto 0); b: in std_logic_vector(15 downto 0); s: out std_logic_vector(15 downto 0));
end component;
-- signals used for testing
signal s1: std_logic_vector(15 downto 0);
signal s2: std_logic_vector(15 downto 0);
signal o1: std_logic_vector(15 downto 0);
begin
-- component instantiation
myAnd16_1: myAnd16 port map(a => s1, b => s2, s => o1);
process
begin
s1 <= "0000000000000000";
s2 <= "0000000000000000";
wait for 1 ns;
assert o1 = "0000000000000000" report "and('0000000000000000', '0000000000000000') was not '0000000000000000'" severity error;
s1 <= "1111111100000000";
s2 <= "1111111100000000";
wait for 1 ns;
assert o1 = "1111111100000000" report "and('1111111100000000', '1111111100000000') was not '1111111100000000'" severity error;
s1 <= "1111111111111111";
s2 <= "1111111111111111";
wait for 1 ns;
assert o1 = "1111111111111111" report "and('1111111111111111', '1111111111111111') was not '1111111111111111'" severity error;
assert false report "test complete" severity note;
wait;
end process;
end behavioral;
|
mit
|
jchromik/hpi-vhdl-2016
|
pue3/Keyboard/keyboard-main.vhd
|
1
|
1643
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:51:44 07/06/2016
-- Design Name:
-- Module Name: keyboard-main - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity keyboard_main is
Port ( scancode : out STD_LOGIC_VECTOR(7 downto 0);
ready : out STD_LOGIC;
kbclk : in STD_LOGIC;
kbdata : in STD_LOGIC;
reset : in STD_LOGIC;
clk : in STD_LOGIC);
end keyboard_main;
architecture Behavioral of keyboard_main is
component RF_fetch is port (
kbclk : in STD_LOGIC;
reset : in STD_LOGIC;
clk : in STD_LOGIC;
rf : out STD_LOGIC);
end component;
component scanner is port (
clk : in STD_LOGIC;
rf : in STD_LOGIC;
kbdata : in STD_LOGIC;
reset : in STD_LOGIC;
ready : out STD_LOGIC;
scancode : out STD_LOGIC_VECTOR(7 downto 0));
end component;
signal rf: STD_LOGIC;
begin
rf_fetch0: RF_fetch port map (kbclk, reset, clk, rf);
scanner0 : scanner port map (clk, rf, kbdata, reset, ready, scancode);
end Behavioral;
|
mit
|
acarrer/altera-de1-mp3-recorder-vhdl
|
Controllori_Audio/Audio_Controller.vhd
|
1
|
8869
|
-- **********************************************************
-- Corso di Reti Logiche - Progetto Registratore Portatile
-- Andrea Carrer - 729101
-- Modulo Audio_Controller.vhd
-- Versione 1.01 - 14.03.2013
-- **********************************************************
-- **********************************************************
-- Modulo che legge e scrive i dati dal WM8731.
-- Utilizza il master mode e la giustificazione a sinistra.
-- **********************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Audio_Controller is
generic (
AUDIO_DATA_WIDTH: integer := 32;
BIT_COUNTER_INIT: std_logic_vector(4 downto 0) := "11111"
);
port (
clear_audio_out_memory: in std_logic;
reset: in std_logic;
clear_audio_in_memory: in std_logic;
read_audio_in: in std_logic;
clk: in std_logic;
left_channel_audio_out: in std_logic_vector(AUDIO_DATA_WIDTH downto 1);
right_channel_audio_out: in std_logic_vector(AUDIO_DATA_WIDTH downto 1);
write_audio_out: in std_logic;
AUD_ADCDAT: in std_logic;
AUD_BCLK: inout std_logic;
AUD_ADCLRCK: inout std_logic;
AUD_DACLRCK: inout std_logic;
I2C_SDAT: inout std_logic;
audio_in_available: buffer std_logic; --out std_logic;
left_channel_audio_in: out std_logic_vector(AUDIO_DATA_WIDTH downto 1);
right_channel_audio_in: out std_logic_vector(AUDIO_DATA_WIDTH downto 1);
audio_out_allowed: buffer std_logic; --out std_logic;
AUD_XCK: out std_logic;
AUD_DACDAT: out std_logic;
I2C_SCLK: out std_logic;
useMicInput: in std_logic
);
end Audio_Controller;
architecture behaviour of Audio_Controller is
component Clock_Edge is port (
clk: in std_logic;
reset: in std_logic;
test_clk: in std_logic;
ris_edge: out std_logic;
fal_edge: out std_logic
);
end component;
component Audio_In_Deserializer is
generic (
AUDIO_DATA_WIDTH: integer := 32;
BIT_COUNTER_INIT: std_logic_vector (4 downto 0) := "11111"
);
port (
clk: in std_logic;
reset: in std_logic;
bit_clk_rising_edge: in std_logic;
bit_clk_falling_edge: in std_logic;
left_right_clk_rising_edge: in std_logic;
left_right_clk_falling_edge: in std_logic;
done_channel_sync: in std_logic;
serial_audio_in_data: in std_logic;
read_left_audio_data_en: in std_logic;
read_right_audio_data_en: in std_logic;
left_audio_fifo_read_space: out std_logic_vector(7 downto 0);
right_audio_fifo_read_space: out std_logic_vector(7 downto 0);
left_channel_data: out std_logic_vector(AUDIO_DATA_WIDTH downto 1);
right_channel_data: out std_logic_vector(AUDIO_DATA_WIDTH downto 1)
);
end component;
component Audio_Out_Serializer is
generic (
AUDIO_DATA_WIDTH: integer := 32
);
port (
clk: in std_logic;
reset: in std_logic;
bit_clk_rising_edge: in std_logic;
bit_clk_falling_edge: in std_logic;
left_right_clk_rising_edge: in std_logic;
left_right_clk_falling_edge: in std_logic;
left_channel_data: in std_logic_vector(AUDIO_DATA_WIDTH downto 1);
left_channel_data_en: in std_logic;
right_channel_data: in std_logic_vector(AUDIO_DATA_WIDTH downto 1);
right_channel_data_en: in std_logic;
left_channel_fifo_write_space: out std_logic_vector(7 downto 0);
right_channel_fifo_write_space: out std_logic_vector(7 downto 0);
serial_audio_out_data: out std_logic
);
end component;
component AudioVideo_Config is port(
clk: in std_logic;
reset: in std_logic;
ob_address: in std_logic_vector(2 downto 0);
ob_byteenable: in std_logic_vector(3 downto 0);
ob_chipselect: in std_logic;
ob_read: in std_logic;
ob_write: in std_logic;
ob_writedata: in std_logic_vector(31 downto 0);
I2C_SDAT: inout std_logic;
ob_readdata: out std_logic_vector(31 downto 0);
ob_waitrequest: out std_logic;
I2C_SCLK: out std_logic;
useMicInput: in std_logic
);
end component;
signal bclk_rising_edge: std_logic;
signal bclk_falling_edge: std_logic;
signal adc_lrclk_rising_edge: std_logic;
signal adc_lrclk_falling_edge: std_logic;
signal dac_lrclk_rising_edge: std_logic;
signal dac_lrclk_falling_edge: std_logic;
signal left_channel_read_available: std_logic_vector(7 downto 0);
signal right_channel_read_available:std_logic_vector(7 downto 0);
signal left_channel_write_space: std_logic_vector(7 downto 0);
signal right_channel_write_space: std_logic_vector(7 downto 0);
signal done_adc_channel_sync: std_logic;
signal done_dac_channel_sync: std_logic;
begin
AUD_BCLK <= 'Z';
AUD_ADCLRCK <= 'Z';
AUD_DACLRCK <= 'Z';
process (clk)
begin
if reset = '1' then
audio_in_available <= '0';
elsif ((left_channel_read_available(7)='1' or left_channel_read_available(6)='1')
and (right_channel_read_available(7)='1' or right_channel_read_available(6)='1')) then
audio_in_available <= '1';
else
audio_in_available <= '0';
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
audio_out_allowed <= '0';
elsif ((left_channel_write_space(7)='1' or left_channel_write_space(6)='1')
and (right_channel_write_space(7)='1' or right_channel_write_space(6)='1')) then
audio_out_allowed <= '1';
else
audio_out_allowed <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
done_adc_channel_sync <= '0';
elsif (adc_lrclk_rising_edge = '1') then
done_adc_channel_sync <= '1';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
done_dac_channel_sync <= '0';
elsif (dac_lrclk_falling_edge = '1') then
done_dac_channel_sync <= '1';
end if;
end if;
end process;
Bit_Clock_Edges: Clock_Edge port map (
clk => clk,
reset => reset,
test_clk => AUD_BCLK,
ris_edge => bclk_rising_edge,
fal_edge => bclk_falling_edge
);
ADC_Left_Right_Clock_Edges: Clock_Edge port map (
clk => clk,
reset => reset,
test_clk => AUD_ADCLRCK,
ris_edge => adc_lrclk_rising_edge,
fal_edge => adc_lrclk_falling_edge
);
DAC_Left_Right_Clock_Edges: Clock_Edge port map (
clk => clk,
reset => reset,
test_clk => AUD_DACLRCK,
ris_edge => dac_lrclk_rising_edge,
fal_edge => dac_lrclk_falling_edge
);
Audio_In_Deserializer_Entity: Audio_In_Deserializer generic map (
AUDIO_DATA_WIDTH => AUDIO_DATA_WIDTH,
BIT_COUNTER_INIT => BIT_COUNTER_INIT
) port map (
clk => clk,
reset => reset or clear_audio_in_memory,
bit_clk_rising_edge => bclk_rising_edge,
bit_clk_falling_edge => bclk_falling_edge,
left_right_clk_rising_edge => adc_lrclk_rising_edge,
left_right_clk_falling_edge => adc_lrclk_falling_edge,
done_channel_sync => done_adc_channel_sync,
serial_audio_in_data => AUD_ADCDAT,
read_left_audio_data_en => read_audio_in and audio_in_available,
read_right_audio_data_en => read_audio_in and audio_in_available,
left_audio_fifo_read_space => left_channel_read_available,
right_audio_fifo_read_space => right_channel_read_available,
left_channel_data => left_channel_audio_in,
right_channel_data => right_channel_audio_in
);
Audio_Out_Serializer_Entity: Audio_Out_Serializer generic map (
AUDIO_DATA_WIDTH => AUDIO_DATA_WIDTH
) port map (
clk => clk,
reset => reset or clear_audio_out_memory,
bit_clk_rising_edge => bclk_rising_edge,
bit_clk_falling_edge => bclk_falling_edge,
left_right_clk_rising_edge => done_dac_channel_sync and dac_lrclk_rising_edge,
left_right_clk_falling_edge => done_dac_channel_sync and dac_lrclk_falling_edge,
left_channel_data => left_channel_audio_out,
left_channel_data_en => write_audio_out and audio_out_allowed,
right_channel_data => right_channel_audio_out,
right_channel_data_en => write_audio_out and audio_out_allowed,
left_channel_fifo_write_space => left_channel_write_space,
right_channel_fifo_write_space => right_channel_write_space,
serial_audio_out_data => AUD_DACDAT
);
AudioVideo_Config_Entity: AudioVideo_Config port map (
clk => clk,
reset => reset,
ob_address => "ZZZ",
ob_byteenable => "ZZZZ",
ob_chipselect => 'Z',
ob_read => 'Z',
ob_write => 'Z',
ob_writedata => "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ",
I2C_SDAT => I2C_SDAT,
ob_readdata => OPEN,
ob_waitrequest => OPEN,
I2C_SCLK => I2C_SCLK,
useMicInput => useMicInput
);
end behaviour;
|
mit
|
acarrer/altera-de1-mp3-recorder-vhdl
|
Utils/Add3.vhd
|
1
|
1335
|
-- **********************************************************
-- Corso di Reti Logiche - Progetto Registratore Portatile
-- Andrea Carrer - 729101
-- Modulo Add3.vhd
-- Versione 1.01 - 14.03.2013
-- **********************************************************
-- **********************************************************
-- Questo modulo serve al BinaryToBcd:
-- Se il valore binario dei BCD e' > 4, aggiunge 3 al valore.
-- **********************************************************
library ieee;
USE ieee.std_logic_1164.all;
entity Add3 is port (
signal s_i : in std_logic_vector(3 downto 0);
signal s_o : out std_logic_vector(3 downto 0)
);
end Add3;
architecture behaviour OF Add3 IS
begin
process (s_i)
begin
case s_i is
when B"0000" => s_o <= B"0000";
when B"0001" => s_o <= B"0001";
when B"0010" => s_o <= B"0010";
when B"0011" => s_o <= B"0011";
when B"0100" => s_o <= B"0100";
when B"0101" => s_o <= B"1000";
when B"0110" => s_o <= B"1001";
when B"0111" => s_o <= B"1010";
when B"1000" => s_o <= B"1011";
when B"1001" => s_o <= B"1100";
when others => s_o <= B"0000";
end case;
end process;
end behaviour;
|
mit
|
Azbesciak/digitalTechnology
|
cw 5/FourBitMultiplier.vhd
|
1
|
2656
|
library ieee;
use ieee.std_logic_1164.all;
entity FourBitMultiplier is
port(
SW : IN std_logic_vector(7 downto 0);
KEY0: IN std_logic;
HEX0, HEX1, HEX2, HEX3, HEX4, HEX5: OUT std_logic_vector (6 downto 0);
LEDR: out std_logic_vector(7 downto 0)
);
end FourBitMultiplier;
architecture impl of FourBitMultiplier is
component OneBitAdder is
port(
A, B, CIN: IN std_logic;
S, COUT: OUT std_logic
);
end component;
component HexDisplay is
port(
input: in std_logic_vector(3 downto 0);
display: out std_logic_vector(6 downto 0)
);
end component;
signal c0: std_logic_vector(4 downto 0) := "00000";
signal c1: std_logic_vector(4 downto 0) := "00000";
signal c2: std_logic_vector(4 downto 0) := "00000";
signal line2: std_logic_vector(2 downto 0) := "000";
signal line3: std_logic_vector(2 downto 0) := "000";
signal buf: std_logic_vector(7 downto 0) := "00000000";
signal ledrOut: std_logic_vector(7 downto 0) := "00000000";
begin
H0: HexDisplay port map(
ledrOut(3 downto 0), HEX0(6 downto 0)
);
H1: HexDisplay port map(
ledrOut(7 downto 4), HEX1(6 downto 0)
);
HEX2 <= "1101110"; -- = sign
H3: HexDisplay port map(
SW(3 downto 0), HEX3(6 downto 0)
);
HEX4 <= "0010010"; -- multiplication sign
H5: HexDisplay port map(
SW(7 downto 4), HEX5(6 downto 0)
);
--1st line of adders
add01: OneBitAdder port map(
SW(5) and SW(0), SW(4) and SW(1), c0(0), buf(1), C0(1)
);
add11: OneBitAdder port map(
SW(6) and SW(0), SW(5) and SW(1), c0(1), line2(0), C0(2)
);
add21: OneBitAdder port map(
SW(7) and SW(0), SW(6) and SW(1), c0(2), line2(1), C0(3)
);
add31: OneBitAdder port map(
'0', SW(7) and SW(1), c0(0), line2(2), C0(4)
);
--2nd line of adders
add02: OneBitAdder port map(
line2(0), SW(4) and SW(2), c1(0), buf(2), C1(1)
);
add12: OneBitAdder port map(
line2(1), SW(5) and SW(2), c1(1), line3(0), C1(2)
);
add22: OneBitAdder port map(
line2(2), SW(6) and SW(2), c1(2), line3(1), C1(3)
);
add32: OneBitAdder port map(
C0(4), SW(7) and SW(2), c1(3), line3(2), C1(4)
);
--3th line of adders
add03: OneBitAdder port map(
line3(0), SW(4) and SW(3), c2(0), buf(3), C2(1)
);
add13: OneBitAdder port map(
line3(1), SW(5) and SW(3), c2(1), buf(4), C2(2)
);
add23: OneBitAdder port map(
line3(2), SW(6) and SW(3), c2(2), buf(5), C2(3)
);
add33: OneBitAdder port map(
C1(4), SW(7) and SW(3), c2(3), buf(6), buf(7)
);
--others
process (KEY0)
begin
if rising_edge(KEY0) then
ledrOut(0) <= SW(4) and SW(0);
ledrOut(7 downto 1) <= buf(7 downto 1);
LEDR(0) <= SW(4) and SW(0);
LEDR(7 downto 1) <= buf(7 downto 1);
end if;
end process;
end;
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Processor/Entrega1/Adder.vhd
|
2
|
440
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Sumador is
Port ( Operador1 : in STD_LOGIC_VECTOR (31 downto 0);
Operador2 : in STD_LOGIC_VECTOR (31 downto 0);
Resultado : out STD_LOGIC_VECTOR (31 downto 0));
end Sumador;
architecture Behavioral of sumador is
begin
process(Operador1,Operador2)
begin
Resultado <= Operador1 + Operador2;
end process;
end Behavioral;
|
mit
|
willprice/build-a-comp-vhdl-modules
|
base/Mux.vhd
|
1
|
790
|
library ieee, base;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use base.base.all;
entity Mux is
port(
data_in_array : in FourInput(3 downto 0);
data_out : out unsigned(3 downto 0);
control_in : in unsigned(3 downto 0);
control_out : out unsigned(3 downto 0)
);
end entity Mux;
architecture rtl of Mux is
signal control : unsigned(1 downto 0);
begin
control <= control_in(1 downto 0);
control_out <= control_in;
process(control, data_in_array)
begin
case (control) is
when "00" => data_out <= data_in_array(0);
when "01" => data_out <= data_in_array(1);
when "10" => data_out <= data_in_array(2);
when "11" => data_out <= data_in_array(3);
when others => data_out <= "0000";
end case;
end process;
end architecture;
|
mit
|
Kolchuzhin/LMGT_MEMS_component_library
|
quartz/quartz.vhd
|
1
|
3033
|
--=============================================================================
-- Model: macromodel of the quartz based on an equivalent RLC circuit
--
-- Author: Vladimir Kolchuzhin, LMGT, TU Chemnitz
-- <[email protected]>
-- Date: 30.11.2011
-------------------------------------------------------------------------------
-- Library: kvl in hAMSter
--
-- ID: quartz.vhd
--
-- Rev. 1.00 24.08.2015 GitHub
-------------------------------------------------------------------------------
-- [Hrsg. Wolfgang Hilberg, Funkuhrtechnik, München 1988]
-- für einen Quarz mit f = 77.5 kHz gelten etwa folgende Werte:
-- Lm = 3127.11 H
-- Rm = 17 kOhm
-- Cm = 1.35 fF
-- C = 1.25 pF
-------------------------------------------------------------------------------
--
-- Lm Rm Cm
-- e1 i1 ____ i2 || e2
-- <--o---^^^^--o--|____|--o--||---o-->
-- | || |
-- | || Cp |
-- o-------------||-------------o
-- ||
--
-- ASCII-Schematic of the quartz
--=============================================================================
use work.electromagnetic_system.all;
use work.all;
library ieee;
-------------------------------------------------------------------------------
entity quartz is
generic (
Rm_val:real; -- resistance value
Lm_val:real; -- inductance value
Cm_val:real; -- capacitance value
Cp_val:real); -- capacitance value
port (terminal e1,e2:electrical); -- interface terminals
end entity quartz;
-------------------------------------------------------------------------------
architecture behav_subcircuit of quartz is -- subcircuit
terminal i1,i2: electrical; -- internal terminals
begin
Lm:
entity inductor(basic)
generic map(Lm_val)
port map(e1,i1);
Rm:
entity resistor(basic)
generic map(Rm_val)
port map(i1,i2);
Cm:
entity capacitor(basic)
generic map(Cm_val)
port map(i2,e2);
Cp:
entity capacitor(basic)
generic map(Cp_val)
port map(e1,e2);
end architecture behav_subcircuit;
-------------------------------------------------------------------------------
architecture behav_ode of quartz is -- series RLC by 2nd ODE
quantity v across i through e1 to e2;
begin
v == i'dot'dot + Rm_val/Lm_val*i'dot + 1.0/Lm_val/Cm_val*i;
end architecture behav_ode;
-------------------------------------------------------------------------------
architecture behav_Hs of quartz is -- series RLC by H(s)
quantity v across i through e1 to e2;
constant numerator: real_vector(1 to 3):=(0.0, 1.0, 0.0); -- a0 a1 a2
constant denomerator: real_vector(1 to 3):=(1.0/Cm_val,Rm_val,Lm_val); -- b0 b1 b2
begin
i == v'LTF(numerator,denomerator);
end architecture behav_Hs;
--=============================================================================
--=============================================================================
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Talleres/TallerVhdl/registro.vhd
|
1
|
554
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity registro is
Port ( reset : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (31 downto 0);
clk : in STD_LOGIC;
data_out : out STD_LOGIC_VECTOR (31 downto 0));
end registro;
architecture Behavioral of registro is
signal init: std_logic_vector(31 downto 0):=(others=>'0');
begin
process(reset, clk, data_in)
begin
if reset = '1' then
data_out <= init;
else
if rising_edge(clk) then
data_out <= data_in;
end if;
end if;
end process;
end Behavioral;
|
mit
|
willprice/build-a-comp-vhdl-modules
|
proc/Processor.vhd
|
1
|
97
|
entity Processor is
port (
clk : in std_logic;
rst : in std_logic
);
end entity Processor;
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Processor/Entrega1/Procesador_0.vhd
|
1
|
3730
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Processor is
Port ( rst : in STD_LOGIC;
data_out : out STD_LOGIC_VECTOR (31 downto 0);
clk : in STD_LOGIC);
end Processor;
architecture Behavioral of Processor is
signal out_nPC: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal data_in: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal pc_out: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal im_out: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal seu_out: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal imm13_aux: STD_LOGIC_VECTOR(12 downto 0):=(others=>'0');--en formato3
--el inmmediato va del bit 0 al 12
signal crs1_aux: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal crs2_aux: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal mux_out: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
signal cpu_out: STD_LOGIC_VECTOR(5 downto 0):=(others=>'0');
signal alu_out: STD_LOGIC_VECTOR(31 downto 0):=(others=>'0');
COMPONENT PC
PORT(
rst : IN std_logic;
clk : IN std_logic;
DAT_in : IN std_logic_vector(31 downto 0);
DAT_out : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT Sumador
PORT(
operador1 : IN std_logic_vector(31 downto 0);
operador2 : IN std_logic_vector(31 downto 0);
resultado : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT IM
PORT(
rst : in STD_LOGIC;
addr : IN std_logic_vector(31 downto 0);
data : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT Mod5Seu
PORT(
imm13 : IN std_logic_vector(12 downto 0);
SEUimm32 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT MUX
PORT(
Crs2 : IN std_logic_vector(31 downto 0);
SEUimm13 : IN std_logic_vector(31 downto 0);
i : IN std_logic;
Oper2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT RF
PORT(
rst : in STD_LOGIC;
rs1 : IN std_logic_vector(4 downto 0);
rs2 : IN std_logic_vector(4 downto 0);
rd : IN std_logic_vector(4 downto 0);
dwr : IN std_logic_vector(31 downto 0);
ORs1 : OUT std_logic_vector(31 downto 0);
ORs2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT ALU
PORT(
Oper1 : IN std_logic_vector(31 downto 0);
Oper2 : IN std_logic_vector(31 downto 0);
ALUOP : IN std_logic_vector(5 downto 0);
Salida : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
COMPONENT CU
PORT(
op : IN std_logic_vector(1 downto 0);
op3 : IN std_logic_vector(5 downto 0);
aluop : OUT std_logic_vector(5 downto 0)
);
END COMPONENT;
begin
Inst_PC: PC PORT MAP(
rst => rst,
clk => clk,
DAT_in => out_nPC,
DAT_out => pc_out
);
Inst_nPC: PC PORT MAP(
rst => rst,
clk => clk,
DAT_in => data_in,
DAT_out => out_nPC
);
Inst_Sumador: Sumador PORT MAP(
operador1 => "00000000000000000000000000000001",
operador2 => pc_out,
resultado => data_in
);
Inst_IM: IM PORT MAP(
rst => rst,
addr => pc_out,
data => im_out
);
Inst_SEU: Mod5Seu PORT MAP(
imm13 => im_out(12 downto 0),
SEUimm32 => seu_out
);
Inst_MUX: MUX PORT MAP(
Crs2 => crs2_aux,
SEUimm13 => seu_out,
i => im_out(13),
Oper2 => mux_out
);
Inst_RF: RF PORT MAP(
rst => rst,
rs1 => im_out(18 downto 14),
rs2 => im_out(4 downto 0),
rd => im_out(29 downto 25),
dwr => alu_out,
ORs1 => crs1_aux,
ORs2 => crs2_aux
);
Inst_ALU: ALU PORT MAP(
Oper1 => crs1_aux,
Oper2 => mux_out,
ALUOP => cpu_out,
Salida => alu_out
);
Inst_CU: CU PORT MAP(
op => im_out(31 downto 30),
op3 => im_out(24 downto 19),
aluop => cpu_out
);
data_out <= alu_out;
end Behavioral;
|
mit
|
Kolchuzhin/LMGT_MEMS_component_library
|
Spiegel/spiegel.vhd
|
1
|
2749
|
-------------------------------------------------------------------------------
-- Model: Spiegel (analytical model of micromirror)
--
-- Author: Jan E. Mehner, LMGT, ET/IT, TU Chemnitz
-- www.tu-chemnitz.de/etit/microsys/
-- Date:
-- Library: kvl in hAMSter
-------------------------------------------------------------------------------
-- ID: spiegel.vhd
--
-- Revision:
-- Revision 1.0 - porting a code to Simplorer by Vladimir Kolchuzhin
-- 23.02.2015 GitHub
--
-- Status: Compile OK, model was compiled with hAMSter simulator
-------------------------------------------------------------------------------
LIBRARY ieee;
--LIBRARY user; -- Simplorer
USE ieee.math_real.all;
use work.electromagnetic_system.all; -- hAMSter
--USE ieee.electrical_systems.ALL; -- Simplorer
--USE ieee.mechanical_systems.ALL; -- Simplorer
ENTITY Spiegel IS
port (terminal elec1,elec2,elec3:electrical;
terminal struc1,struc2:translational); -- mechanical -> translational
--terminal struc1:translational; -- Simplorer
--terminal struc2:rotational); -- Simplorer
END ENTITY Spiegel;
-------------------------------------------------------------------------------
ARCHITECTURE basic OF Spiegel IS
quantity v1 across i1 through elec1;
quantity v2 across i2 through elec2;
quantity v3 across i3 through elec3;
quantity u1 across f1 through struc1;
quantity u2 across f2 through struc2;
constant eps:real:=8.85e-12;
constant m:real:=4.658e-8;
constant J:real:=3.8832e-15;
constant Kuz:real:=1.9108e+5;
constant Krx:real:=2.7945e-5;
constant d1:real:=0.0094;
constant d2:real:=3.2942e-11;
constant aeps:real:=3.54e-18;
constant egap:real:=90.0e-6;
constant e_di:real:=200.0e-6;
constant b:real:=1000.0e-6;
quantity cap1:real;
quantity cap2:real;
quantity dcap12_t:real;
quantity dcap13_t:real;
quantity dcap12_r:real;
quantity dcap13_r:real;
BEGIN
f1==m*u1'dot'dot + d1*u1'dot + Kuz*u1 - dcap12_t*(v1-v2)**2/2.0 - dcap13_t*(v1-v3)**2/2.0;
f2==J*u2'dot'dot + d2*u2'dot + Krx*u2 - dcap12_r*(v1-v2)**2/2.0 - dcap13_r*(v1-v3)**2/2.0;
i1==(+(v1'dot-v2'dot)*cap1 + (dcap12_t*u1'dot+dcap12_r*u2'dot)*(v1-v2)+(v1'dot-v3'dot)*cap2 + (dcap13_t*u1'dot+dcap13_r*u2'dot)*(v1-v3));
i2==(-(v1'dot-v2'dot)*cap1 - (dcap12_t*u1'dot+dcap12_r*u2'dot)*(v1-v2));
i3==(-(v1'dot-v3'dot)*cap2 - (dcap13_t*u1'dot+dcap13_r*u2'dot)*(v1-v3));
cap1==+aeps/(egap+u1+(b+e_di)*0.25*u2);
cap2==+aeps/(egap+u1-(b+e_di)*0.25*u2);
dcap12_t==-aeps/((egap+u1+(b+e_di)*0.25*u2)**2);
dcap13_t==-aeps/((egap+u1-(b+e_di)*0.25*u2)**2);
dcap12_r==-aeps*0.25*b/((egap+u1+(b+e_di)*0.25*u2)**2);
dcap13_r==+aeps*0.25*b/((egap+u1-(b+e_di)*0.25*u2)**2);
END ARCHITECTURE basic;
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Processor/Entrega1/ALU_tb.vhd
|
2
|
2478
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:02:39 09/28/2017
-- Design Name:
-- Module Name: C:/Users/utp/Desktop/TEMPORAL/ALU/ALU_tb.vhd
-- Project Name: ALU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ALU
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ALU_tb IS
END ALU_tb;
ARCHITECTURE behavior OF ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU
PORT(
Oper1 : IN std_logic_vector(31 downto 0);
Oper2 : IN std_logic_vector(31 downto 0);
ALUOP : IN std_logic_vector(5 downto 0);
Salida : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Oper1 : std_logic_vector(31 downto 0) := (others => '0');
signal Oper2 : std_logic_vector(31 downto 0) := (others => '0');
signal ALUOP : std_logic_vector(5 downto 0) := (others => '0');
--Outputs
signal Salida : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU PORT MAP (
Oper1 => Oper1,
Oper2 => Oper2,
ALUOP => ALUOP,
Salida => Salida
);
-- Clock process definitions
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
Oper1 <= "00000000000000001110000000000111";
Oper2 <= "00000000000000000000000000000111";
ALUOP <= "000001";
-- insert stimulus here
wait;
end process;
END;
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Processor/Entrega2/windows_manager_arch.vhd
|
1
|
3017
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
-- Notas:
-- La idea de este modulo es expandir la cantidad de registros disponibles
-- con 5 bits yo solo tengo 2**5 -1 registros. Las ventanas nos dejar ir moviendonos
-- para tener mas ventanas, en nuestra arquitectura de 40 a 520.
-- Los registros globales siempre van a estar en la misma posicion, los unicos que se mueven son los locales,outs, inputs.
--Basado en https://www.educreations.com/lesson/view/procesador-sparc-v8-soportando-windowing/13230159/
-- analizar el estado del psr en los primeros 5 bits que son cwp
--save: cwp<=cwp-1 >> cwp<='0'
--restore: cwp<=cwp+1 >> cwp<='1'
entity windows_manager_arch is
Port ( rs1 : in STD_LOGIC_VECTOR (4 downto 0);
rs2 : in STD_LOGIC_VECTOR (4 downto 0);
rd : in STD_LOGIC_VECTOR (4 downto 0);
op : in STD_LOGIC_VECTOR (1 downto 0);
op3 : in STD_LOGIC_VECTOR (5 downto 0);
CWP : in STD_LOGIC;
nrs1 : out STD_LOGIC_VECTOR (5 downto 0);
nrs2 : out STD_LOGIC_VECTOR (5 downto 0);
nrd : out STD_LOGIC_VECTOR (5 downto 0);
nCWP : out STD_LOGIC);
end windows_manager_arch;
architecture Behavioral of windows_manager_arch is
begin
process(rs1,rs2,rd,cwp)
begin
--si es locales y salida, usa la logica del video:
-- 10 y 23
if (rs1>="01000" and rs1<="10111") then
nrs1<=conv_std_logic_vector(conv_integer(rs1)+(conv_integer(cwp)*16),6);
end if;
if (rs2>="01000" and rs2<="10111") then
nrs2<=conv_std_logic_vector(conv_integer(rs2)+(conv_integer(cwp)*16),6);
end if;
if (rd>="01000" and rd<="10111") then
nrd<=conv_std_logic_vector(conv_integer(rd)+(conv_integer(cwp)*16),6);
end if;
--si es entrada
if (rs1>="11000" and rs1<="11111") then
nrs1<=conv_std_logic_vector(conv_integer(rs1)-(conv_integer(cwp)*16),6);
end if;
if (rs2>="11000" and rs2<="11111") then
nrs2<=conv_std_logic_vector(conv_integer(rs2)-(conv_integer(cwp)*16),6);
end if;
if (rd>="11000" and rd<="11111") then
nrd<=conv_std_logic_vector(conv_integer(rd)-(conv_integer(cwp)*16),6);
end if;
--si son globales esas siempre van a quedar en la misma parte
if (rs1>="00000" and rs1<="00111") then
nrs1<='0'&rs1;
end if;
if (rs2>="00000" and rs2<="00111") then
nrs2<='0'&rs2;
end if;
if (rd>="00000" and rd<="00111") then
nrd<='0'&rd;
end if;
end process;
process(op,op3,cwp)
begin
if (op="10") and (cwp = '1') then
-- para save
if (op3="111100")then -- save
ncwp<='0';
end if;
if (op3="111101")then --restore
ncwp<='1';
end if;
end if;
if (op="10") and (cwp = '0') then
--restore
if (op3="111101")then --restore
ncwp<='1';
end if;
if (op3="111100")then --save
ncwp<='0';
end if;
end if;
if(op="10")then
if ((op3/="111100") and (op3/="111101"))then
ncwp <= cwp;
end if;
end if;
end process;
end Behavioral;
|
mit
|
h3ct0rjs/ComputerArchitecture
|
Talleres/TallerVhdl/registro_tb.vhd
|
1
|
2432
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
-- Create Date: 16:49:16 09/21/2017
-- VHDL Test Bench Created by ISE for module: registro
-- Dependencies:
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY registro_tb IS
END registro_tb;
ARCHITECTURE behavior OF registro_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT registro
PORT(
reset : IN std_logic;
data_in : IN std_logic_vector(31 downto 0);
clk : IN std_logic;
data_out : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal reset : std_logic := '0';
signal data_in : std_logic_vector(31 downto 0) := (others => '0');
signal clk : std_logic := '0';
--Outputs
signal data_out : std_logic_vector(31 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: registro PORT MAP (
reset => reset,
data_in => data_in,
clk => clk,
data_out => data_out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
data_in <= "00000000000000001110000000000111";
wait for clk_period*10;
reset <= '1';
wait for clk_period*10;
reset <= '0';
data_in <= "00000000000111101110000000000111";
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
mit
|
Yonaba/PiL3
|
Ch01/Ex1.3/vhdl.vhd
|
1
|
255
|
-- This is an inlined comment
entity hello_world is
end;
architecture hello_world of hello_world is
begin
stimulus : PROCESS
begin
assert false report "Hello World. From VHDL."
severity note;
wait;
end PROCESS stimulus;
end hello_world;
|
mit
|
bringking/power_of_es6
|
assets/js/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
meteorcloudy/CPU_verilog
|
shit_tester.vhd
|
1
|
2503
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:34:19 03/28/2014
-- Design Name:
-- Module Name: D:/XilinxProject/CPU/shit_tester.vhd
-- Project Name: CPU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: shift
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY shit_tester IS
END shit_tester;
ARCHITECTURE behavior OF shit_tester IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT shift
PORT(
d : IN std_logic_vector(31 downto 0);
sa : IN std_logic_vector(4 downto 0);
right : IN std_logic;
arith : IN std_logic;
sh : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal d : std_logic_vector(31 downto 0) := (others => '0');
signal sa : std_logic_vector(4 downto 0) := (others => '0');
signal right : std_logic := '0';
signal arith : std_logic := '0';
--Outputs
signal sh : std_logic_vector(31 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
constant <clock>_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: shift PORT MAP (
d => d,
sa => sa,
right => right,
arith => arith,
sh => sh
);
-- Clock process definitions
<clock>_process :process
begin
<clock> <= '0';
wait for <clock>_period/2;
<clock> <= '1';
wait for <clock>_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for <clock>_period*10;
-- insert stimulus here
wait;
end process;
END;
|
mit
|
GSimas/EEL5105
|
Eletr-Digital/Relatório3/DIVISOR/divisor120.vhd
|
1
|
4587
|
-- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: divisor120.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY divisor120 IS
PORT
(
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (19 DOWNTO 0)
);
END divisor120;
ARCHITECTURE SYN OF divisor120 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (19 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (19 DOWNTO 0)
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(19 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UP",
lpm_modulus => 208333,
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 20
)
PORT MAP (
clock => clock,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "208333"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "20"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "208333"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "20"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 20 0 OUTPUT NODEFVAL q[19..0]
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 20 0 @q 0 0 20 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor120_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
|
mit
|
GSimas/EEL5105
|
AULA3/c3.vhd
|
1
|
228
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity C3 is
port (A: in std_logic;
B: in std_logic;
C: in std_logic;
F: out std_logic
);
end C3;
architecture c3_estr of C3 is
begin
F <= (B or C) and (not A);
end c3_estr;
|
mit
|
GSimas/EEL5105
|
AULA7a/Moore.vhd
|
2
|
765
|
library ieee;
use ieee.std_logic_1164.all;
entity FSM_Conta is port(
CLK, RST: in std_logic;
contagem: out std_logic_vector(3 downto 0)
);
end FSM_Conta;
architecture bhv of FSM_Conta is
type states is (S0,S1,S2,S3,S4);
signal EA, PE: states;
begin
P1: process(CLK, RST)
begin
if RST = '0' then
EA <= S0;
elsif CLK'event and CLK = '0' then
EA <= PE;
end if;
end process;
P2: process(EA)
begin
case EA is
when S0 => contagem <= "0001";
PE <= S1;
when S1 => contagem <= "0010";
PE <= S2;
when S2 => contagem <= "0011";
PE <= S3;
when S3 => contagem <= "0100";
PE <= S4;
when S4 => contagem <= "0101";
PE <= S0;
end case;
end process;
end bhv;
|
mit
|
GSimas/EEL5105
|
AULA3/c4.vhd
|
1
|
222
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity C4 is
port (A: in std_logic;
B: in std_logic;
C: in std_logic;
F: out std_logic
);
end C4;
architecture c4_estr of C4 is
begin
F <= (A and B) or C;
end c4_estr;
|
mit
|
GSimas/EEL5105
|
Eletr-Digital/Relatório3/DIVISOR/divisor_inst.vhd
|
2
|
96
|
divisor_inst : divisor PORT MAP (
clock => clock_sig,
cout => cout_sig,
q => q_sig
);
|
mit
|
bertuccio/ARQ
|
Practica5/ForwardingUnit.vhd
|
2
|
1382
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ForwardingUnit is
Port ( EX_MEM_ESCREG : in STD_LOGIC;
MEM_WB_ESCREG : in STD_LOGIC;
AnticipaA : out STD_LOGIC_VECTOR (1 downto 0);
AnticipaB : out STD_LOGIC_VECTOR (1 downto 0);
ID_EX_RS : in STD_LOGIC_VECTOR (4 downto 0);
ID_EX_RT : in STD_LOGIC_VECTOR (4 downto 0);
EX_MEM_RD : in STD_LOGIC_VECTOR (4 downto 0);
MEM_WB_RD : in STD_LOGIC_VECTOR (4 downto 0));
end ForwardingUnit;
architecture Behavioral of ForwardingUnit is
begin
process (EX_MEM_ESCREG,EX_MEM_RD,ID_EX_RS,MEM_WB_ESCREG,MEM_WB_RD)
begin
if EX_MEM_ESCREG='1' and EX_MEM_RD/="00000" and EX_MEM_RD=ID_EX_RS then
AnticipaA<="10";
elsif MEM_WB_ESCREG='1' and MEM_WB_RD/="00000" and EX_MEM_RD/=ID_EX_RS and MEM_WB_RD=ID_EX_RS then
AnticipaA<="01";
else
AnticipaA<="00";
end if;
end process;
process (EX_MEM_ESCREG,EX_MEM_RD,ID_EX_RT,MEM_WB_ESCREG,MEM_WB_RD)
begin
if EX_MEM_ESCREG='1' and EX_MEM_RD/="00000" and EX_MEM_RD=ID_EX_RT then
AnticipaB<="10";
elsif MEM_WB_ESCREG='1' and MEM_WB_RD/="00000" and EX_MEM_RD/=ID_EX_RT and MEM_WB_RD=ID_EX_RT then
AnticipaB<="01";
else
AnticipaB<="00";
end if;
end process;
end Behavioral;
|
mit
|
abyrne55/my-little-processor
|
register_16bit.vhd
|
1
|
801
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- 16-bit Register w/ Async. Reset
ENTITY register_16bit IS
PORT (
input : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
enable : IN STD_LOGIC;
reset : IN STD_LOGIC; -- async. reset
clock : IN STD_LOGIC;
do_xor : IN STD_LOGIC;
output : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END register_16bit;
ARCHITECTURE behavioural OF register_16bit IS
SIGNAL outtemp : std_logic_vector(15 DOWNTO 0) := "0000000000000000";
BEGIN
PROCESS (clock, reset, do_xor)
BEGIN
IF rising_edge(clock) THEN
IF (reset = '1') THEN
outtemp <= "0000000000000000";
ELSE
IF do_xor = '1' THEN
outtemp <= outtemp XOR input;
ELSIF enable = '1' THEN
outtemp <= input;
END IF;
END IF;
END IF;
END PROCESS;
output <= outtemp;
END behavioural;
|
mit
|
GSimas/EEL5105
|
AULA7a/output_files/Moore.vhd
|
2
|
131
|
library ieee;
use ieee.std_logic_1164.all;
entity Moore is port(
X, CLK, RST: in std_logic;
Z: out std_logic;
);
end Moore;
|
mit
|
GSimas/EEL5105
|
AULA4/C4.vhd
|
1
|
317
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity C4 is
port (
w: in std_logic;
x: in std_logic;
y: in std_logic;
z: in std_logic;
s: in std_logic_vector(1 downto 0);
F: out std_logic
);
end C4;
architecture c4_estr of C4 is
begin
F <=
w when s = "00" else
x when s = "01" else
y when s = "10" else
z;
end c4_estr;
|
mit
|
fquinto/Wireless_sensor_network
|
Avnet_UPC/hdl/mb_plb_wrapper.vhd
|
1
|
14619
|
-------------------------------------------------------------------------------
-- mb_plb_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library plb_v46_v1_05_a;
use plb_v46_v1_05_a.all;
entity mb_plb_wrapper is
port (
PLB_Clk : in std_logic;
SYS_Rst : in std_logic;
PLB_Rst : out std_logic;
SPLB_Rst : out std_logic_vector(0 to 8);
MPLB_Rst : out std_logic_vector(0 to 1);
PLB_dcrAck : out std_logic;
PLB_dcrDBus : out std_logic_vector(0 to 31);
DCR_ABus : in std_logic_vector(0 to 9);
DCR_DBus : in std_logic_vector(0 to 31);
DCR_Read : in std_logic;
DCR_Write : in std_logic;
M_ABus : in std_logic_vector(0 to 63);
M_UABus : in std_logic_vector(0 to 63);
M_BE : in std_logic_vector(0 to 7);
M_RNW : in std_logic_vector(0 to 1);
M_abort : in std_logic_vector(0 to 1);
M_busLock : in std_logic_vector(0 to 1);
M_TAttribute : in std_logic_vector(0 to 31);
M_lockErr : in std_logic_vector(0 to 1);
M_MSize : in std_logic_vector(0 to 3);
M_priority : in std_logic_vector(0 to 3);
M_rdBurst : in std_logic_vector(0 to 1);
M_request : in std_logic_vector(0 to 1);
M_size : in std_logic_vector(0 to 7);
M_type : in std_logic_vector(0 to 5);
M_wrBurst : in std_logic_vector(0 to 1);
M_wrDBus : in std_logic_vector(0 to 63);
Sl_addrAck : in std_logic_vector(0 to 8);
Sl_MRdErr : in std_logic_vector(0 to 17);
Sl_MWrErr : in std_logic_vector(0 to 17);
Sl_MBusy : in std_logic_vector(0 to 17);
Sl_rdBTerm : in std_logic_vector(0 to 8);
Sl_rdComp : in std_logic_vector(0 to 8);
Sl_rdDAck : in std_logic_vector(0 to 8);
Sl_rdDBus : in std_logic_vector(0 to 287);
Sl_rdWdAddr : in std_logic_vector(0 to 35);
Sl_rearbitrate : in std_logic_vector(0 to 8);
Sl_SSize : in std_logic_vector(0 to 17);
Sl_wait : in std_logic_vector(0 to 8);
Sl_wrBTerm : in std_logic_vector(0 to 8);
Sl_wrComp : in std_logic_vector(0 to 8);
Sl_wrDAck : in std_logic_vector(0 to 8);
Sl_MIRQ : in std_logic_vector(0 to 17);
PLB_MIRQ : out std_logic_vector(0 to 1);
PLB_ABus : out std_logic_vector(0 to 31);
PLB_UABus : out std_logic_vector(0 to 31);
PLB_BE : out std_logic_vector(0 to 3);
PLB_MAddrAck : out std_logic_vector(0 to 1);
PLB_MTimeout : out std_logic_vector(0 to 1);
PLB_MBusy : out std_logic_vector(0 to 1);
PLB_MRdErr : out std_logic_vector(0 to 1);
PLB_MWrErr : out std_logic_vector(0 to 1);
PLB_MRdBTerm : out std_logic_vector(0 to 1);
PLB_MRdDAck : out std_logic_vector(0 to 1);
PLB_MRdDBus : out std_logic_vector(0 to 63);
PLB_MRdWdAddr : out std_logic_vector(0 to 7);
PLB_MRearbitrate : out std_logic_vector(0 to 1);
PLB_MWrBTerm : out std_logic_vector(0 to 1);
PLB_MWrDAck : out std_logic_vector(0 to 1);
PLB_MSSize : out std_logic_vector(0 to 3);
PLB_PAValid : out std_logic;
PLB_RNW : out std_logic;
PLB_SAValid : out std_logic;
PLB_abort : out std_logic;
PLB_busLock : out std_logic;
PLB_TAttribute : out std_logic_vector(0 to 15);
PLB_lockErr : out std_logic;
PLB_masterID : out std_logic_vector(0 to 0);
PLB_MSize : out std_logic_vector(0 to 1);
PLB_rdPendPri : out std_logic_vector(0 to 1);
PLB_wrPendPri : out std_logic_vector(0 to 1);
PLB_rdPendReq : out std_logic;
PLB_wrPendReq : out std_logic;
PLB_rdBurst : out std_logic;
PLB_rdPrim : out std_logic_vector(0 to 8);
PLB_reqPri : out std_logic_vector(0 to 1);
PLB_size : out std_logic_vector(0 to 3);
PLB_type : out std_logic_vector(0 to 2);
PLB_wrBurst : out std_logic;
PLB_wrDBus : out std_logic_vector(0 to 31);
PLB_wrPrim : out std_logic_vector(0 to 8);
PLB_SaddrAck : out std_logic;
PLB_SMRdErr : out std_logic_vector(0 to 1);
PLB_SMWrErr : out std_logic_vector(0 to 1);
PLB_SMBusy : out std_logic_vector(0 to 1);
PLB_SrdBTerm : out std_logic;
PLB_SrdComp : out std_logic;
PLB_SrdDAck : out std_logic;
PLB_SrdDBus : out std_logic_vector(0 to 31);
PLB_SrdWdAddr : out std_logic_vector(0 to 3);
PLB_Srearbitrate : out std_logic;
PLB_Sssize : out std_logic_vector(0 to 1);
PLB_Swait : out std_logic;
PLB_SwrBTerm : out std_logic;
PLB_SwrComp : out std_logic;
PLB_SwrDAck : out std_logic;
Bus_Error_Det : out std_logic
);
attribute x_core_info : STRING;
attribute x_core_info of mb_plb_wrapper : entity is "plb_v46_v1_05_a";
end mb_plb_wrapper;
architecture STRUCTURE of mb_plb_wrapper is
component plb_v46 is
generic (
C_PLBV46_NUM_MASTERS : integer;
C_PLBV46_NUM_SLAVES : integer;
C_PLBV46_MID_WIDTH : integer;
C_PLBV46_AWIDTH : integer;
C_PLBV46_DWIDTH : integer;
C_DCR_INTFCE : integer;
C_BASEADDR : std_logic_vector;
C_HIGHADDR : std_logic_vector;
C_DCR_AWIDTH : integer;
C_DCR_DWIDTH : integer;
C_EXT_RESET_HIGH : integer;
C_IRQ_ACTIVE : std_logic;
C_ADDR_PIPELINING_TYPE : integer;
C_FAMILY : string;
C_P2P : integer;
C_ARB_TYPE : integer
);
port (
PLB_Clk : in std_logic;
SYS_Rst : in std_logic;
PLB_Rst : out std_logic;
SPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
MPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_dcrAck : out std_logic;
PLB_dcrDBus : out std_logic_vector(0 to C_DCR_DWIDTH-1);
DCR_ABus : in std_logic_vector(0 to C_DCR_AWIDTH-1);
DCR_DBus : in std_logic_vector(0 to C_DCR_DWIDTH-1);
DCR_Read : in std_logic;
DCR_Write : in std_logic;
M_ABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1);
M_UABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1);
M_BE : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*(C_PLBV46_DWIDTH/8))-1);
M_RNW : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_abort : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_busLock : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_TAttribute : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*16)-1);
M_lockErr : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_MSize : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
M_priority : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
M_rdBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_request : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_size : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1);
M_type : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*3)-1);
M_wrBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
M_wrDBus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1);
Sl_addrAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_MRdErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1);
Sl_MWrErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1);
Sl_MBusy : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS - 1 );
Sl_rdBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_rdDBus : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_DWIDTH-1);
Sl_rdWdAddr : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*4-1);
Sl_rearbitrate : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_SSize : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*2-1);
Sl_wait : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_wrDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
Sl_MIRQ : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS-1);
PLB_MIRQ : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_ABus : out std_logic_vector(0 to 31);
PLB_UABus : out std_logic_vector(0 to 31);
PLB_BE : out std_logic_vector(0 to (C_PLBV46_DWIDTH/8)-1);
PLB_MAddrAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MTimeout : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MRdDBus : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1);
PLB_MRdWdAddr : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1);
PLB_MRearbitrate : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MWrDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_MSSize : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1);
PLB_PAValid : out std_logic;
PLB_RNW : out std_logic;
PLB_SAValid : out std_logic;
PLB_abort : out std_logic;
PLB_busLock : out std_logic;
PLB_TAttribute : out std_logic_vector(0 to 15);
PLB_lockErr : out std_logic;
PLB_masterID : out std_logic_vector(0 to C_PLBV46_MID_WIDTH-1);
PLB_MSize : out std_logic_vector(0 to 1);
PLB_rdPendPri : out std_logic_vector(0 to 1);
PLB_wrPendPri : out std_logic_vector(0 to 1);
PLB_rdPendReq : out std_logic;
PLB_wrPendReq : out std_logic;
PLB_rdBurst : out std_logic;
PLB_rdPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
PLB_reqPri : out std_logic_vector(0 to 1);
PLB_size : out std_logic_vector(0 to 3);
PLB_type : out std_logic_vector(0 to 2);
PLB_wrBurst : out std_logic;
PLB_wrDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1);
PLB_wrPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1);
PLB_SaddrAck : out std_logic;
PLB_SMRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SMWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SMBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1);
PLB_SrdBTerm : out std_logic;
PLB_SrdComp : out std_logic;
PLB_SrdDAck : out std_logic;
PLB_SrdDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1);
PLB_SrdWdAddr : out std_logic_vector(0 to 3);
PLB_Srearbitrate : out std_logic;
PLB_Sssize : out std_logic_vector(0 to 1);
PLB_Swait : out std_logic;
PLB_SwrBTerm : out std_logic;
PLB_SwrComp : out std_logic;
PLB_SwrDAck : out std_logic;
Bus_Error_Det : out std_logic
);
end component;
begin
mb_plb : plb_v46
generic map (
C_PLBV46_NUM_MASTERS => 2,
C_PLBV46_NUM_SLAVES => 9,
C_PLBV46_MID_WIDTH => 1,
C_PLBV46_AWIDTH => 32,
C_PLBV46_DWIDTH => 32,
C_DCR_INTFCE => 0,
C_BASEADDR => B"1111111111",
C_HIGHADDR => B"0000000000",
C_DCR_AWIDTH => 10,
C_DCR_DWIDTH => 32,
C_EXT_RESET_HIGH => 1,
C_IRQ_ACTIVE => '1',
C_ADDR_PIPELINING_TYPE => 1,
C_FAMILY => "spartan3a",
C_P2P => 0,
C_ARB_TYPE => 0
)
port map (
PLB_Clk => PLB_Clk,
SYS_Rst => SYS_Rst,
PLB_Rst => PLB_Rst,
SPLB_Rst => SPLB_Rst,
MPLB_Rst => MPLB_Rst,
PLB_dcrAck => PLB_dcrAck,
PLB_dcrDBus => PLB_dcrDBus,
DCR_ABus => DCR_ABus,
DCR_DBus => DCR_DBus,
DCR_Read => DCR_Read,
DCR_Write => DCR_Write,
M_ABus => M_ABus,
M_UABus => M_UABus,
M_BE => M_BE,
M_RNW => M_RNW,
M_abort => M_abort,
M_busLock => M_busLock,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
Sl_addrAck => Sl_addrAck,
Sl_MRdErr => Sl_MRdErr,
Sl_MWrErr => Sl_MWrErr,
Sl_MBusy => Sl_MBusy,
Sl_rdBTerm => Sl_rdBTerm,
Sl_rdComp => Sl_rdComp,
Sl_rdDAck => Sl_rdDAck,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rearbitrate => Sl_rearbitrate,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_wrBTerm => Sl_wrBTerm,
Sl_wrComp => Sl_wrComp,
Sl_wrDAck => Sl_wrDAck,
Sl_MIRQ => Sl_MIRQ,
PLB_MIRQ => PLB_MIRQ,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_BE => PLB_BE,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MSSize => PLB_MSSize,
PLB_PAValid => PLB_PAValid,
PLB_RNW => PLB_RNW,
PLB_SAValid => PLB_SAValid,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_TAttribute => PLB_TAttribute,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_rdPendPri => PLB_rdPendPri,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdBurst => PLB_rdBurst,
PLB_rdPrim => PLB_rdPrim,
PLB_reqPri => PLB_reqPri,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
PLB_wrPrim => PLB_wrPrim,
PLB_SaddrAck => PLB_SaddrAck,
PLB_SMRdErr => PLB_SMRdErr,
PLB_SMWrErr => PLB_SMWrErr,
PLB_SMBusy => PLB_SMBusy,
PLB_SrdBTerm => PLB_SrdBTerm,
PLB_SrdComp => PLB_SrdComp,
PLB_SrdDAck => PLB_SrdDAck,
PLB_SrdDBus => PLB_SrdDBus,
PLB_SrdWdAddr => PLB_SrdWdAddr,
PLB_Srearbitrate => PLB_Srearbitrate,
PLB_Sssize => PLB_Sssize,
PLB_Swait => PLB_Swait,
PLB_SwrBTerm => PLB_SwrBTerm,
PLB_SwrComp => PLB_SwrComp,
PLB_SwrDAck => PLB_SwrDAck,
Bus_Error_Det => Bus_Error_Det
);
end architecture STRUCTURE;
|
mit
|
GSimas/EEL5105
|
AULA4/Aula4Mux.vhd
|
1
|
921
|
library ieee;
use ieee.std_logic_1164.all;
entity Aula4Mux is
port ( SW : IN STD_LOGIC_VECTOR(9 downto 0);
LEDR : OUT STD_LOGIC_VECTOR(9 downto 0));
end Aula4Mux;
architecture Aula4Mux_estru of Aula4Mux is
signal F1, F2, F3: std_logic;
component C1
port (A : in std_logic;
B : in std_logic;
C : in std_logic;
F : out std_logic);
end component;
component C2
port (A : in std_logic;
B : in std_logic;
F : out std_logic);
end component;
component C3
port (A : in std_logic;
B : in std_logic;
C : in std_logic;
F : out std_logic);
end component;
component C4
port (w : in std_logic;
x : in std_logic;
y : in std_logic;
z : in std_logic;
s : in std_logic_vector (1 downto 0);
F : out std_logic);
end component;
begin
L0: C1 port map (SW(0), SW(1), SW(2), F1);
L1: C2 port map (SW(1), SW(2), F2);
L2: C3 port map (SW(0), SW(1), SW(2), F3);
L3: C4 port map (F1, F2, F3, SW(7), SW(9 downto 8), LEDR(0));
end Aula4Mux_estru;
|
mit
|
GSimas/EEL5105
|
Eletr-Digital/Relatório4/Controle de Motor de Passo/divisor.vhd
|
2
|
4560
|
-- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: divisor.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY divisor IS
PORT
(
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END divisor;
ARCHITECTURE SYN OF divisor IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (29 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(29 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UP",
lpm_modulus => 25000000,
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 30
)
PORT MAP (
clock => clock,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "25000000"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "30"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "25000000"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "30"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 30 0 OUTPUT NODEFVAL q[29..0]
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 30 0 @q 0 0 30 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL divisor_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
|
mit
|
abyrne55/my-little-processor
|
my_little_processor.vhd
|
1
|
4424
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
-- "My Little Processor(TM)"
-- Top Level Entity
ENTITY my_little_processor IS
PORT (
clock, reset : IN STD_LOGIC;
data_in : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
flag_out : OUT STD_LOGIC;
done_out : OUT STD_LOGIC;
c_state : OUT INTEGER;
read_addr, reg0_out, reg1_out : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END;
ARCHITECTURE behavioural OF my_little_processor IS
SIGNAL read_addr_temp, main_bus, R0_output, R1_output, A_output, G_output, Adder_output : STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL R0_in, R1_in, R0_out, R1_out, R0_xor, R1_xor, A_in, G_in, G_out, extern, done_temp, PC_in, PC_out : STD_LOGIC;
SIGNAL read_addr_int : INTEGER;
COMPONENT register_16bit
PORT (
input : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
enable : IN STD_LOGIC;
reset : IN STD_LOGIC;
clock : IN STD_LOGIC;
do_xor : IN STD_LOGIC;
output : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT PC
PORT (
input : IN std_logic_vector(15 DOWNTO 0);
en_in, clock, done, reset : IN std_logic;
read_addr : OUT INTEGER
);
END COMPONENT;
COMPONENT control_circuit
PORT (
clock, reset : IN STD_LOGIC;
func : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
R0_in, R1_in : OUT STD_LOGIC;
R0_out, R1_out : OUT STD_LOGIC;
R0_xor, R1_xor : OUT STD_LOGIC;
PC_in : OUT STD_LOGIC;
PC_out : OUT STD_LOGIC;
A_in, G_in, G_out, extern : OUT STD_LOGIC;
done : OUT STD_LOGIC;
c_state : OUT INTEGER
);
END COMPONENT;
COMPONENT tristate_16bit
PORT (
input : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
enable : IN STD_LOGIC;
output : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT Adder
PORT (
A, B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
output : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
flag : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
PC0 : PC
PORT MAP(
input => main_bus,
en_in => PC_in,
clock => clock,
done => done_temp,
reset => reset,
read_addr => read_addr_int
);
control_circuit0 : control_circuit
PORT MAP(
reset => reset,
clock => clock,
func => data_in,
R0_in => R0_in,
R1_in => R1_in,
R0_out => R0_out,
R1_out => R1_out,
R0_xor => R0_xor,
R1_xor => R1_xor,
A_in => A_in,
G_in => G_in,
G_out => G_out,
extern => extern,
done => done_temp,
PC_in => PC_in,
PC_out => PC_out,
c_state => c_state
);
register0 : register_16bit
PORT MAP(
input => main_bus,
enable => R0_in,
reset => reset,
clock => clock,
do_xor => R0_xor,
output => R0_output
);
register1 : register_16bit
PORT MAP(
input => main_bus,
enable => R1_in,
reset => reset,
clock => clock,
do_xor => R1_xor,
output => R1_output
);
registerA : register_16bit
PORT MAP(
input => main_bus,
enable => A_in,
reset => reset,
clock => clock,
do_xor => '0',
output => A_output
);
registerG : register_16bit
PORT MAP(
input => Adder_output,
enable => G_in,
reset => reset,
clock => clock,
do_xor => '0',
output => G_output
);
tristate_PC : tristate_16bit
PORT MAP(
input => read_addr_temp,
enable => PC_out,
output => main_bus
);
tristate0 : tristate_16bit
PORT MAP(
input => R0_output,
enable => R0_out,
output => main_bus
);
tristate1 : tristate_16bit
PORT MAP(
input => R1_output,
enable => R1_out,
output => main_bus
);
tristateG : tristate_16bit
PORT MAP(
input => G_output,
enable => G_out,
output => main_bus
);
tristateX : tristate_16bit
PORT MAP(-- External Data
input => data_in,
enable => extern,
output => main_bus
);
Adder0 : Adder
PORT MAP(
A => A_output,
B => main_bus,
output => Adder_output,
flag => flag_out
);
read_addr_temp <= std_logic_vector(to_unsigned(read_addr_int, read_addr_temp'length));
read_addr <= read_addr_temp;
done_out <= done_temp;
reg0_out <= R0_output;
reg1_out <= R1_output;
END behavioural;
|
mit
|
GSimas/EEL5105
|
Eletr-Digital/Projeto Final/PROJETO COFRE FUNCIONANDO/BuzzerMi.vhd
|
1
|
4562
|
-- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: BuzzerMi.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY BuzzerMi IS
PORT
(
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END BuzzerMi;
ARCHITECTURE SYN OF buzzermi IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (29 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (29 DOWNTO 0)
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(29 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UP",
lpm_modulus => 75757,
lpm_port_updown => "PORT_UNUSED",
lpm_type => "LPM_COUNTER",
lpm_width => 30
)
PORT MAP (
clock => clock,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "75757"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "30"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UP"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "75757"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "30"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 30 0 OUTPUT NODEFVAL q[29..0]
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 30 0 @q 0 0 30 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL BuzzerMi_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
|
mit
|
bertuccio/ARQ
|
Practica2/procesador.vhd
|
1
|
9065
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity procesador is
port(
Clk : in std_logic;
Reset : in std_logic;
-- Instruction memory
I_Addr : out std_logic_vector(31 downto 0);
I_RdStb : out std_logic;
I_WrStb : out std_logic;
I_AddrStb : out std_logic;
I_DataOut : out std_logic_vector(31 downto 0);
I_Rdy : in std_logic;
I_DataIn : in std_logic_vector(31 downto 0);
-- Data memory
D_Addr : out std_logic_vector(31 downto 0);
D_RdStb : out std_logic;
D_WrStb : out std_logic;
D_AddrStb : out std_logic;
D_DataOut : out std_logic_vector(31 downto 0);
D_Rdy : in std_logic;
D_DataIn : in std_logic_vector(31 downto 0)
);
end procesador;
architecture procesador_arq of procesador is
------------------------
------COMPONENTES-------
------------------------
component tabla_registros PORT
( CLK : in STD_LOGIC;
Reset : in STD_LOGIC;
EscrReg : in STD_LOGIC;
reg_lec1 : in STD_LOGIC_VECTOR (4 downto 0);
reg_lec2 : in STD_LOGIC_VECTOR (4 downto 0);
reg_escr: in STD_LOGIC_VECTOR (4 downto 0);
dato_escr : in STD_LOGIC_VECTOR (31 downto 0);
dato_leido1 : out STD_LOGIC_VECTOR (31 downto 0);
dato_leido2 : out STD_LOGIC_VECTOR (31 downto 0));
end component;
component ALU PORT
( A : in STD_LOGIC_VECTOR (31 downto 0);
B : in STD_LOGIC_VECTOR (31 downto 0);
control : in STD_LOGIC_VECTOR (3 downto 0);
resultado : out STD_LOGIC_VECTOR (31 downto 0);
igual : out STD_LOGIC);
end component;
------------------
-----SEÑALES------
------------------
signal PC_IN : STD_LOGIC_VECTOR (31 downto 0);
signal PC_IN1 : STD_LOGIC_VECTOR (31 downto 0);
signal PC_IN2 : STD_LOGIC_VECTOR (31 downto 0);
signal addResultIN : STD_LOGIC_VECTOR (31 downto 0);
signal addResultOUT : STD_LOGIC_VECTOR (31 downto 0);
signal MemMux1 : STD_LOGIC_VECTOR (31 downto 0);
signal MemMux2 : STD_LOGIC_VECTOR (31 downto 0);
-------ALU-----------------------------------------
signal OpA : STD_LOGIC_VECTOR (31 downto 0);
signal OpB : STD_LOGIC_VECTOR (31 downto 0);
signal mux1OpB: STD_LOGIC_VECTOR (31 downto 0);
signal mux2OpB: STD_LOGIC_VECTOR (31 downto 0);
signal AluControl : STD_LOGIC_VECTOR (5 downto 0);
signal ALUctr : STD_LOGIC_VECTOR (3 downto 0);
signal Zero : STD_LOGIC;
signal AluResultIN : STD_LOGIC_VECTOR (31 downto 0);
signal AluResultOUT : STD_LOGIC_VECTOR (31 downto 0);
---------------------------------------------------
--------------CONTROL----------------------------
signal Control: STD_LOGIC_VECTOR (5 downto 0);
------EX------------
signal EXctr : std_logic_vector(3 downto 0);
signal RegDst: STD_LOGIC;
signal ALUOp: STD_LOGIC_VECTOR (1 downto 0);
signal AluSrc : STD_LOGIC;
-------M------------
signal Mctr : std_logic_vector(2 downto 0);
signal Mctr1 : std_logic_vector(2 downto 0);
signal Mctr2 : std_logic_vector(2 downto 0);
signal PCSrc : STD_LOGIC;
------WB------------
signal WEctr : std_logic_vector(1 downto 0);
signal WEctr1 : std_logic_vector(1 downto 0);
signal WEctr2 : std_logic_vector(1 downto 0);
signal EscrReg : STD_LOGIC;
signal MemToReg : STD_LOGIC;
---------------------------------------------------
signal signo_extend: STD_LOGIC_VECTOR (31 downto 0);
signal reg_lect1IF : STD_LOGIC_VECTOR (4 downto 0);
signal reg_lect2IF : STD_LOGIC_VECTOR (4 downto 0);
signal rdInstCarg : STD_LOGIC_VECTOR (4 downto 0);
signal rdInstALU : STD_LOGIC_VECTOR (4 downto 0);
signal reg_escr: STD_LOGIC_VECTOR (4 downto 0);
signal reg_escrIN: STD_LOGIC_VECTOR (4 downto 0);
signal dato_leido1 : STD_LOGIC_VECTOR (31 downto 0);
signal dato_leido2 : STD_LOGIC_VECTOR (31 downto 0);
signal dato_escr : STD_LOGIC_VECTOR (31 downto 0);
signal RegEscribir1 : STD_LOGIC_VECTOR (4 downto 0);
signal RegEscribir2 : STD_LOGIC_VECTOR (4 downto 0);
signal mux_aux1 : STD_LOGIC_VECTOR (4 downto 0);
signal mux_aux2 : STD_LOGIC_VECTOR (4 downto 0);
begin
-----------------
----PORT-MAPS----
-----------------
--BANCO REGISTROS--
BANCO: tabla_registros port map(
CLK => Clk,
Reset => Reset,
EscrReg => EscrReg,
reg_lec1 => reg_lect1IF,
reg_lec2 => reg_lect2IF,
reg_escr => reg_escr,
dato_escr => dato_escr,
dato_leido1 => dato_leido1,
dato_leido2 => dato_leido2);
--ALU--
UAL : ALU port map(
A => OpA,
B => OpB,
control => ALUctr,
resultado => AluResultIN,
igual => Zero);
I_RdStb<='1';
I_WrStb<='0';
I_AddrStb<='1';
D_AddrStb<='1';
I_Addr<=PC_IN;
I_DataOut<=x"00000000";
------------------------------
----CONTADOR DE PROGRAMA------
------------------------------
process(Clk,Reset)
begin
if Reset='1' then
PC_IN<=x"00000000";
else
if rising_edge(Clk) then
if (PCSrc='1') then
PC_IN<=addResultOUT;
else
PC_IN<=PC_IN+4;
end if;
end if;
end if;
end process;
-----------------------
---PRIMER PIPE (IF)----
-----------------------
process (Clk,Reset)
begin
if (Reset='1') then
PC_IN1<=x"00000000";
Control<= "000000";
reg_lect1IF<="00000";
reg_lect2IF<="00000";
rdInstCarg<= "00000";
rdInstALU<= "00000";
signo_extend<=x"00000000";
else
if rising_edge(Clk) then
PC_IN1<=PC_IN;
Control <= I_DataIn(31 downto 26);
reg_lect1IF <=I_DataIn(25 downto 21);
reg_lect2IF <=I_DataIn(20 downto 16);
rdInstCarg <= I_DataIn(20 downto 16);
rdInstALU <= I_DataIn(15 downto 11);
if I_DataIn(15)='1' then
signo_extend<=x"FFFF"&I_DataIn(15 downto 0);
else
signo_extend<=x"0000"&I_DataIn(15 downto 0);
end if;
end if;
end if;
end process;
-----------------------
---SEGUNDO PIPE (EX)--
-----------------------
process (Clk,Reset)
begin
if (Reset='1') then
WEctr1<="00";
Mctr1<="000";
ALUOp<="00";
ALUcontrol<="000000";
OpA<=x"00000000";
mux1OpB<=x"00000000";
mux2OpB<=x"00000000";
mux_aux1<="00000";
mux_aux2<="00000";
addResultIN<=x"00000000";
AluSrc<='0';
RegDst<='0';
else
if rising_edge(Clk) then
WEctr1<=WEctr;
Mctr1<=Mctr;
ALUcontrol<=signo_extend(5 downto 0);
mux2OpB<=signo_extend;
addResultIN<=signo_extend(29 downto 0)&"00"+PC_IN1;
OpA<=dato_leido1;
mux1OpB<=dato_leido2;
mux_aux1<=rdInstCarg;
mux_aux2<=rdInstALU;
RegDst<=EXctr(3);
ALUOp<=EXctr(2 downto 1);
AluSrc<=EXctr(0);
end if;
end if;
end process;
----------MULTIPLEXORES--------------
WITH AluSrc SELECT
OpB <=mux1OpB WHEN '0',
mux2OpB WHEN OTHERS;
WITH RegDst SELECT
regEscribir1 <=mux_aux1 WHEN '0',
mux_aux2 WHEN OTHERS;
WITH MemToReg SELECT
dato_escr <=MemMux2 WHEN '0',
MemMux1 WHEN OTHERS;
------------------------------------
-----------------------
---TERCER PIPE (MEM)--
-----------------------
process (Clk,Reset)
begin
if (Reset='1') then
addResultOUT<=x"00000000";
D_WrStb<='0';--memwrite
D_RdStb<='0';--memread
PCSrc<='0';
D_DataOut<=x"00000000";
aluResultOUT<=x"00000000";
WEctr2<="00";
regEscribir2<="00000";
D_Addr<=x"00000000";
else
if rising_edge(Clk) then
WEctr2<=WEctr1;
addResultOUT<=addResultIN;
D_WrStb<=Mctr1(0);--memwrite
D_RdStb<=Mctr1(1);--memread
PCSrc<=Mctr1(2) and Zero;
D_Addr<=AluResultIN;
aluResultOUT<=AluResultIN;
D_DataOut<=mux1OpB;
regEscribir2<=regEscribir1;
end if;
end if;
end process;
-------------------
----REGISTRO 4-----
-------------------
process (Clk) begin
if (Reset='1') then
MemMux1<=x"00000000";
MemMux2<=x"00000000";
reg_escr<="00000";
MemToReg<='0';
EscrReg<='0';
else
if rising_edge(Clk) then
MemMux1<=D_DataIn;
MemMux2<=aluResultOUT;
reg_escr<=regEscribir2;
MemToReg<=WEctr2(0);
EscrReg<=WEctr2(1);
end if;
end if;
end process;
process (ALUOp, ALUcontrol) begin
case ALUOp is
when "10"=>--REG_A_REG
case ALUcontrol is
when "100000"=>--ADD
ALUctr<="0011";
when "100010"=>--SUB
ALUctr<="1000";
when "100100"=>--AND
ALUctr<="0000";
when "100101"=>--OR
ALUctr<="0001";
when "100110"=>--XOR
ALUctr<="0010";
when "101010"=>--SLT
ALUctr<="1010";
when others =>
ALUctr<="1111";
end case;
when "00"=>--LW ó SW
ALUctr<="0011";--ADD PARA CONSEGUIR LA DIRECCION DE MEMORIA
when "01"=>--BEQ
ALUctr<="0010";--XOR PARA VER SI RS Y RT SON IGUALES
when "11"=>--LIU
ALUctr<="1001";
when others =>
ALUctr<="1111";
end case;
end process;
process (Control) begin
case Control is
when "000000"=> --SPECIAL (R)
EXctr<="1100";
Mctr<="000";
WEctr<="10";
when "100011"=> --LW
EXctr<="0001";
Mctr<="010";
WEctr<="11";
when "101011"=> --SW
EXctr<="0001";
Mctr<="001";
WEctr<="00";
when "001111"=> --LIU
EXctr<="0110";
Mctr<="000";
WEctr<="10";
when "000100"=> --BE
EXctr<="0010";
Mctr<="100";
WEctr<="00";
when others =>
EXctr<="0000";
Mctr<="000";
WEctr<="00";
end case;
end process;
end procesador_arq;
|
mit
|
GSimas/EEL5105
|
Rep CAEE/11.2.Projeto/_PROJETO/reg_16.vhd
|
1
|
4022
|
-- ALUNOS:
-- Bruno Luiz da Silva
-- Gustavo Fernades
--
--
-- TÍTULO:
-- Registrador de 16 (+ 1) bits
--
--
-- RESUMO:
-- Registrador de 16 bits com recurso de deslocamento e normalização. É utilizado num multiplicador.
--
--
-- ENTRADAS/SAÍDAS (I/O):
-- (I) soma: dado da saída do armazenador (soma ou somente a cópia da parte alta desse registrador)
-- (I) chave: utilizado para carregar a parte baixa do registrador (7 downto 0), sendo conectado nas
-- chaves (SW). Só será lida quando start tiver valor lógico alto.
-- (I) start, load: o primeiro ativa a leitura de "chave" e então armazena dados na parte baixa do reg_16.
-- Já o load permite o armazenamento de "soma" na parte alta do registrador.
-- (I) shift, normalize: recursos extras do registrador que serão utilizados para realizar a multiplicação
-- de números float. "shift", quando alto, deslocará os dados para a esquerda
-- e "normalize" irá deslocar os dados para a direita e acresentará 1 ao signal
-- "exp_aux".
-- (I) clock,reset: clock e reset, sendo que o reset zera todas saídas
-- (O) q: saída dos dados armazenados
-- (O) exp: número de vezes em que os dados foram deslocados para direita até que o LSB fosse 1.
--
--
-- DESCRIÇÃO:
-- Serão, primeiramente, dados os valores do multiplicador e estes serão guardados na parte baixa do
-- registrador (7 downto 0). Para tal será necessário dar um valor lógico alto para "start".
-- Para carregar o valor que vier do somador terá-se que colocar um valor lógico alto em "load" e assim
-- o valor será guardado na parte alta do registrador (15 downto 8).
--
-- Como esse bloco será usado para um multiplicador então será necessário que o valor seja deslocado
-- após a operação do componente "somador". Para realizar isto será necessário dar um valor lógico alto
-- para "shift" a cada deslocamento desejado.
--
-- A normalização far-se-á necessária neste projeto, logo "normalize" deve receber um valor lógico alto
-- para que inicie-se a normalização. Nesse processo o signal "works" tornará-se 1 e enquanto o LSB não for
-- 1, "works" permanecerá em 1, desabilitando qualquer outra operação do componente. Quando a operação
-- estiver concluída ter-se-á o número normalizado e "exp" armazenará o número de deslocamentos que foram
-- necessários.
--
--
-- (I): INPUT / (O): OUTPUT
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity reg_16 is
port(
soma: std_logic_vector(8 downto 0); -- Resultado do somador
chave: std_logic_vector (7 downto 0); -- Valor do multiplicador
start, load, shift, normalize: in std_logic; -- Recursos para armazenar e manipular valores
clk, rst: in std_logic; -- Clock e reset do registrador
q: out std_logic_vector(15 downto 0); -- Saída (dados armazenados)
exp: out std_logic_vector(3 downto 0) -- Número de deslocamentos realizados pela normalização
);
end reg_16;
architecture func of reg_16 is
signal aux: std_logic_vector(16 downto 0);
signal exp_aux: std_logic_vector(3 downto 0);
signal works: std_logic;
begin
REG16: process(clk,rst)
begin
if (rst = '1') then
-- Deleta os dados atuais do registrador
aux <= (others => '0');
works <= '0';
elsif (rising_edge(clk)) then
if(works = '0') then
if(start = '1') then
-- Carrega o multiplicador para a parte baixa do
-- registrador e preenche com 0s a parte alta.
aux <= '0' & x"00" & chave;
elsif(load = '1') then
-- Carrega a soma para a parte baixa do registrador
aux(16 downto 8) <= soma;
elsif(shift = '1') then
-- Desloca os bits uma unidade para a direita.
aux <= '0' & aux(16 downto 1);
elsif(normalize = '1') then
works <= '1';
exp_aux <= (others => '0');
end if;
else
if(aux(15) = '0') then
exp_aux <= exp_aux + 1;
aux <= aux(15 downto 0) & '0';
end if;
end if;
end if;
exp <= exp_aux;
q <= aux(15 downto 0); -- Guarda as operações acima efetuadas no registrador.
end process;
end func;
|
mit
|
GSimas/EEL5105
|
AULA7b/LAB7b.vhd
|
1
|
829
|
library ieee;
use ieee.std_logic_1164.all;
entity Lab7b is
port (
LEDR: out std_logic_vector(3 downto 0);
KEY: in std_logic_vector(1 downto 0);
HEX0: out std_logic_vector(6 downto 0);
CLOCK_50: in std_logic
);
end Lab7b;
architecture Lab7b_beh of Lab7b is
signal F: std_logic_vector(3 downto 0);
signal NUM_clk: std_logic_vector(2 downto 0);
component FSM_Conta -- Esse e’ o componente FSM
port (
CLK: in std_logic;
RST: in std_logic;
NUM_CLK: in std_logic_vector(2 downto 0);
contagem: out std_logic_vector(3 downto 0)
);
end component;
component decod7seg
port (
C: in std_logic_vector(3 downto 0);
F: out std_logic_vector(6 downto 0)
);
end component;
begin
NUM_clk <= "010";
L0: FSM_Conta port map ( CLOCK_50, KEY(0), NUM_clk, F );
L1: decod7seg port map (F(3 downto 0), HEX0);
LEDR <= F;
end Lab7b_beh;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
vhdl/filter/iir/bq/filter_misc_functions.vhd
|
1
|
8790
|
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.filter_shared_package.all;
entity filter_extra_functions is
generic
(
MAC_FILTER_CH : natural := MC; -- MAC operations per channel for Main filter operation
CHANNELS : natural := C;
ENVELOPE_EN : natural := ENV;
ENV_MAC_ID : natural := ENV_MAC;
SETZERO_EN : natural := SETZ;
RMS_CH_EN : natural := RMS; -- Enable flag for RMS function. 0-disabled 1- enabled.
MEAN_CH_EN : natural := MEAN -- Enable flag for MEAN function. 0-disabled 1- enabled.
);
port
(
-- Input ports
clk : in std_logic;
rstn : in std_logic;
cnt_mac : in std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN))))-1 downto 0);
cnt_ch : in std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
cnt_delay_mac : in std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN))))-1 downto 0);
cnt_delay_ch : in std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0);
give_rms : in std_logic;
give_mean : in std_logic;
envelope : in std_logic_vector(CHANNELS-1 downto 0);
set_zero : in std_logic_vector(CHANNELS-1 downto 0);
valid_delay : in std_logic;
output : in std_logic;
-- Output ports
source_rms_valid : out std_logic:= '0';
source_mean_valid : out std_logic:= '0';
zero_y : out std_logic:= '0';
zero_acc_misc : out std_logic;
is_abs : out std_logic:= '0';
cond : out std_logic
);
end filter_extra_functions;
architecture filter_extra_functions_arch of filter_extra_functions is
signal rms_output_s, mean_output_s, cond_s : std_logic;
signal tmp_cnt_delay_ch_s : unsigned(cnt_delay_ch'range);
-- Envelope enable signal and absolute flag
signal envelope_en_s, is_abs_s : std_logic;
-- Set Zero signals
signal set_zero_s, set_zero_1_s, set_zero_2_s, set_zero_3_s, set_zero_4_s : std_logic_vector(CHANNELS-1 downto 0);
-- Valid Signal registers
signal source_rms_valid_s : std_logic;
signal source_mean_valid_s : std_logic;
begin
tmp_cnt_delay_ch_s <= unsigned(cnt_delay_ch);
-- RMS and MEAN Functionality
Cond_Zero_Rms_Mean: if RMS_CH_EN /= 0 and MEAN_CH_EN /= 0 generate
-- valid RMS and MEAN output if requested
Rms_Mean_Memless : process(cnt_delay_mac,give_rms,give_mean)
variable tmp_cnt_delay_mac : natural;
variable tmp_rms_output, tmp_mean_output : std_logic;
begin
tmp_cnt_delay_mac := to_integer(unsigned(cnt_delay_mac));
tmp_rms_output := '0';
tmp_mean_output := '0';
if tmp_cnt_delay_mac = MAC_FILTER_CH then
tmp_rms_output := give_rms;
end if;
if tmp_cnt_delay_mac = MAC_FILTER_CH+1 then
tmp_mean_output := give_mean;
end if;
rms_output_s <= tmp_rms_output;
mean_output_s <= tmp_mean_output;
zero_acc_misc <= tmp_rms_output or tmp_mean_output;
end process Rms_Mean_Memless;
cond_s <= '1' when (output = '1') or (rms_output_s = '1') or (mean_output_s = '1') else
'0';
end generate;
Cond_Zero_Rms: if RMS_CH_EN /= 0 and MEAN_CH_EN = 0 generate
-- valid RMS output if requested
Rms_Memless : process(cnt_delay_mac,give_rms)
variable tmp_cnt_delay_mac : natural;
variable tmp_rms_output : std_logic;
begin
tmp_cnt_delay_mac := to_integer(unsigned(cnt_delay_mac));
tmp_rms_output := '0';
if tmp_cnt_delay_mac = MAC_FILTER_CH then
tmp_rms_output := give_rms;
end if;
rms_output_s <= tmp_rms_output;
zero_acc_misc <= tmp_rms_output;
end process Rms_Memless;
cond_s <= '1' when (output = '1') or (rms_output_s = '1') else
'0';
end generate;
Cond_Zero_Mean: if RMS_CH_EN = 0 and MEAN_CH_EN /= 0 generate
-- valid MEAN output if requested
Mean_Memless : process(cnt_delay_mac,give_mean)
variable tmp_cnt_delay_mac : natural;
variable tmp_mean_output : std_logic;
begin
tmp_cnt_delay_mac := to_integer(unsigned(cnt_delay_mac));
tmp_mean_output := '0';
if tmp_cnt_delay_mac = MAC_FILTER_CH then
tmp_mean_output := give_mean;
end if;
mean_output_s <= tmp_mean_output;
zero_acc_misc <= tmp_mean_output;
end process Mean_Memless;
cond_s <= '1' when (output = '1') or (mean_output_s = '1') else
'0';
end generate;
Cond_Zero: if RMS_CH_EN = 0 and MEAN_CH_EN = 0 generate
cond_s <= '1' when (output = '1') else
'0';
zero_acc_misc <= '0';
end generate;
cond <= cond_s;
Rms_Valid_Memzing : if RMS_CH_EN /= 0 generate
process (clk, rstn) is
begin
if (rstn = '0') then
source_rms_valid_s <= '0';
source_rms_valid <= '0';
elsif (rising_edge(clk)) then
source_rms_valid_s <= '0';
if (cond_s = '1' and tmp_cnt_delay_ch_s < CHANNELS) then
source_rms_valid_s <= rms_output_s;
end if;
source_rms_valid <= source_rms_valid_s;
end if;
end process;
end generate Rms_Valid_Memzing;
Mean_Valid_Memzing : if MEAN_CH_EN /= 0 generate
process (clk, rstn) is
begin
if (rstn = '0') then
source_mean_valid_s <= '0';
source_mean_valid <= '0';
elsif (rising_edge(clk)) then
source_mean_valid_s <= '0';
if (cond_s = '1' and tmp_cnt_delay_ch_s < CHANNELS) then
source_mean_valid_s <= mean_output_s;
end if;
source_mean_valid <= source_mean_valid_s;
end if;
end process;
end generate Mean_Valid_Memzing;
-- Envelope Functionality
Env : if ENVELOPE_EN /= 0 generate
-- Generate envelope enable signal and absolute flag
Env_Abs_Memless : process(cnt_ch,cnt_mac,envelope)
variable tmp_cnt_ch, tmp_cnt_mac : natural;
variable tmp_is_abs : std_logic;
begin
tmp_cnt_ch := to_integer(unsigned(cnt_ch));
tmp_cnt_mac := to_integer(unsigned(cnt_mac));
tmp_is_abs := '0';
if(tmp_cnt_mac >= ENV_MAC_ID and tmp_cnt_mac <= ENV_MAC_ID + 2) then
tmp_is_abs := '1';
end if;
envelope_en_s <= envelope(tmp_cnt_ch);
is_abs_s <= tmp_is_abs;
end process Env_Abs_Memless;
-- Register the absolute flag according to envelope select
process (clk, rstn) is
begin
if (rstn = '0') then
is_abs <= '0';
elsif (clk = '1' and clk'event) then
is_abs <= '0';
if (envelope_en_s = '1') then
is_abs <= is_abs_s;
end if;
end if;
end process;
end generate Env;
-- Set-Zero Functionality
ZeroY : if SETZERO_EN /= 0 generate
process (clk, rstn) is
begin
if (rstn = '0') then
set_zero_s <= (others => '0');
set_zero_1_s <= (others => '0');
set_zero_2_s <= (others => '0');
set_zero_3_s <= (others => '0');
set_zero_4_s <= (others => '0');
elsif (rising_edge(clk)) then
if (output = '1' and tmp_cnt_delay_ch_s = CHANNELS-1) then
set_zero_1_s <= set_zero;
set_zero_2_s <= set_zero_1_s;
set_zero_3_s <= set_zero_2_s;
set_zero_4_s <= set_zero_3_s;
set_zero_s <= set_zero or set_zero_1_s or set_zero_2_s or set_zero_3_s or set_zero_4_s;
end if;
end if;
end process;
zero_y <= set_zero_s(to_integer(unsigned(cnt_delay_ch)));
end generate ZeroY;
end filter_extra_functions_arch;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_gcd_0_1/gcd_block_design_gcd_0_1_stub.vhdl
|
1
|
2677
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:32:37 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_gcd_0_1/gcd_block_design_gcd_0_1_stub.vhdl
-- Design : gcd_block_design_gcd_0_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity gcd_block_design_gcd_0_1 is
Port (
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
end gcd_block_design_gcd_0_1;
architecture stub of gcd_block_design_gcd_0_1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "s_axi_gcd_bus_AWADDR[5:0],s_axi_gcd_bus_AWVALID,s_axi_gcd_bus_AWREADY,s_axi_gcd_bus_WDATA[31:0],s_axi_gcd_bus_WSTRB[3:0],s_axi_gcd_bus_WVALID,s_axi_gcd_bus_WREADY,s_axi_gcd_bus_BRESP[1:0],s_axi_gcd_bus_BVALID,s_axi_gcd_bus_BREADY,s_axi_gcd_bus_ARADDR[5:0],s_axi_gcd_bus_ARVALID,s_axi_gcd_bus_ARREADY,s_axi_gcd_bus_RDATA[31:0],s_axi_gcd_bus_RRESP[1:0],s_axi_gcd_bus_RVALID,s_axi_gcd_bus_RREADY,ap_clk,ap_rst_n,interrupt";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "gcd,Vivado 2018.2";
begin
end;
|
mit
|
besm6/micro-besm
|
tests/2910/vhdl/funct_block_alg_beh/components/upc/types.vhd
|
10
|
31064
|
----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: TYPES
--
-- Purpose: This package defines the types, logic functions,
-- truth tables, definitions for wired signals, and
-- conversion functions for the Synopsys Standard Logic library.
--
-- Author: JT, PH, GWH
--
-- Modified with attributes for Synopsys synthesis.
--
-- Also synthesis_off and synthesis_on pairs required because
-- synthesis does not fully support or gives warnings about:
-- 1) Multi-dimentional arrays
-- 2) aliases
-- 3) assert
--
--
-- Modified by Champaka Ramachandran on Sept 15th 1992
--
-- Modifications to get rid of the Synopsys specific library and attributes
--
----------------------------------------------------------------------------
--synopsys translate_off
-- library SYNOPSYS;
-- use SYNOPSYS.ATTRIBUTES.all;
--synopsys translate_on
package TYPES is
---------------------------------------------------------------------
--
-- Definitions for Standard Logic types
--
---------------------------------------------------------------------
-- multi-valued logic 7 states:
type MVL7 is ('X', -- strong X (strong unknown)
'0', -- strong 0 (strong low)
'1', -- strong 1 (strong high)
'Z', -- tristate X (high impedance)
'W', -- weak X (weak unknown)
'L', -- weak 0 (weak low)
'H'); -- weak 1 (weak high)
-- attribute ENUM_ENCODING : STRING;
-- attribute ENUM_ENCODING of MVL7 : type is "D 0 1 Z U 0 1";
-- vector of MVL7
type MVL7_VECTOR is array (Natural range <>) of MVL7;
-- output-strength types
type STRENGTH is (X01, X0H, XL1, X0Z, XZ1, WLH, WLZ, WZH, W0H, WL1);
-----------------------------------------------------------------------
--
-- Internal types for table look up
--
----------------------------------------------------------------------
--synopsys synthesis_off
type MVL7_TAB1D is array (MVL7) of MVL7; -- one dimensional
type MVL7_TABLE is array (MVL7, MVL7) of MVL7; -- two dimensional
type STRN_MVL7_TABLE is array (MVL7,STRENGTH) of MVL7;
type MUX_TABLE is array (MVL7 range 'X' to '1',
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type TRISTATE_TABLE is array (STRENGTH,
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type MINOMAX is array (1 to 3) of TIME;
-----------------------------------------------------------------------
--
-- Truth tables for output strength --> MVL7 lookup
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for strength --> MVL7 mapping ('Z' pass through)
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7_Z: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for logical operations
--
-----------------------------------------------------------------------
-- truth table for "and" function
constant tbl_AND: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', '0', 'X', 'X', 'X', '0', 'X'), -- | X |
('0', '0', '0', '0', '0', '0', '0'), -- | 0 |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 1 |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | Z |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | W |
('0', '0', '0', '0', '0', '0', '0'), -- | L |
('X', '0', '1', 'X', 'X', '0', '1')); -- | H |
-- truth table for "or" function
constant tbl_OR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'X', '1'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | Z |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('1', '1', '1', '1', '1', '1', '1')); -- | H |
-- truth table for "xor" function
constant tbl_XOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('X', '1', '0', 'X', 'X', '1', '0'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('X', '1', '0', 'X', 'X', '1', '0')); -- | H |
-- truth table for "not" function
constant tbl_NOT: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '1', '0', 'X', 'X', '1', '0');
-- truth table for "buf" function
constant tbl_BUF: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '0', '1', 'X', 'X', '0', '1');
-- truth table for tristate "buf" function (Enable active High)
constant tbl_BUF3S: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('Z', 'Z', 'Z'), --| 0 X01 |
('X', '0', '1')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('Z', 'Z', 'Z'), --| 0 X0H |
('X', '0', 'H')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('Z', 'Z', 'Z'), --| 0 XL1 |
('X', 'L', '1')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('Z', 'Z', 'Z'), --| 0 X0Z |
('X', '0', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('Z', 'Z', 'Z'), --| 0 XZ1 |
('X', 'Z', '1')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('Z', 'Z', 'Z'), --| 0 WLH |
('W', 'L', 'H')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('Z', 'Z', 'Z'), --| 0 WLZ |
('W', 'L', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('Z', 'Z', 'Z'), --| 0 WZH |
('W', 'Z', 'H')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('Z', 'Z', 'Z'), --| 0 W0H |
('W', '0', 'H')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('Z', 'Z', 'Z'), --| 0 WL1 |
('W', 'L', '1')));--| 1 WL1 |
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3SL: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('X', '0', '1'), --| 0 X01 |
('Z', 'Z', 'Z')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('X', '0', 'H'), --| 0 X0H |
('Z', 'Z', 'Z')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('X', 'L', '1'), --| 0 XL1 |
('Z', 'Z', 'Z')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('X', '0', 'Z'), --| 0 X0Z |
('Z', 'Z', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('X', 'Z', '1'), --| 0 XZ1 |
('Z', 'Z', 'Z')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('W', 'L', 'H'), --| 0 WLH |
('Z', 'Z', 'Z')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('W', 'L', 'Z'), --| 0 WLZ |
('Z', 'Z', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('W', 'Z', 'H'), --| 0 WZH |
('Z', 'Z', 'Z')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('W', '0', 'H'), --| 0 W0H |
('Z', 'Z', 'Z')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('W', 'L', '1'), --| 0 WL1 |
('Z', 'Z', 'Z')));--| 1 WL1 |
-- truth table for "MUX2x1" function
constant tbl_MUX2x1: MUX_TABLE :=
---------------------------------------
--| In0 'X' '0' '1' | Sel In1 |
---------------------------------------
((('X', 'X', 'X'), --| 'X' 'X' |
('X', '0', '1'), --| '0' 'X' |
('X', 'X', 'X')), --| '1' 'X' |
(('X', '0', 'X'), --| 'X' '0' |
('X', '0', '1'), --| '0' '0' |
('0', '0', '0')), --| '1' '0' |
(('X', 'X', '1'), --| 'X' '1' |
('X', '0', '1'), --| '0' '1' |
('1', '1', '1')));--| '1' '1' |
----------------------------------------------------------------------
--
-- Truth tables for resolution functions
--
----------------------------------------------------------------------
-- truth table for "WiredX" function
constant tbl_WIREDX: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', 'X', '0', '0', '0', '0'), -- | 0 |
('X', 'X', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('X', '0', '1', 'L', 'W', 'L', 'W'), -- | L |
('X', '0', '1', 'H', 'W', 'W', 'H')); -- | H |
-- truth table for "WiredOr" function
constant tbl_WIREDOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'L', 'H'), -- | X |
('X', '0', '1', '0', '0', 'L', 'H'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('L', 'L', '1', 'L', 'W', 'L', 'W'), -- | L |
('H', 'H', '1', 'H', 'W', 'W', 'H')); -- | H |
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7;
function "nand" (L, R: MVL7) return MVL7;
function "or" (L, R: MVL7) return MVL7;
function "nor" (L, R: MVL7) return MVL7;
function "xor" (L, R: MVL7) return MVL7;
function nxor (L, R: MVL7) return MVL7;
function "not" (R: MVL7) return MVL7;
function buf (R: MVL7) return MVL7;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR;
function buf (R: MVL7_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals and its attributes
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7;
function WiredOr (V: MVL7_VECTOR) return MVL7;
--synopsys translate_off
-- attribute REFLEXIVE of WiredX: function is TRUE;
-- attribute RESULT_INITIAL_VALUE of WiredX: function is MVL7'POS('Z');
-- attribute TABLE_NAME of WiredX: function is "TYPES.tbl_WIREDX";
--synopsys translate_on
-----------------------------------------------------------------------
--
-- Definitions for wired signals (scalars and vectors)
--
-----------------------------------------------------------------------
subtype DotX is WiredX MVL7;
type BusX is array (Natural range <>) of DotX;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: MVL7_VECTOR) return BusX;
function Drive (V: BusX) return MVL7_VECTOR;
--synopsys synthesis_on
--synopsys translate_off
-- attribute CLOSELY_RELATED_TCF of Drive: function is TRUE;
--synopsys translate_on
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR;
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- Truth tables for unidirectional transistors
--
-----------------------------------------------------------------------
-- truth table for reduce function
constant tbl_REDUCE: MVL7_TAB1D :=
-- ------------------------------------
-- | X 0 1 Z W L H |
-- ------------------------------------
('W', 'L', 'H', 'Z', 'W', 'L', 'H');
constant tbl_NXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '0'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- 'L'
('X', '0', '1', 'Z', 'W', 'L', 'H')); -- 'H'
constant tbl_PXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '0'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- 'L'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z')); -- 'H'
--synopsys synthesis_on
end TYPES;
package body TYPES is
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_AND
begin
--synopsys synthesis_off
return tbl_AND(L, R);
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NAND
begin
--synopsys synthesis_off
return tbl_NOT(tbl_AND(L, R));
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_OR
begin
--synopsys synthesis_off
return tbl_OR(L, R);
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_OR(L, R));
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XOR
begin
--synopsys synthesis_off
return tbl_XOR(L, R);
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XNOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_XOR(L, R));
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7) return MVL7 is
-- pragma built_in SYN_NOT
begin
--synopsys synthesis_off
return tbl_NOT(R);
--synopsys synthesis_on
end "not";
function buf (R: MVL7) return MVL7 is
-- pragma built_in SYN_BUF
begin
--synopsys synthesis_off
return tbl_BUF(R);
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_AND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_AND(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NAND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_AND(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_OR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_OR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_OR(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_XOR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XNOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result(i) := tbl_NOT(tbl_XOR(LV(i), RV(i)));
end loop;
return result;
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOT
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result (i) := tbl_NOT( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end "not";
function buf (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_BUF
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result(i) := tbl_BUF( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7 is
-- pragma resolution_method three_state
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDX(result, V(i));
exit when result = 'X';
end loop;
return result;
--synopsys synthesis_on
end WiredX;
function WiredOr (V: MVL7_VECTOR) return MVL7 is
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDOr(result, V(i));
exit when result = '1';
end loop;
return result;
--synopsys synthesis_on
end WiredOr;
-- synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: BusX) return MVL7_VECTOR is
begin
return MVL7_VECTOR(V);
end Drive;
function Drive (V: MVL7_VECTOR) return BusX is
begin
return BusX(V);
end Drive;
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
--
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7 is
begin
if V = 'Z' then
return vZ;
else
return V;
end if;
end Sense;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR is
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR is
alias Value: BusX (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
-- synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: BIT_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
if ( Value(i) = '0' ) then
Result(i) := '0';
else
Result(i) := '1';
end if;
end loop;
return Result;
--synopsys synthesis_on
end BVtoMVL7V;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: Z --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end MVL7VtoBV;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7 is
variable Result: MVL7;
-- pragma built_in SYN_FEED_THRU
begin
if ( V = '0' ) then
Result := '0';
else
Result := '1';
end if;
return Result;
end BITtoMVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT is
-- pragma built_in SYN_FEED_THRU
variable Result: BIT;
begin
--synopsys synthesis_off
case V is
when '0' | 'L' =>
Result := '0';
when '1' | 'H' =>
Result := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "MVL7toBIT: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result := vZ;
else
Result := '0';
assert FALSE
report "MVL7toBIT: Z --> 0"
severity WARNING;
end if;
end case;
return Result;
--synopsys synthesis_on
end MVL7toBIT;
end TYPES;
|
mit
|
besm6/micro-besm
|
tests/2901/vhdl/funct_blocks_alg_beh/components/alu/types.vhd
|
10
|
31064
|
----------------------------------------------------------------------------
--
-- Copyright (c) 1990, 1991 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
--
-- Package name: TYPES
--
-- Purpose: This package defines the types, logic functions,
-- truth tables, definitions for wired signals, and
-- conversion functions for the Synopsys Standard Logic library.
--
-- Author: JT, PH, GWH
--
-- Modified with attributes for Synopsys synthesis.
--
-- Also synthesis_off and synthesis_on pairs required because
-- synthesis does not fully support or gives warnings about:
-- 1) Multi-dimentional arrays
-- 2) aliases
-- 3) assert
--
--
-- Modified by Champaka Ramachandran on Sept 15th 1992
--
-- Modifications to get rid of the Synopsys specific library and attributes
--
----------------------------------------------------------------------------
--synopsys translate_off
-- library SYNOPSYS;
-- use SYNOPSYS.ATTRIBUTES.all;
--synopsys translate_on
package TYPES is
---------------------------------------------------------------------
--
-- Definitions for Standard Logic types
--
---------------------------------------------------------------------
-- multi-valued logic 7 states:
type MVL7 is ('X', -- strong X (strong unknown)
'0', -- strong 0 (strong low)
'1', -- strong 1 (strong high)
'Z', -- tristate X (high impedance)
'W', -- weak X (weak unknown)
'L', -- weak 0 (weak low)
'H'); -- weak 1 (weak high)
-- attribute ENUM_ENCODING : STRING;
-- attribute ENUM_ENCODING of MVL7 : type is "D 0 1 Z U 0 1";
-- vector of MVL7
type MVL7_VECTOR is array (Natural range <>) of MVL7;
-- output-strength types
type STRENGTH is (X01, X0H, XL1, X0Z, XZ1, WLH, WLZ, WZH, W0H, WL1);
-----------------------------------------------------------------------
--
-- Internal types for table look up
--
----------------------------------------------------------------------
--synopsys synthesis_off
type MVL7_TAB1D is array (MVL7) of MVL7; -- one dimensional
type MVL7_TABLE is array (MVL7, MVL7) of MVL7; -- two dimensional
type STRN_MVL7_TABLE is array (MVL7,STRENGTH) of MVL7;
type MUX_TABLE is array (MVL7 range 'X' to '1',
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type TRISTATE_TABLE is array (STRENGTH,
MVL7 range 'X' to '1',
MVL7 range 'X' to '1') of MVL7;
type MINOMAX is array (1 to 3) of TIME;
-----------------------------------------------------------------------
--
-- Truth tables for output strength --> MVL7 lookup
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for strength --> MVL7 mapping ('Z' pass through)
--
-----------------------------------------------------------------------
-- truth table for output strength --> MVL7 lookup
constant tbl_STRN_MVL7_Z: STRN_MVL7_TABLE :=
-- ------------------------------------------------------------------
-- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output|
-- ------------------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 |
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W |
('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L |
('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1')); -- | H |
-----------------------------------------------------------------------
--
-- Truth tables for logical operations
--
-----------------------------------------------------------------------
-- truth table for "and" function
constant tbl_AND: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', '0', 'X', 'X', 'X', '0', 'X'), -- | X |
('0', '0', '0', '0', '0', '0', '0'), -- | 0 |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 1 |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | Z |
('X', '0', 'X', 'X', 'X', '0', 'X'), -- | W |
('0', '0', '0', '0', '0', '0', '0'), -- | L |
('X', '0', '1', 'X', 'X', '0', '1')); -- | H |
-- truth table for "or" function
constant tbl_OR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'X', '1'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | Z |
('X', 'X', '1', 'X', 'X', 'X', '1'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('1', '1', '1', '1', '1', '1', '1')); -- | H |
-- truth table for "xor" function
constant tbl_XOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', '1', 'X', 'X', '0', '1'), -- | 0 |
('X', '1', '0', 'X', 'X', '1', '0'), -- | 1 |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | Z |
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | W |
('X', '0', '1', 'X', 'X', '0', '1'), -- | L |
('X', '1', '0', 'X', 'X', '1', '0')); -- | H |
-- truth table for "not" function
constant tbl_NOT: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '1', '0', 'X', 'X', '1', '0');
-- truth table for "buf" function
constant tbl_BUF: MVL7_TAB1D :=
-- -------------------------------------
-- | X 0 1 Z W L H |
-- -------------------------------------
('X', '0', '1', 'X', 'X', '0', '1');
-- truth table for tristate "buf" function (Enable active High)
constant tbl_BUF3S: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('Z', 'Z', 'Z'), --| 0 X01 |
('X', '0', '1')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('Z', 'Z', 'Z'), --| 0 X0H |
('X', '0', 'H')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('Z', 'Z', 'Z'), --| 0 XL1 |
('X', 'L', '1')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('Z', 'Z', 'Z'), --| 0 X0Z |
('X', '0', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('Z', 'Z', 'Z'), --| 0 XZ1 |
('X', 'Z', '1')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('Z', 'Z', 'Z'), --| 0 WLH |
('W', 'L', 'H')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('Z', 'Z', 'Z'), --| 0 WLZ |
('W', 'L', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('Z', 'Z', 'Z'), --| 0 WZH |
('W', 'Z', 'H')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('Z', 'Z', 'Z'), --| 0 W0H |
('W', '0', 'H')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('Z', 'Z', 'Z'), --| 0 WL1 |
('W', 'L', '1')));--| 1 WL1 |
-- truth table for tristate "buf" function (Enable active Low)
constant tbl_BUF3SL: TRISTATE_TABLE :=
-- ----------------------------------------
-- | X 0 1 | Enable Strength |
-- ----------------------------------------
((('X', 'X', 'X'), --| X X01 |
('X', '0', '1'), --| 0 X01 |
('Z', 'Z', 'Z')), --| 1 X01 |
(('X', 'X', 'X'), --| X X0H |
('X', '0', 'H'), --| 0 X0H |
('Z', 'Z', 'Z')), --| 1 X0H |
(('X', 'X', 'X'), --| X XL1 |
('X', 'L', '1'), --| 0 XL1 |
('Z', 'Z', 'Z')), --| 1 XL1 |
(('X', 'X', 'Z'), --| X X0Z |
('X', '0', 'Z'), --| 0 X0Z |
('Z', 'Z', 'Z')), --| 1 X0Z |
(('X', 'X', 'X'), --| X XZ1 |
('X', 'Z', '1'), --| 0 XZ1 |
('Z', 'Z', 'Z')), --| 1 XZ1 |
(('W', 'W', 'W'), --| X WLH |
('W', 'L', 'H'), --| 0 WLH |
('Z', 'Z', 'Z')), --| 1 WLH |
(('W', 'W', 'Z'), --| X WLZ |
('W', 'L', 'Z'), --| 0 WLZ |
('Z', 'Z', 'Z')), --| 1 WLZ |
(('W', 'W', 'W'), --| X WZH |
('W', 'Z', 'H'), --| 0 WZH |
('Z', 'Z', 'Z')), --| 1 WZH |
(('W', 'W', 'W'), --| X W0H |
('W', '0', 'H'), --| 0 W0H |
('Z', 'Z', 'Z')), --| 1 W0H |
(('W', 'W', 'W'), --| X WL1 |
('W', 'L', '1'), --| 0 WL1 |
('Z', 'Z', 'Z')));--| 1 WL1 |
-- truth table for "MUX2x1" function
constant tbl_MUX2x1: MUX_TABLE :=
---------------------------------------
--| In0 'X' '0' '1' | Sel In1 |
---------------------------------------
((('X', 'X', 'X'), --| 'X' 'X' |
('X', '0', '1'), --| '0' 'X' |
('X', 'X', 'X')), --| '1' 'X' |
(('X', '0', 'X'), --| 'X' '0' |
('X', '0', '1'), --| '0' '0' |
('0', '0', '0')), --| '1' '0' |
(('X', 'X', '1'), --| 'X' '1' |
('X', '0', '1'), --| '0' '1' |
('1', '1', '1')));--| '1' '1' |
----------------------------------------------------------------------
--
-- Truth tables for resolution functions
--
----------------------------------------------------------------------
-- truth table for "WiredX" function
constant tbl_WIREDX: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- | X |
('X', '0', 'X', '0', '0', '0', '0'), -- | 0 |
('X', 'X', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('X', '0', '1', 'L', 'W', 'L', 'W'), -- | L |
('X', '0', '1', 'H', 'W', 'W', 'H')); -- | H |
-- truth table for "WiredOr" function
constant tbl_WIREDOR: MVL7_TABLE :=
-- -----------------------------------------------
-- | X 0 1 Z W L H | |
-- -----------------------------------------------
(('X', 'X', '1', 'X', 'X', 'L', 'H'), -- | X |
('X', '0', '1', '0', '0', 'L', 'H'), -- | 0 |
('1', '1', '1', '1', '1', '1', '1'), -- | 1 |
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- | Z |
('X', '0', '1', 'W', 'W', 'W', 'W'), -- | W |
('L', 'L', '1', 'L', 'W', 'L', 'W'), -- | L |
('H', 'H', '1', 'H', 'W', 'W', 'H')); -- | H |
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7;
function "nand" (L, R: MVL7) return MVL7;
function "or" (L, R: MVL7) return MVL7;
function "nor" (L, R: MVL7) return MVL7;
function "xor" (L, R: MVL7) return MVL7;
function nxor (L, R: MVL7) return MVL7;
function "not" (R: MVL7) return MVL7;
function buf (R: MVL7) return MVL7;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR;
function buf (R: MVL7_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals and its attributes
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7;
function WiredOr (V: MVL7_VECTOR) return MVL7;
--synopsys translate_off
-- attribute REFLEXIVE of WiredX: function is TRUE;
-- attribute RESULT_INITIAL_VALUE of WiredX: function is MVL7'POS('Z');
-- attribute TABLE_NAME of WiredX: function is "TYPES.tbl_WIREDX";
--synopsys translate_on
-----------------------------------------------------------------------
--
-- Definitions for wired signals (scalars and vectors)
--
-----------------------------------------------------------------------
subtype DotX is WiredX MVL7;
type BusX is array (Natural range <>) of DotX;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: MVL7_VECTOR) return BusX;
function Drive (V: BusX) return MVL7_VECTOR;
--synopsys synthesis_on
--synopsys translate_off
-- attribute CLOSELY_RELATED_TCF of Drive: function is TRUE;
--synopsys translate_on
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR;
--synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT;
--synopsys synthesis_off
-----------------------------------------------------------------------
--
-- Truth tables for unidirectional transistors
--
-----------------------------------------------------------------------
-- truth table for reduce function
constant tbl_REDUCE: MVL7_TAB1D :=
-- ------------------------------------
-- | X 0 1 Z W L H |
-- ------------------------------------
('W', 'L', 'H', 'Z', 'W', 'L', 'H');
constant tbl_NXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '0'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- 'L'
('X', '0', '1', 'Z', 'W', 'L', 'H')); -- 'H'
constant tbl_PXFER: MVL7_TABLE :=
----------------------------------------------------------
-- | Input 'X' '0' '1' 'Z' 'W' 'L' 'H' | Enable
----------------------------------------------------------
(('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'X'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- '0'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- '1'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'Z'
('X', 'X', 'X', 'X', 'X', 'X', 'X'), -- 'W'
('X', '0', '1', 'Z', 'W', 'L', 'H'), -- 'L'
('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z')); -- 'H'
--synopsys synthesis_on
end TYPES;
package body TYPES is
-----------------------------------------------------------------------
--
-- logical functions for scalar type of MVL7
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_AND
begin
--synopsys synthesis_off
return tbl_AND(L, R);
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NAND
begin
--synopsys synthesis_off
return tbl_NOT(tbl_AND(L, R));
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_OR
begin
--synopsys synthesis_off
return tbl_OR(L, R);
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_NOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_OR(L, R));
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XOR
begin
--synopsys synthesis_off
return tbl_XOR(L, R);
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7) return MVL7 is
-- pragma built_in SYN_XNOR
begin
--synopsys synthesis_off
return tbl_NOT(tbl_XOR(L, R));
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7) return MVL7 is
-- pragma built_in SYN_NOT
begin
--synopsys synthesis_off
return tbl_NOT(R);
--synopsys synthesis_on
end "not";
function buf (R: MVL7) return MVL7 is
-- pragma built_in SYN_BUF
begin
--synopsys synthesis_off
return tbl_BUF(R);
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- logical functions for composite type of MVL7_VECTOR
--
-----------------------------------------------------------------------
function "and" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_AND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_AND(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "and";
function "nand" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NAND
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_AND(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nand";
function "or" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_OR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_OR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "or";
function "nor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_NOT(tbl_OR(LV (i), RV (i)));
end loop;
return result;
--synopsys synthesis_on
end "nor";
function "xor" (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result (i) := tbl_XOR(LV (i), RV (i));
end loop;
return result;
--synopsys synthesis_on
end "xor";
function nxor (L, R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_XNOR
--synopsys synthesis_off
alias LV: MVL7_VECTOR (L'length-1 downto 0) is L;
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (L'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
assert L'length = R'length;
for i in result'range loop
result(i) := tbl_NOT(tbl_XOR(LV(i), RV(i)));
end loop;
return result;
--synopsys synthesis_on
end nxor;
function "not" (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_NOT
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result (i) := tbl_NOT( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end "not";
function buf (R: MVL7_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_BUF
--synopsys synthesis_off
alias RV: MVL7_VECTOR (R'length-1 downto 0) is R;
variable result: MVL7_VECTOR (R'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in result'range loop
result(i) := tbl_BUF( RV(i) );
end loop;
return result;
--synopsys synthesis_on
end buf;
-----------------------------------------------------------------------
--
-- resolution functions for wired signals
--
-----------------------------------------------------------------------
function WiredX (V: MVL7_VECTOR) return MVL7 is
-- pragma resolution_method three_state
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDX(result, V(i));
exit when result = 'X';
end loop;
return result;
--synopsys synthesis_on
end WiredX;
function WiredOr (V: MVL7_VECTOR) return MVL7 is
variable result: MVL7;
begin
--synopsys synthesis_off
result := 'Z';
for i in V'range loop
result := tbl_WIREDOr(result, V(i));
exit when result = '1';
end loop;
return result;
--synopsys synthesis_on
end WiredOr;
-- synopsys synthesis_off
-----------------------------------------------------------------------
--
-- conversion functions for driving various types
--
-----------------------------------------------------------------------
function Drive (V: BusX) return MVL7_VECTOR is
begin
return MVL7_VECTOR(V);
end Drive;
function Drive (V: MVL7_VECTOR) return BusX is
begin
return BusX(V);
end Drive;
-----------------------------------------------------------------------
--
-- conversion functions for sensing various types
--
-- (the second argument allows the user to specify the value to
-- be returned when the network is undriven)
--
-----------------------------------------------------------------------
function Sense (V: MVL7; vZ: MVL7) return MVL7 is
begin
if V = 'Z' then
return vZ;
else
return V;
end if;
end Sense;
function Sense (V: MVL7_VECTOR; vZ: MVL7) return MVL7_VECTOR is
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
function Sense (V: BusX; vZ: MVL7) return MVL7_VECTOR is
alias Value: BusX (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
begin
for i in Value'range loop
if ( Value(i) = 'Z' ) then
Result(i) := vZ;
else
Result(i) := Value(i);
end if;
end loop;
return Result;
end Sense;
-- synopsys synthesis_on
-----------------------------------------------------------------------
--
-- Function: BVtoMVL7V
--
-- Purpose: Conversion function from BIT_VECTOR to MVL7_VECTOR
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BVtoMVL7V (V: BIT_VECTOR) return MVL7_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: BIT_VECTOR (V'length-1 downto 0) is V;
variable Result: MVL7_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
if ( Value(i) = '0' ) then
Result(i) := '0';
else
Result(i) := '1';
end if;
end loop;
return Result;
--synopsys synthesis_on
end BVtoMVL7V;
-----------------------------------------------------------------------
--
-- Function: MVL7VtoBV
--
-- Purpose: Conversion function from MVL7_VECTOR to BIT_VECTOR
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7VtoBV (V: MVL7_VECTOR
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT_VECTOR is
-- pragma built_in SYN_FEED_THRU
--synopsys synthesis_off
alias Value: MVL7_VECTOR (V'length-1 downto 0) is V;
variable Result: BIT_VECTOR (V'length-1 downto 0);
--synopsys synthesis_on
begin
--synopsys synthesis_off
for i in Value'range loop
case Value(i) is
when '0' | 'L' =>
Result(i) := '0';
when '1' | 'H' =>
Result(i) := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result(i) := vX;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result(i) := vZ;
else
Result(i) := '0';
assert FALSE
report "MVL7VtoBV: Z --> 0"
severity WARNING;
end if;
end case;
end loop;
return Result;
--synopsys synthesis_on
end MVL7VtoBV;
-----------------------------------------------------------------------
--
-- Function: BITtoMVL7
--
-- Purpose: Conversion function from BIT to MVL7
--
-- Mapping: 0 --> 0
-- 1 --> 1
--
-----------------------------------------------------------------------
function BITtoMVL7 (V: BIT) return MVL7 is
variable Result: MVL7;
-- pragma built_in SYN_FEED_THRU
begin
if ( V = '0' ) then
Result := '0';
else
Result := '1';
end if;
return Result;
end BITtoMVL7;
-----------------------------------------------------------------------
--
-- Function: MVL7toBIT
--
-- Purpose: Conversion function from MVL7 to BIT
--
-- Mapping: 0, L --> 0
-- 1, H --> 1
-- X --> vX if Xflag is TRUE
-- X --> 0 if Xflag is FALSE
-- Z --> vZ if Zflag is TRUE
-- Z --> 0 if Zflag is FALSE
--
-----------------------------------------------------------------------
function MVL7toBIT (V: MVL7
--synopsys synthesis_off
; vX, vZ: BIT := '0'; Xflag, Zflag: BOOLEAN := FALSE
--synopsys synthesis_on
) return BIT is
-- pragma built_in SYN_FEED_THRU
variable Result: BIT;
begin
--synopsys synthesis_off
case V is
when '0' | 'L' =>
Result := '0';
when '1' | 'H' =>
Result := '1';
when 'X' | 'W' =>
if ( Xflag ) then
Result := vX;
else
Result := '0';
assert FALSE
report "MVL7toBIT: X --> 0"
severity WARNING;
end if;
when others =>
if ( Zflag ) then
Result := vZ;
else
Result := '0';
assert FALSE
report "MVL7toBIT: Z --> 0"
severity WARNING;
end if;
end case;
return Result;
--synopsys synthesis_on
end MVL7toBIT;
end TYPES;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
vhdl/filter/iir/bq/filter_datapath.vhdl
|
1
|
7740
|
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.all;
use work.filter_shared_package.all;
entity filter_datapath is
generic
(
FPMULT_PIPE_LENGTH : P_T := PM;
FPADD_PIPE_LENGTH : P_T := PA;
PRECISION : natural := PREC;
ENVELOPE_EN : natural := ENV;
SETZERO_EN : natural := SETZ
);
port
(
-- Input ports
clk : in std_logic;
rstn : in std_logic;
aclr : in std_logic;
x_rdaddr : in X_ADD_T;
x_rden : in std_logic;
x_wraddr : in X_ADD_T;
x_wren : in std_logic;
sink_data : in std_logic_vector(SINGLE_EXT-1 downto 0);
coeff_rdaddr : in COEFF_ADD_T;
coeff_rden : in std_logic;
coeff_wraddr : in COEFF_ADD_T;
coeff_wren : in std_logic;
coeff_data : in DATA_IO_PORT_T;
acc_rdaddr : in ACC_ADD_T;
acc_rden : in std_logic;
acc_wraddr : in ACC_ADD_T;
acc_wren : in std_logic;
zero_acc : in std_logic;
y_rdaddr : in Y_ADD_T;
y_rden : in std_logic;
y_wraddr : in Y_ADD_T;
y_wren : in std_logic;
zero_y : in std_logic;
is_abs : in std_logic;
mac_x_y_sel : in std_logic;
mac_coeff_y_sel : in std_logic;
-- Output ports
source_data : out std_logic_vector(SINGLE_EXT-1 downto 0)
);
end filter_datapath;
architecture filter_datapath_arch of filter_datapath is
signal mac_x_in_s : DATA_IO_PORT_T;
signal mac_y_in_s : DATA_IO_PORT_T;
signal mac_r_out_s : DATA_IO_PORT_T;
signal x_data_out_s : DATA_IO_PORT_T;
signal y_data_in_s, y_data_out_s : DATA_IO_PORT_T;
signal acc_data_in_s, acc_data_out_s : DATA_IO_PORT_T;
signal coeff_data_out_s : DATA_IO_PORT_T;
signal mac_r_reg_s : DATA_IO_PORT_T;
signal mac_r_float_data_out_s : std_logic_vector(SINGLE_EXT-1 downto 0);
signal datapath_out_reg_s : std_logic_vector(SINGLE_EXT-1 downto 0);
signal sign_s : std_logic_vector(0 downto 0);
begin
-- Filter Datapath Components
filter_in_data_memory_inst : entity work.filter_in_data_memory
generic map
(
PRECISION => PRECISION
)
port map
(
clk => clk,
aclr => aclr,
x_data_in => sink_data,
x_rdaddr => x_rdaddr,
x_rden => x_rden,
x_wraddr => x_wraddr,
x_wren => x_wren,
x_data_out => x_data_out_s
);
filter_out_data_memory_inst : entity work.device_ram_blocks
generic map
(
INTENDED_DEVICE_FAMILY => "SmartFusion",
WIDTH_AD => y_rdaddr'length, -- WIDTH_RDAD = WIDTH_WRAD
WIDTH_DATA => y_data_in_s'length, -- WIDTH_DATAIN = WIDTH_DATAOUT
OUP_REG => "UNREGISTERED" -- "UNREGISTERED" or "CLOCK0"
)
port map
(
clock => clk,
aclr => aclr,
rden => y_rden,
rdaddress => y_rdaddr,
data_in => y_data_in_s,
wren => y_wren,
wraddress => y_wraddr,
data_out => y_data_out_s
);
filter_mac_mem_inst : entity work.device_ram_blocks
generic map
(
INTENDED_DEVICE_FAMILY => "SmartFusion",
WIDTH_AD => acc_rdaddr'length, -- WIDTH_RDAD = WIDTH_WRAD
WIDTH_DATA => acc_data_in_s'length, -- WIDTH_DATAIN = WIDTH_DATAOUT
OUP_REG => "CLOCK0" -- "UNREGISTERED" or "CLOCK0"
)
port map
(
clock => clk,
aclr => aclr,
rdaddress => acc_rdaddr,
rden => acc_rden,
data_in => acc_data_in_s,
wren => acc_wren,
wraddress => acc_wraddr,
data_out => acc_data_out_s
);
filter_coeff_mem_inst : entity work.device_ram_blocks
generic map
(
INTENDED_DEVICE_FAMILY => "SmartFusion",
WIDTH_AD => coeff_rdaddr'length, -- WIDTH_RDAD = WIDTH_WRAD
WIDTH_DATA => coeff_data'length, -- WIDTH_DATAIN = WIDTH_DATAOUT
OUP_REG => "UNREGISTERED" -- "UNREGISTERED" or "CLOCK0"
)
port map
(
clock => clk,
aclr => aclr,
rden => coeff_rden,
rdaddress => coeff_rdaddr,
data_in => coeff_data,
wren => coeff_wren,
wraddress => coeff_wraddr,
data_out => coeff_data_out_s
);
filter_mac_datapath_inst : entity work.filter_mac_datapath
generic map
(
FPMULT_PIPE_LENGTH => FPMULT_PIPE_LENGTH,
FPADD_PIPE_LENGTH => FPADD_PIPE_LENGTH
)
port map
(
clk => clk,
rst => rstn,
X => mac_x_in_s,
Y => mac_y_in_s,
A => acc_data_out_s,
R => mac_r_out_s
);
-- register the mac output
filter_mac_datapath_reg : process(clk, rstn)
begin
if(rstn = '0') then
mac_r_reg_s <= (others => '0');
elsif(rising_edge(clk)) then
mac_r_reg_s <= mac_r_out_s;
end if;
end process filter_mac_datapath_reg;
-- convert mac output to float type if required
mac_sgl : if PRECISION = SINGLE_EXT generate
mac_r_float_data_out_s <= mac_r_reg_s;
end generate;
mac_dbl: if PRECISION = DOUBLE_EXT generate
D2F : entity work.filter_converter_dp2sp
port map(double => mac_r_reg_s, float => mac_r_float_data_out_s);
end generate;
-- register this mac output
datapath_reg : process(clk, rstn)
begin
if(rstn = '0') then
datapath_out_reg_s <= (others => '0');
elsif(rising_edge(clk)) then
datapath_out_reg_s <= mac_r_float_data_out_s;
end if;
end process datapath_reg;
-- connect mac output to datapath output port
source_data <= datapath_out_reg_s;
-- Select Y input of MAC from x or y memory
env_en: if ENVELOPE_EN /= 0 generate
sign_s(0) <= y_data_out_s(PRECISION-3) and not is_abs;
with mac_x_y_sel select
mac_y_in_s <= x_data_out_s when '1',
y_data_out_s(PRECISION-1 downto PRECISION-2) & sign_s & y_data_out_s(PRECISION-4 downto 0) when others;
end generate;
env_dis: if ENVELOPE_EN = 0 generate
with mac_x_y_sel select
mac_y_in_s <= x_data_out_s when '1',
y_data_out_s when others;
end generate;
-- Select X input of MAC from coeff or y memory
with mac_coeff_y_sel select
mac_x_in_s <=
coeff_data_out_s when '1',
y_data_out_s when others;
-- Sending zeros to Y-memory
setz_en: if SETZERO_EN /= 0 generate
with zero_y select
y_data_in_s <=
mac_r_out_s when '0',
(others => '0') when others;
end generate;
setz_dis: if SETZERO_EN = 0 generate
y_data_in_s <= mac_r_out_s;
end generate;
-- Sending zeros to Acc-memory
with zero_acc select
acc_data_in_s <=
mac_r_out_s when '0',
(others => '0') when others;
end filter_datapath_arch;
|
mit
|
mutekinootoko/trytrysee_thecodingofthedead
|
js/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
vhdl/filter/iir/bq/filter_device_memory_blocks.vhdl
|
1
|
955
|
library ieee;
use ieee.std_logic_1164.all;
entity device_ram_blocks is
generic ( INTENDED_DEVICE_FAMILY : string := "SmartFusion"; -- or "ZynqSoC"
WIDTH_AD : natural := 10; -- WIDTH_RDAD = WIDTH_WRAD
WIDTH_DATA : natural := 66; -- WIDTH_DATAIN = WIDTH_DATAOUT
OUP_REG : string := "UNREGISTERED" -- Set to "CLOCK0" if ouput data is to be registered
);
port( clock : in std_logic := '1';
aclr : in std_logic := '0';
rden : in std_logic := '1';
rdaddress : in std_logic_vector(WIDTH_AD-1 downto 0);
data_in : in std_logic_vector(WIDTH_DATA-1 downto 0);
wren : in std_logic := '0';
wraddress : in std_logic_vector(WIDTH_AD-1 downto 0);
data_out : out std_logic_vector(WIDTH_DATA-1 downto 0)
);
end entity device_ram_blocks;
architecture arch of device_ram_blocks is
begin
end arch;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_50M_0/synth/design_1_rst_ps7_0_50M_0.vhd
|
1
|
8083
|
-- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_12;
USE proc_sys_reset_v5_0_12.proc_sys_reset;
ENTITY design_1_rst_ps7_0_50M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END design_1_rst_ps7_0_50M_0;
ARCHITECTURE design_1_rst_ps7_0_50M_0_arch OF design_1_rst_ps7_0_50M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_rst_ps7_0_50M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_rst_ps7_0_50M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2018.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_rst_ps7_0_50M_0_arch : ARCHITECTURE IS "design_1_rst_ps7_0_50M_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_rst_ps7_0_50M_0_arch: ARCHITECTURE IS "design_1_rst_ps7_0_50M_0,proc_sys_reset,{x_ipProduct=Vivado 2018.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=1,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_aresetn: SIGNAL IS "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF interconnect_aresetn: SIGNAL IS "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_reset: SIGNAL IS "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF bus_struct_reset: SIGNAL IS "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_reset: SIGNAL IS "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_debug_sys_rst: SIGNAL IS "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF aux_reset_in: SIGNAL IS "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF ext_reset_in: SIGNAL IS "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF slowest_sync_clk: SIGNAL IS "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_2_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '1',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END design_1_rst_ps7_0_50M_0_arch;
|
mit
|
besm6/micro-besm
|
tests/2910/vhdl/funct_block_alg_beh/components/upc/test_vectors_upc.vhdl
|
1
|
5610
|
--------------------------------------------------------------------------------
--
-- AMD 2910 Benchmark (Functional blocks) (Algorithmic Behaviour of Funct blocks)
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Feb 19, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.types.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity E is
end;
architecture AA of E is
component cupc
port (
clk : in clock;
CI : in MVL7;
clear : in MVL7;
Y_temp : in MVL7_VECTOR(11 downto 0);
uPC : inout MVL7_VECTOR(11 downto 0)
);
end component;
signal clk : clock;
signal CI : MVL7;
signal clear : MVL7;
signal Y_temp : MVL7_VECTOR(11 downto 0);
signal uPC : MVL7_VECTOR(11 downto 0);
for all : cupc use entity work.upc(upc);
begin
CUPC1 : cupc port map(
clk,
CI,
clear,
Y_temp,
uPC
);
process
begin
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '0';
Y_temp <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 0 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '0';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "111111111111")
report
"Assert 1 : < uPC = 111111111111 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '0';
clear <= '1';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 2 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000000";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000001")
report
"Assert 3 : < uPC = 000000000001 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000001";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000010")
report
"Assert 4 : < uPC = 000000000010 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000011";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000100")
report
"Assert 5 : < uPC = 000000000100 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000000111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000001000")
report
"Assert 6 : < uPC = 000000001000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000001111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000010000")
report
"Assert 7 : < uPC = 000000010000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000011111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000100000")
report
"Assert 8 : < uPC = 000000100000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000000111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000001000000")
report
"Assert 9 : < uPC = 000001000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000001111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000010000000")
report
"Assert 10 : < uPC = 000010000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000011111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000100000000")
report
"Assert 11 : < uPC = 000100000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "000111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "001000000000")
report
"Assert 12 : < uPC = 001000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "001111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "010000000000")
report
"Assert 13 : < uPC = 010000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "011111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "100000000000")
report
"Assert 14 : < uPC = 100000000000 >"
severity warning;
wait for 1 ns;
----------------------
clk <= '0';
wait for 1 ns;
CI <= '1';
clear <= '0';
Y_temp <= "111111111111";
wait for 4 ns;
clk <= '1';
wait for 4 ns;
assert (uPC = "000000000000")
report
"Assert 15 : < uPC = 000000000000 >"
severity warning;
wait for 1 ns;
----------------------
end process;
end AA;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado-hls/pointer_basic/proj_pointer_basic/solution2/syn/vhdl/pointer_basic_pointer_basic_io_s_axi.vhd
|
3
|
15335
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity pointer_basic_pointer_basic_io_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 5;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
d_i :out STD_LOGIC_VECTOR(31 downto 0);
d_o :in STD_LOGIC_VECTOR(31 downto 0);
d_o_ap_vld :in STD_LOGIC
);
end entity pointer_basic_pointer_basic_io_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of d_i
-- bit 31~0 - d_i[31:0] (Read/Write)
-- 0x14 : reserved
-- 0x18 : Data signal of d_o
-- bit 31~0 - d_o[31:0] (Read)
-- 0x1c : Control signal of d_o
-- bit 0 - d_o_ap_vld (Read/COR)
-- others - reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of pointer_basic_pointer_basic_io_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_D_I_DATA_0 : INTEGER := 16#10#;
constant ADDR_D_I_CTRL : INTEGER := 16#14#;
constant ADDR_D_O_DATA_0 : INTEGER := 16#18#;
constant ADDR_D_O_CTRL : INTEGER := 16#1c#;
constant ADDR_BITS : INTEGER := 5;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_d_i : UNSIGNED(31 downto 0) := (others => '0');
signal int_d_o : UNSIGNED(31 downto 0) := (others => '0');
signal int_d_o_ap_vld : STD_LOGIC;
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_D_I_DATA_0 =>
rdata_data <= RESIZE(int_d_i(31 downto 0), 32);
when ADDR_D_O_DATA_0 =>
rdata_data <= RESIZE(int_d_o(31 downto 0), 32);
when ADDR_D_O_CTRL =>
rdata_data <= (0 => int_d_o_ap_vld, others => '0');
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
d_i <= STD_LOGIC_VECTOR(int_d_i);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_idle <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_idle <= ap_idle;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_ready <= '0';
elsif (ACLK_EN = '1') then
if (true) then
int_ap_ready <= ap_ready;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_D_I_DATA_0) then
int_d_i(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_d_i(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_d_o <= (others => '0');
elsif (ACLK_EN = '1') then
if (d_o_ap_vld = '1') then
int_d_o <= UNSIGNED(d_o); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_d_o_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (d_o_ap_vld = '1') then
int_d_o_ap_vld <= '1';
elsif (ar_hs = '1' and raddr = ADDR_D_O_CTRL) then
int_d_o_ap_vld <= '0'; -- clear on read
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_rst_ps7_0_49M_0/sim/gcd_zynq_snick_rst_ps7_0_49M_0.vhd
|
2
|
7307
|
-- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_12;
USE proc_sys_reset_v5_0_12.proc_sys_reset;
ENTITY gcd_zynq_snick_rst_ps7_0_49M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END gcd_zynq_snick_rst_ps7_0_49M_0;
ARCHITECTURE gcd_zynq_snick_rst_ps7_0_49M_0_arch OF gcd_zynq_snick_rst_ps7_0_49M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF gcd_zynq_snick_rst_ps7_0_49M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_aresetn: SIGNAL IS "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF interconnect_aresetn: SIGNAL IS "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_reset: SIGNAL IS "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF bus_struct_reset: SIGNAL IS "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_reset: SIGNAL IS "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_debug_sys_rst: SIGNAL IS "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF aux_reset_in: SIGNAL IS "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF ext_reset_in: SIGNAL IS "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF slowest_sync_clk: SIGNAL IS "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END gcd_zynq_snick_rst_ps7_0_49M_0_arch;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
tutorials/xilinx/hls/ug871-design-files/Using_IP_with_Zynq/lab1/hls_macc/vhls_prj/solution1/syn/vhdl/hls_macc_mul_32s_bkb.vhd
|
3
|
2990
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity hls_macc_mul_32s_bkb_MulnS_0 is
port (
clk: in std_logic;
ce: in std_logic;
a: in std_logic_vector(32 - 1 downto 0);
b: in std_logic_vector(32 - 1 downto 0);
p: out std_logic_vector(32 - 1 downto 0));
end entity;
architecture behav of hls_macc_mul_32s_bkb_MulnS_0 is
signal tmp_product : std_logic_vector(32 - 1 downto 0);
signal a_i : std_logic_vector(32 - 1 downto 0);
signal b_i : std_logic_vector(32 - 1 downto 0);
signal p_tmp : std_logic_vector(32 - 1 downto 0);
signal a_reg0 : std_logic_vector(32 - 1 downto 0);
signal b_reg0 : std_logic_vector(32 - 1 downto 0);
signal buff0 : std_logic_vector(32 - 1 downto 0);
signal buff1 : std_logic_vector(32 - 1 downto 0);
signal buff2 : std_logic_vector(32 - 1 downto 0);
signal buff3 : std_logic_vector(32 - 1 downto 0);
signal buff4 : std_logic_vector(32 - 1 downto 0);
begin
a_i <= a;
b_i <= b;
p <= p_tmp;
p_tmp <= buff4;
tmp_product <= std_logic_vector(resize(unsigned(std_logic_vector(signed(a_reg0) * signed(b_reg0))), 32));
process(clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
a_reg0 <= a_i;
b_reg0 <= b_i;
buff0 <= tmp_product;
buff1 <= buff0;
buff2 <= buff1;
buff3 <= buff2;
buff4 <= buff3;
end if;
end if;
end process;
end architecture;
Library IEEE;
use IEEE.std_logic_1164.all;
entity hls_macc_mul_32s_bkb is
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
ce : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0);
din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0));
end entity;
architecture arch of hls_macc_mul_32s_bkb is
component hls_macc_mul_32s_bkb_MulnS_0 is
port (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR;
b : IN STD_LOGIC_VECTOR;
p : OUT STD_LOGIC_VECTOR);
end component;
begin
hls_macc_mul_32s_bkb_MulnS_0_U : component hls_macc_mul_32s_bkb_MulnS_0
port map (
clk => clk,
ce => ce,
a => din0,
b => din1,
p => dout);
end architecture;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado-hls/pointer_basic/proj_pointer_basic/solution2/impl/ip/hdl/vhdl/pointer_basic.vhd
|
3
|
9998
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity pointer_basic is
generic (
C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH : INTEGER := 5;
C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_pointer_basic_io_AWVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_AWREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH-1 downto 0);
s_axi_pointer_basic_io_WVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_WREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH-1 downto 0);
s_axi_pointer_basic_io_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH/8-1 downto 0);
s_axi_pointer_basic_io_ARVALID : IN STD_LOGIC;
s_axi_pointer_basic_io_ARREADY : OUT STD_LOGIC;
s_axi_pointer_basic_io_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH-1 downto 0);
s_axi_pointer_basic_io_RVALID : OUT STD_LOGIC;
s_axi_pointer_basic_io_RREADY : IN STD_LOGIC;
s_axi_pointer_basic_io_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH-1 downto 0);
s_axi_pointer_basic_io_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_pointer_basic_io_BVALID : OUT STD_LOGIC;
s_axi_pointer_basic_io_BREADY : IN STD_LOGIC;
s_axi_pointer_basic_io_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of pointer_basic is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"pointer_basic,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.552000,HLS_SYN_LAT=2,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=211,HLS_SYN_LUT=228,HLS_VERSION=2018_2}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (2 downto 0) := "100";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (2 downto 0) := "001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal d_i : STD_LOGIC_VECTOR (31 downto 0);
signal d_o_ap_vld : STD_LOGIC;
signal acc : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal d_read_reg_52 : STD_LOGIC_VECTOR (31 downto 0);
signal acc_assign_fu_41_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal acc_assign_reg_57 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal ap_NS_fsm : STD_LOGIC_VECTOR (2 downto 0);
component pointer_basic_pointer_basic_io_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
d_o : IN STD_LOGIC_VECTOR (31 downto 0);
d_o_ap_vld : IN STD_LOGIC;
d_i : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
pointer_basic_pointer_basic_io_s_axi_U : component pointer_basic_pointer_basic_io_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_POINTER_BASIC_IO_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_POINTER_BASIC_IO_DATA_WIDTH)
port map (
AWVALID => s_axi_pointer_basic_io_AWVALID,
AWREADY => s_axi_pointer_basic_io_AWREADY,
AWADDR => s_axi_pointer_basic_io_AWADDR,
WVALID => s_axi_pointer_basic_io_WVALID,
WREADY => s_axi_pointer_basic_io_WREADY,
WDATA => s_axi_pointer_basic_io_WDATA,
WSTRB => s_axi_pointer_basic_io_WSTRB,
ARVALID => s_axi_pointer_basic_io_ARVALID,
ARREADY => s_axi_pointer_basic_io_ARREADY,
ARADDR => s_axi_pointer_basic_io_ARADDR,
RVALID => s_axi_pointer_basic_io_RVALID,
RREADY => s_axi_pointer_basic_io_RREADY,
RDATA => s_axi_pointer_basic_io_RDATA,
RRESP => s_axi_pointer_basic_io_RRESP,
BVALID => s_axi_pointer_basic_io_BVALID,
BREADY => s_axi_pointer_basic_io_BREADY,
BRESP => s_axi_pointer_basic_io_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
d_o => acc_assign_reg_57,
d_o_ap_vld => d_o_ap_vld,
d_i => d_i);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state2)) then
acc <= acc_assign_fu_41_p2;
acc_assign_reg_57 <= acc_assign_fu_41_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
d_read_reg_52 <= d_i;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when ap_ST_fsm_state3 =>
ap_NS_fsm <= ap_ST_fsm_state1;
when others =>
ap_NS_fsm <= "XXX";
end case;
end process;
acc_assign_fu_41_p2 <= std_logic_vector(unsigned(acc) + unsigned(d_read_reg_52));
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_done_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
d_o_ap_vld_assign_proc : process(ap_CS_fsm_state3)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state3)) then
d_o_ap_vld <= ap_const_logic_1;
else
d_o_ap_vld <= ap_const_logic_0;
end if;
end process;
end behav;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd/gcd.ip_user_files/bd/gcd_block_design/ip/gcd_block_design_auto_pc_1/gcd_block_design_auto_pc_1_sim_netlist.vhdl
|
2
|
518765
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Sun Sep 22 03:34:20 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_auto_pc_1/gcd_block_design_auto_pc_1_sim_netlist.vhdl
-- Design : gcd_block_design_auto_pc_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair2";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm : entity is "axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \s_ready_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel : entity is "axi_protocol_converter_v2_1_17_b2s_b_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel : entity is "axi_protocol_converter_v2_1_17_b2s_r_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice : entity is "axi_register_slice_v2_1_17_axi_register_slice";
end gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel : entity is "axi_protocol_converter_v2_1_17_b2s_ar_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel : entity is "axi_protocol_converter_v2_1_17_b2s_aw_channel";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s : entity is "axi_protocol_converter_v2_1_17_b2s";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.gcd_block_design_auto_pc_1_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter";
attribute P_AXI3 : integer;
attribute P_AXI3 of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_block_design_auto_pc_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_block_design_auto_pc_1 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_block_design_auto_pc_1 : entity is "gcd_block_design_auto_pc_1,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_block_design_auto_pc_1 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_block_design_auto_pc_1 : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end gcd_block_design_auto_pc_1;
architecture STRUCTURE of gcd_block_design_auto_pc_1 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_block_design_processing_system7_0_2_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.gcd_block_design_auto_pc_1_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.cache/ip/2018.2/45a3d2c626abd576/gcd_zynq_snick_gcd_0_0_sim_netlist.vhdl
|
1
|
128669
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:40 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_zynq_snick_gcd_0_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_gcd_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
port (
\out\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC_VECTOR ( 1 downto 0 );
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
interrupt : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
CO : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\b_read_reg_102_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
\a_read_reg_107_reg[15]\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 15 downto 0 );
ap_clk : in STD_LOGIC;
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BREADY : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
\result_reg_56_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
\p_s_reg_45_reg[15]\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi is
signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \FSM_onehot_rstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_rstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \FSM_onehot_rstate_reg_n_0_[0]\ : signal is "yes";
signal \FSM_onehot_wstate[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate[3]_i_2_n_0\ : STD_LOGIC;
signal \FSM_onehot_wstate_reg_n_0_[0]\ : STD_LOGIC;
attribute RTL_KEEP of \FSM_onehot_wstate_reg_n_0_[0]\ : signal is "yes";
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^a_read_reg_107_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ar_hs : STD_LOGIC;
signal \^b_read_reg_102_reg[15]\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_a0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_a[15]_i_1_n_0\ : STD_LOGIC;
signal \int_a[15]_i_3_n_0\ : STD_LOGIC;
signal int_ap_done : STD_LOGIC;
signal int_ap_done1 : STD_LOGIC;
signal int_ap_done_i_1_n_0 : STD_LOGIC;
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_start3_out : STD_LOGIC;
signal int_ap_start_i_10_n_0 : STD_LOGIC;
signal int_ap_start_i_1_n_0 : STD_LOGIC;
signal int_ap_start_i_5_n_0 : STD_LOGIC;
signal int_ap_start_i_6_n_0 : STD_LOGIC;
signal int_ap_start_i_7_n_0 : STD_LOGIC;
signal int_ap_start_i_8_n_0 : STD_LOGIC;
signal int_ap_start_i_9_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_2_n_3 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_0 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_1 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_2 : STD_LOGIC;
signal int_ap_start_reg_i_4_n_3 : STD_LOGIC;
signal int_auto_restart : STD_LOGIC;
signal int_auto_restart_i_1_n_0 : STD_LOGIC;
signal int_b0 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \int_b[15]_i_1_n_0\ : STD_LOGIC;
signal int_gie_i_1_n_0 : STD_LOGIC;
signal int_gie_reg_n_0 : STD_LOGIC;
signal \int_ier[0]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_1_n_0\ : STD_LOGIC;
signal \int_ier[1]_i_2_n_0\ : STD_LOGIC;
signal \int_ier_reg_n_0_[0]\ : STD_LOGIC;
signal \int_ier_reg_n_0_[1]\ : STD_LOGIC;
signal int_isr6_out : STD_LOGIC;
signal \int_isr[0]_i_1_n_0\ : STD_LOGIC;
signal \int_isr[1]_i_1_n_0\ : STD_LOGIC;
signal \int_isr_reg_n_0_[0]\ : STD_LOGIC;
signal int_pResult : STD_LOGIC_VECTOR ( 15 downto 0 );
signal int_pResult_ap_vld : STD_LOGIC;
signal int_pResult_ap_vld1 : STD_LOGIC;
signal int_pResult_ap_vld_i_1_n_0 : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP of \^out\ : signal is "yes";
signal p_1_in : STD_LOGIC;
signal \rdata[0]_i_1_n_0\ : STD_LOGIC;
signal \rdata[0]_i_2_n_0\ : STD_LOGIC;
signal \rdata[0]_i_3_n_0\ : STD_LOGIC;
signal \rdata[0]_i_4_n_0\ : STD_LOGIC;
signal \rdata[10]_i_1_n_0\ : STD_LOGIC;
signal \rdata[11]_i_1_n_0\ : STD_LOGIC;
signal \rdata[12]_i_1_n_0\ : STD_LOGIC;
signal \rdata[13]_i_1_n_0\ : STD_LOGIC;
signal \rdata[14]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_1_n_0\ : STD_LOGIC;
signal \rdata[15]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_1_n_0\ : STD_LOGIC;
signal \rdata[1]_i_2_n_0\ : STD_LOGIC;
signal \rdata[1]_i_3_n_0\ : STD_LOGIC;
signal \rdata[1]_i_4_n_0\ : STD_LOGIC;
signal \rdata[1]_i_5_n_0\ : STD_LOGIC;
signal \rdata[2]_i_1_n_0\ : STD_LOGIC;
signal \rdata[2]_i_2_n_0\ : STD_LOGIC;
signal \rdata[3]_i_1_n_0\ : STD_LOGIC;
signal \rdata[3]_i_2_n_0\ : STD_LOGIC;
signal \rdata[4]_i_1_n_0\ : STD_LOGIC;
signal \rdata[5]_i_1_n_0\ : STD_LOGIC;
signal \rdata[6]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_1_n_0\ : STD_LOGIC;
signal \rdata[7]_i_2_n_0\ : STD_LOGIC;
signal \rdata[8]_i_1_n_0\ : STD_LOGIC;
signal \rdata[9]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \^s_axi_gcd_bus_rvalid\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \^s_axi_gcd_bus_rvalid\ : signal is "yes";
signal waddr : STD_LOGIC;
signal \waddr_reg_n_0_[0]\ : STD_LOGIC;
signal \waddr_reg_n_0_[1]\ : STD_LOGIC;
signal \waddr_reg_n_0_[2]\ : STD_LOGIC;
signal \waddr_reg_n_0_[3]\ : STD_LOGIC;
signal \waddr_reg_n_0_[4]\ : STD_LOGIC;
signal \waddr_reg_n_0_[5]\ : STD_LOGIC;
signal NLW_int_ap_start_reg_i_2_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 2 );
signal NLW_int_ap_start_reg_i_2_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_int_ap_start_reg_i_4_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[0]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP : string;
attribute KEEP of \FSM_onehot_rstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[1]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_rstate_reg[2]\ : label is "RDIDLE:010,RDDATA:100,iSTATE:001";
attribute KEEP of \FSM_onehot_rstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[0]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[0]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[1]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[1]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[2]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[2]\ : label is "yes";
attribute FSM_ENCODED_STATES of \FSM_onehot_wstate_reg[3]\ : label is "WRDATA:0100,WRRESP:1000,WRIDLE:0010,iSTATE:0001";
attribute KEEP of \FSM_onehot_wstate_reg[3]\ : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ap_CS_fsm[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \int_a[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[10]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[11]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \int_a[12]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \int_a[14]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[15]_i_2\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \int_a[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[3]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[4]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \int_a[5]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \int_a[6]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \int_a[7]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \int_a[8]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \int_a[9]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of int_ap_idle_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of int_ap_start_i_3 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \int_b[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[10]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[11]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \int_b[12]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[13]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \int_b[14]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[15]_i_2\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \int_b[1]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[3]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[4]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \int_b[5]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \int_b[6]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \int_b[7]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \int_b[8]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_b[9]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \int_isr[0]_i_2\ : label is "soft_lutpair0";
begin
CO(0) <= \^co\(0);
SR(0) <= \^sr\(0);
\a_read_reg_107_reg[15]\(15 downto 0) <= \^a_read_reg_107_reg[15]\(15 downto 0);
\b_read_reg_102_reg[15]\(15 downto 0) <= \^b_read_reg_102_reg[15]\(15 downto 0);
\out\(2 downto 0) <= \^out\(2 downto 0);
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RVALID(1 downto 0) <= \^s_axi_gcd_bus_rvalid\(1 downto 0);
\FSM_onehot_rstate[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F747"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[1]_i_1_n_0\
);
\FSM_onehot_rstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"88F8"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => \^s_axi_gcd_bus_rvalid\(1),
I3 => s_axi_gcd_bus_RREADY,
O => \FSM_onehot_rstate[2]_i_1_n_0\
);
\FSM_onehot_rstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_rstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_rstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(0),
R => \^sr\(0)
);
\FSM_onehot_rstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_rstate[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rvalid\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"888BFF8B"
)
port map (
I0 => s_axi_gcd_bus_BREADY,
I1 => \^out\(2),
I2 => \^out\(1),
I3 => \^out\(0),
I4 => s_axi_gcd_bus_AWVALID,
O => \FSM_onehot_wstate[1]_i_1_n_0\
);
\FSM_onehot_wstate[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_AWVALID,
I1 => \^out\(0),
I2 => s_axi_gcd_bus_WVALID,
I3 => \^out\(1),
O => \FSM_onehot_wstate[2]_i_1_n_0\
);
\FSM_onehot_wstate[3]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ap_rst_n,
O => \^sr\(0)
);
\FSM_onehot_wstate[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8F88"
)
port map (
I0 => s_axi_gcd_bus_WVALID,
I1 => \^out\(1),
I2 => s_axi_gcd_bus_BREADY,
I3 => \^out\(2),
O => \FSM_onehot_wstate[3]_i_2_n_0\
);
\FSM_onehot_wstate_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => '0',
Q => \FSM_onehot_wstate_reg_n_0_[0]\,
S => \^sr\(0)
);
\FSM_onehot_wstate_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[1]_i_1_n_0\,
Q => \^out\(0),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[2]_i_1_n_0\,
Q => \^out\(1),
R => \^sr\(0)
);
\FSM_onehot_wstate_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \FSM_onehot_wstate[3]_i_2_n_0\,
Q => \^out\(2),
R => \^sr\(0)
);
\ap_CS_fsm[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FA30"
)
port map (
I0 => \^co\(0),
I1 => ap_start,
I2 => Q(0),
I3 => Q(2),
O => D(0)
);
\ap_CS_fsm[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => Q(1),
I1 => Q(3),
I2 => Q(0),
I3 => ap_start,
I4 => Q(2),
O => D(1)
);
\b_read_reg_102[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => E(0)
);
\int_a[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(0),
O => int_a0(0)
);
\int_a[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(10),
O => int_a0(10)
);
\int_a[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(11),
O => int_a0(11)
);
\int_a[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(12),
O => int_a0(12)
);
\int_a[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(13),
O => int_a0(13)
);
\int_a[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(14),
O => int_a0(14)
);
\int_a[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => \waddr_reg_n_0_[4]\,
I1 => \int_a[15]_i_3_n_0\,
I2 => \waddr_reg_n_0_[2]\,
I3 => \waddr_reg_n_0_[3]\,
O => \int_a[15]_i_1_n_0\
);
\int_a[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(15),
O => int_a0(15)
);
\int_a[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00001000"
)
port map (
I0 => \waddr_reg_n_0_[0]\,
I1 => \waddr_reg_n_0_[5]\,
I2 => \^out\(1),
I3 => s_axi_gcd_bus_WVALID,
I4 => \waddr_reg_n_0_[1]\,
O => \int_a[15]_i_3_n_0\
);
\int_a[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(1),
O => int_a0(1)
);
\int_a[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(2),
O => int_a0(2)
);
\int_a[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(3),
O => int_a0(3)
);
\int_a[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(4),
O => int_a0(4)
);
\int_a[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(5),
O => int_a0(5)
);
\int_a[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(6),
O => int_a0(6)
);
\int_a[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^a_read_reg_107_reg[15]\(7),
O => int_a0(7)
);
\int_a[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(8),
O => int_a0(8)
);
\int_a[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^a_read_reg_107_reg[15]\(9),
O => int_a0(9)
);
\int_a_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(0),
Q => \^a_read_reg_107_reg[15]\(0),
R => \^sr\(0)
);
\int_a_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(10),
Q => \^a_read_reg_107_reg[15]\(10),
R => \^sr\(0)
);
\int_a_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(11),
Q => \^a_read_reg_107_reg[15]\(11),
R => \^sr\(0)
);
\int_a_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(12),
Q => \^a_read_reg_107_reg[15]\(12),
R => \^sr\(0)
);
\int_a_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(13),
Q => \^a_read_reg_107_reg[15]\(13),
R => \^sr\(0)
);
\int_a_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(14),
Q => \^a_read_reg_107_reg[15]\(14),
R => \^sr\(0)
);
\int_a_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(15),
Q => \^a_read_reg_107_reg[15]\(15),
R => \^sr\(0)
);
\int_a_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(1),
Q => \^a_read_reg_107_reg[15]\(1),
R => \^sr\(0)
);
\int_a_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(2),
Q => \^a_read_reg_107_reg[15]\(2),
R => \^sr\(0)
);
\int_a_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(3),
Q => \^a_read_reg_107_reg[15]\(3),
R => \^sr\(0)
);
\int_a_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(4),
Q => \^a_read_reg_107_reg[15]\(4),
R => \^sr\(0)
);
\int_a_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(5),
Q => \^a_read_reg_107_reg[15]\(5),
R => \^sr\(0)
);
\int_a_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(6),
Q => \^a_read_reg_107_reg[15]\(6),
R => \^sr\(0)
);
\int_a_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(7),
Q => \^a_read_reg_107_reg[15]\(7),
R => \^sr\(0)
);
\int_a_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(8),
Q => \^a_read_reg_107_reg[15]\(8),
R => \^sr\(0)
);
\int_a_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_a[15]_i_1_n_0\,
D => int_a0(9),
Q => \^a_read_reg_107_reg[15]\(9),
R => \^sr\(0)
);
int_ap_done_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_ap_done1,
I5 => int_ap_done,
O => int_ap_done_i_1_n_0
);
int_ap_done_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(2),
O => int_ap_done1
);
int_ap_done_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_done_i_1_n_0,
Q => int_ap_done,
R => \^sr\(0)
);
int_ap_idle_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => Q(0),
I1 => ap_start,
O => ap_idle
);
int_ap_idle_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_idle,
Q => int_ap_idle,
R => \^sr\(0)
);
int_ap_ready_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^co\(0),
I1 => Q(2),
O => ap_done
);
int_ap_ready_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => ap_done,
Q => int_ap_ready,
R => \^sr\(0)
);
int_ap_start_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFBFFF80"
)
port map (
I0 => int_auto_restart,
I1 => Q(2),
I2 => \^co\(0),
I3 => int_ap_start3_out,
I4 => ap_start,
O => int_ap_start_i_1_n_0
);
int_ap_start_i_10: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(0),
I1 => \p_s_reg_45_reg[15]\(0),
I2 => \p_s_reg_45_reg[15]\(2),
I3 => \result_reg_56_reg[15]\(2),
I4 => \p_s_reg_45_reg[15]\(1),
I5 => \result_reg_56_reg[15]\(1),
O => int_ap_start_i_10_n_0
);
int_ap_start_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"00000800"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[2]\,
I3 => \int_ier[1]_i_2_n_0\,
I4 => \waddr_reg_n_0_[3]\,
O => int_ap_start3_out
);
int_ap_start_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \p_s_reg_45_reg[15]\(15),
I1 => \result_reg_56_reg[15]\(15),
O => int_ap_start_i_5_n_0
);
int_ap_start_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(12),
I1 => \p_s_reg_45_reg[15]\(12),
I2 => \p_s_reg_45_reg[15]\(14),
I3 => \result_reg_56_reg[15]\(14),
I4 => \p_s_reg_45_reg[15]\(13),
I5 => \result_reg_56_reg[15]\(13),
O => int_ap_start_i_6_n_0
);
int_ap_start_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(9),
I1 => \p_s_reg_45_reg[15]\(9),
I2 => \p_s_reg_45_reg[15]\(11),
I3 => \result_reg_56_reg[15]\(11),
I4 => \p_s_reg_45_reg[15]\(10),
I5 => \result_reg_56_reg[15]\(10),
O => int_ap_start_i_7_n_0
);
int_ap_start_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(6),
I1 => \p_s_reg_45_reg[15]\(6),
I2 => \p_s_reg_45_reg[15]\(8),
I3 => \result_reg_56_reg[15]\(8),
I4 => \p_s_reg_45_reg[15]\(7),
I5 => \result_reg_56_reg[15]\(7),
O => int_ap_start_i_8_n_0
);
int_ap_start_i_9: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \result_reg_56_reg[15]\(3),
I1 => \p_s_reg_45_reg[15]\(3),
I2 => \p_s_reg_45_reg[15]\(5),
I3 => \result_reg_56_reg[15]\(5),
I4 => \p_s_reg_45_reg[15]\(4),
I5 => \result_reg_56_reg[15]\(4),
O => int_ap_start_i_9_n_0
);
int_ap_start_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_ap_start_i_1_n_0,
Q => ap_start,
R => \^sr\(0)
);
int_ap_start_reg_i_2: unisim.vcomponents.CARRY4
port map (
CI => int_ap_start_reg_i_4_n_0,
CO(3 downto 2) => NLW_int_ap_start_reg_i_2_CO_UNCONNECTED(3 downto 2),
CO(1) => \^co\(0),
CO(0) => int_ap_start_reg_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_2_O_UNCONNECTED(3 downto 0),
S(3 downto 2) => B"00",
S(1) => int_ap_start_i_5_n_0,
S(0) => int_ap_start_i_6_n_0
);
int_ap_start_reg_i_4: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => int_ap_start_reg_i_4_n_0,
CO(2) => int_ap_start_reg_i_4_n_1,
CO(1) => int_ap_start_reg_i_4_n_2,
CO(0) => int_ap_start_reg_i_4_n_3,
CYINIT => '1',
DI(3 downto 0) => B"0000",
O(3 downto 0) => NLW_int_ap_start_reg_i_4_O_UNCONNECTED(3 downto 0),
S(3) => int_ap_start_i_7_n_0,
S(2) => int_ap_start_i_8_n_0,
S(1) => int_ap_start_i_9_n_0,
S(0) => int_ap_start_i_10_n_0
);
int_auto_restart_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00200000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => \waddr_reg_n_0_[3]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => s_axi_gcd_bus_WSTRB(0),
I5 => int_auto_restart,
O => int_auto_restart_i_1_n_0
);
int_auto_restart_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_auto_restart_i_1_n_0,
Q => int_auto_restart,
R => \^sr\(0)
);
\int_b[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(0),
O => int_b0(0)
);
\int_b[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(10),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(10),
O => int_b0(10)
);
\int_b[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(11),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(11),
O => int_b0(11)
);
\int_b[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(12),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(12),
O => int_b0(12)
);
\int_b[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(13),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(13),
O => int_b0(13)
);
\int_b[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(14),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(14),
O => int_b0(14)
);
\int_b[15]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \waddr_reg_n_0_[3]\,
I1 => \waddr_reg_n_0_[4]\,
I2 => \int_a[15]_i_3_n_0\,
I3 => \waddr_reg_n_0_[2]\,
O => \int_b[15]_i_1_n_0\
);
\int_b[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(15),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(15),
O => int_b0(15)
);
\int_b[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(1),
O => int_b0(1)
);
\int_b[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(2),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(2),
O => int_b0(2)
);
\int_b[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(3),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(3),
O => int_b0(3)
);
\int_b[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(4),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(4),
O => int_b0(4)
);
\int_b[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(5),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(5),
O => int_b0(5)
);
\int_b[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(6),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(6),
O => int_b0(6)
);
\int_b[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(7),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \^b_read_reg_102_reg[15]\(7),
O => int_b0(7)
);
\int_b[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(8),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(8),
O => int_b0(8)
);
\int_b[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_gcd_bus_WDATA(9),
I1 => s_axi_gcd_bus_WSTRB(1),
I2 => \^b_read_reg_102_reg[15]\(9),
O => int_b0(9)
);
\int_b_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(0),
Q => \^b_read_reg_102_reg[15]\(0),
R => \^sr\(0)
);
\int_b_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(10),
Q => \^b_read_reg_102_reg[15]\(10),
R => \^sr\(0)
);
\int_b_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(11),
Q => \^b_read_reg_102_reg[15]\(11),
R => \^sr\(0)
);
\int_b_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(12),
Q => \^b_read_reg_102_reg[15]\(12),
R => \^sr\(0)
);
\int_b_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(13),
Q => \^b_read_reg_102_reg[15]\(13),
R => \^sr\(0)
);
\int_b_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(14),
Q => \^b_read_reg_102_reg[15]\(14),
R => \^sr\(0)
);
\int_b_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(15),
Q => \^b_read_reg_102_reg[15]\(15),
R => \^sr\(0)
);
\int_b_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(1),
Q => \^b_read_reg_102_reg[15]\(1),
R => \^sr\(0)
);
\int_b_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(2),
Q => \^b_read_reg_102_reg[15]\(2),
R => \^sr\(0)
);
\int_b_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(3),
Q => \^b_read_reg_102_reg[15]\(3),
R => \^sr\(0)
);
\int_b_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(4),
Q => \^b_read_reg_102_reg[15]\(4),
R => \^sr\(0)
);
\int_b_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(5),
Q => \^b_read_reg_102_reg[15]\(5),
R => \^sr\(0)
);
\int_b_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(6),
Q => \^b_read_reg_102_reg[15]\(6),
R => \^sr\(0)
);
\int_b_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(7),
Q => \^b_read_reg_102_reg[15]\(7),
R => \^sr\(0)
);
\int_b_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(8),
Q => \^b_read_reg_102_reg[15]\(8),
R => \^sr\(0)
);
\int_b_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => \int_b[15]_i_1_n_0\,
D => int_b0(9),
Q => \^b_read_reg_102_reg[15]\(9),
R => \^sr\(0)
);
int_gie_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFFFFFF08000000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \waddr_reg_n_0_[3]\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \int_ier[1]_i_2_n_0\,
I5 => int_gie_reg_n_0,
O => int_gie_i_1_n_0
);
int_gie_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => int_gie_i_1_n_0,
Q => int_gie_reg_n_0,
R => \^sr\(0)
);
\int_ier[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[0]\,
O => \int_ier[0]_i_1_n_0\
);
\int_ier[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFBFFFFF00800000"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => s_axi_gcd_bus_WSTRB(0),
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[2]\,
I4 => \waddr_reg_n_0_[3]\,
I5 => \int_ier_reg_n_0_[1]\,
O => \int_ier[1]_i_1_n_0\
);
\int_ier[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => \waddr_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_WVALID,
I2 => \^out\(1),
I3 => \waddr_reg_n_0_[5]\,
I4 => \waddr_reg_n_0_[0]\,
I5 => \waddr_reg_n_0_[4]\,
O => \int_ier[1]_i_2_n_0\
);
\int_ier_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[0]_i_1_n_0\,
Q => \int_ier_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_ier_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_ier[1]_i_1_n_0\,
Q => \int_ier_reg_n_0_[1]\,
R => \^sr\(0)
);
\int_isr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(0),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[0]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => \int_isr_reg_n_0_[0]\,
O => \int_isr[0]_i_1_n_0\
);
\int_isr[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => s_axi_gcd_bus_WSTRB(0),
I1 => \waddr_reg_n_0_[2]\,
I2 => \int_ier[1]_i_2_n_0\,
I3 => \waddr_reg_n_0_[3]\,
O => int_isr6_out
);
\int_isr[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7777777F8888888"
)
port map (
I0 => s_axi_gcd_bus_WDATA(1),
I1 => int_isr6_out,
I2 => \int_ier_reg_n_0_[1]\,
I3 => \^co\(0),
I4 => Q(2),
I5 => p_1_in,
O => \int_isr[1]_i_1_n_0\
);
\int_isr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[0]_i_1_n_0\,
Q => \int_isr_reg_n_0_[0]\,
R => \^sr\(0)
);
\int_isr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => \int_isr[1]_i_1_n_0\,
Q => p_1_in,
R => \^sr\(0)
);
int_pResult_ap_vld_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"8FFFFFFF88888888"
)
port map (
I0 => Q(2),
I1 => \^co\(0),
I2 => \^s_axi_gcd_bus_rvalid\(0),
I3 => s_axi_gcd_bus_ARVALID,
I4 => int_pResult_ap_vld1,
I5 => int_pResult_ap_vld,
O => int_pResult_ap_vld_i_1_n_0
);
int_pResult_ap_vld_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000001000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => s_axi_gcd_bus_ARADDR(5),
I3 => s_axi_gcd_bus_ARADDR(2),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => s_axi_gcd_bus_ARADDR(0),
O => int_pResult_ap_vld1
);
int_pResult_ap_vld_reg: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => int_pResult_ap_vld_i_1_n_0,
Q => int_pResult_ap_vld,
R => \^sr\(0)
);
\int_pResult_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(0),
Q => int_pResult(0),
R => \^sr\(0)
);
\int_pResult_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(10),
Q => int_pResult(10),
R => \^sr\(0)
);
\int_pResult_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(11),
Q => int_pResult(11),
R => \^sr\(0)
);
\int_pResult_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(12),
Q => int_pResult(12),
R => \^sr\(0)
);
\int_pResult_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(13),
Q => int_pResult(13),
R => \^sr\(0)
);
\int_pResult_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(14),
Q => int_pResult(14),
R => \^sr\(0)
);
\int_pResult_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(15),
Q => int_pResult(15),
R => \^sr\(0)
);
\int_pResult_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(1),
Q => int_pResult(1),
R => \^sr\(0)
);
\int_pResult_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(2),
Q => int_pResult(2),
R => \^sr\(0)
);
\int_pResult_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(3),
Q => int_pResult(3),
R => \^sr\(0)
);
\int_pResult_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(4),
Q => int_pResult(4),
R => \^sr\(0)
);
\int_pResult_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(5),
Q => int_pResult(5),
R => \^sr\(0)
);
\int_pResult_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(6),
Q => int_pResult(6),
R => \^sr\(0)
);
\int_pResult_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(7),
Q => int_pResult(7),
R => \^sr\(0)
);
\int_pResult_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(8),
Q => int_pResult(8),
R => \^sr\(0)
);
\int_pResult_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => ap_done,
D => \p_s_reg_45_reg[15]\(9),
Q => int_pResult(9),
R => \^sr\(0)
);
interrupt_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"E0"
)
port map (
I0 => p_1_in,
I1 => \int_isr_reg_n_0_[0]\,
I2 => int_gie_reg_n_0,
O => interrupt
);
\rdata[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[0]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[0]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(0),
O => \rdata[0]_i_1_n_0\
);
\rdata[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[0]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[0]_i_4_n_0\,
O => \rdata[0]_i_2_n_0\
);
\rdata[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033223000002230"
)
port map (
I0 => int_pResult_ap_vld,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_gie_reg_n_0,
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \int_isr_reg_n_0_[0]\,
O => \rdata[0]_i_3_n_0\
);
\rdata[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(0),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(0),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => ap_start,
O => \rdata[0]_i_4_n_0\
);
\rdata[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(10),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(10),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(10),
O => \rdata[10]_i_1_n_0\
);
\rdata[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(11),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(11),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(11),
O => \rdata[11]_i_1_n_0\
);
\rdata[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(12),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(12),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(12),
O => \rdata[12]_i_1_n_0\
);
\rdata[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(13),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(13),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(13),
O => \rdata[13]_i_1_n_0\
);
\rdata[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(14),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(14),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(14),
O => \rdata[14]_i_1_n_0\
);
\rdata[15]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"88888880"
)
port map (
I0 => s_axi_gcd_bus_ARVALID,
I1 => \^s_axi_gcd_bus_rvalid\(0),
I2 => s_axi_gcd_bus_ARADDR(1),
I3 => s_axi_gcd_bus_ARADDR(0),
I4 => s_axi_gcd_bus_ARADDR(2),
O => \rdata[15]_i_1_n_0\
);
\rdata[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_gcd_bus_rvalid\(0),
I1 => s_axi_gcd_bus_ARVALID,
O => ar_hs
);
\rdata[15]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(15),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(15),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(15),
O => \rdata[15]_i_3_n_0\
);
\rdata[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \rdata[1]_i_2_n_0\,
I1 => s_axi_gcd_bus_ARADDR(2),
I2 => \rdata[1]_i_3_n_0\,
I3 => \rdata[1]_i_4_n_0\,
I4 => ar_hs,
I5 => \^s_axi_gcd_bus_rdata\(1),
O => \rdata[1]_i_1_n_0\
);
\rdata[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00E2FFFF00E20000"
)
port map (
I0 => \int_ier_reg_n_0_[1]\,
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => s_axi_gcd_bus_ARADDR(3),
I5 => \rdata[1]_i_5_n_0\,
O => \rdata[1]_i_2_n_0\
);
\rdata[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(4),
I1 => s_axi_gcd_bus_ARADDR(5),
I2 => s_axi_gcd_bus_ARADDR(3),
I3 => p_1_in,
O => \rdata[1]_i_3_n_0\
);
\rdata[1]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(1),
I1 => s_axi_gcd_bus_ARADDR(0),
O => \rdata[1]_i_4_n_0\
);
\rdata[1]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(1),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(1),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_done,
O => \rdata[1]_i_5_n_0\
);
\rdata[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(2),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[2]_i_2_n_0\,
O => \rdata[2]_i_1_n_0\
);
\rdata[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(2),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(2),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_idle,
O => \rdata[2]_i_2_n_0\
);
\rdata[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(3),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[3]_i_2_n_0\,
O => \rdata[3]_i_1_n_0\
);
\rdata[3]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(3),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(3),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_ap_ready,
O => \rdata[3]_i_2_n_0\
);
\rdata[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(4),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(4),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(4),
O => \rdata[4]_i_1_n_0\
);
\rdata[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(5),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(5),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(5),
O => \rdata[5]_i_1_n_0\
);
\rdata[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(6),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(6),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(6),
O => \rdata[6]_i_1_n_0\
);
\rdata[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"40FF4000"
)
port map (
I0 => s_axi_gcd_bus_ARADDR(5),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => \^b_read_reg_102_reg[15]\(7),
I3 => s_axi_gcd_bus_ARADDR(3),
I4 => \rdata[7]_i_2_n_0\,
O => \rdata[7]_i_1_n_0\
);
\rdata[7]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"30BB3088"
)
port map (
I0 => \^a_read_reg_107_reg[15]\(7),
I1 => s_axi_gcd_bus_ARADDR(4),
I2 => int_pResult(7),
I3 => s_axi_gcd_bus_ARADDR(5),
I4 => int_auto_restart,
O => \rdata[7]_i_2_n_0\
);
\rdata[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(8),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(8),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(8),
O => \rdata[8]_i_1_n_0\
);
\rdata[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0033B8000000B800"
)
port map (
I0 => \^b_read_reg_102_reg[15]\(9),
I1 => s_axi_gcd_bus_ARADDR(3),
I2 => \^a_read_reg_107_reg[15]\(9),
I3 => s_axi_gcd_bus_ARADDR(4),
I4 => s_axi_gcd_bus_ARADDR(5),
I5 => int_pResult(9),
O => \rdata[9]_i_1_n_0\
);
\rdata_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[0]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(0),
R => '0'
);
\rdata_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[10]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(10),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[11]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(11),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[12]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(12),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[13]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(13),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[14]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(14),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[15]_i_3_n_0\,
Q => \^s_axi_gcd_bus_rdata\(15),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => '1',
D => \rdata[1]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(1),
R => '0'
);
\rdata_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[2]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(2),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[3]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(3),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[4]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(4),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[5]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(5),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[6]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(6),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[7]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(7),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[8]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(8),
R => \rdata[15]_i_1_n_0\
);
\rdata_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ar_hs,
D => \rdata[9]_i_1_n_0\,
Q => \^s_axi_gcd_bus_rdata\(9),
R => \rdata[15]_i_1_n_0\
);
\waddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^out\(0),
I1 => s_axi_gcd_bus_AWVALID,
O => waddr
);
\waddr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(0),
Q => \waddr_reg_n_0_[0]\,
R => '0'
);
\waddr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(1),
Q => \waddr_reg_n_0_[1]\,
R => '0'
);
\waddr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(2),
Q => \waddr_reg_n_0_[2]\,
R => '0'
);
\waddr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(3),
Q => \waddr_reg_n_0_[3]\,
R => '0'
);
\waddr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(4),
Q => \waddr_reg_n_0_[4]\,
R => '0'
);
\waddr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => waddr,
D => s_axi_gcd_bus_AWADDR(5),
Q => \waddr_reg_n_0_[5]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
port (
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt : out STD_LOGIC
);
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "4'b1000";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd is
signal \<const0>\ : STD_LOGIC;
signal a : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_fu_78_p21_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_121 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal a_assign_reg_1210 : STD_LOGIC;
signal \a_assign_reg_121[11]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[11]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[15]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[3]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_2_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_3_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_4_n_0\ : STD_LOGIC;
signal \a_assign_reg_121[7]_i_5_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \a_assign_reg_121_reg[7]_i_1_n_3\ : STD_LOGIC;
signal a_read_reg_107 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \ap_CS_fsm_reg_n_0_[0]\ : STD_LOGIC;
signal ap_CS_fsm_state2 : STD_LOGIC;
signal ap_CS_fsm_state3 : STD_LOGIC;
signal ap_CS_fsm_state4 : STD_LOGIC;
signal ap_NS_fsm : STD_LOGIC_VECTOR ( 2 downto 0 );
signal ap_NS_fsm1 : STD_LOGIC;
signal ap_rst_n_inv : STD_LOGIC;
signal b : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_fu_84_p20_out : STD_LOGIC_VECTOR ( 15 downto 0 );
signal b_assign_reg_126 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \b_assign_reg_126[11]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[11]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[15]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[3]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_2_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_3_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_4_n_0\ : STD_LOGIC;
signal \b_assign_reg_126[7]_i_5_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[11]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[15]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[3]_i_1_n_3\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_0\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_1\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_2\ : STD_LOGIC;
signal \b_assign_reg_126_reg[7]_i_1_n_3\ : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_1_in : STD_LOGIC_VECTOR ( 15 downto 0 );
signal p_s_reg_45 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \p_s_reg_45[0]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[10]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[11]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[12]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[13]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[14]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[15]_i_2_n_0\ : STD_LOGIC;
signal \p_s_reg_45[1]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[2]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[3]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[4]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[5]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[6]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[7]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[8]_i_1_n_0\ : STD_LOGIC;
signal \p_s_reg_45[9]_i_1_n_0\ : STD_LOGIC;
signal result_reg_56 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \result_reg_56[15]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_gcd_bus_rdata\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal tmp_2_fu_66_p2 : STD_LOGIC;
signal tmp_3_fu_72_p2 : STD_LOGIC;
signal tmp_3_reg_115 : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_10_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_11_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_12_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_13_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_14_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_15_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_16_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_17_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_18_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_3_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_4_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_5_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_6_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_7_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_8_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115[0]_i_9_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_1_n_3\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \tmp_3_reg_115_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute FSM_ENCODING : string;
attribute FSM_ENCODING of \ap_CS_fsm_reg[0]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[1]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[2]\ : label is "none";
attribute FSM_ENCODING of \ap_CS_fsm_reg[3]\ : label is "none";
begin
s_axi_gcd_bus_BRESP(1) <= \<const0>\;
s_axi_gcd_bus_BRESP(0) <= \<const0>\;
s_axi_gcd_bus_RDATA(31) <= \<const0>\;
s_axi_gcd_bus_RDATA(30) <= \<const0>\;
s_axi_gcd_bus_RDATA(29) <= \<const0>\;
s_axi_gcd_bus_RDATA(28) <= \<const0>\;
s_axi_gcd_bus_RDATA(27) <= \<const0>\;
s_axi_gcd_bus_RDATA(26) <= \<const0>\;
s_axi_gcd_bus_RDATA(25) <= \<const0>\;
s_axi_gcd_bus_RDATA(24) <= \<const0>\;
s_axi_gcd_bus_RDATA(23) <= \<const0>\;
s_axi_gcd_bus_RDATA(22) <= \<const0>\;
s_axi_gcd_bus_RDATA(21) <= \<const0>\;
s_axi_gcd_bus_RDATA(20) <= \<const0>\;
s_axi_gcd_bus_RDATA(19) <= \<const0>\;
s_axi_gcd_bus_RDATA(18) <= \<const0>\;
s_axi_gcd_bus_RDATA(17) <= \<const0>\;
s_axi_gcd_bus_RDATA(16) <= \<const0>\;
s_axi_gcd_bus_RDATA(15 downto 0) <= \^s_axi_gcd_bus_rdata\(15 downto 0);
s_axi_gcd_bus_RRESP(1) <= \<const0>\;
s_axi_gcd_bus_RRESP(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\a_assign_reg_121[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(11),
I1 => p_s_reg_45(11),
O => \a_assign_reg_121[11]_i_2_n_0\
);
\a_assign_reg_121[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
O => \a_assign_reg_121[11]_i_3_n_0\
);
\a_assign_reg_121[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(9),
I1 => p_s_reg_45(9),
O => \a_assign_reg_121[11]_i_4_n_0\
);
\a_assign_reg_121[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
O => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(15),
I1 => p_s_reg_45(15),
O => \a_assign_reg_121[15]_i_2_n_0\
);
\a_assign_reg_121[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
O => \a_assign_reg_121[15]_i_3_n_0\
);
\a_assign_reg_121[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(13),
I1 => p_s_reg_45(13),
O => \a_assign_reg_121[15]_i_4_n_0\
);
\a_assign_reg_121[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
O => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(3),
I1 => p_s_reg_45(3),
O => \a_assign_reg_121[3]_i_2_n_0\
);
\a_assign_reg_121[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
O => \a_assign_reg_121[3]_i_3_n_0\
);
\a_assign_reg_121[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(1),
I1 => p_s_reg_45(1),
O => \a_assign_reg_121[3]_i_4_n_0\
);
\a_assign_reg_121[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
O => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(7),
I1 => p_s_reg_45(7),
O => \a_assign_reg_121[7]_i_2_n_0\
);
\a_assign_reg_121[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
O => \a_assign_reg_121[7]_i_3_n_0\
);
\a_assign_reg_121[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(5),
I1 => p_s_reg_45(5),
O => \a_assign_reg_121[7]_i_4_n_0\
);
\a_assign_reg_121[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
O => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(0),
Q => a_assign_reg_121(0),
R => '0'
);
\a_assign_reg_121_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(10),
Q => a_assign_reg_121(10),
R => '0'
);
\a_assign_reg_121_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(11),
Q => a_assign_reg_121(11),
R => '0'
);
\a_assign_reg_121_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[11]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[11]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(11 downto 8),
O(3 downto 0) => a_assign_fu_78_p21_out(11 downto 8),
S(3) => \a_assign_reg_121[11]_i_2_n_0\,
S(2) => \a_assign_reg_121[11]_i_3_n_0\,
S(1) => \a_assign_reg_121[11]_i_4_n_0\,
S(0) => \a_assign_reg_121[11]_i_5_n_0\
);
\a_assign_reg_121_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(12),
Q => a_assign_reg_121(12),
R => '0'
);
\a_assign_reg_121_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(13),
Q => a_assign_reg_121(13),
R => '0'
);
\a_assign_reg_121_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(14),
Q => a_assign_reg_121(14),
R => '0'
);
\a_assign_reg_121_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(15),
Q => a_assign_reg_121(15),
R => '0'
);
\a_assign_reg_121_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[11]_i_1_n_0\,
CO(3) => \NLW_a_assign_reg_121_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \a_assign_reg_121_reg[15]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[15]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => result_reg_56(14 downto 12),
O(3 downto 0) => a_assign_fu_78_p21_out(15 downto 12),
S(3) => \a_assign_reg_121[15]_i_2_n_0\,
S(2) => \a_assign_reg_121[15]_i_3_n_0\,
S(1) => \a_assign_reg_121[15]_i_4_n_0\,
S(0) => \a_assign_reg_121[15]_i_5_n_0\
);
\a_assign_reg_121_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(1),
Q => a_assign_reg_121(1),
R => '0'
);
\a_assign_reg_121_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(2),
Q => a_assign_reg_121(2),
R => '0'
);
\a_assign_reg_121_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(3),
Q => a_assign_reg_121(3),
R => '0'
);
\a_assign_reg_121_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[3]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[3]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => result_reg_56(3 downto 0),
O(3 downto 0) => a_assign_fu_78_p21_out(3 downto 0),
S(3) => \a_assign_reg_121[3]_i_2_n_0\,
S(2) => \a_assign_reg_121[3]_i_3_n_0\,
S(1) => \a_assign_reg_121[3]_i_4_n_0\,
S(0) => \a_assign_reg_121[3]_i_5_n_0\
);
\a_assign_reg_121_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(4),
Q => a_assign_reg_121(4),
R => '0'
);
\a_assign_reg_121_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(5),
Q => a_assign_reg_121(5),
R => '0'
);
\a_assign_reg_121_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(6),
Q => a_assign_reg_121(6),
R => '0'
);
\a_assign_reg_121_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(7),
Q => a_assign_reg_121(7),
R => '0'
);
\a_assign_reg_121_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \a_assign_reg_121_reg[3]_i_1_n_0\,
CO(3) => \a_assign_reg_121_reg[7]_i_1_n_0\,
CO(2) => \a_assign_reg_121_reg[7]_i_1_n_1\,
CO(1) => \a_assign_reg_121_reg[7]_i_1_n_2\,
CO(0) => \a_assign_reg_121_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => result_reg_56(7 downto 4),
O(3 downto 0) => a_assign_fu_78_p21_out(7 downto 4),
S(3) => \a_assign_reg_121[7]_i_2_n_0\,
S(2) => \a_assign_reg_121[7]_i_3_n_0\,
S(1) => \a_assign_reg_121[7]_i_4_n_0\,
S(0) => \a_assign_reg_121[7]_i_5_n_0\
);
\a_assign_reg_121_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(8),
Q => a_assign_reg_121(8),
R => '0'
);
\a_assign_reg_121_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => a_assign_fu_78_p21_out(9),
Q => a_assign_reg_121(9),
R => '0'
);
\a_read_reg_107_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(0),
Q => a_read_reg_107(0),
R => '0'
);
\a_read_reg_107_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(10),
Q => a_read_reg_107(10),
R => '0'
);
\a_read_reg_107_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(11),
Q => a_read_reg_107(11),
R => '0'
);
\a_read_reg_107_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(12),
Q => a_read_reg_107(12),
R => '0'
);
\a_read_reg_107_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(13),
Q => a_read_reg_107(13),
R => '0'
);
\a_read_reg_107_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(14),
Q => a_read_reg_107(14),
R => '0'
);
\a_read_reg_107_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(15),
Q => a_read_reg_107(15),
R => '0'
);
\a_read_reg_107_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(1),
Q => a_read_reg_107(1),
R => '0'
);
\a_read_reg_107_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(2),
Q => a_read_reg_107(2),
R => '0'
);
\a_read_reg_107_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(3),
Q => a_read_reg_107(3),
R => '0'
);
\a_read_reg_107_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(4),
Q => a_read_reg_107(4),
R => '0'
);
\a_read_reg_107_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(5),
Q => a_read_reg_107(5),
R => '0'
);
\a_read_reg_107_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(6),
Q => a_read_reg_107(6),
R => '0'
);
\a_read_reg_107_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(7),
Q => a_read_reg_107(7),
R => '0'
);
\a_read_reg_107_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(8),
Q => a_read_reg_107(8),
R => '0'
);
\a_read_reg_107_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => a(9),
Q => a_read_reg_107(9),
R => '0'
);
\ap_CS_fsm[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => ap_CS_fsm_state2,
I1 => ap_CS_fsm_state4,
O => ap_NS_fsm(2)
);
\ap_CS_fsm[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ap_CS_fsm_state3,
I1 => tmp_2_fu_66_p2,
O => a_assign_reg_1210
);
\ap_CS_fsm_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(0),
Q => \ap_CS_fsm_reg_n_0_[0]\,
S => ap_rst_n_inv
);
\ap_CS_fsm_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(1),
Q => ap_CS_fsm_state2,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => ap_NS_fsm(2),
Q => ap_CS_fsm_state3,
R => ap_rst_n_inv
);
\ap_CS_fsm_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => ap_clk,
CE => '1',
D => a_assign_reg_1210,
Q => ap_CS_fsm_state4,
R => ap_rst_n_inv
);
\b_assign_reg_126[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(11),
I1 => result_reg_56(11),
O => \b_assign_reg_126[11]_i_2_n_0\
);
\b_assign_reg_126[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(10),
I1 => result_reg_56(10),
O => \b_assign_reg_126[11]_i_3_n_0\
);
\b_assign_reg_126[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(9),
I1 => result_reg_56(9),
O => \b_assign_reg_126[11]_i_4_n_0\
);
\b_assign_reg_126[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(8),
I1 => result_reg_56(8),
O => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(15),
I1 => result_reg_56(15),
O => \b_assign_reg_126[15]_i_2_n_0\
);
\b_assign_reg_126[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(14),
I1 => result_reg_56(14),
O => \b_assign_reg_126[15]_i_3_n_0\
);
\b_assign_reg_126[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(13),
I1 => result_reg_56(13),
O => \b_assign_reg_126[15]_i_4_n_0\
);
\b_assign_reg_126[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(12),
I1 => result_reg_56(12),
O => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(3),
I1 => result_reg_56(3),
O => \b_assign_reg_126[3]_i_2_n_0\
);
\b_assign_reg_126[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(2),
I1 => result_reg_56(2),
O => \b_assign_reg_126[3]_i_3_n_0\
);
\b_assign_reg_126[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(1),
I1 => result_reg_56(1),
O => \b_assign_reg_126[3]_i_4_n_0\
);
\b_assign_reg_126[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(0),
I1 => result_reg_56(0),
O => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(7),
I1 => result_reg_56(7),
O => \b_assign_reg_126[7]_i_2_n_0\
);
\b_assign_reg_126[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(6),
I1 => result_reg_56(6),
O => \b_assign_reg_126[7]_i_3_n_0\
);
\b_assign_reg_126[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(5),
I1 => result_reg_56(5),
O => \b_assign_reg_126[7]_i_4_n_0\
);
\b_assign_reg_126[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_s_reg_45(4),
I1 => result_reg_56(4),
O => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(0),
Q => b_assign_reg_126(0),
R => '0'
);
\b_assign_reg_126_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(10),
Q => b_assign_reg_126(10),
R => '0'
);
\b_assign_reg_126_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(11),
Q => b_assign_reg_126(11),
R => '0'
);
\b_assign_reg_126_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[11]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[11]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[11]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(11 downto 8),
O(3 downto 0) => b_assign_fu_84_p20_out(11 downto 8),
S(3) => \b_assign_reg_126[11]_i_2_n_0\,
S(2) => \b_assign_reg_126[11]_i_3_n_0\,
S(1) => \b_assign_reg_126[11]_i_4_n_0\,
S(0) => \b_assign_reg_126[11]_i_5_n_0\
);
\b_assign_reg_126_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(12),
Q => b_assign_reg_126(12),
R => '0'
);
\b_assign_reg_126_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(13),
Q => b_assign_reg_126(13),
R => '0'
);
\b_assign_reg_126_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(14),
Q => b_assign_reg_126(14),
R => '0'
);
\b_assign_reg_126_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(15),
Q => b_assign_reg_126(15),
R => '0'
);
\b_assign_reg_126_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[11]_i_1_n_0\,
CO(3) => \NLW_b_assign_reg_126_reg[15]_i_1_CO_UNCONNECTED\(3),
CO(2) => \b_assign_reg_126_reg[15]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[15]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[15]_i_1_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => p_s_reg_45(14 downto 12),
O(3 downto 0) => b_assign_fu_84_p20_out(15 downto 12),
S(3) => \b_assign_reg_126[15]_i_2_n_0\,
S(2) => \b_assign_reg_126[15]_i_3_n_0\,
S(1) => \b_assign_reg_126[15]_i_4_n_0\,
S(0) => \b_assign_reg_126[15]_i_5_n_0\
);
\b_assign_reg_126_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(1),
Q => b_assign_reg_126(1),
R => '0'
);
\b_assign_reg_126_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(2),
Q => b_assign_reg_126(2),
R => '0'
);
\b_assign_reg_126_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(3),
Q => b_assign_reg_126(3),
R => '0'
);
\b_assign_reg_126_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[3]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[3]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[3]_i_1_n_3\,
CYINIT => '1',
DI(3 downto 0) => p_s_reg_45(3 downto 0),
O(3 downto 0) => b_assign_fu_84_p20_out(3 downto 0),
S(3) => \b_assign_reg_126[3]_i_2_n_0\,
S(2) => \b_assign_reg_126[3]_i_3_n_0\,
S(1) => \b_assign_reg_126[3]_i_4_n_0\,
S(0) => \b_assign_reg_126[3]_i_5_n_0\
);
\b_assign_reg_126_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(4),
Q => b_assign_reg_126(4),
R => '0'
);
\b_assign_reg_126_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(5),
Q => b_assign_reg_126(5),
R => '0'
);
\b_assign_reg_126_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(6),
Q => b_assign_reg_126(6),
R => '0'
);
\b_assign_reg_126_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(7),
Q => b_assign_reg_126(7),
R => '0'
);
\b_assign_reg_126_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \b_assign_reg_126_reg[3]_i_1_n_0\,
CO(3) => \b_assign_reg_126_reg[7]_i_1_n_0\,
CO(2) => \b_assign_reg_126_reg[7]_i_1_n_1\,
CO(1) => \b_assign_reg_126_reg[7]_i_1_n_2\,
CO(0) => \b_assign_reg_126_reg[7]_i_1_n_3\,
CYINIT => '0',
DI(3 downto 0) => p_s_reg_45(7 downto 4),
O(3 downto 0) => b_assign_fu_84_p20_out(7 downto 4),
S(3) => \b_assign_reg_126[7]_i_2_n_0\,
S(2) => \b_assign_reg_126[7]_i_3_n_0\,
S(1) => \b_assign_reg_126[7]_i_4_n_0\,
S(0) => \b_assign_reg_126[7]_i_5_n_0\
);
\b_assign_reg_126_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(8),
Q => b_assign_reg_126(8),
R => '0'
);
\b_assign_reg_126_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => b_assign_fu_84_p20_out(9),
Q => b_assign_reg_126(9),
R => '0'
);
\b_read_reg_102_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(0),
Q => b_read_reg_102(0),
R => '0'
);
\b_read_reg_102_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(10),
Q => b_read_reg_102(10),
R => '0'
);
\b_read_reg_102_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(11),
Q => b_read_reg_102(11),
R => '0'
);
\b_read_reg_102_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(12),
Q => b_read_reg_102(12),
R => '0'
);
\b_read_reg_102_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(13),
Q => b_read_reg_102(13),
R => '0'
);
\b_read_reg_102_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(14),
Q => b_read_reg_102(14),
R => '0'
);
\b_read_reg_102_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(15),
Q => b_read_reg_102(15),
R => '0'
);
\b_read_reg_102_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(1),
Q => b_read_reg_102(1),
R => '0'
);
\b_read_reg_102_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(2),
Q => b_read_reg_102(2),
R => '0'
);
\b_read_reg_102_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(3),
Q => b_read_reg_102(3),
R => '0'
);
\b_read_reg_102_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(4),
Q => b_read_reg_102(4),
R => '0'
);
\b_read_reg_102_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(5),
Q => b_read_reg_102(5),
R => '0'
);
\b_read_reg_102_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(6),
Q => b_read_reg_102(6),
R => '0'
);
\b_read_reg_102_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(7),
Q => b_read_reg_102(7),
R => '0'
);
\b_read_reg_102_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(8),
Q => b_read_reg_102(8),
R => '0'
);
\b_read_reg_102_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => ap_NS_fsm1,
D => b(9),
Q => b_read_reg_102(9),
R => '0'
);
gcd_gcd_bus_s_axi_U: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd_gcd_bus_s_axi
port map (
CO(0) => tmp_2_fu_66_p2,
D(1 downto 0) => ap_NS_fsm(1 downto 0),
E(0) => ap_NS_fsm1,
Q(3) => ap_CS_fsm_state4,
Q(2) => ap_CS_fsm_state3,
Q(1) => ap_CS_fsm_state2,
Q(0) => \ap_CS_fsm_reg_n_0_[0]\,
SR(0) => ap_rst_n_inv,
\a_read_reg_107_reg[15]\(15 downto 0) => a(15 downto 0),
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
\b_read_reg_102_reg[15]\(15 downto 0) => b(15 downto 0),
interrupt => interrupt,
\out\(2) => s_axi_gcd_bus_BVALID,
\out\(1) => s_axi_gcd_bus_WREADY,
\out\(0) => s_axi_gcd_bus_AWREADY,
\p_s_reg_45_reg[15]\(15 downto 0) => p_s_reg_45(15 downto 0),
\result_reg_56_reg[15]\(15 downto 0) => result_reg_56(15 downto 0),
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_RDATA(15 downto 0) => \^s_axi_gcd_bus_rdata\(15 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RVALID(1) => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_RVALID(0) => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_WDATA(15 downto 0) => s_axi_gcd_bus_WDATA(15 downto 0),
s_axi_gcd_bus_WSTRB(1 downto 0) => s_axi_gcd_bus_WSTRB(1 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
\p_s_reg_45[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(0),
I1 => b_read_reg_102(0),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[0]_i_1_n_0\
);
\p_s_reg_45[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(10),
I1 => b_read_reg_102(10),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[10]_i_1_n_0\
);
\p_s_reg_45[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(11),
I1 => b_read_reg_102(11),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[11]_i_1_n_0\
);
\p_s_reg_45[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(12),
I1 => b_read_reg_102(12),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[12]_i_1_n_0\
);
\p_s_reg_45[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(13),
I1 => b_read_reg_102(13),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[13]_i_1_n_0\
);
\p_s_reg_45[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(14),
I1 => b_read_reg_102(14),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[14]_i_1_n_0\
);
\p_s_reg_45[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"74"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \p_s_reg_45[15]_i_1_n_0\
);
\p_s_reg_45[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(15),
I1 => b_read_reg_102(15),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[15]_i_2_n_0\
);
\p_s_reg_45[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(1),
I1 => b_read_reg_102(1),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[1]_i_1_n_0\
);
\p_s_reg_45[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(2),
I1 => b_read_reg_102(2),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[2]_i_1_n_0\
);
\p_s_reg_45[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(3),
I1 => b_read_reg_102(3),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[3]_i_1_n_0\
);
\p_s_reg_45[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(4),
I1 => b_read_reg_102(4),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[4]_i_1_n_0\
);
\p_s_reg_45[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(5),
I1 => b_read_reg_102(5),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[5]_i_1_n_0\
);
\p_s_reg_45[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(6),
I1 => b_read_reg_102(6),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[6]_i_1_n_0\
);
\p_s_reg_45[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(7),
I1 => b_read_reg_102(7),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[7]_i_1_n_0\
);
\p_s_reg_45[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(8),
I1 => b_read_reg_102(8),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[8]_i_1_n_0\
);
\p_s_reg_45[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => b_assign_reg_126(9),
I1 => b_read_reg_102(9),
I2 => ap_CS_fsm_state4,
O => \p_s_reg_45[9]_i_1_n_0\
);
\p_s_reg_45_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[0]_i_1_n_0\,
Q => p_s_reg_45(0),
R => '0'
);
\p_s_reg_45_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[10]_i_1_n_0\,
Q => p_s_reg_45(10),
R => '0'
);
\p_s_reg_45_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[11]_i_1_n_0\,
Q => p_s_reg_45(11),
R => '0'
);
\p_s_reg_45_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[12]_i_1_n_0\,
Q => p_s_reg_45(12),
R => '0'
);
\p_s_reg_45_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[13]_i_1_n_0\,
Q => p_s_reg_45(13),
R => '0'
);
\p_s_reg_45_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[14]_i_1_n_0\,
Q => p_s_reg_45(14),
R => '0'
);
\p_s_reg_45_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[15]_i_2_n_0\,
Q => p_s_reg_45(15),
R => '0'
);
\p_s_reg_45_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[1]_i_1_n_0\,
Q => p_s_reg_45(1),
R => '0'
);
\p_s_reg_45_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[2]_i_1_n_0\,
Q => p_s_reg_45(2),
R => '0'
);
\p_s_reg_45_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[3]_i_1_n_0\,
Q => p_s_reg_45(3),
R => '0'
);
\p_s_reg_45_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[4]_i_1_n_0\,
Q => p_s_reg_45(4),
R => '0'
);
\p_s_reg_45_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[5]_i_1_n_0\,
Q => p_s_reg_45(5),
R => '0'
);
\p_s_reg_45_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[6]_i_1_n_0\,
Q => p_s_reg_45(6),
R => '0'
);
\p_s_reg_45_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[7]_i_1_n_0\,
Q => p_s_reg_45(7),
R => '0'
);
\p_s_reg_45_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[8]_i_1_n_0\,
Q => p_s_reg_45(8),
R => '0'
);
\p_s_reg_45_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \p_s_reg_45[15]_i_1_n_0\,
D => \p_s_reg_45[9]_i_1_n_0\,
Q => p_s_reg_45(9),
R => '0'
);
\result_reg_56[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(0),
I1 => a_read_reg_107(0),
I2 => ap_CS_fsm_state4,
O => p_1_in(0)
);
\result_reg_56[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(10),
I1 => a_read_reg_107(10),
I2 => ap_CS_fsm_state4,
O => p_1_in(10)
);
\result_reg_56[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(11),
I1 => a_read_reg_107(11),
I2 => ap_CS_fsm_state4,
O => p_1_in(11)
);
\result_reg_56[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(12),
I1 => a_read_reg_107(12),
I2 => ap_CS_fsm_state4,
O => p_1_in(12)
);
\result_reg_56[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(13),
I1 => a_read_reg_107(13),
I2 => ap_CS_fsm_state4,
O => p_1_in(13)
);
\result_reg_56[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(14),
I1 => a_read_reg_107(14),
I2 => ap_CS_fsm_state4,
O => p_1_in(14)
);
\result_reg_56[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => tmp_3_reg_115,
I1 => ap_CS_fsm_state4,
I2 => ap_CS_fsm_state2,
O => \result_reg_56[15]_i_1_n_0\
);
\result_reg_56[15]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(15),
I1 => a_read_reg_107(15),
I2 => ap_CS_fsm_state4,
O => p_1_in(15)
);
\result_reg_56[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(1),
I1 => a_read_reg_107(1),
I2 => ap_CS_fsm_state4,
O => p_1_in(1)
);
\result_reg_56[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(2),
I1 => a_read_reg_107(2),
I2 => ap_CS_fsm_state4,
O => p_1_in(2)
);
\result_reg_56[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(3),
I1 => a_read_reg_107(3),
I2 => ap_CS_fsm_state4,
O => p_1_in(3)
);
\result_reg_56[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(4),
I1 => a_read_reg_107(4),
I2 => ap_CS_fsm_state4,
O => p_1_in(4)
);
\result_reg_56[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(5),
I1 => a_read_reg_107(5),
I2 => ap_CS_fsm_state4,
O => p_1_in(5)
);
\result_reg_56[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(6),
I1 => a_read_reg_107(6),
I2 => ap_CS_fsm_state4,
O => p_1_in(6)
);
\result_reg_56[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(7),
I1 => a_read_reg_107(7),
I2 => ap_CS_fsm_state4,
O => p_1_in(7)
);
\result_reg_56[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(8),
I1 => a_read_reg_107(8),
I2 => ap_CS_fsm_state4,
O => p_1_in(8)
);
\result_reg_56[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => a_assign_reg_121(9),
I1 => a_read_reg_107(9),
I2 => ap_CS_fsm_state4,
O => p_1_in(9)
);
\result_reg_56_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(0),
Q => result_reg_56(0),
R => '0'
);
\result_reg_56_reg[10]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(10),
Q => result_reg_56(10),
R => '0'
);
\result_reg_56_reg[11]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(11),
Q => result_reg_56(11),
R => '0'
);
\result_reg_56_reg[12]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(12),
Q => result_reg_56(12),
R => '0'
);
\result_reg_56_reg[13]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(13),
Q => result_reg_56(13),
R => '0'
);
\result_reg_56_reg[14]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(14),
Q => result_reg_56(14),
R => '0'
);
\result_reg_56_reg[15]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(15),
Q => result_reg_56(15),
R => '0'
);
\result_reg_56_reg[1]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(1),
Q => result_reg_56(1),
R => '0'
);
\result_reg_56_reg[2]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(2),
Q => result_reg_56(2),
R => '0'
);
\result_reg_56_reg[3]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(3),
Q => result_reg_56(3),
R => '0'
);
\result_reg_56_reg[4]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(4),
Q => result_reg_56(4),
R => '0'
);
\result_reg_56_reg[5]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(5),
Q => result_reg_56(5),
R => '0'
);
\result_reg_56_reg[6]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(6),
Q => result_reg_56(6),
R => '0'
);
\result_reg_56_reg[7]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(7),
Q => result_reg_56(7),
R => '0'
);
\result_reg_56_reg[8]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(8),
Q => result_reg_56(8),
R => '0'
);
\result_reg_56_reg[9]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => \result_reg_56[15]_i_1_n_0\,
D => p_1_in(9),
Q => result_reg_56(9),
R => '0'
);
\tmp_3_reg_115[0]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => result_reg_56(9),
I3 => p_s_reg_45(9),
O => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115[0]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => p_s_reg_45(7),
I3 => result_reg_56(7),
O => \tmp_3_reg_115[0]_i_11_n_0\
);
\tmp_3_reg_115[0]_i_12\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => p_s_reg_45(5),
I3 => result_reg_56(5),
O => \tmp_3_reg_115[0]_i_12_n_0\
);
\tmp_3_reg_115[0]_i_13\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => p_s_reg_45(3),
I3 => result_reg_56(3),
O => \tmp_3_reg_115[0]_i_13_n_0\
);
\tmp_3_reg_115[0]_i_14\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => p_s_reg_45(1),
I3 => result_reg_56(1),
O => \tmp_3_reg_115[0]_i_14_n_0\
);
\tmp_3_reg_115[0]_i_15\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(6),
I1 => p_s_reg_45(6),
I2 => result_reg_56(7),
I3 => p_s_reg_45(7),
O => \tmp_3_reg_115[0]_i_15_n_0\
);
\tmp_3_reg_115[0]_i_16\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(4),
I1 => p_s_reg_45(4),
I2 => result_reg_56(5),
I3 => p_s_reg_45(5),
O => \tmp_3_reg_115[0]_i_16_n_0\
);
\tmp_3_reg_115[0]_i_17\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(2),
I1 => p_s_reg_45(2),
I2 => result_reg_56(3),
I3 => p_s_reg_45(3),
O => \tmp_3_reg_115[0]_i_17_n_0\
);
\tmp_3_reg_115[0]_i_18\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(0),
I1 => p_s_reg_45(0),
I2 => result_reg_56(1),
I3 => p_s_reg_45(1),
O => \tmp_3_reg_115[0]_i_18_n_0\
);
\tmp_3_reg_115[0]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => result_reg_56(15),
I3 => p_s_reg_45(15),
O => \tmp_3_reg_115[0]_i_3_n_0\
);
\tmp_3_reg_115[0]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => p_s_reg_45(13),
I3 => result_reg_56(13),
O => \tmp_3_reg_115[0]_i_4_n_0\
);
\tmp_3_reg_115[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => p_s_reg_45(11),
I3 => result_reg_56(11),
O => \tmp_3_reg_115[0]_i_5_n_0\
);
\tmp_3_reg_115[0]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"2F02"
)
port map (
I0 => result_reg_56(8),
I1 => p_s_reg_45(8),
I2 => p_s_reg_45(9),
I3 => result_reg_56(9),
O => \tmp_3_reg_115[0]_i_6_n_0\
);
\tmp_3_reg_115[0]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(14),
I1 => p_s_reg_45(14),
I2 => p_s_reg_45(15),
I3 => result_reg_56(15),
O => \tmp_3_reg_115[0]_i_7_n_0\
);
\tmp_3_reg_115[0]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(12),
I1 => p_s_reg_45(12),
I2 => result_reg_56(13),
I3 => p_s_reg_45(13),
O => \tmp_3_reg_115[0]_i_8_n_0\
);
\tmp_3_reg_115[0]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => result_reg_56(10),
I1 => p_s_reg_45(10),
I2 => result_reg_56(11),
I3 => p_s_reg_45(11),
O => \tmp_3_reg_115[0]_i_9_n_0\
);
\tmp_3_reg_115_reg[0]\: unisim.vcomponents.FDRE
port map (
C => ap_clk,
CE => a_assign_reg_1210,
D => tmp_3_fu_72_p2,
Q => tmp_3_reg_115,
R => '0'
);
\tmp_3_reg_115_reg[0]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(3) => tmp_3_fu_72_p2,
CO(2) => \tmp_3_reg_115_reg[0]_i_1_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_1_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_1_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_3_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_4_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_5_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_6_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_1_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_7_n_0\,
S(2) => \tmp_3_reg_115[0]_i_8_n_0\,
S(1) => \tmp_3_reg_115[0]_i_9_n_0\,
S(0) => \tmp_3_reg_115[0]_i_10_n_0\
);
\tmp_3_reg_115_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \tmp_3_reg_115_reg[0]_i_2_n_0\,
CO(2) => \tmp_3_reg_115_reg[0]_i_2_n_1\,
CO(1) => \tmp_3_reg_115_reg[0]_i_2_n_2\,
CO(0) => \tmp_3_reg_115_reg[0]_i_2_n_3\,
CYINIT => '0',
DI(3) => \tmp_3_reg_115[0]_i_11_n_0\,
DI(2) => \tmp_3_reg_115[0]_i_12_n_0\,
DI(1) => \tmp_3_reg_115[0]_i_13_n_0\,
DI(0) => \tmp_3_reg_115[0]_i_14_n_0\,
O(3 downto 0) => \NLW_tmp_3_reg_115_reg[0]_i_2_O_UNCONNECTED\(3 downto 0),
S(3) => \tmp_3_reg_115[0]_i_15_n_0\,
S(2) => \tmp_3_reg_115[0]_i_16_n_0\,
S(1) => \tmp_3_reg_115[0]_i_17_n_0\,
S(0) => \tmp_3_reg_115[0]_i_18_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
s_axi_gcd_bus_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_AWVALID : in STD_LOGIC;
s_axi_gcd_bus_AWREADY : out STD_LOGIC;
s_axi_gcd_bus_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_gcd_bus_WVALID : in STD_LOGIC;
s_axi_gcd_bus_WREADY : out STD_LOGIC;
s_axi_gcd_bus_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_BVALID : out STD_LOGIC;
s_axi_gcd_bus_BREADY : in STD_LOGIC;
s_axi_gcd_bus_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_gcd_bus_ARVALID : in STD_LOGIC;
s_axi_gcd_bus_ARREADY : out STD_LOGIC;
s_axi_gcd_bus_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_gcd_bus_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_gcd_bus_RVALID : out STD_LOGIC;
s_axi_gcd_bus_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd_zynq_snick_gcd_0_0,gcd,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute IP_DEFINITION_SOURCE : string;
attribute IP_DEFINITION_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "HLS";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "gcd,Vivado 2018.2";
attribute hls_module : string;
attribute hls_module of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_ADDR_WIDTH of inst : label is 6;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_DATA_WIDTH of inst : label is 32;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH : integer;
attribute C_S_AXI_GCD_BUS_WSTRB_WIDTH of inst : label is 4;
attribute C_S_AXI_WSTRB_WIDTH : integer;
attribute C_S_AXI_WSTRB_WIDTH of inst : label is 4;
attribute ap_ST_fsm_state1 : string;
attribute ap_ST_fsm_state1 of inst : label is "4'b0001";
attribute ap_ST_fsm_state2 : string;
attribute ap_ST_fsm_state2 of inst : label is "4'b0010";
attribute ap_ST_fsm_state3 : string;
attribute ap_ST_fsm_state3 of inst : label is "4'b0100";
attribute ap_ST_fsm_state4 : string;
attribute ap_ST_fsm_state4 of inst : label is "4'b1000";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of ap_clk : signal is "xilinx.com:signal:clock:1.0 ap_clk CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of ap_clk : signal is "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF s_axi_gcd_bus, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of ap_rst_n : signal is "xilinx.com:signal:reset:1.0 ap_rst_n RST";
attribute X_INTERFACE_PARAMETER of ap_rst_n : signal is "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}";
attribute X_INTERFACE_INFO of interrupt : signal is "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
attribute X_INTERFACE_PARAMETER of interrupt : signal is "XIL_INTERFACENAME interrupt, SENSITIVITY LEVEL_HIGH, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {INTERRUPT {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, PortWidth 1";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_gcd_bus_RREADY : signal is "XIL_INTERFACENAME s_axi_gcd_bus, ADDR_WIDTH 6, DATA_WIDTH 32, PROTOCOL AXI4LITE, READ_WRITE_MODE READ_WRITE, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 49999947, ID_WIDTH 0, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WREADY : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WREADY";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WVALID : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WVALID";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_ARADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus ARADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_AWADDR : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus AWADDR";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_BRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus BRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_RRESP : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus RRESP";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WDATA : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WDATA";
attribute X_INTERFACE_INFO of s_axi_gcd_bus_WSTRB : signal is "xilinx.com:interface:aximm:1.0 s_axi_gcd_bus WSTRB";
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gcd
port map (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
s_axi_gcd_bus_ARADDR(5 downto 0) => s_axi_gcd_bus_ARADDR(5 downto 0),
s_axi_gcd_bus_ARREADY => s_axi_gcd_bus_ARREADY,
s_axi_gcd_bus_ARVALID => s_axi_gcd_bus_ARVALID,
s_axi_gcd_bus_AWADDR(5 downto 0) => s_axi_gcd_bus_AWADDR(5 downto 0),
s_axi_gcd_bus_AWREADY => s_axi_gcd_bus_AWREADY,
s_axi_gcd_bus_AWVALID => s_axi_gcd_bus_AWVALID,
s_axi_gcd_bus_BREADY => s_axi_gcd_bus_BREADY,
s_axi_gcd_bus_BRESP(1 downto 0) => s_axi_gcd_bus_BRESP(1 downto 0),
s_axi_gcd_bus_BVALID => s_axi_gcd_bus_BVALID,
s_axi_gcd_bus_RDATA(31 downto 0) => s_axi_gcd_bus_RDATA(31 downto 0),
s_axi_gcd_bus_RREADY => s_axi_gcd_bus_RREADY,
s_axi_gcd_bus_RRESP(1 downto 0) => s_axi_gcd_bus_RRESP(1 downto 0),
s_axi_gcd_bus_RVALID => s_axi_gcd_bus_RVALID,
s_axi_gcd_bus_WDATA(31 downto 0) => s_axi_gcd_bus_WDATA(31 downto 0),
s_axi_gcd_bus_WREADY => s_axi_gcd_bus_WREADY,
s_axi_gcd_bus_WSTRB(3 downto 0) => s_axi_gcd_bus_WSTRB(3 downto 0),
s_axi_gcd_bus_WVALID => s_axi_gcd_bus_WVALID
);
end STRUCTURE;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0_1/design_1_auto_pc_0_stub.vhdl
|
1
|
4954
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 04:58:12 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top design_1_auto_pc_0 -prefix
-- design_1_auto_pc_0_ design_1_auto_pc_0_stub.vhdl
-- Design : design_1_auto_pc_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity design_1_auto_pc_0 is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end design_1_auto_pc_0;
architecture stub of design_1_auto_pc_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
begin
end;
|
mit
|
besm6/micro-besm
|
tests/2901/vhdl/funct_blocks_alg_beh/components/alu_inputs/q_reg.vhdl
|
3
|
1494
|
--------------------------------------------------------------------------------
--
-- AM2901 Benchmark
--
-- Source: AMD data book
--
-- VHDL Benchmark author Indraneel Ghosh
-- University Of California, Irvine, CA 92717
--
-- Developed on Jan 1, 1992
--
-- Verification Information:
--
-- Verified By whom? Date Simulator
-- -------- ------------ -------- ------------
-- Syntax yes Champaka Ramachandran Sept 17, 92 ZYCAD
-- Functionality yes Champaka Ramachandran Sept 17, 92 ZYCAD
--------------------------------------------------------------------------------
--library ZYCAD;
use work.TYPES.all;
use work.MVL7_functions.all;
use work.synthesis_types.all;
entity Q_reg is
port (
F : in MVL7_vector(3 downto 0);
clk : in clock;
I : in MVL7_vector(8 downto 0);
Q0, Q3 : in MVL7;
Q : inout MVL7_vector(3 downto 0)
);
end Q_reg;
architecture Q_reg of Q_reg is
begin
Q_reg1 : block ( (clk = '1') and (not clk'stable ) )
begin
-- WRITE TO Q REGISTER WITH/WITHOUT SHIFTING.
Q <= guarded F when (I(8 downto 6) = "000") else
Q3 & Q(3 downto 1) when (I(8 downto 6) = "100") else
Q(2 downto 0) & Q0 when (I(8 downto 6) = "110") else
Q;
end block Q_reg1;
end Q_reg;
---------------------------------------------
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0_sim_netlist.vhdl
|
3
|
514797
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Mon Sep 16 04:58:12 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim -rename_top design_1_auto_pc_0 -prefix
-- design_1_auto_pc_0_ design_1_auto_pc_0_sim_netlist.vhdl
-- Design : design_1_auto_pc_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
port (
next_pending_r_reg_0 : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 10 downto 0 );
\m_axi_awaddr[11]\ : out STD_LOGIC;
\m_axi_awaddr[5]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \axaddr_incr[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 10 downto 0 );
signal \axaddr_incr_reg[11]_i_4_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[2]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal next_pending_r_i_5_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2\ : label is "soft_lutpair116";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1\ : label is "soft_lutpair117";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1\ : label is "soft_lutpair119";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1\ : label is "soft_lutpair120";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1\ : label is "soft_lutpair118";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1\ : label is "soft_lutpair115";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair114";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair112";
attribute SOFT_HLUTNM of \m_axi_awaddr[11]_INST_0_i_1\ : label is "soft_lutpair113";
attribute SOFT_HLUTNM of \m_axi_awaddr[5]_INST_0_i_1\ : label is "soft_lutpair113";
begin
Q(0) <= \^q\(0);
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(10 downto 0) <= \^axaddr_incr_reg[11]_0\(10 downto 0);
\axlen_cnt_reg[2]_0\ <= \^axlen_cnt_reg[2]_0\;
\axaddr_incr[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_7\,
O => \axaddr_incr[0]_i_1_n_0\
);
\axaddr_incr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(10),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_5\,
O => \axaddr_incr[10]_i_1_n_0\
);
\axaddr_incr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \next\,
O => \axaddr_incr[11]_i_1_n_0\
);
\axaddr_incr[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(11),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_4\,
O => \axaddr_incr[11]_i_2_n_0\
);
\axaddr_incr[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_6\,
O => \axaddr_incr[1]_i_1_n_0\
);
\axaddr_incr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_5\,
O => \axaddr_incr[2]_i_1_n_0\
);
\axaddr_incr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3_n_4\,
O => \axaddr_incr[3]_i_1_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT4
generic map(
INIT => X"0102"
)
port map (
I0 => \m_payload_i_reg[46]\(0),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(3),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(2),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(1),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \m_payload_i_reg[46]\(3),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"262A"
)
port map (
I0 => \m_payload_i_reg[46]\(2),
I1 => \m_payload_i_reg[46]\(6),
I2 => \m_payload_i_reg[46]\(5),
I3 => \next\,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT4
generic map(
INIT => X"060A"
)
port map (
I0 => \m_payload_i_reg[46]\(1),
I1 => \m_payload_i_reg[46]\(5),
I2 => \m_payload_i_reg[46]\(6),
I3 => \next\,
O => S(1)
);
\axaddr_incr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(4),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_7\,
O => \axaddr_incr[4]_i_1_n_0\
);
\axaddr_incr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(5),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_6\,
O => \axaddr_incr[5]_i_1_n_0\
);
\axaddr_incr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(6),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_5\,
O => \axaddr_incr[6]_i_1_n_0\
);
\axaddr_incr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(7),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3_n_4\,
O => \axaddr_incr[7]_i_1_n_0\
);
\axaddr_incr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(8),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_7\,
O => \axaddr_incr[8]_i_1_n_0\
);
\axaddr_incr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axaddr_incr(9),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4_n_6\,
O => \axaddr_incr[9]_i_1_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[0]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[10]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(9),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[11]_i_2_n_0\,
Q => \^axaddr_incr_reg[11]_0\(10),
R => '0'
);
\axaddr_incr_reg[11]_i_4\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(10 downto 7)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[1]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[2]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[3]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[3]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => \^axaddr_incr_reg[11]_0\(3 downto 0),
O(3) => \axaddr_incr_reg[3]_i_3_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[4]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[5]_i_1_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[6]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[7]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[7]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(6 downto 5),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(4)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[8]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \axaddr_incr[11]_i_1_n_0\,
D => \axaddr_incr[9]_i_1_n_0\,
Q => \^axaddr_incr_reg[11]_0\(8),
R => '0'
);
\axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => \m_payload_i_reg[46]\(8),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[1]_i_1__0_n_0\
);
\axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \^q\(0),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[2]_0\,
I4 => E(0),
I5 => \m_payload_i_reg[46]\(9),
O => \axlen_cnt[2]_i_1_n_0\
);
\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
I5 => \^axlen_cnt_reg[2]_0\,
O => \axlen_cnt[3]_i_2_n_0\
);
\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \^q\(0),
O => \axlen_cnt[4]_i_1_n_0\
);
\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \^q\(0),
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[3]\,
O => \axlen_cnt[5]_i_1_n_0\
);
\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[6]_i_1_n_0\
);
\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3_n_0\,
O => \axlen_cnt[7]_i_2_n_0\
);
\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[3]\,
I1 => \axlen_cnt_reg_n_0_[2]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^q\(0),
I4 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[7]_i_3_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \state_reg[1]\(0),
Q => \^q\(0),
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[4]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[5]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[6]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[0]_rep\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[7]_i_2_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[0]_rep\
);
\m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \m_payload_i_reg[46]\(7),
O => \m_axi_awaddr[11]\
);
\m_axi_awaddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => \m_payload_i_reg[46]\(7),
I3 => \m_payload_i_reg[46]\(4),
O => \m_axi_awaddr[5]\
);
\next_pending_r_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => next_pending_r_i_5_n_0,
O => \^axlen_cnt_reg[2]_0\
);
next_pending_r_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[1]\,
I1 => \axlen_cnt_reg_n_0_[4]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
O => next_pending_r_i_5_n_0
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => incr_next_pending,
Q => next_pending_r_reg_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
port (
incr_next_pending : out STD_LOGIC;
\axaddr_incr_reg[0]_0\ : out STD_LOGIC;
\axlen_cnt_reg[0]_0\ : out STD_LOGIC;
\axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\m_axi_araddr[11]\ : out STD_LOGIC;
\m_axi_araddr[5]\ : out STD_LOGIC;
\m_axi_araddr[3]\ : out STD_LOGIC;
\m_axi_araddr[2]\ : out STD_LOGIC;
\m_axi_araddr[1]\ : out STD_LOGIC;
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_0 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 10 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_17_b2s_incr_cmd";
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2 is
signal \axaddr_incr[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_11_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_12_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_13_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_14_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[0]_0\ : STD_LOGIC;
signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \axaddr_incr_reg[11]_i_4__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_4__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_incr_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC;
signal \^axlen_cnt_reg[0]_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \next_pending_r_i_2__0_n_0\ : STD_LOGIC;
signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[10]_i_1__0\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_2__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[1]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[2]_i_1__0\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \axaddr_incr[3]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[4]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[5]_i_1__0\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \axaddr_incr[6]_i_1__0\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \axaddr_incr[7]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axaddr_incr[8]_i_1__0\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \axaddr_incr[9]_i_1__0\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_4\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \m_axi_araddr[11]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \m_axi_araddr[1]_INST_0_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \next_pending_r_i_2__0\ : label is "soft_lutpair7";
begin
\axaddr_incr_reg[0]_0\ <= \^axaddr_incr_reg[0]_0\;
\axaddr_incr_reg[11]_0\(7 downto 0) <= \^axaddr_incr_reg[11]_0\(7 downto 0);
\axlen_cnt_reg[0]_0\ <= \^axlen_cnt_reg[0]_0\;
incr_next_pending <= \^incr_next_pending\;
\axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_7\,
O => \axaddr_incr[0]_i_1__0_n_0\
);
\axaddr_incr[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_5\,
O => \axaddr_incr[10]_i_1__0_n_0\
);
\axaddr_incr[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_4\,
O => \axaddr_incr[11]_i_2__0_n_0\
);
\axaddr_incr[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_6\,
O => \axaddr_incr[1]_i_1__0_n_0\
);
\axaddr_incr[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_5\,
O => \axaddr_incr[2]_i_1__0_n_0\
);
\axaddr_incr[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0201020202020202"
)
port map (
I0 => Q(0),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(0)
);
\axaddr_incr[3]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[3]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_11_n_0\
);
\axaddr_incr[3]_i_12\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[2]\,
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_12_n_0\
);
\axaddr_incr[3]_i_13\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_incr_reg_n_0_[1]\,
I1 => Q(6),
I2 => Q(5),
O => \axaddr_incr[3]_i_13_n_0\
);
\axaddr_incr[3]_i_14\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \^axaddr_incr_reg[11]_0\(0),
I1 => Q(5),
I2 => Q(6),
O => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[3]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[3]_i_3__0_n_4\,
O => \axaddr_incr[3]_i_1__0_n_0\
);
\axaddr_incr[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => Q(3),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(3)
);
\axaddr_incr[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"2A262A2A2A2A2A2A"
)
port map (
I0 => Q(2),
I1 => Q(6),
I2 => Q(5),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(2)
);
\axaddr_incr[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0A060A0A0A0A0A0A"
)
port map (
I0 => Q(1),
I1 => Q(5),
I2 => Q(6),
I3 => \state_reg[1]_0\(1),
I4 => \state_reg[1]_0\(0),
I5 => m_axi_arready,
O => S(1)
);
\axaddr_incr[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_7\,
O => \axaddr_incr[4]_i_1__0_n_0\
);
\axaddr_incr[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_6\,
O => \axaddr_incr[5]_i_1__0_n_0\
);
\axaddr_incr[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(2),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_5\,
O => \axaddr_incr[6]_i_1__0_n_0\
);
\axaddr_incr[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \m_payload_i_reg[7]\(3),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[7]_i_3__0_n_4\,
O => \axaddr_incr[7]_i_1__0_n_0\
);
\axaddr_incr[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(0),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_7\,
O => \axaddr_incr[8]_i_1__0_n_0\
);
\axaddr_incr[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => O(1),
I1 => \^axaddr_incr_reg[0]_0\,
I2 => \axaddr_incr_reg[11]_i_4__0_n_6\,
O => \axaddr_incr[9]_i_1__0_n_0\
);
\axaddr_incr_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[0]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(0),
R => '0'
);
\axaddr_incr_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[10]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(6),
R => '0'
);
\axaddr_incr_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[11]_i_2__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(7),
R => '0'
);
\axaddr_incr_reg[11]_i_4__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_4__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_4__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_4__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_4__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[11]_i_4__0_n_4\,
O(2) => \axaddr_incr_reg[11]_i_4__0_n_5\,
O(1) => \axaddr_incr_reg[11]_i_4__0_n_6\,
O(0) => \axaddr_incr_reg[11]_i_4__0_n_7\,
S(3 downto 0) => \^axaddr_incr_reg[11]_0\(7 downto 4)
);
\axaddr_incr_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[1]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[1]\,
R => '0'
);
\axaddr_incr_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[2]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[2]\,
R => '0'
);
\axaddr_incr_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[3]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[3]\,
R => '0'
);
\axaddr_incr_reg[3]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_3__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_incr_reg_n_0_[3]\,
DI(2) => \axaddr_incr_reg_n_0_[2]\,
DI(1) => \axaddr_incr_reg_n_0_[1]\,
DI(0) => \^axaddr_incr_reg[11]_0\(0),
O(3) => \axaddr_incr_reg[3]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[3]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[3]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[3]_i_3__0_n_7\,
S(3) => \axaddr_incr[3]_i_11_n_0\,
S(2) => \axaddr_incr[3]_i_12_n_0\,
S(1) => \axaddr_incr[3]_i_13_n_0\,
S(0) => \axaddr_incr[3]_i_14_n_0\
);
\axaddr_incr_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[4]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(1),
R => '0'
);
\axaddr_incr_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[5]_i_1__0_n_0\,
Q => \axaddr_incr_reg_n_0_[5]\,
R => '0'
);
\axaddr_incr_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[6]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(2),
R => '0'
);
\axaddr_incr_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[7]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(3),
R => '0'
);
\axaddr_incr_reg[7]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_3__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_3__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_incr_reg[7]_i_3__0_n_4\,
O(2) => \axaddr_incr_reg[7]_i_3__0_n_5\,
O(1) => \axaddr_incr_reg[7]_i_3__0_n_6\,
O(0) => \axaddr_incr_reg[7]_i_3__0_n_7\,
S(3 downto 2) => \^axaddr_incr_reg[11]_0\(3 downto 2),
S(1) => \axaddr_incr_reg_n_0_[5]\,
S(0) => \^axaddr_incr_reg[11]_0\(1)
);
\axaddr_incr_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[8]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(4),
R => '0'
);
\axaddr_incr_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => sel_first_reg_1(0),
D => \axaddr_incr[9]_i_1__0_n_0\,
Q => \^axaddr_incr_reg[11]_0\(5),
R => '0'
);
\axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"20FF2020"
)
port map (
I0 => si_rs_arvalid,
I1 => \state_reg[0]_rep\,
I2 => Q(8),
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[0]_i_1__2_n_0\
);
\axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F88F8888"
)
port map (
I0 => E(0),
I1 => Q(9),
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[1]_i_1__1_n_0\
);
\axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA900A900A900"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \^axlen_cnt_reg[0]_0\,
I4 => E(0),
I5 => Q(10),
O => \axlen_cnt[2]_i_1__1_n_0\
);
\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEBAAAAAAAA"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[0]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \^axlen_cnt_reg[0]_0\,
O => \axlen_cnt[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"55545555"
)
port map (
I0 => E(0),
I1 => \axlen_cnt_reg_n_0_[7]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[6]\,
I4 => \next_pending_r_i_4__0_n_0\,
O => \^axlen_cnt_reg[0]_0\
);
\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[4]_i_1__0_n_0\
);
\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA9"
)
port map (
I0 => \axlen_cnt_reg_n_0_[5]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \axlen_cnt_reg_n_0_[4]\,
O => \axlen_cnt[5]_i_1__0_n_0\
);
\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axlen_cnt_reg_n_0_[6]\,
I1 => \axlen_cnt_reg_n_0_[5]\,
I2 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[6]_i_1__0_n_0\
);
\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A9AA"
)
port map (
I0 => \axlen_cnt_reg_n_0_[7]\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt[7]_i_3__0_n_0\,
O => \axlen_cnt[7]_i_2__0_n_0\
);
\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[4]\,
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
I3 => \axlen_cnt_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
O => \axlen_cnt[7]_i_3__0_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\axlen_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[4]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[4]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[5]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[5]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[6]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[6]\,
R => \state_reg[1]\
);
\axlen_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[7]_i_2__0_n_0\,
Q => \axlen_cnt_reg_n_0_[7]\,
R => \state_reg[1]\
);
\m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => Q(7),
O => \m_axi_araddr[11]\
);
\m_axi_araddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[1]\,
I2 => Q(7),
I3 => Q(1),
O => \m_axi_araddr[1]\
);
\m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[2]\,
I2 => Q(7),
I3 => Q(2),
O => \m_axi_araddr[2]\
);
\m_axi_araddr[3]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[3]\,
I2 => Q(7),
I3 => Q(3),
O => \m_axi_araddr[3]\
);
\m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EF40"
)
port map (
I0 => \^axaddr_incr_reg[0]_0\,
I1 => \axaddr_incr_reg_n_0_[5]\,
I2 => Q(7),
I3 => Q(4),
O => \m_axi_araddr[5]\
);
\next_pending_r_i_1__2\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF505C"
)
port map (
I0 => \next_pending_r_i_2__0_n_0\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => E(0),
I4 => \m_payload_i_reg[47]_0\,
O => \^incr_next_pending\
);
\next_pending_r_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \next_pending_r_i_4__0_n_0\,
I1 => \axlen_cnt_reg_n_0_[6]\,
I2 => \axlen_cnt_reg_n_0_[5]\,
I3 => \axlen_cnt_reg_n_0_[7]\,
O => \next_pending_r_i_2__0_n_0\
);
\next_pending_r_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[4]\,
O => \next_pending_r_i_4__0_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^incr_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_0,
Q => \^axaddr_incr_reg[0]_0\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_incr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
\m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_0\ : in STD_LOGIC;
s_axburst_eq1_reg : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg_1 : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
aclk : in STD_LOGIC
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_payload_i_reg[0]\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \next_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axaddr_incr[11]_i_1__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__2\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \s_ready_i_i_1__0\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair2";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \state_reg[0]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[0]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute FSM_ENCODED_STATES of \state_reg[1]\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute FSM_ENCODED_STATES of \state_reg[1]_rep\ : label is "SM_IDLE:00,SM_CMD_EN:01,SM_CMD_ACCEPTED:10,SM_DONE:11";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4__0\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6__0\ : label is "soft_lutpair4";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\;
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
\axaddr_incr[11]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AAEA"
)
port map (
I0 => sel_first,
I1 => m_axi_arready,
I2 => \^m_payload_i_reg[0]_0\,
I3 => \^m_payload_i_reg[0]\,
O => \axaddr_incr_reg[0]\(0)
);
\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(0),
I2 => \^m_payload_i_reg[0]_0\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"00CA"
)
port map (
I0 => si_rs_arvalid,
I1 => m_axi_arready,
I2 => \^q\(0),
I3 => \^q\(1),
O => E(0)
);
\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00005140"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => m_axi_arready,
I3 => si_rs_arvalid,
I4 => \axlen_cnt_reg[7]_0\,
O => \axlen_cnt_reg[7]\
);
m_axi_arvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
O => m_axi_arvalid
);
\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"D5"
)
port map (
I0 => si_rs_arvalid,
I1 => \^m_payload_i_reg[0]\,
I2 => \^m_payload_i_reg[0]_0\,
O => \m_payload_i_reg[0]_1\(0)
);
\m_valid_i_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF70FFFF"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => m_valid_i0
);
r_push_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => \^m_payload_i_reg[0]_0\,
I2 => m_axi_arready,
O => r_push_r_reg
);
\s_ready_i_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8FFF8F8F"
)
port map (
I0 => \^m_payload_i_reg[0]_0\,
I1 => \^m_payload_i_reg[0]\,
I2 => si_rs_arvalid,
I3 => s_axi_arvalid,
I4 => s_ready_i_reg,
O => s_ready_i0
);
\sel_first_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first_reg_1,
I2 => \^q\(1),
I3 => si_rs_arvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFC4C4CFCC"
)
port map (
I0 => m_axi_arready,
I1 => sel_first,
I2 => \^m_payload_i_reg[0]\,
I3 => si_rs_arvalid,
I4 => \^m_payload_i_reg[0]_0\,
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFFFFCCCECCCE"
)
port map (
I0 => si_rs_arvalid,
I1 => areset_d1,
I2 => \^m_payload_i_reg[0]\,
I3 => \^m_payload_i_reg[0]_0\,
I4 => m_axi_arready,
I5 => sel_first_reg_2,
O => sel_first_i
);
\state[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"003030303E3E3E3E"
)
port map (
I0 => si_rs_arvalid,
I1 => \^q\(1),
I2 => \^q\(0),
I3 => m_axi_arready,
I4 => s_axburst_eq1_reg,
I5 => \cnt_read_reg[2]_rep__0\,
O => \next_state__0\(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00AAB000"
)
port map (
I0 => \cnt_read_reg[2]_rep__0\,
I1 => s_axburst_eq1_reg,
I2 => m_axi_arready,
I3 => \^m_payload_i_reg[0]_0\,
I4 => \^m_payload_i_reg[0]\,
O => \next_state__0\(1)
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(0),
Q => \^m_payload_i_reg[0]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \next_state__0\(1),
Q => \^m_payload_i_reg[0]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^m_payload_i_reg[0]\,
I1 => si_rs_arvalid,
I2 => \^m_payload_i_reg[0]_0\,
O => \wrap_boundary_axaddr_r_reg[11]\(0)
);
\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^m_payload_i_reg[0]_0\,
I2 => si_rs_arvalid,
I3 => \^m_payload_i_reg[0]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_arvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
port (
\cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0_0\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
bresp_push : out STD_LOGIC;
bvalid_i_reg : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
b_push : in STD_LOGIC;
shandshake_r : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
mhandshake_r : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
bvalid_i_reg_0 : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo is
signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal \bresp_cnt[7]_i_5_n_0\ : STD_LOGIC;
signal \^bresp_push\ : STD_LOGIC;
signal bvalid_i_i_2_n_0 : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[1]_rep__0_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC;
signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC;
signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair121";
attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair121";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 ";
attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 ";
attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 ";
attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 ";
attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 ";
attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 ";
attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 ";
attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 ";
attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 ";
attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 ";
attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 ";
attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 ";
attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 ";
attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 ";
begin
bresp_push <= \^bresp_push\;
\cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\;
\cnt_read_reg[1]_rep__0_0\ <= \^cnt_read_reg[1]_rep__0_0\;
\bresp_cnt[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABAA"
)
port map (
I0 => areset_d1,
I1 => \bresp_cnt[7]_i_3_n_0\,
I2 => \bresp_cnt[7]_i_4_n_0\,
I3 => \bresp_cnt[7]_i_5_n_0\,
O => SR(0)
);
\bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFEFFFFFFFFEEFE"
)
port map (
I0 => \bresp_cnt_reg[7]\(7),
I1 => \bresp_cnt_reg[7]\(6),
I2 => \bresp_cnt_reg[7]\(0),
I3 => \memory_reg[3][0]_srl4_n_0\,
I4 => \bresp_cnt_reg[7]\(3),
I5 => \memory_reg[3][3]_srl4_n_0\,
O => \bresp_cnt[7]_i_3_n_0\
);
\bresp_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF6FFFF"
)
port map (
I0 => \bresp_cnt_reg[7]\(1),
I1 => \memory_reg[3][1]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(4),
I3 => \bresp_cnt_reg[7]\(5),
I4 => mhandshake_r,
O => \bresp_cnt[7]_i_4_n_0\
);
\bresp_cnt[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000D00DD00DD00D"
)
port map (
I0 => \memory_reg[3][0]_srl4_n_0\,
I1 => \bresp_cnt_reg[7]\(0),
I2 => \bresp_cnt_reg[7]\(2),
I3 => \memory_reg[3][2]_srl4_n_0\,
I4 => \^cnt_read_reg[1]_rep__0_0\,
I5 => \^cnt_read_reg[0]_rep__0_0\,
O => \bresp_cnt[7]_i_5_n_0\
);
bvalid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0444"
)
port map (
I0 => areset_d1,
I1 => bvalid_i_i_2_n_0,
I2 => si_rs_bready,
I3 => bvalid_i_reg_0,
O => bvalid_i_reg
);
bvalid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF00070707"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
I2 => shandshake_r,
I3 => Q(1),
I4 => Q(0),
I5 => bvalid_i_reg_0,
O => bvalid_i_i_2_n_0
);
\cnt_read[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^bresp_push\,
I1 => shandshake_r,
I2 => Q(0),
O => D(0)
);
\cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
O => \cnt_read[0]_i_1__2_n_0\
);
\cnt_read[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"E718"
)
port map (
I0 => \^cnt_read_reg[0]_rep__0_0\,
I1 => b_push,
I2 => shandshake_r,
I3 => \^cnt_read_reg[1]_rep__0_0\,
O => \cnt_read[1]_i_1_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__2_n_0\,
Q => \^cnt_read_reg[0]_rep__0_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1_n_0\,
Q => \^cnt_read_reg[1]_rep__0_0\,
S => areset_d1
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(0),
Q => \memory_reg[3][0]_srl4_n_0\
);
\memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000041004141"
)
port map (
I0 => \memory_reg[3][0]_srl4_i_2__0_n_0\,
I1 => \memory_reg[3][2]_srl4_n_0\,
I2 => \bresp_cnt_reg[7]\(2),
I3 => \bresp_cnt_reg[7]\(0),
I4 => \memory_reg[3][0]_srl4_n_0\,
I5 => \memory_reg[3][0]_srl4_i_3_n_0\,
O => \^bresp_push\
);
\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^cnt_read_reg[1]_rep__0_0\,
I1 => \^cnt_read_reg[0]_rep__0_0\,
O => \memory_reg[3][0]_srl4_i_2__0_n_0\
);
\memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFFFFFFFFFB"
)
port map (
I0 => \bresp_cnt[7]_i_3_n_0\,
I1 => mhandshake_r,
I2 => \bresp_cnt_reg[7]\(5),
I3 => \bresp_cnt_reg[7]\(4),
I4 => \memory_reg[3][1]_srl4_n_0\,
I5 => \bresp_cnt_reg[7]\(1),
O => \memory_reg[3][0]_srl4_i_3_n_0\
);
\memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(6),
Q => \out\(2)
);
\memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(7),
Q => \out\(3)
);
\memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(8),
Q => \out\(4)
);
\memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(9),
Q => \out\(5)
);
\memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(10),
Q => \out\(6)
);
\memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(11),
Q => \out\(7)
);
\memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(12),
Q => \out\(8)
);
\memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(13),
Q => \out\(9)
);
\memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(14),
Q => \out\(10)
);
\memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => cnt_read(0),
A1 => cnt_read(1),
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(15),
Q => \out\(11)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(1),
Q => \memory_reg[3][1]_srl4_n_0\
);
\memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(2),
Q => \memory_reg[3][2]_srl4_n_0\
);
\memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(3),
Q => \memory_reg[3][3]_srl4_n_0\
);
\memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(4),
Q => \out\(0)
);
\memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \cnt_read_reg[0]_rep_n_0\,
A1 => \cnt_read_reg[1]_rep_n_0\,
A2 => '0',
A3 => '0',
CE => b_push,
CLK => aclk,
D => \in\(5),
Q => \out\(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
port (
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
mhandshake : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
shandshake_r : in STD_LOGIC;
sel : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
mhandshake_r : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\;
architecture STRUCTURE of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\ is
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair122";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair122";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 ";
attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] ";
attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 ";
begin
Q(1 downto 0) <= \^q\(1 downto 0);
\cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A69A"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => shandshake_r,
I3 => sel,
O => \cnt_read[1]_i_1__0_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \^q\(0),
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__0_n_0\,
Q => \^q\(1),
S => areset_d1
);
m_axi_bready_INST_0: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => mhandshake_r,
O => m_axi_bready
);
\memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[1]\(0)
);
\memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000"
)
port map (
A0 => \^q\(0),
A1 => \^q\(1),
A2 => '0',
A3 => '0',
CE => sel,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[1]\(1)
);
mhandshake_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"2000"
)
port map (
I0 => m_axi_bvalid,
I1 => mhandshake_r,
I2 => \^q\(0),
I3 => \^q\(1),
O => mhandshake
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
port (
\cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC;
\cnt_read_reg[4]_rep__2_2\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
s_ready_i_reg : in STD_LOGIC;
\cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\;
architecture STRUCTURE of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_5_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC;
signal \^cnt_read_reg[4]_rep__2_2\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal wr_en0 : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair18";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__3\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__3\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__3\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]";
attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair19";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 ";
attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 ";
attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 ";
attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 ";
attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 ";
attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 ";
attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 ";
attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 ";
attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 ";
attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 ";
attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 ";
attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 ";
attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 ";
attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 ";
attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 ";
attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 ";
attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 ";
attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 ";
attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 ";
attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair19";
begin
\cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\;
\cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\;
\cnt_read_reg[4]_rep__2_2\ <= \^cnt_read_reg[4]_rep__2_2\;
\cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"69"
)
port map (
I0 => \cnt_read_reg[0]_rep__2_n_0\,
I1 => s_ready_i_reg,
I2 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[0]_i_1__1_n_0\
);
\cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"9AA6"
)
port map (
I0 => \cnt_read_reg[1]_rep__2_n_0\,
I1 => \cnt_read_reg[0]_rep__2_n_0\,
I2 => s_ready_i_reg,
I3 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[1]_i_1__2_n_0\
);
\cnt_read[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"A9AAAA6A"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[0]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
O => \cnt_read[2]_i_1_n_0\
);
\cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAA6AA9AAAAAA"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_0\,
I1 => \cnt_read_reg[2]_rep__2_n_0\,
I2 => \cnt_read_reg[1]_rep__2_n_0\,
I3 => \cnt_read[4]_i_5_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[3]_i_1__0_n_0\
);
\cnt_read[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"99AA99AA99AA55A6"
)
port map (
I0 => \^cnt_read_reg[4]_rep__2_1\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_2\,
I3 => \cnt_read[4]_i_3__0_n_0\,
I4 => s_ready_i_reg,
I5 => \cnt_read[4]_i_5_n_0\,
O => \cnt_read[4]_i_1_n_0\
);
\cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read_reg[2]_rep__2_n_0\,
O => \^cnt_read_reg[4]_rep__2_2\
);
\cnt_read[4]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000100000"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \cnt_read[4]_i_5_n_0\,
I3 => \cnt_read_reg[4]_rep__0_0\,
I4 => si_rs_rready,
I5 => \cnt_read_reg[0]_rep__2_n_0\,
O => \cnt_read[4]_i_3__0_n_0\
);
\cnt_read[4]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"6000E000FFFFFFFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
I5 => m_axi_rvalid,
O => \cnt_read[4]_i_5_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__3\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__1_n_0\,
Q => \cnt_read_reg[0]_rep__3_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__2_n_0\,
Q => \cnt_read_reg[1]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1_n_0\,
Q => \cnt_read_reg[2]_rep__2_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \cnt_read_reg[3]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1__0_n_0\,
Q => \^cnt_read_reg[4]_rep__2_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \cnt_read_reg[4]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1_n_0\,
Q => \^cnt_read_reg[4]_rep__2_1\,
S => areset_d1
);
m_axi_rready_INST_0: unisim.vcomponents.LUT5
generic map(
INIT => X"9FFF1FFF"
)
port map (
I0 => \cnt_read_reg[2]_rep__2_n_0\,
I1 => \cnt_read_reg[1]_rep__2_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \^cnt_read_reg[4]_rep__2_0\,
I4 => \cnt_read_reg[0]_rep__3_n_0\,
O => m_axi_rready
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(0),
Q => \out\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA0AAA0AAAAAAA"
)
port map (
I0 => m_axi_rvalid,
I1 => \cnt_read_reg[0]_rep__3_n_0\,
I2 => \^cnt_read_reg[4]_rep__2_0\,
I3 => \^cnt_read_reg[4]_rep__2_1\,
I4 => \cnt_read_reg[1]_rep__2_n_0\,
I5 => \cnt_read_reg[2]_rep__2_n_0\,
O => wr_en0
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(10),
Q => \out\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(11),
Q => \out\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(12),
Q => \out\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(13),
Q => \out\(13),
Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(14),
Q => \out\(14),
Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(15),
Q => \out\(15),
Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(16),
Q => \out\(16),
Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(17),
Q => \out\(17),
Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(18),
Q => \out\(18),
Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(19),
Q => \out\(19),
Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(1),
Q => \out\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(20),
Q => \out\(20),
Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(21),
Q => \out\(21),
Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(22),
Q => \out\(22),
Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(23),
Q => \out\(23),
Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(24),
Q => \out\(24),
Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(25),
Q => \out\(25),
Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(26),
Q => \out\(26),
Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(27),
Q => \out\(27),
Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(28),
Q => \out\(28),
Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(29),
Q => \out\(29),
Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(2),
Q => \out\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(30),
Q => \out\(30),
Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(31),
Q => \out\(31),
Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(32),
Q => \out\(32),
Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => wr_en0,
CLK => aclk,
D => \in\(33),
Q => \out\(33),
Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(3),
Q => \out\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(4),
Q => \out\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(5),
Q => \out\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__1_n_0\,
A(3) => \cnt_read_reg[3]_rep__1_n_0\,
A(2) => \cnt_read_reg[2]_rep__1_n_0\,
A(1) => \cnt_read_reg[1]_rep__1_n_0\,
A(0) => \cnt_read_reg[0]_rep__1_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(6),
Q => \out\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(7),
Q => \out\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(8),
Q => \out\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep__0_n_0\,
A(3) => \cnt_read_reg[3]_rep__0_n_0\,
A(2) => \cnt_read_reg[2]_rep__0_n_0\,
A(1) => \cnt_read_reg[1]_rep__0_n_0\,
A(0) => \cnt_read_reg[0]_rep__0_n_0\,
CE => wr_en0,
CLK => aclk,
D => \in\(9),
Q => \out\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"40C0C000"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_n_0\,
I1 => \^cnt_read_reg[4]_rep__2_0\,
I2 => \^cnt_read_reg[4]_rep__2_1\,
I3 => \cnt_read_reg[1]_rep__2_n_0\,
I4 => \cnt_read_reg[2]_rep__2_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
s_ready_i_reg : in STD_LOGIC;
r_push_r : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
\cnt_read_reg[3]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__2\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__3_0\ : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 12 downto 0 );
aclk : in STD_LOGIC;
areset_d1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_17_b2s_simple_fifo";
end \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\;
architecture STRUCTURE of \design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\ is
signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC;
signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC;
signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC;
signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC;
signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC;
signal m_valid_i_i_3_n_0 : STD_LOGIC;
signal \^m_valid_i_reg\ : STD_LOGIC;
signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair20";
attribute KEEP : string;
attribute KEEP of \cnt_read_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1;
attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]";
attribute KEEP of \cnt_read_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]";
attribute KEEP of \cnt_read_reg[2]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]";
attribute KEEP of \cnt_read_reg[3]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]";
attribute KEEP of \cnt_read_reg[4]\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]";
attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1;
attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes";
attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]";
attribute srl_bus_name : string;
attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name : string;
attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 ";
attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 ";
attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 ";
attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 ";
attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 ";
attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 ";
attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 ";
attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 ";
attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 ";
attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 ";
attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 ";
attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 ";
attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] ";
attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 ";
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => r_push_r,
I2 => s_ready_i_reg,
O => \cnt_read[0]_i_1__0_n_0\
);
\cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"DB24"
)
port map (
I0 => \cnt_read_reg[0]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[1]_i_1__1_n_0\
);
\cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAA6"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => s_ready_i_reg,
I2 => r_push_r,
I3 => \cnt_read_reg[0]_rep__0_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[2]_i_1__0_n_0\
);
\cnt_read[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF7F0080FEFF0100"
)
port map (
I0 => \cnt_read_reg[1]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__0_n_0\,
I2 => r_push_r,
I3 => s_ready_i_reg,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[2]_rep__0_n_0\,
O => \cnt_read[3]_i_1_n_0\
);
\cnt_read[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9A999AAA"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read[4]_i_2_n_0\,
I2 => \cnt_read_reg[2]_rep__0_n_0\,
I3 => \cnt_read_reg[3]_rep__0_n_0\,
I4 => \cnt_read[4]_i_3_n_0\,
O => \cnt_read[4]_i_1__0_n_0\
);
\cnt_read[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAA2AAA2AAA"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[1]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => r_push_r,
I4 => \^m_valid_i_reg\,
I5 => si_rs_rready,
O => \cnt_read[4]_i_2_n_0\
);
\cnt_read[4]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000004"
)
port map (
I0 => r_push_r,
I1 => si_rs_rready,
I2 => \^m_valid_i_reg\,
I3 => \cnt_read_reg[0]_rep__1_n_0\,
I4 => \cnt_read_reg[1]_rep__0_n_0\,
O => \cnt_read[4]_i_3_n_0\
);
\cnt_read_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => cnt_read(0),
S => areset_d1
);
\cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[0]_i_1__0_n_0\,
Q => \cnt_read_reg[0]_rep__1_n_0\,
S => areset_d1
);
\cnt_read_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => cnt_read(1),
S => areset_d1
);
\cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[1]_i_1__1_n_0\,
Q => \cnt_read_reg[1]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => cnt_read(2),
S => areset_d1
);
\cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[2]_i_1__0_n_0\,
Q => \cnt_read_reg[2]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => cnt_read(3),
S => areset_d1
);
\cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[3]_i_1_n_0\,
Q => \cnt_read_reg[3]_rep__0_n_0\,
S => areset_d1
);
\cnt_read_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => cnt_read(4),
S => areset_d1
);
\cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep_n_0\,
S => areset_d1
);
\cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \cnt_read[4]_i_1__0_n_0\,
Q => \cnt_read_reg[4]_rep__0_n_0\,
S => areset_d1
);
m_valid_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"80808080FF808080"
)
port map (
I0 => \cnt_read_reg[4]_rep__0_n_0\,
I1 => \cnt_read_reg[3]_rep__0_n_0\,
I2 => m_valid_i_i_3_n_0,
I3 => \cnt_read_reg[3]_rep__2\,
I4 => \cnt_read_reg[4]_rep__2\,
I5 => \cnt_read_reg[0]_rep__3\,
O => \^m_valid_i_reg\
);
m_valid_i_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \cnt_read_reg[2]_rep__0_n_0\,
I1 => \cnt_read_reg[0]_rep__1_n_0\,
I2 => \cnt_read_reg[1]_rep__0_n_0\,
O => m_valid_i_i_3_n_0
);
\memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(0),
Q => \skid_buffer_reg[46]\(0),
Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(10),
Q => \skid_buffer_reg[46]\(10),
Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(11),
Q => \skid_buffer_reg[46]\(11),
Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(12),
Q => \skid_buffer_reg[46]\(12),
Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(1),
Q => \skid_buffer_reg[46]\(1),
Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(2),
Q => \skid_buffer_reg[46]\(2),
Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(3),
Q => \skid_buffer_reg[46]\(3),
Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(4),
Q => \skid_buffer_reg[46]\(4),
Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4) => \cnt_read_reg[4]_rep_n_0\,
A(3) => \cnt_read_reg[3]_rep_n_0\,
A(2) => \cnt_read_reg[2]_rep_n_0\,
A(1) => \cnt_read_reg[1]_rep_n_0\,
A(0) => \cnt_read_reg[0]_rep_n_0\,
CE => r_push_r,
CLK => aclk,
D => \in\(5),
Q => \skid_buffer_reg[46]\(5),
Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(6),
Q => \skid_buffer_reg[46]\(6),
Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(7),
Q => \skid_buffer_reg[46]\(7),
Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(8),
Q => \skid_buffer_reg[46]\(8),
Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\
);
\memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E
generic map(
INIT => X"00000000"
)
port map (
A(4 downto 0) => cnt_read(4 downto 0),
CE => r_push_r,
CLK => aclk,
D => \in\(9),
Q => \skid_buffer_reg[46]\(9),
Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFEEAAAAAAAAAAAA"
)
port map (
I0 => \cnt_read_reg[0]_rep__3_0\,
I1 => \cnt_read_reg[2]_rep__0_n_0\,
I2 => \cnt_read_reg[0]_rep__1_n_0\,
I3 => \cnt_read_reg[1]_rep__0_n_0\,
I4 => \cnt_read_reg[3]_rep__0_n_0\,
I5 => \cnt_read_reg[4]_rep__0_n_0\,
O => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
port (
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\axlen_cnt_reg[7]_1\ : out STD_LOGIC;
\next\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 1 downto 0 );
D : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axburst_eq0_reg : out STD_LOGIC;
incr_next_pending : out STD_LOGIC;
sel_first_i : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
sel_first_reg : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
s_axburst_eq1_reg_0 : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
si_rs_awvalid : in STD_LOGIC;
\axlen_cnt_reg[7]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
wrap_next_pending : in STD_LOGIC;
next_pending_r_reg : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
sel_first : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
sel_first_0 : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[5]\ : in STD_LOGIC;
aclk : in STD_LOGIC
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^axlen_cnt_reg[7]\ : STD_LOGIC;
signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC;
signal \^b_push\ : STD_LOGIC;
signal \^incr_next_pending\ : STD_LOGIC;
signal \^next\ : STD_LOGIC;
signal \^sel_first_i\ : STD_LOGIC;
signal \state[0]_i_1_n_0\ : STD_LOGIC;
signal \state[0]_i_2_n_0\ : STD_LOGIC;
signal \state[1]_i_1__0_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair109";
attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair110";
attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair109";
attribute KEEP : string;
attribute KEEP of \state_reg[0]\ : label is "yes";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]";
attribute IS_FANOUT_CONSTRAINED : integer;
attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1;
attribute KEEP of \state_reg[0]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]";
attribute KEEP of \state_reg[1]\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]";
attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1;
attribute KEEP of \state_reg[1]_rep\ : label is "yes";
attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_4\ : label is "soft_lutpair111";
attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_6\ : label is "soft_lutpair111";
begin
E(0) <= \^e\(0);
Q(1 downto 0) <= \^q\(1 downto 0);
\axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\;
\axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\;
b_push <= \^b_push\;
incr_next_pending <= \^incr_next_pending\;
\next\ <= \^next\;
sel_first_i <= \^sel_first_i\;
\axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAACAAAAAAA0AA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \m_payload_i_reg[46]\(2),
I2 => \^axlen_cnt_reg[7]_0\,
I3 => si_rs_awvalid,
I4 => \^axlen_cnt_reg[7]\,
I5 => \m_payload_i_reg[5]\,
O => \axaddr_offset_r_reg[2]\(0)
);
\axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0400FFFF04000400"
)
port map (
I0 => \^q\(1),
I1 => si_rs_awvalid,
I2 => \^q\(0),
I3 => \m_payload_i_reg[46]\(1),
I4 => \axlen_cnt_reg[0]_0\(0),
I5 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[0]\(0)
);
\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FF04"
)
port map (
I0 => \^q\(0),
I1 => si_rs_awvalid,
I2 => \^q\(1),
I3 => \^next\,
O => \axaddr_wrap_reg[11]\(0)
);
\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]\,
I3 => \^next\,
I4 => \axlen_cnt_reg[7]_2\,
O => \axlen_cnt_reg[7]_1\
);
m_axi_awvalid_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
O => m_axi_awvalid
);
\m_payload_i[31]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^b_push\,
I1 => si_rs_awvalid,
O => \m_payload_i_reg[0]\(0)
);
\memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"88008888A800A8A8"
)
port map (
I0 => \^axlen_cnt_reg[7]_0\,
I1 => \^axlen_cnt_reg[7]\,
I2 => m_axi_awready,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \cnt_read_reg[1]_rep__0\,
I5 => s_axburst_eq1_reg_0,
O => \^b_push\
);
next_pending_r_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFF404"
)
port map (
I0 => \^e\(0),
I1 => next_pending_r_reg,
I2 => \^next\,
I3 => \axlen_cnt_reg[7]_2\,
I4 => \m_payload_i_reg[47]\,
O => \^incr_next_pending\
);
next_pending_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F3F3FFFF51000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \^next\
);
s_axburst_eq0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \^incr_next_pending\,
I1 => \^sel_first_i\,
I2 => \m_payload_i_reg[46]\(0),
I3 => wrap_next_pending,
O => s_axburst_eq0_reg
);
s_axburst_eq1_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => \^incr_next_pending\,
I1 => \m_payload_i_reg[46]\(0),
I2 => \^sel_first_i\,
I3 => wrap_next_pending,
O => s_axburst_eq1_reg
);
sel_first_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg
);
\sel_first_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF44444F44"
)
port map (
I0 => \^next\,
I1 => sel_first_0,
I2 => \^q\(1),
I3 => si_rs_awvalid,
I4 => \^q\(0),
I5 => areset_d1,
O => sel_first_reg_0
);
\sel_first_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF04FFFFFF04FF04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
I3 => areset_d1,
I4 => \^next\,
I5 => sel_first_reg_1,
O => \^sel_first_i\
);
\state[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BBBA"
)
port map (
I0 => \state[0]_i_2_n_0\,
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
O => \state[0]_i_1_n_0\
);
\state[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F000F055750000"
)
port map (
I0 => m_axi_awready,
I1 => s_axburst_eq1_reg_0,
I2 => \cnt_read_reg[1]_rep__0\,
I3 => \cnt_read_reg[0]_rep__0\,
I4 => \^axlen_cnt_reg[7]_0\,
I5 => \^axlen_cnt_reg[7]\,
O => \state[0]_i_2_n_0\
);
\state[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0CAE0000000000"
)
port map (
I0 => s_axburst_eq1_reg_0,
I1 => \cnt_read_reg[1]_rep__0\,
I2 => \cnt_read_reg[0]_rep__0\,
I3 => m_axi_awready,
I4 => \^axlen_cnt_reg[7]\,
I5 => \^axlen_cnt_reg[7]_0\,
O => \state[1]_i_1__0_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^q\(0),
R => areset_d1
);
\state_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[0]_i_1_n_0\,
Q => \^axlen_cnt_reg[7]_0\,
R => areset_d1
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^q\(1),
R => areset_d1
);
\state_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \state[1]_i_1__0_n_0\,
Q => \^axlen_cnt_reg[7]\,
R => areset_d1
);
\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \^axlen_cnt_reg[7]\,
I1 => si_rs_awvalid,
I2 => \^axlen_cnt_reg[7]_0\,
O => \^e\(0)
);
\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A5575AA8A5545"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => D(0)
);
\wrap_cnt_r[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(1),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\(0),
I1 => \^axlen_cnt_reg[7]_0\,
I2 => si_rs_awvalid,
I3 => \^axlen_cnt_reg[7]\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8AAA8AAA8AAABA"
)
port map (
I0 => \wrap_second_len_r_reg[0]_0\(0),
I1 => \^q\(0),
I2 => si_rs_awvalid,
I3 => \^q\(1),
I4 => \axaddr_offset_r_reg[3]\,
I5 => axaddr_offset(0),
O => \wrap_second_len_r_reg[0]\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
port (
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 10 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd is
signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 );
signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 );
signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^wrap_next_pending\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
wrap_next_pending <= \^wrap_next_pending\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(0),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(0),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(0),
O => \axaddr_wrap[0]_i_1_n_0\
);
\axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(10),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(10),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(10),
O => \axaddr_wrap[10]_i_1_n_0\
);
\axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(11),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(11),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(11),
O => \axaddr_wrap[11]_i_1_n_0\
);
\axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4_n_0\,
I1 => wrap_cnt_r(3),
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2_n_0\
);
\axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => wrap_cnt_r(0),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => wrap_cnt_r(1),
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => wrap_cnt_r(2),
O => \axaddr_wrap[11]_i_4_n_0\
);
\axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(1),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(1),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(1),
O => \axaddr_wrap[1]_i_1_n_0\
);
\axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(2),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(2),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(2),
O => \axaddr_wrap[2]_i_1_n_0\
);
\axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(3),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(3),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(3),
O => \axaddr_wrap[3]_i_1_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => axaddr_wrap(3),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(2),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => axaddr_wrap(1),
I1 => \m_payload_i_reg[47]\(13),
I2 => \m_payload_i_reg[47]\(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => axaddr_wrap(0),
I1 => \m_payload_i_reg[47]\(12),
I2 => \m_payload_i_reg[47]\(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(4),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(4),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(4),
O => \axaddr_wrap[4]_i_1_n_0\
);
\axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(5),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(5),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(5),
O => \axaddr_wrap[5]_i_1_n_0\
);
\axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(6),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(6),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(6),
O => \axaddr_wrap[6]_i_1_n_0\
);
\axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(7),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(7),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(7),
O => \axaddr_wrap[7]_i_1_n_0\
);
\axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(8),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(8),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(8),
O => \axaddr_wrap[8]_i_1_n_0\
);
\axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => wrap_boundary_axaddr_r(9),
I1 => \axaddr_wrap[11]_i_2_n_0\,
I2 => axaddr_wrap0(9),
I3 => \next\,
I4 => \m_payload_i_reg[47]\(9),
O => \axaddr_wrap[9]_i_1_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[0]_i_1_n_0\,
Q => axaddr_wrap(0),
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[10]_i_1_n_0\,
Q => axaddr_wrap(10),
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[11]_i_1_n_0\,
Q => axaddr_wrap(11),
R => '0'
);
\axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(11 downto 8),
S(3 downto 0) => axaddr_wrap(11 downto 8)
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[1]_i_1_n_0\,
Q => axaddr_wrap(1),
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[2]_i_1_n_0\,
Q => axaddr_wrap(2),
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[3]_i_1_n_0\,
Q => axaddr_wrap(3),
R => '0'
);
\axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => axaddr_wrap(3 downto 0),
O(3 downto 0) => axaddr_wrap0(3 downto 0),
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[4]_i_1_n_0\,
Q => axaddr_wrap(4),
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[5]_i_1_n_0\,
Q => axaddr_wrap(5),
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[6]_i_1_n_0\,
Q => axaddr_wrap(6),
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[7]_i_1_n_0\,
Q => axaddr_wrap(7),
R => '0'
);
\axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_wrap0(7 downto 4),
S(3 downto 0) => axaddr_wrap(7 downto 4)
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[8]_i_1_n_0\,
Q => axaddr_wrap(8),
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axaddr_wrap[9]_i_1_n_0\,
Q => axaddr_wrap(9),
R => '0'
);
\axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => \m_payload_i_reg[47]\(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1_n_0\
);
\axlen_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => \m_payload_i_reg[47]\(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1_n_0\
);
\axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => \m_payload_i_reg[47]\(17),
O => \axlen_cnt[2]_i_1__0_n_0\
);
\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => \m_payload_i_reg[47]\(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[0]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[1]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[2]_i_1__0_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \state_reg[0]\(0),
D => \axlen_cnt[3]_i_1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(0),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_awaddr(0)
);
\m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(10),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(9),
O => m_axi_awaddr(10)
);
\m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(11),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(10),
O => m_axi_awaddr(11)
);
\m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(1),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(1),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_awaddr(1)
);
\m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(2),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(2),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_awaddr(2)
);
\m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(3),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(3),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_awaddr(3)
);
\m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(4),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_awaddr(4)
);
\m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \m_payload_i_reg[47]\(5),
I1 => \^sel_first_reg_0\,
I2 => axaddr_wrap(5),
I3 => \m_payload_i_reg[47]\(14),
I4 => sel_first_reg_3,
O => m_axi_awaddr(5)
);
\m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(6),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_awaddr(6)
);
\m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(7),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_awaddr(7)
);
\m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(8),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_awaddr(8)
);
\m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => axaddr_wrap(9),
I2 => \m_payload_i_reg[47]\(14),
I3 => \m_payload_i_reg[47]\(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(8),
O => m_axi_awaddr(9)
);
\next_pending_r_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]_0\,
I1 => next_pending_r_reg_n_0,
I2 => \next\,
I3 => \next_pending_r_i_2__1_n_0\,
I4 => E(0),
O => \^wrap_next_pending\
);
\next_pending_r_i_2__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_awvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__1_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \^wrap_next_pending\,
Q => next_pending_r_reg_n_0,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => wrap_boundary_axaddr_r(0),
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(10),
Q => wrap_boundary_axaddr_r(10),
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(11),
Q => wrap_boundary_axaddr_r(11),
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => wrap_boundary_axaddr_r(1),
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => wrap_boundary_axaddr_r(2),
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => wrap_boundary_axaddr_r(3),
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => wrap_boundary_axaddr_r(4),
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => wrap_boundary_axaddr_r(5),
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => wrap_boundary_axaddr_r(6),
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(7),
Q => wrap_boundary_axaddr_r(7),
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(8),
Q => wrap_boundary_axaddr_r(8),
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[47]\(9),
Q => wrap_boundary_axaddr_r(9),
R => '0'
);
\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => wrap_cnt(1)
);
\wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => wrap_cnt_r(0),
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cnt(1),
Q => wrap_cnt_r(1),
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => wrap_cnt_r(2),
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => wrap_cnt_r(3),
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
port (
sel_first_reg_0 : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axburst_eq0_reg : out STD_LOGIC;
s_axburst_eq1_reg : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 18 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
sel_first_i : in STD_LOGIC;
incr_next_pending : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
\axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
sel_first_reg_3 : in STD_LOGIC;
sel_first_reg_4 : in STD_LOGIC;
sel_first_reg_5 : in STD_LOGIC;
sel_first_reg_6 : in STD_LOGIC;
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_17_b2s_wrap_cmd";
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3 is
signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC;
signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC;
signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC;
signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC;
signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC;
signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC;
signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC;
signal next_pending_r_reg_n_0 : STD_LOGIC;
signal \^sel_first_reg_0\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC;
signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC;
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair16";
begin
sel_first_reg_0 <= \^sel_first_reg_0\;
\wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0);
\axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => \axaddr_offset_r_reg[3]_0\(0),
R => '0'
);
\axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => \axaddr_offset_r_reg[3]_0\(1),
R => '0'
);
\axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => \axaddr_offset_r_reg[3]_0\(2),
R => '0'
);
\axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => \axaddr_offset_r_reg[3]_0\(3),
R => '0'
);
\axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(0),
O => \axaddr_wrap[0]_i_1__0_n_0\
);
\axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(10),
O => \axaddr_wrap[10]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(11),
O => \axaddr_wrap[11]_i_1__0_n_0\
);
\axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"41"
)
port map (
I0 => \axaddr_wrap[11]_i_4__0_n_0\,
I1 => \wrap_cnt_r_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[3]\,
O => \axaddr_wrap[11]_i_2__0_n_0\
);
\axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \wrap_cnt_r_reg_n_0_[0]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \wrap_cnt_r_reg_n_0_[2]\,
I4 => \axlen_cnt_reg_n_0_[1]\,
I5 => \wrap_cnt_r_reg_n_0_[1]\,
O => \axaddr_wrap[11]_i_4__0_n_0\
);
\axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(1),
O => \axaddr_wrap[1]_i_1__0_n_0\
);
\axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(2),
O => \axaddr_wrap[2]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(3),
O => \axaddr_wrap[3]_i_1__0_n_0\
);
\axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[3]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_3_n_0\
);
\axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[2]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_4_n_0\
);
\axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"9A"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[1]\,
I1 => Q(13),
I2 => Q(12),
O => \axaddr_wrap[3]_i_5_n_0\
);
\axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"A9"
)
port map (
I0 => \axaddr_wrap_reg_n_0_[0]\,
I1 => Q(12),
I2 => Q(13),
O => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(4),
O => \axaddr_wrap[4]_i_1__0_n_0\
);
\axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(5),
O => \axaddr_wrap[5]_i_1__0_n_0\
);
\axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\,
I3 => \state_reg[1]_rep\,
I4 => Q(6),
O => \axaddr_wrap[6]_i_1__0_n_0\
);
\axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\,
I3 => \state_reg[1]_rep\,
I4 => Q(7),
O => \axaddr_wrap[7]_i_1__0_n_0\
);
\axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\,
I3 => \state_reg[1]_rep\,
I4 => Q(8),
O => \axaddr_wrap[8]_i_1__0_n_0\
);
\axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
I1 => \axaddr_wrap[11]_i_2__0_n_0\,
I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\,
I3 => \state_reg[1]_rep\,
I4 => Q(9),
O => \axaddr_wrap[9]_i_1__0_n_0\
);
\axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[0]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[0]\,
R => '0'
);
\axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[10]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[10]\,
R => '0'
);
\axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[11]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[11]\,
R => '0'
);
\axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\,
O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\,
O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\,
O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[11]\,
S(2) => \axaddr_wrap_reg_n_0_[10]\,
S(1) => \axaddr_wrap_reg_n_0_[9]\,
S(0) => \axaddr_wrap_reg_n_0_[8]\
);
\axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[1]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[1]\,
R => '0'
);
\axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[2]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[2]\,
R => '0'
);
\axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[3]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[3]\,
R => '0'
);
\axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \axaddr_wrap_reg_n_0_[3]\,
DI(2) => \axaddr_wrap_reg_n_0_[2]\,
DI(1) => \axaddr_wrap_reg_n_0_[1]\,
DI(0) => \axaddr_wrap_reg_n_0_[0]\,
O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\,
S(3) => \axaddr_wrap[3]_i_3_n_0\,
S(2) => \axaddr_wrap[3]_i_4_n_0\,
S(1) => \axaddr_wrap[3]_i_5_n_0\,
S(0) => \axaddr_wrap[3]_i_6_n_0\
);
\axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[4]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[4]\,
R => '0'
);
\axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[5]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[5]\,
R => '0'
);
\axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[6]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[6]\,
R => '0'
);
\axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[7]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[7]\,
R => '0'
);
\axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_wrap_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\,
O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\,
O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\,
O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\,
S(3) => \axaddr_wrap_reg_n_0_[7]\,
S(2) => \axaddr_wrap_reg_n_0_[6]\,
S(1) => \axaddr_wrap_reg_n_0_[5]\,
S(0) => \axaddr_wrap_reg_n_0_[4]\
);
\axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[8]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[8]\,
R => '0'
);
\axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axaddr_wrap[9]_i_1__0_n_0\,
Q => \axaddr_wrap_reg_n_0_[9]\,
R => '0'
);
\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A3A3A3A3A3A3A3A0"
)
port map (
I0 => Q(15),
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => E(0),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \axlen_cnt[0]_i_1__1_n_0\
);
\axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC3AAC3AAC3AAC0"
)
port map (
I0 => Q(16),
I1 => \axlen_cnt_reg_n_0_[1]\,
I2 => \axlen_cnt_reg_n_0_[0]\,
I3 => E(0),
I4 => \axlen_cnt_reg_n_0_[3]\,
I5 => \axlen_cnt_reg_n_0_[2]\,
O => \axlen_cnt[1]_i_1__2_n_0\
);
\axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFA9A80000A9A8"
)
port map (
I0 => \axlen_cnt_reg_n_0_[2]\,
I1 => \axlen_cnt_reg_n_0_[0]\,
I2 => \axlen_cnt_reg_n_0_[1]\,
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => E(0),
I5 => Q(17),
O => \axlen_cnt[2]_i_1__2_n_0\
);
\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAACCCCCCC0"
)
port map (
I0 => Q(18),
I1 => \axlen_cnt_reg_n_0_[3]\,
I2 => \axlen_cnt_reg_n_0_[2]\,
I3 => \axlen_cnt_reg_n_0_[1]\,
I4 => \axlen_cnt_reg_n_0_[0]\,
I5 => E(0),
O => \axlen_cnt[3]_i_1__1_n_0\
);
\axlen_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[0]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[0]\,
R => '0'
);
\axlen_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[1]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[1]\,
R => '0'
);
\axlen_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[2]_i_1__2_n_0\,
Q => \axlen_cnt_reg_n_0_[2]\,
R => '0'
);
\axlen_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg(0),
D => \axlen_cnt[3]_i_1__1_n_0\,
Q => \axlen_cnt_reg_n_0_[3]\,
R => '0'
);
\m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[0]\,
I2 => Q(14),
I3 => Q(0),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(0),
O => m_axi_araddr(0)
);
\m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[10]\,
I2 => Q(14),
I3 => Q(10),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(6),
O => m_axi_araddr(10)
);
\m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[11]\,
I2 => Q(14),
I3 => Q(11),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(7),
O => m_axi_araddr(11)
);
\m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(1),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[1]\,
I3 => Q(14),
I4 => sel_first_reg_6,
O => m_axi_araddr(1)
);
\m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(2),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[2]\,
I3 => Q(14),
I4 => sel_first_reg_5,
O => m_axi_araddr(2)
);
\m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(3),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[3]\,
I3 => Q(14),
I4 => sel_first_reg_4,
O => m_axi_araddr(3)
);
\m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[4]\,
I2 => Q(14),
I3 => Q(4),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(1),
O => m_axi_araddr(4)
);
\m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8FFB800"
)
port map (
I0 => Q(5),
I1 => \^sel_first_reg_0\,
I2 => \axaddr_wrap_reg_n_0_[5]\,
I3 => Q(14),
I4 => sel_first_reg_3,
O => m_axi_araddr(5)
);
\m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[6]\,
I2 => Q(14),
I3 => Q(6),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(2),
O => m_axi_araddr(6)
);
\m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[7]\,
I2 => Q(14),
I3 => Q(7),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(3),
O => m_axi_araddr(7)
);
\m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[8]\,
I2 => Q(14),
I3 => Q(8),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(4),
O => m_axi_araddr(8)
);
\m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"EF40EF4FEF40E040"
)
port map (
I0 => \^sel_first_reg_0\,
I1 => \axaddr_wrap_reg_n_0_[9]\,
I2 => Q(14),
I3 => Q(9),
I4 => sel_first_reg_2,
I5 => \axaddr_incr_reg[11]\(5),
O => m_axi_araddr(9)
);
\next_pending_r_i_1__1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FEAAFEAE"
)
port map (
I0 => \m_payload_i_reg[47]\,
I1 => next_pending_r_reg_n_0,
I2 => \state_reg[1]_rep\,
I3 => \next_pending_r_i_2__2_n_0\,
I4 => E(0),
O => wrap_next_pending
);
\next_pending_r_i_2__2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFBFBFBFBFBFB00"
)
port map (
I0 => \state_reg[1]\(0),
I1 => si_rs_arvalid,
I2 => \state_reg[1]\(1),
I3 => \axlen_cnt_reg_n_0_[3]\,
I4 => \axlen_cnt_reg_n_0_[2]\,
I5 => \axlen_cnt_reg_n_0_[1]\,
O => \next_pending_r_i_2__2_n_0\
);
next_pending_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_next_pending,
Q => next_pending_r_reg_n_0,
R => '0'
);
\s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq0_reg
);
\s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"ABA8"
)
port map (
I0 => wrap_next_pending,
I1 => Q(14),
I2 => sel_first_i,
I3 => incr_next_pending,
O => s_axburst_eq1_reg
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_reg_1,
Q => \^sel_first_reg_0\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(0),
Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(10),
Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(11),
Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(1),
Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(2),
Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(3),
Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(4),
Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(5),
Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => \m_payload_i_reg[6]\(6),
Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(7),
Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(8),
Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\,
R => '0'
);
\wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => Q(9),
Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\,
R => '0'
);
\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"3D310E02"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(0),
I1 => E(0),
I2 => \axaddr_offset_r_reg[3]_2\,
I3 => D(1),
I4 => \^wrap_second_len_r_reg[3]_0\(1),
O => \wrap_cnt_r[1]_i_1__0_n_0\
);
\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000CAAA8000C0000"
)
port map (
I0 => \^wrap_second_len_r_reg[3]_0\(1),
I1 => \axaddr_offset_r_reg[3]_1\,
I2 => D(1),
I3 => D(0),
I4 => E(0),
I5 => \^wrap_second_len_r_reg[3]_0\(0),
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(0),
Q => \wrap_cnt_r_reg_n_0_[0]\,
R => '0'
);
\wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_cnt_r[1]_i_1__0_n_0\,
Q => \wrap_cnt_r_reg_n_0_[1]\,
R => '0'
);
\wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(1),
Q => \wrap_cnt_r_reg_n_0_[2]\,
R => '0'
);
\wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_2\(2),
Q => \wrap_cnt_r_reg_n_0_[3]\,
R => '0'
);
\wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(0),
Q => \^wrap_second_len_r_reg[3]_0\(0),
R => '0'
);
\wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(1),
Q => \^wrap_second_len_r_reg[3]_0\(1),
R => '0'
);
\wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(2),
Q => \^wrap_second_len_r_reg[3]_0\(2),
R => '0'
);
\wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \wrap_second_len_r_reg[3]_1\(3),
Q => \^wrap_second_len_r_reg[3]_0\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
port (
s_axi_arready : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[0]\ : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
aclk : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
\aresetn_d_reg[0]_0\ : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice;
architecture STRUCTURE of design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \axaddr_incr[3]_i_4__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5__0_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2__0_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2__0_n_3\ : STD_LOGIC;
signal \^axaddr_offset_0\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5__0_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3__0_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair44";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair21";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset_0(1 downto 0) <= \^axaddr_offset_0\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_arready <= \^s_axi_arready\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => '1',
D => \aresetn_d_reg[0]_0\,
Q => \^m_valid_i_reg_0\,
R => '0'
);
\axaddr_incr[3]_i_4__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4__0_n_0\
);
\axaddr_incr[3]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5__0_n_0\
);
\axaddr_incr[3]_i_6__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6__0_n_0\
);
\axaddr_incr_reg[11]_i_3__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3__0_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3__0_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3__0_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => O(3 downto 0),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2__0_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4__0_n_0\,
DI(1) => \axaddr_incr[3]_i_5__0_n_0\,
DI(0) => \axaddr_incr[3]_i_6__0_n_0\,
O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0)
);
\axaddr_incr_reg[7]_i_2__0\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2__0_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2__0_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2__0_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2__0_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2__0_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2__0_n_0\
);
\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2__0_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(1),
O => \^axaddr_offset_0\(0)
);
\axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2__0_n_0\
);
\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2__0_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^axaddr_offset_r_reg[3]\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^axaddr_offset_0\(1)
);
\axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2__0_n_0\
);
\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__0_n_0\
);
\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__0_n_0\
);
\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__0_n_0\
);
\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(12),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__0_n_0\
);
\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(13),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__1_n_0\
);
\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(14),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__0_n_0\
);
\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(15),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__0_n_0\
);
\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(16),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__0_n_0\
);
\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(17),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__0_n_0\
);
\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(18),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__0_n_0\
);
\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(19),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__0_n_0\
);
\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__0_n_0\
);
\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(20),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__0_n_0\
);
\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(21),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__0_n_0\
);
\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(22),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__0_n_0\
);
\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(23),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__0_n_0\
);
\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(24),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__0_n_0\
);
\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(25),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__0_n_0\
);
\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(26),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__0_n_0\
);
\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(27),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__0_n_0\
);
\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(28),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__0_n_0\
);
\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(29),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__0_n_0\
);
\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__0_n_0\
);
\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(30),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__0_n_0\
);
\m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(31),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_2__0_n_0\
);
\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__0_n_0\
);
\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__0_n_0\
);
\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arprot(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__0_n_0\
);
\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__0_n_0\
);
\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arsize(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__0_n_0\
);
\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__0_n_0\
);
\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arburst(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__0_n_0\
);
\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__0_n_0\
);
\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__0_n_0\
);
\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__0_n_0\
);
\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_1__1_n_0\
);
\m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arlen(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => \m_payload_i[47]_i_1__0_n_0\
);
\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__0_n_0\
);
\m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(0),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => \m_payload_i[50]_i_1__0_n_0\
);
\m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(1),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => \m_payload_i[51]_i_1__0_n_0\
);
\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(2),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => \m_payload_i[52]_i_1__0_n_0\
);
\m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(3),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => \m_payload_i[53]_i_1__0_n_0\
);
\m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(4),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => \m_payload_i[54]_i_1__0_n_0\
);
\m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => \m_payload_i[55]_i_1__0_n_0\
);
\m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => \m_payload_i[56]_i_1__0_n_0\
);
\m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => \m_payload_i[57]_i_1__0_n_0\
);
\m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => \m_payload_i[58]_i_1__0_n_0\
);
\m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => \m_payload_i[59]_i_1__0_n_0\
);
\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(5),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__0_n_0\
);
\m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(10),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => \m_payload_i[60]_i_1__0_n_0\
);
\m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_arid(11),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => \m_payload_i[61]_i_1__0_n_0\
);
\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(6),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__0_n_0\
);
\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(7),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__0_n_0\
);
\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(8),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__0_n_0\
);
\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_araddr(9),
I1 => \^s_axi_arready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__0_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[0]_i_1__0_n_0\,
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[10]_i_1__0_n_0\,
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[11]_i_1__0_n_0\,
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[12]_i_1__0_n_0\,
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[13]_i_1__1_n_0\,
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[14]_i_1__0_n_0\,
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[15]_i_1__0_n_0\,
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[16]_i_1__0_n_0\,
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[17]_i_1__0_n_0\,
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[18]_i_1__0_n_0\,
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[19]_i_1__0_n_0\,
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[1]_i_1__0_n_0\,
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[20]_i_1__0_n_0\,
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[21]_i_1__0_n_0\,
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[22]_i_1__0_n_0\,
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[23]_i_1__0_n_0\,
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[24]_i_1__0_n_0\,
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[25]_i_1__0_n_0\,
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[26]_i_1__0_n_0\,
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[27]_i_1__0_n_0\,
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[28]_i_1__0_n_0\,
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[29]_i_1__0_n_0\,
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[2]_i_1__0_n_0\,
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[30]_i_1__0_n_0\,
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[31]_i_2__0_n_0\,
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[32]_i_1__0_n_0\,
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[33]_i_1__0_n_0\,
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[34]_i_1__0_n_0\,
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[35]_i_1__0_n_0\,
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[36]_i_1__0_n_0\,
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[38]_i_1__0_n_0\,
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[39]_i_1__0_n_0\,
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[3]_i_1__0_n_0\,
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[44]_i_1__0_n_0\,
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[45]_i_1__0_n_0\,
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[46]_i_1__1_n_0\,
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[47]_i_1__0_n_0\,
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[4]_i_1__0_n_0\,
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[50]_i_1__0_n_0\,
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[51]_i_1__0_n_0\,
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[52]_i_1__0_n_0\,
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[53]_i_1__0_n_0\,
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[54]_i_1__0_n_0\,
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[55]_i_1__0_n_0\,
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[56]_i_1__0_n_0\,
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[57]_i_1__0_n_0\,
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[58]_i_1__0_n_0\,
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[59]_i_1__0_n_0\,
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[5]_i_1__0_n_0\,
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[60]_i_1__0_n_0\,
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[61]_i_1__0_n_0\,
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[6]_i_1__0_n_0\,
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[7]_i_1__0_n_0\,
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[8]_i_1__0_n_0\,
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => m_valid_i_reg_1(0),
D => \m_payload_i[9]_i_1__0_n_0\,
Q => \^q\(9),
R => '0'
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^axaddr_offset_r_reg[3]\,
R => \^m_valid_i_reg_0\
);
next_pending_r_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_arready\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_arid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_arready\,
D => s_axi_araddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => \wrap_cnt_r_reg[3]\(0)
);
\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => \wrap_cnt_r_reg[3]\(1)
);
\wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_1\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2__0_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5__0_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]_0\
);
\wrap_cnt_r[3]_i_5__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^axaddr_offset_r_reg[3]\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5__0_n_0\
);
\wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]_0\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset_0\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset_0\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_0\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset_0\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2__0_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3__0_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2__0_n_0\
);
\wrap_second_len_r[3]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
port (
s_axi_awready : out STD_LOGIC;
s_ready_i_reg_0 : out STD_LOGIC;
m_valid_i_reg_0 : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
\axaddr_offset_r_reg[0]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\aresetn_d_reg[1]_inv\ : out STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[1]_inv_0\ : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0;
architecture STRUCTURE of design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0 is
signal \^q\ : STD_LOGIC_VECTOR ( 54 downto 0 );
signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC;
signal \axaddr_incr[3]_i_4_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_5_n_0\ : STD_LOGIC;
signal \axaddr_incr[3]_i_6_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[11]_i_3_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[3]_i_2_n_3\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_1\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_2\ : STD_LOGIC;
signal \axaddr_incr_reg[7]_i_2_n_3\ : STD_LOGIC;
signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC;
signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC;
signal \^axlen_cnt_reg[3]\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal \^m_valid_i_reg_0\ : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^s_ready_i_reg_0\ : STD_LOGIC;
signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 );
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_cnt_r[3]_i_5_n_0\ : STD_LOGIC;
signal \^wrap_cnt_r_reg[2]\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC;
signal \wrap_second_len_r[3]_i_3_n_0\ : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair71";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair70";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair69";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair68";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair67";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair66";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair65";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair64";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair63";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair76";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair62";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair61";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair60";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair59";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair75";
attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair74";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair73";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair72";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair49";
begin
Q(54 downto 0) <= \^q\(54 downto 0);
axaddr_offset(1 downto 0) <= \^axaddr_offset\(1 downto 0);
\axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\;
\axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\;
\axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\;
m_valid_i_reg_0 <= \^m_valid_i_reg_0\;
s_axi_awready <= \^s_axi_awready\;
s_ready_i_reg_0 <= \^s_ready_i_reg_0\;
\wrap_cnt_r_reg[2]\ <= \^wrap_cnt_r_reg[2]\;
\wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0);
\aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
I1 => aresetn,
O => \aresetn_d_reg[1]_inv\
);
\aresetn_d_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => aresetn,
Q => \aresetn_d_reg_n_0_[0]\,
R => '0'
);
\axaddr_incr[3]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => \^q\(2),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_4_n_0\
);
\axaddr_incr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
O => \axaddr_incr[3]_i_5_n_0\
);
\axaddr_incr[3]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(36),
O => \axaddr_incr[3]_i_6_n_0\
);
\axaddr_incr_reg[11]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[7]_i_2_n_0\,
CO(3) => \NLW_axaddr_incr_reg[11]_i_3_CO_UNCONNECTED\(3),
CO(2) => \axaddr_incr_reg[11]_i_3_n_1\,
CO(1) => \axaddr_incr_reg[11]_i_3_n_2\,
CO(0) => \axaddr_incr_reg[11]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(11 downto 8),
S(3 downto 0) => \^q\(11 downto 8)
);
\axaddr_incr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \axaddr_incr_reg[3]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[3]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[3]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[3]_i_2_n_3\,
CYINIT => '0',
DI(3) => \^q\(3),
DI(2) => \axaddr_incr[3]_i_4_n_0\,
DI(1) => \axaddr_incr[3]_i_5_n_0\,
DI(0) => \axaddr_incr[3]_i_6_n_0\,
O(3 downto 0) => axaddr_incr(3 downto 0),
S(3 downto 0) => S(3 downto 0)
);
\axaddr_incr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \axaddr_incr_reg[3]_i_2_n_0\,
CO(3) => \axaddr_incr_reg[7]_i_2_n_0\,
CO(2) => \axaddr_incr_reg[7]_i_2_n_1\,
CO(1) => \axaddr_incr_reg[7]_i_2_n_2\,
CO(0) => \axaddr_incr_reg[7]_i_2_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => axaddr_incr(7 downto 4),
S(3 downto 0) => \^q\(7 downto 4)
);
\axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[0]_i_2_n_0\,
I1 => \^q\(39),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(0),
O => \^axaddr_offset_r_reg[0]\
);
\axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(3),
I1 => \^q\(2),
I2 => \^q\(36),
I3 => \^q\(1),
I4 => \^q\(35),
I5 => \^q\(0),
O => \axaddr_offset_r[0]_i_2_n_0\
);
\axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[1]_i_2_n_0\,
I1 => \^q\(40),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(1),
O => \^axaddr_offset\(0)
);
\axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(4),
I1 => \^q\(3),
I2 => \^q\(36),
I3 => \^q\(2),
I4 => \^q\(35),
I5 => \^q\(1),
O => \axaddr_offset_r[1]_i_2_n_0\
);
\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(5),
I1 => \^q\(4),
I2 => \^q\(36),
I3 => \^q\(3),
I4 => \^q\(35),
I5 => \^q\(2),
O => \^axaddr_offset_r_reg[2]\
);
\axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF8FF00000800"
)
port map (
I0 => \axaddr_offset_r[3]_i_2_n_0\,
I1 => \^q\(42),
I2 => \state_reg[1]\(1),
I3 => \^m_valid_i_reg_0\,
I4 => \state_reg[1]\(0),
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^axaddr_offset\(1)
);
\axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \^q\(6),
I1 => \^q\(5),
I2 => \^q\(36),
I3 => \^q\(4),
I4 => \^q\(35),
I5 => \^q\(3),
O => \axaddr_offset_r[3]_i_2_n_0\
);
\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"0020"
)
port map (
I0 => \^q\(42),
I1 => \state_reg[1]\(0),
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]\(1),
O => \^axlen_cnt_reg[3]\
);
\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => skid_buffer(0)
);
\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => skid_buffer(10)
);
\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => skid_buffer(11)
);
\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(12),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => skid_buffer(12)
);
\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(13),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => skid_buffer(13)
);
\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(14),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => skid_buffer(14)
);
\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(15),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => skid_buffer(15)
);
\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(16),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => skid_buffer(16)
);
\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(17),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => skid_buffer(17)
);
\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(18),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => skid_buffer(18)
);
\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(19),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => skid_buffer(19)
);
\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => skid_buffer(1)
);
\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(20),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => skid_buffer(20)
);
\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(21),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => skid_buffer(21)
);
\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(22),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => skid_buffer(22)
);
\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(23),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => skid_buffer(23)
);
\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(24),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => skid_buffer(24)
);
\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(25),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => skid_buffer(25)
);
\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(26),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => skid_buffer(26)
);
\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(27),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => skid_buffer(27)
);
\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(28),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => skid_buffer(28)
);
\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(29),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => skid_buffer(29)
);
\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => skid_buffer(2)
);
\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(30),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => skid_buffer(30)
);
\m_payload_i[31]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(31),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => skid_buffer(31)
);
\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => skid_buffer(32)
);
\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => skid_buffer(33)
);
\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awprot(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => skid_buffer(34)
);
\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => skid_buffer(35)
);
\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awsize(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => skid_buffer(36)
);
\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => skid_buffer(38)
);
\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awburst(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => skid_buffer(39)
);
\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => skid_buffer(3)
);
\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => skid_buffer(44)
);
\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => skid_buffer(45)
);
\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => skid_buffer(46)
);
\m_payload_i[47]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awlen(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[47]\,
O => skid_buffer(47)
);
\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => skid_buffer(4)
);
\m_payload_i[50]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(0),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[50]\,
O => skid_buffer(50)
);
\m_payload_i[51]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(1),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[51]\,
O => skid_buffer(51)
);
\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(2),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[52]\,
O => skid_buffer(52)
);
\m_payload_i[53]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(3),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[53]\,
O => skid_buffer(53)
);
\m_payload_i[54]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(4),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[54]\,
O => skid_buffer(54)
);
\m_payload_i[55]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[55]\,
O => skid_buffer(55)
);
\m_payload_i[56]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[56]\,
O => skid_buffer(56)
);
\m_payload_i[57]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[57]\,
O => skid_buffer(57)
);
\m_payload_i[58]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[58]\,
O => skid_buffer(58)
);
\m_payload_i[59]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[59]\,
O => skid_buffer(59)
);
\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(5),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => skid_buffer(5)
);
\m_payload_i[60]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(10),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[60]\,
O => skid_buffer(60)
);
\m_payload_i[61]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awid(11),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[61]\,
O => skid_buffer(61)
);
\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(6),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => skid_buffer(6)
);
\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(7),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => skid_buffer(7)
);
\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(8),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => skid_buffer(8)
);
\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axi_awaddr(9),
I1 => \^s_axi_awready\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => skid_buffer(9)
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(0),
Q => \^q\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(10),
Q => \^q\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(11),
Q => \^q\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(12),
Q => \^q\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(13),
Q => \^q\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(14),
Q => \^q\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(15),
Q => \^q\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(16),
Q => \^q\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(17),
Q => \^q\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(18),
Q => \^q\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(19),
Q => \^q\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(1),
Q => \^q\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(20),
Q => \^q\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(21),
Q => \^q\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(22),
Q => \^q\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(23),
Q => \^q\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(24),
Q => \^q\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(25),
Q => \^q\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(26),
Q => \^q\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(27),
Q => \^q\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(28),
Q => \^q\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(29),
Q => \^q\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(2),
Q => \^q\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(30),
Q => \^q\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(31),
Q => \^q\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(32),
Q => \^q\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(33),
Q => \^q\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(34),
Q => \^q\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(35),
Q => \^q\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(36),
Q => \^q\(36),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(38),
Q => \^q\(37),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(39),
Q => \^q\(38),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(3),
Q => \^q\(3),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(44),
Q => \^q\(39),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(45),
Q => \^q\(40),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(46),
Q => \^q\(41),
R => '0'
);
\m_payload_i_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(47),
Q => \^q\(42),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(4),
Q => \^q\(4),
R => '0'
);
\m_payload_i_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(50),
Q => \^q\(43),
R => '0'
);
\m_payload_i_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(51),
Q => \^q\(44),
R => '0'
);
\m_payload_i_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(52),
Q => \^q\(45),
R => '0'
);
\m_payload_i_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(53),
Q => \^q\(46),
R => '0'
);
\m_payload_i_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(54),
Q => \^q\(47),
R => '0'
);
\m_payload_i_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(55),
Q => \^q\(48),
R => '0'
);
\m_payload_i_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(56),
Q => \^q\(49),
R => '0'
);
\m_payload_i_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(57),
Q => \^q\(50),
R => '0'
);
\m_payload_i_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(58),
Q => \^q\(51),
R => '0'
);
\m_payload_i_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(59),
Q => \^q\(52),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(5),
Q => \^q\(5),
R => '0'
);
\m_payload_i_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(60),
Q => \^q\(53),
R => '0'
);
\m_payload_i_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(61),
Q => \^q\(54),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(6),
Q => \^q\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(7),
Q => \^q\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(8),
Q => \^q\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => E(0),
D => skid_buffer(9),
Q => \^q\(9),
R => '0'
);
m_valid_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => b_push,
I1 => \^m_valid_i_reg_0\,
I2 => s_axi_awvalid,
I3 => \^s_axi_awready\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^m_valid_i_reg_0\,
R => \aresetn_d_reg[1]_inv_0\
);
next_pending_r_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAAA8"
)
port map (
I0 => \state_reg[1]_rep\,
I1 => \^q\(42),
I2 => \^q\(40),
I3 => \^q\(39),
I4 => \^q\(41),
O => next_pending_r_reg
);
\s_ready_i_i_1__1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \aresetn_d_reg_n_0_[0]\,
O => \^s_ready_i_reg_0\
);
s_ready_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_awvalid,
I1 => \^s_axi_awready\,
I2 => b_push,
I3 => \^m_valid_i_reg_0\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^s_axi_awready\,
R => \^s_ready_i_reg_0\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(0),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(1),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awprot(2),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(0),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awsize(1),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(0),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awburst(1),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(0),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(1),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(2),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[47]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awlen(3),
Q => \skid_buffer_reg_n_0_[47]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[50]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(0),
Q => \skid_buffer_reg_n_0_[50]\,
R => '0'
);
\skid_buffer_reg[51]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(1),
Q => \skid_buffer_reg_n_0_[51]\,
R => '0'
);
\skid_buffer_reg[52]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(2),
Q => \skid_buffer_reg_n_0_[52]\,
R => '0'
);
\skid_buffer_reg[53]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(3),
Q => \skid_buffer_reg_n_0_[53]\,
R => '0'
);
\skid_buffer_reg[54]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(4),
Q => \skid_buffer_reg_n_0_[54]\,
R => '0'
);
\skid_buffer_reg[55]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(5),
Q => \skid_buffer_reg_n_0_[55]\,
R => '0'
);
\skid_buffer_reg[56]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(6),
Q => \skid_buffer_reg_n_0_[56]\,
R => '0'
);
\skid_buffer_reg[57]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(7),
Q => \skid_buffer_reg_n_0_[57]\,
R => '0'
);
\skid_buffer_reg[58]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(8),
Q => \skid_buffer_reg_n_0_[58]\,
R => '0'
);
\skid_buffer_reg[59]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(9),
Q => \skid_buffer_reg_n_0_[59]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[60]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(10),
Q => \skid_buffer_reg_n_0_[60]\,
R => '0'
);
\skid_buffer_reg[61]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awid(11),
Q => \skid_buffer_reg_n_0_[61]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^s_axi_awready\,
D => s_axi_awaddr(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
\wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"AA8A"
)
port map (
I0 => \^q\(0),
I1 => \^q\(35),
I2 => \^q\(39),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(0)
);
\wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8A888AAA"
)
port map (
I0 => \^q\(1),
I1 => \^q\(36),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(40),
O => \wrap_boundary_axaddr_r_reg[6]\(1)
);
\wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0F553300000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(39),
I3 => \^q\(35),
I4 => \^q\(36),
I5 => \^q\(2),
O => \wrap_boundary_axaddr_r_reg[6]\(2)
);
\wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"020202A2A2A202A2"
)
port map (
I0 => \^q\(3),
I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\,
I2 => \^q\(36),
I3 => \^q\(40),
I4 => \^q\(35),
I5 => \^q\(39),
O => \wrap_boundary_axaddr_r_reg[6]\(3)
);
\wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(41),
I1 => \^q\(35),
I2 => \^q\(42),
O => \wrap_boundary_axaddr_r[3]_i_2_n_0\
);
\wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"503F5F3F00000000"
)
port map (
I0 => \^q\(40),
I1 => \^q\(41),
I2 => \^q\(36),
I3 => \^q\(35),
I4 => \^q\(42),
I5 => \^q\(4),
O => \wrap_boundary_axaddr_r_reg[6]\(4)
);
\wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"2A222AAA"
)
port map (
I0 => \^q\(5),
I1 => \^q\(36),
I2 => \^q\(41),
I3 => \^q\(35),
I4 => \^q\(42),
O => \wrap_boundary_axaddr_r_reg[6]\(5)
);
\wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"2AAA"
)
port map (
I0 => \^q\(6),
I1 => \^q\(42),
I2 => \^q\(35),
I3 => \^q\(36),
O => \wrap_boundary_axaddr_r_reg[6]\(6)
);
\wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA6AA56AAAAAAAA"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(1),
I1 => \wrap_second_len_r_reg[3]_0\(0),
I2 => \state_reg[1]_rep\,
I3 => \^wrap_cnt_r_reg[2]\,
I4 => \^axaddr_offset_r_reg[0]\,
I5 => \^wrap_second_len_r_reg[3]\(0),
O => D(0)
);
\wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^wrap_second_len_r_reg[3]\(2),
I1 => \wrap_second_len_r_reg[1]\,
I2 => \^wrap_second_len_r_reg[3]\(1),
O => D(1)
);
\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEAEAFFEA"
)
port map (
I0 => \axaddr_offset_r_reg[3]_0\,
I1 => \^axlen_cnt_reg[3]\,
I2 => \axaddr_offset_r[3]_i_2_n_0\,
I3 => \^axaddr_offset_r_reg[2]\,
I4 => \wrap_cnt_r[3]_i_5_n_0\,
I5 => \axaddr_offset_r_reg[2]_1\,
O => \wrap_cnt_r_reg[3]\
);
\wrap_cnt_r[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \^q\(41),
I1 => \state_reg[0]_rep\,
I2 => \^m_valid_i_reg_0\,
I3 => \state_reg[1]_rep_0\,
O => \wrap_cnt_r[3]_i_5_n_0\
);
\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000010001"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \axaddr_offset_r_reg[3]\(2),
O => \^wrap_cnt_r_reg[2]\
);
\wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F00EFFFFF00E0000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset_r_reg[0]\,
I3 => \^axaddr_offset\(0),
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(1),
O => \^wrap_second_len_r_reg[3]\(0)
);
\wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCC2FFFFCCC20000"
)
port map (
I0 => \^axaddr_offset\(1),
I1 => \axaddr_offset_r_reg[2]_0\(0),
I2 => \^axaddr_offset\(0),
I3 => \^axaddr_offset_r_reg[0]\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(2),
O => \^wrap_second_len_r_reg[3]\(1)
);
\wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FE00FFFFFE00FE00"
)
port map (
I0 => \^axaddr_offset_r_reg[0]\,
I1 => \^axaddr_offset\(0),
I2 => \axaddr_offset_r_reg[2]_0\(0),
I3 => \wrap_second_len_r[3]_i_2_n_0\,
I4 => \state_reg[1]_rep\,
I5 => \wrap_second_len_r_reg[3]_0\(3),
O => \^wrap_second_len_r_reg[3]\(2)
);
\wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A8A8080808A808"
)
port map (
I0 => \^axlen_cnt_reg[3]\,
I1 => \wrap_second_len_r[3]_i_3_n_0\,
I2 => \^q\(36),
I3 => \^q\(5),
I4 => \^q\(35),
I5 => \^q\(6),
O => \wrap_second_len_r[3]_i_2_n_0\
);
\wrap_second_len_r[3]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \^q\(4),
I1 => \^q\(35),
I2 => \^q\(3),
O => \wrap_second_len_r[3]_i_3_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
port (
s_axi_bvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\;
architecture STRUCTURE of \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\ is
signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC;
signal m_valid_i0 : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_ready_i0 : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair78";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair77";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair83";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair82";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair81";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair80";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair79";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair79";
begin
s_axi_bvalid <= \^s_axi_bvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__1_n_0\
);
\m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__1_n_0\
);
\m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__1_n_0\
);
\m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__1_n_0\
);
\m_payload_i[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
O => p_1_in
);
\m_payload_i[13]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_2_n_0\
);
\m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \s_bresp_acc_reg[1]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__1_n_0\
);
\m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__1_n_0\
);
\m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__1_n_0\
);
\m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__1_n_0\
);
\m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__1_n_0\
);
\m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__1_n_0\
);
\m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \out\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__1_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_2_n_0\,
Q => \s_axi_bid[11]\(13),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(1),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(2),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(3),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__1_n_0\,
Q => \s_axi_bid[11]\(9),
R => '0'
);
\m_valid_i_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => si_rs_bvalid,
I3 => \^skid_buffer_reg[0]_0\,
O => m_valid_i0
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => m_valid_i0,
Q => \^s_axi_bvalid\,
R => \aresetn_d_reg[1]_inv\
);
s_ready_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F4FF"
)
port map (
I0 => si_rs_bvalid,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_bready,
I3 => \^s_axi_bvalid\,
O => s_ready_i0
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => s_ready_i0,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(8),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(9),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(10),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(11),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \s_bresp_acc_reg[1]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(0),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(1),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(2),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(3),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(4),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(5),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(6),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \out\(7),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
port (
s_axi_rvalid : out STD_LOGIC;
\skid_buffer_reg[0]_0\ : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
\aresetn_d_reg[1]_inv\ : in STD_LOGIC;
aclk : in STD_LOGIC;
\aresetn_d_reg[0]\ : in STD_LOGIC;
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_17_axic_register_slice";
end \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\;
architecture STRUCTURE of \design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\ is
signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC;
signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC;
signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC;
signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC;
signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC;
signal \m_valid_i_i_1__2_n_0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC;
signal \^skid_buffer_reg[0]_0\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC;
signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \cnt_read[4]_i_4\ : label is "soft_lutpair84";
attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair102";
attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair101";
attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair100";
attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair99";
attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair98";
attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair97";
attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair96";
attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair95";
attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair94";
attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair107";
attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair93";
attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair92";
attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair91";
attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair90";
attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair89";
attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair88";
attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair87";
attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair86";
attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair85";
attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair106";
attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair105";
attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair104";
attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair103";
attribute SOFT_HLUTNM of \s_ready_i_i_1__2\ : label is "soft_lutpair84";
begin
s_axi_rvalid <= \^s_axi_rvalid\;
\skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\;
\cnt_read[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^skid_buffer_reg[0]_0\,
I1 => \cnt_read_reg[4]_rep__0\,
O => \cnt_read_reg[2]_rep__0\
);
\m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[0]\,
O => \m_payload_i[0]_i_1__2_n_0\
);
\m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[10]\,
O => \m_payload_i[10]_i_1__2_n_0\
);
\m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[11]\,
O => \m_payload_i[11]_i_1__2_n_0\
);
\m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[12]\,
O => \m_payload_i[12]_i_1__2_n_0\
);
\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(13),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[13]\,
O => \m_payload_i[13]_i_1__2_n_0\
);
\m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(14),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[14]\,
O => \m_payload_i[14]_i_1__1_n_0\
);
\m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(15),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[15]\,
O => \m_payload_i[15]_i_1__1_n_0\
);
\m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(16),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[16]\,
O => \m_payload_i[16]_i_1__1_n_0\
);
\m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(17),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[17]\,
O => \m_payload_i[17]_i_1__1_n_0\
);
\m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(18),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[18]\,
O => \m_payload_i[18]_i_1__1_n_0\
);
\m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(19),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[19]\,
O => \m_payload_i[19]_i_1__1_n_0\
);
\m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[1]\,
O => \m_payload_i[1]_i_1__2_n_0\
);
\m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(20),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[20]\,
O => \m_payload_i[20]_i_1__1_n_0\
);
\m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(21),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[21]\,
O => \m_payload_i[21]_i_1__1_n_0\
);
\m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(22),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[22]\,
O => \m_payload_i[22]_i_1__1_n_0\
);
\m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(23),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[23]\,
O => \m_payload_i[23]_i_1__1_n_0\
);
\m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(24),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[24]\,
O => \m_payload_i[24]_i_1__1_n_0\
);
\m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(25),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[25]\,
O => \m_payload_i[25]_i_1__1_n_0\
);
\m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(26),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[26]\,
O => \m_payload_i[26]_i_1__1_n_0\
);
\m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(27),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[27]\,
O => \m_payload_i[27]_i_1__1_n_0\
);
\m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(28),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[28]\,
O => \m_payload_i[28]_i_1__1_n_0\
);
\m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(29),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[29]\,
O => \m_payload_i[29]_i_1__1_n_0\
);
\m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[2]\,
O => \m_payload_i[2]_i_1__2_n_0\
);
\m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(30),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[30]\,
O => \m_payload_i[30]_i_1__1_n_0\
);
\m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(31),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[31]\,
O => \m_payload_i[31]_i_1__1_n_0\
);
\m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(32),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[32]\,
O => \m_payload_i[32]_i_1__1_n_0\
);
\m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(33),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[33]\,
O => \m_payload_i[33]_i_1__1_n_0\
);
\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(0),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[34]\,
O => \m_payload_i[34]_i_1__1_n_0\
);
\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(1),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[35]\,
O => \m_payload_i[35]_i_1__1_n_0\
);
\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(2),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[36]\,
O => \m_payload_i[36]_i_1__1_n_0\
);
\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[37]\,
O => \m_payload_i[37]_i_1_n_0\
);
\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[38]\,
O => \m_payload_i[38]_i_1__1_n_0\
);
\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[39]\,
O => \m_payload_i[39]_i_1__1_n_0\
);
\m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(3),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[3]\,
O => \m_payload_i[3]_i_1__2_n_0\
);
\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[40]\,
O => \m_payload_i[40]_i_1_n_0\
);
\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[41]\,
O => \m_payload_i[41]_i_1_n_0\
);
\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[42]\,
O => \m_payload_i[42]_i_1_n_0\
);
\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[43]\,
O => \m_payload_i[43]_i_1_n_0\
);
\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(10),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[44]\,
O => \m_payload_i[44]_i_1__1_n_0\
);
\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(11),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[45]\,
O => \m_payload_i[45]_i_1__1_n_0\
);
\m_payload_i[46]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
O => p_1_in
);
\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => r_push_r_reg(12),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[46]\,
O => \m_payload_i[46]_i_2_n_0\
);
\m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(4),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[4]\,
O => \m_payload_i[4]_i_1__2_n_0\
);
\m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(5),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[5]\,
O => \m_payload_i[5]_i_1__2_n_0\
);
\m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(6),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[6]\,
O => \m_payload_i[6]_i_1__2_n_0\
);
\m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(7),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[7]\,
O => \m_payload_i[7]_i_1__2_n_0\
);
\m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(8),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[8]\,
O => \m_payload_i[8]_i_1__2_n_0\
);
\m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \cnt_read_reg[4]\(9),
I1 => \^skid_buffer_reg[0]_0\,
I2 => \skid_buffer_reg_n_0_[9]\,
O => \m_payload_i[9]_i_1__2_n_0\
);
\m_payload_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[0]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(0),
R => '0'
);
\m_payload_i_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[10]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(10),
R => '0'
);
\m_payload_i_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[11]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(11),
R => '0'
);
\m_payload_i_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[12]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(12),
R => '0'
);
\m_payload_i_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[13]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(13),
R => '0'
);
\m_payload_i_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[14]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(14),
R => '0'
);
\m_payload_i_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[15]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(15),
R => '0'
);
\m_payload_i_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[16]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(16),
R => '0'
);
\m_payload_i_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[17]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(17),
R => '0'
);
\m_payload_i_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[18]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(18),
R => '0'
);
\m_payload_i_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[19]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(19),
R => '0'
);
\m_payload_i_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[1]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(1),
R => '0'
);
\m_payload_i_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[20]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(20),
R => '0'
);
\m_payload_i_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[21]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(21),
R => '0'
);
\m_payload_i_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[22]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(22),
R => '0'
);
\m_payload_i_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[23]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(23),
R => '0'
);
\m_payload_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[24]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(24),
R => '0'
);
\m_payload_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[25]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(25),
R => '0'
);
\m_payload_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[26]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(26),
R => '0'
);
\m_payload_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[27]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(27),
R => '0'
);
\m_payload_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[28]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(28),
R => '0'
);
\m_payload_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[29]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(29),
R => '0'
);
\m_payload_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[2]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(2),
R => '0'
);
\m_payload_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[30]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(30),
R => '0'
);
\m_payload_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[31]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(31),
R => '0'
);
\m_payload_i_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[32]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(32),
R => '0'
);
\m_payload_i_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[33]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(33),
R => '0'
);
\m_payload_i_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[34]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(34),
R => '0'
);
\m_payload_i_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[35]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(35),
R => '0'
);
\m_payload_i_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[36]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(36),
R => '0'
);
\m_payload_i_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[37]_i_1_n_0\,
Q => \s_axi_rid[11]\(37),
R => '0'
);
\m_payload_i_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[38]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(38),
R => '0'
);
\m_payload_i_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[39]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(39),
R => '0'
);
\m_payload_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[3]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(3),
R => '0'
);
\m_payload_i_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[40]_i_1_n_0\,
Q => \s_axi_rid[11]\(40),
R => '0'
);
\m_payload_i_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[41]_i_1_n_0\,
Q => \s_axi_rid[11]\(41),
R => '0'
);
\m_payload_i_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[42]_i_1_n_0\,
Q => \s_axi_rid[11]\(42),
R => '0'
);
\m_payload_i_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[43]_i_1_n_0\,
Q => \s_axi_rid[11]\(43),
R => '0'
);
\m_payload_i_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[44]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(44),
R => '0'
);
\m_payload_i_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[45]_i_1__1_n_0\,
Q => \s_axi_rid[11]\(45),
R => '0'
);
\m_payload_i_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[46]_i_2_n_0\,
Q => \s_axi_rid[11]\(46),
R => '0'
);
\m_payload_i_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[4]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(4),
R => '0'
);
\m_payload_i_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[5]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(5),
R => '0'
);
\m_payload_i_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[6]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(6),
R => '0'
);
\m_payload_i_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[7]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(7),
R => '0'
);
\m_payload_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[8]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(8),
R => '0'
);
\m_payload_i_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => p_1_in,
D => \m_payload_i[9]_i_1__2_n_0\,
Q => \s_axi_rid[11]\(9),
R => '0'
);
\m_valid_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"4FFF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => \cnt_read_reg[4]_rep__0\,
I3 => \^skid_buffer_reg[0]_0\,
O => \m_valid_i_i_1__2_n_0\
);
m_valid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \m_valid_i_i_1__2_n_0\,
Q => \^s_axi_rvalid\,
R => \aresetn_d_reg[1]_inv\
);
\s_ready_i_i_1__2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F8FF"
)
port map (
I0 => \cnt_read_reg[4]_rep__0\,
I1 => \^skid_buffer_reg[0]_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \s_ready_i_i_1__2_n_0\
);
s_ready_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => \s_ready_i_i_1__2_n_0\,
Q => \^skid_buffer_reg[0]_0\,
R => \aresetn_d_reg[0]\
);
\skid_buffer_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(0),
Q => \skid_buffer_reg_n_0_[0]\,
R => '0'
);
\skid_buffer_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(10),
Q => \skid_buffer_reg_n_0_[10]\,
R => '0'
);
\skid_buffer_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(11),
Q => \skid_buffer_reg_n_0_[11]\,
R => '0'
);
\skid_buffer_reg[12]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(12),
Q => \skid_buffer_reg_n_0_[12]\,
R => '0'
);
\skid_buffer_reg[13]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(13),
Q => \skid_buffer_reg_n_0_[13]\,
R => '0'
);
\skid_buffer_reg[14]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(14),
Q => \skid_buffer_reg_n_0_[14]\,
R => '0'
);
\skid_buffer_reg[15]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(15),
Q => \skid_buffer_reg_n_0_[15]\,
R => '0'
);
\skid_buffer_reg[16]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(16),
Q => \skid_buffer_reg_n_0_[16]\,
R => '0'
);
\skid_buffer_reg[17]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(17),
Q => \skid_buffer_reg_n_0_[17]\,
R => '0'
);
\skid_buffer_reg[18]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(18),
Q => \skid_buffer_reg_n_0_[18]\,
R => '0'
);
\skid_buffer_reg[19]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(19),
Q => \skid_buffer_reg_n_0_[19]\,
R => '0'
);
\skid_buffer_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(1),
Q => \skid_buffer_reg_n_0_[1]\,
R => '0'
);
\skid_buffer_reg[20]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(20),
Q => \skid_buffer_reg_n_0_[20]\,
R => '0'
);
\skid_buffer_reg[21]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(21),
Q => \skid_buffer_reg_n_0_[21]\,
R => '0'
);
\skid_buffer_reg[22]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(22),
Q => \skid_buffer_reg_n_0_[22]\,
R => '0'
);
\skid_buffer_reg[23]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(23),
Q => \skid_buffer_reg_n_0_[23]\,
R => '0'
);
\skid_buffer_reg[24]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(24),
Q => \skid_buffer_reg_n_0_[24]\,
R => '0'
);
\skid_buffer_reg[25]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(25),
Q => \skid_buffer_reg_n_0_[25]\,
R => '0'
);
\skid_buffer_reg[26]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(26),
Q => \skid_buffer_reg_n_0_[26]\,
R => '0'
);
\skid_buffer_reg[27]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(27),
Q => \skid_buffer_reg_n_0_[27]\,
R => '0'
);
\skid_buffer_reg[28]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(28),
Q => \skid_buffer_reg_n_0_[28]\,
R => '0'
);
\skid_buffer_reg[29]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(29),
Q => \skid_buffer_reg_n_0_[29]\,
R => '0'
);
\skid_buffer_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(2),
Q => \skid_buffer_reg_n_0_[2]\,
R => '0'
);
\skid_buffer_reg[30]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(30),
Q => \skid_buffer_reg_n_0_[30]\,
R => '0'
);
\skid_buffer_reg[31]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(31),
Q => \skid_buffer_reg_n_0_[31]\,
R => '0'
);
\skid_buffer_reg[32]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(32),
Q => \skid_buffer_reg_n_0_[32]\,
R => '0'
);
\skid_buffer_reg[33]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(33),
Q => \skid_buffer_reg_n_0_[33]\,
R => '0'
);
\skid_buffer_reg[34]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(0),
Q => \skid_buffer_reg_n_0_[34]\,
R => '0'
);
\skid_buffer_reg[35]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(1),
Q => \skid_buffer_reg_n_0_[35]\,
R => '0'
);
\skid_buffer_reg[36]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(2),
Q => \skid_buffer_reg_n_0_[36]\,
R => '0'
);
\skid_buffer_reg[37]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(3),
Q => \skid_buffer_reg_n_0_[37]\,
R => '0'
);
\skid_buffer_reg[38]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(4),
Q => \skid_buffer_reg_n_0_[38]\,
R => '0'
);
\skid_buffer_reg[39]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(5),
Q => \skid_buffer_reg_n_0_[39]\,
R => '0'
);
\skid_buffer_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(3),
Q => \skid_buffer_reg_n_0_[3]\,
R => '0'
);
\skid_buffer_reg[40]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(6),
Q => \skid_buffer_reg_n_0_[40]\,
R => '0'
);
\skid_buffer_reg[41]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(7),
Q => \skid_buffer_reg_n_0_[41]\,
R => '0'
);
\skid_buffer_reg[42]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(8),
Q => \skid_buffer_reg_n_0_[42]\,
R => '0'
);
\skid_buffer_reg[43]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(9),
Q => \skid_buffer_reg_n_0_[43]\,
R => '0'
);
\skid_buffer_reg[44]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(10),
Q => \skid_buffer_reg_n_0_[44]\,
R => '0'
);
\skid_buffer_reg[45]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(11),
Q => \skid_buffer_reg_n_0_[45]\,
R => '0'
);
\skid_buffer_reg[46]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => r_push_r_reg(12),
Q => \skid_buffer_reg_n_0_[46]\,
R => '0'
);
\skid_buffer_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(4),
Q => \skid_buffer_reg_n_0_[4]\,
R => '0'
);
\skid_buffer_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(5),
Q => \skid_buffer_reg_n_0_[5]\,
R => '0'
);
\skid_buffer_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(6),
Q => \skid_buffer_reg_n_0_[6]\,
R => '0'
);
\skid_buffer_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(7),
Q => \skid_buffer_reg_n_0_[7]\,
R => '0'
);
\skid_buffer_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(8),
Q => \skid_buffer_reg_n_0_[8]\,
R => '0'
);
\skid_buffer_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => \^skid_buffer_reg[0]_0\,
D => \cnt_read_reg[4]\(9),
Q => \skid_buffer_reg_n_0_[9]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
port (
si_rs_bvalid : out STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : out STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
\skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
areset_d1 : in STD_LOGIC;
aclk : in STD_LOGIC;
b_push : in STD_LOGIC;
si_rs_bready : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel is
signal bid_fifo_0_n_3 : STD_LOGIC;
signal bid_fifo_0_n_5 : STD_LOGIC;
signal \bresp_cnt[7]_i_6_n_0\ : STD_LOGIC;
signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal bresp_push : STD_LOGIC;
signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mhandshake : STD_LOGIC;
signal mhandshake_r : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s_bresp_acc0 : STD_LOGIC;
signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC;
signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC;
signal shandshake : STD_LOGIC;
signal shandshake_r : STD_LOGIC;
signal \^si_rs_bvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair125";
attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair123";
attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair124";
attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair124";
begin
si_rs_bvalid <= \^si_rs_bvalid\;
bid_fifo_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
SR(0) => s_bresp_acc0,
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0),
bresp_push => bresp_push,
bvalid_i_reg => bid_fifo_0_n_5,
bvalid_i_reg_0 => \^si_rs_bvalid\,
\cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0_0\ => \cnt_read_reg[1]_rep__0\,
\in\(15 downto 0) => \in\(15 downto 0),
mhandshake_r => mhandshake_r,
\out\(11 downto 0) => \out\(11 downto 0),
shandshake_r => shandshake_r,
si_rs_bready => si_rs_bready
);
\bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \bresp_cnt_reg__0\(0),
O => p_0_in(0)
);
\bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(1),
I1 => \bresp_cnt_reg__0\(0),
O => p_0_in(1)
);
\bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(2),
I1 => \bresp_cnt_reg__0\(0),
I2 => \bresp_cnt_reg__0\(1),
O => p_0_in(2)
);
\bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \bresp_cnt_reg__0\(3),
I1 => \bresp_cnt_reg__0\(1),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(2),
O => p_0_in(3)
);
\bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(4),
I1 => \bresp_cnt_reg__0\(2),
I2 => \bresp_cnt_reg__0\(0),
I3 => \bresp_cnt_reg__0\(1),
I4 => \bresp_cnt_reg__0\(3),
O => p_0_in(4)
);
\bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => p_0_in(5)
);
\bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \bresp_cnt_reg__0\(6),
I1 => \bresp_cnt[7]_i_6_n_0\,
O => p_0_in(6)
);
\bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \bresp_cnt_reg__0\(7),
I1 => \bresp_cnt[7]_i_6_n_0\,
I2 => \bresp_cnt_reg__0\(6),
O => p_0_in(7)
);
\bresp_cnt[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \bresp_cnt_reg__0\(5),
I1 => \bresp_cnt_reg__0\(3),
I2 => \bresp_cnt_reg__0\(1),
I3 => \bresp_cnt_reg__0\(0),
I4 => \bresp_cnt_reg__0\(2),
I5 => \bresp_cnt_reg__0\(4),
O => \bresp_cnt[7]_i_6_n_0\
);
\bresp_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(0),
Q => \bresp_cnt_reg__0\(0),
R => s_bresp_acc0
);
\bresp_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(1),
Q => \bresp_cnt_reg__0\(1),
R => s_bresp_acc0
);
\bresp_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(2),
Q => \bresp_cnt_reg__0\(2),
R => s_bresp_acc0
);
\bresp_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(3),
Q => \bresp_cnt_reg__0\(3),
R => s_bresp_acc0
);
\bresp_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(4),
Q => \bresp_cnt_reg__0\(4),
R => s_bresp_acc0
);
\bresp_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(5),
Q => \bresp_cnt_reg__0\(5),
R => s_bresp_acc0
);
\bresp_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(6),
Q => \bresp_cnt_reg__0\(6),
R => s_bresp_acc0
);
\bresp_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => mhandshake_r,
D => p_0_in(7),
Q => \bresp_cnt_reg__0\(7),
R => s_bresp_acc0
);
bresp_fifo_0: entity work.\design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized0\
port map (
D(0) => bid_fifo_0_n_3,
Q(1 downto 0) => cnt_read(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(1) => \s_bresp_acc_reg_n_0_[1]\,
\in\(0) => \s_bresp_acc_reg_n_0_[0]\,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
mhandshake => mhandshake,
mhandshake_r => mhandshake_r,
sel => bresp_push,
shandshake_r => shandshake_r,
\skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0)
);
bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => bid_fifo_0_n_5,
Q => \^si_rs_bvalid\,
R => '0'
);
mhandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => mhandshake,
Q => mhandshake_r,
R => areset_d1
);
\s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000EACEAAAA"
)
port map (
I0 => \s_bresp_acc_reg_n_0_[0]\,
I1 => m_axi_bresp(0),
I2 => m_axi_bresp(1),
I3 => \s_bresp_acc_reg_n_0_[1]\,
I4 => mhandshake,
I5 => s_bresp_acc0,
O => \s_bresp_acc[0]_i_1_n_0\
);
\s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00EC"
)
port map (
I0 => m_axi_bresp(1),
I1 => \s_bresp_acc_reg_n_0_[1]\,
I2 => mhandshake,
I3 => s_bresp_acc0,
O => \s_bresp_acc[1]_i_1_n_0\
);
\s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[0]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[0]\,
R => '0'
);
\s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \s_bresp_acc[1]_i_1_n_0\,
Q => \s_bresp_acc_reg_n_0_[1]\,
R => '0'
);
shandshake_r_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^si_rs_bvalid\,
I1 => si_rs_bready,
O => shandshake
);
shandshake_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => shandshake,
Q => shandshake_r,
R => areset_d1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
port (
next_pending_r_reg : out STD_LOGIC;
wrap_next_pending : out STD_LOGIC;
sel_first_reg_0 : out STD_LOGIC;
sel_first_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
\axlen_cnt_reg[2]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
incr_next_pending : in STD_LOGIC;
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
\m_payload_i_reg[39]\ : in STD_LOGIC;
\m_payload_i_reg[39]_0\ : in STD_LOGIC;
sel_first_reg_1 : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\m_payload_i_reg[47]_1\ : in STD_LOGIC;
\next\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[0]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_16 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
begin
incr_cmd_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd
port map (
E(0) => E(0),
Q(0) => Q(0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[0]_0\ => sel_first_0,
\axaddr_incr_reg[11]_0\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_14,
\axlen_cnt_reg[2]_0\ => \axlen_cnt_reg[2]\,
incr_next_pending => incr_next_pending,
\m_axi_awaddr[11]\ => incr_cmd_0_n_15,
\m_axi_awaddr[5]\ => incr_cmd_0_n_16,
\m_payload_i_reg[46]\(9 downto 8) => \m_payload_i_reg[47]\(18 downto 17),
\m_payload_i_reg[46]\(7 downto 5) => \m_payload_i_reg[47]\(14 downto 12),
\m_payload_i_reg[46]\(4) => \m_payload_i_reg[47]\(5),
\m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\next\ => \next\,
next_pending_r_reg_0 => next_pending_r_reg,
sel_first_reg_0 => sel_first_reg_1,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(0) => \state_reg[1]_0\(0)
);
\memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => \m_payload_i_reg[47]\(15),
I2 => s_axburst_eq0,
O => \state_reg[1]_rep\
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]\,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \m_payload_i_reg[39]_0\,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
wrap_cmd_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
aclk => aclk,
\axaddr_incr_reg[11]\(10) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(9) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(8) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_10,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_11,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_12,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_13,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_14,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[47]\(19 downto 15),
\m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[47]\(13 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_1\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
sel_first_reg_0 => sel_first,
sel_first_reg_1 => sel_first_reg_2,
sel_first_reg_2 => incr_cmd_0_n_15,
sel_first_reg_3 => incr_cmd_0_n_16,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => \state_reg[0]\(0),
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
port (
sel_first_reg_0 : out STD_LOGIC;
sel_first : out STD_LOGIC;
sel_first_reg_1 : out STD_LOGIC;
\axlen_cnt_reg[0]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
r_rlast : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
sel_first_i : in STD_LOGIC;
sel_first_reg_2 : in STD_LOGIC;
sel_first_reg_3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 19 downto 0 );
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
si_rs_arvalid : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
\state_reg[1]_rep\ : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[0]_rep_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 );
\state_reg[1]_0\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 );
sel_first_reg_4 : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_17_b2s_cmd_translator";
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1 is
signal incr_cmd_0_n_10 : STD_LOGIC;
signal incr_cmd_0_n_11 : STD_LOGIC;
signal incr_cmd_0_n_12 : STD_LOGIC;
signal incr_cmd_0_n_13 : STD_LOGIC;
signal incr_cmd_0_n_14 : STD_LOGIC;
signal incr_cmd_0_n_15 : STD_LOGIC;
signal incr_cmd_0_n_3 : STD_LOGIC;
signal incr_cmd_0_n_4 : STD_LOGIC;
signal incr_cmd_0_n_5 : STD_LOGIC;
signal incr_cmd_0_n_6 : STD_LOGIC;
signal incr_cmd_0_n_7 : STD_LOGIC;
signal incr_cmd_0_n_8 : STD_LOGIC;
signal incr_cmd_0_n_9 : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal s_axburst_eq0 : STD_LOGIC;
signal s_axburst_eq1 : STD_LOGIC;
signal wrap_cmd_0_n_6 : STD_LOGIC;
signal wrap_cmd_0_n_7 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair17";
begin
incr_cmd_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_incr_cmd_2
port map (
E(0) => E(0),
O(3 downto 0) => O(3 downto 0),
Q(10 downto 8) => Q(18 downto 16),
Q(7 downto 5) => Q(14 downto 12),
Q(4) => Q(5),
Q(3 downto 0) => Q(3 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_incr_reg[0]_0\ => sel_first,
\axaddr_incr_reg[11]_0\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]_0\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]_0\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]_0\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]_0\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]_0\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]_0\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]_0\(0) => incr_cmd_0_n_10,
\axlen_cnt_reg[0]_0\ => \axlen_cnt_reg[0]\,
incr_next_pending => incr_next_pending,
\m_axi_araddr[11]\ => incr_cmd_0_n_11,
\m_axi_araddr[1]\ => incr_cmd_0_n_15,
\m_axi_araddr[2]\ => incr_cmd_0_n_14,
\m_axi_araddr[3]\ => incr_cmd_0_n_13,
\m_axi_araddr[5]\ => incr_cmd_0_n_12,
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
sel_first_reg_0 => sel_first_reg_2,
sel_first_reg_1(0) => sel_first_reg_4(0),
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\ => \state_reg[1]_0\,
\state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
r_rlast_r_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"1D"
)
port map (
I0 => s_axburst_eq0,
I1 => Q(15),
I2 => s_axburst_eq1,
O => r_rlast
);
s_axburst_eq0_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_6,
Q => s_axburst_eq0,
R => '0'
);
s_axburst_eq1_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => wrap_cmd_0_n_7,
Q => s_axburst_eq1,
R => '0'
);
sel_first_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => sel_first_i,
Q => sel_first_reg_0,
R => '0'
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => s_axburst_eq1,
I1 => Q(15),
I2 => s_axburst_eq0,
O => \state_reg[0]_rep\
);
wrap_cmd_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wrap_cmd_3
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => E(0),
Q(18 downto 14) => Q(19 downto 15),
Q(13 downto 0) => Q(13 downto 0),
aclk => aclk,
\axaddr_incr_reg[11]\(7) => incr_cmd_0_n_3,
\axaddr_incr_reg[11]\(6) => incr_cmd_0_n_4,
\axaddr_incr_reg[11]\(5) => incr_cmd_0_n_5,
\axaddr_incr_reg[11]\(4) => incr_cmd_0_n_6,
\axaddr_incr_reg[11]\(3) => incr_cmd_0_n_7,
\axaddr_incr_reg[11]\(2) => incr_cmd_0_n_8,
\axaddr_incr_reg[11]\(1) => incr_cmd_0_n_9,
\axaddr_incr_reg[11]\(0) => incr_cmd_0_n_10,
\axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_2\ => \axaddr_offset_r_reg[3]_1\,
incr_next_pending => incr_next_pending,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
m_valid_i_reg(0) => m_valid_i_reg(0),
s_axburst_eq0_reg => wrap_cmd_0_n_6,
s_axburst_eq1_reg => wrap_cmd_0_n_7,
sel_first_i => sel_first_i,
sel_first_reg_0 => sel_first_reg_1,
sel_first_reg_1 => sel_first_reg_3,
sel_first_reg_2 => incr_cmd_0_n_11,
sel_first_reg_3 => incr_cmd_0_n_12,
sel_first_reg_4 => incr_cmd_0_n_13,
sel_first_reg_5 => incr_cmd_0_n_14,
sel_first_reg_6 => incr_cmd_0_n_15,
si_rs_arvalid => si_rs_arvalid,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
port (
m_valid_i_reg : out STD_LOGIC;
\state_reg[1]_rep\ : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
\out\ : out STD_LOGIC_VECTOR ( 33 downto 0 );
\skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 );
\state_reg[1]_rep_0\ : in STD_LOGIC;
aclk : in STD_LOGIC;
r_rlast : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
si_rs_rready : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
areset_d1 : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 11 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel is
signal \^m_valid_i_reg\ : STD_LOGIC;
signal r_push_r : STD_LOGIC;
signal rd_data_fifo_0_n_0 : STD_LOGIC;
signal rd_data_fifo_0_n_1 : STD_LOGIC;
signal rd_data_fifo_0_n_2 : STD_LOGIC;
signal rd_data_fifo_0_n_4 : STD_LOGIC;
signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 );
begin
m_valid_i_reg <= \^m_valid_i_reg\;
\r_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(0),
Q => trans_in(1),
R => '0'
);
\r_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(10),
Q => trans_in(11),
R => '0'
);
\r_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(11),
Q => trans_in(12),
R => '0'
);
\r_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(1),
Q => trans_in(2),
R => '0'
);
\r_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(2),
Q => trans_in(3),
R => '0'
);
\r_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(3),
Q => trans_in(4),
R => '0'
);
\r_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(4),
Q => trans_in(5),
R => '0'
);
\r_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(5),
Q => trans_in(6),
R => '0'
);
\r_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(6),
Q => trans_in(7),
R => '0'
);
\r_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(7),
Q => trans_in(8),
R => '0'
);
\r_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(8),
Q => trans_in(9),
R => '0'
);
\r_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => D(9),
Q => trans_in(10),
R => '0'
);
r_push_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => \state_reg[1]_rep_0\,
Q => r_push_r,
R => '0'
);
r_rlast_r_reg: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => r_rlast,
Q => trans_in(0),
R => '0'
);
rd_data_fifo_0: entity work.\design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized1\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\,
\cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_1,
\cnt_read_reg[4]_rep__2_2\ => rd_data_fifo_0_n_2,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
\out\(33 downto 0) => \out\(33 downto 0),
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\state_reg[1]_rep\ => rd_data_fifo_0_n_4
);
transaction_fifo_0: entity work.\design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_simple_fifo__parameterized2\
port map (
aclk => aclk,
areset_d1 => areset_d1,
\cnt_read_reg[0]_rep__3\ => rd_data_fifo_0_n_2,
\cnt_read_reg[0]_rep__3_0\ => rd_data_fifo_0_n_4,
\cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0,
\cnt_read_reg[4]_rep__2\ => rd_data_fifo_0_n_1,
\in\(12 downto 0) => trans_in(12 downto 0),
m_valid_i_reg => \^m_valid_i_reg\,
r_push_r => r_push_r,
s_ready_i_reg => s_ready_i_reg,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
port (
s_axi_awready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
si_rs_awvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
si_rs_bready : out STD_LOGIC;
si_rs_arvalid : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
si_rs_rready : out STD_LOGIC;
\axlen_cnt_reg[3]\ : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 54 downto 0 );
\axlen_cnt_reg[3]_0\ : out STD_LOGIC;
\s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 54 downto 0 );
axaddr_incr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
O : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]\ : out STD_LOGIC;
axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]\ : out STD_LOGIC;
next_pending_r_reg : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[2]_0\ : out STD_LOGIC;
axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
\axaddr_offset_r_reg[2]_0\ : out STD_LOGIC;
next_pending_r_reg_0 : out STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : out STD_LOGIC;
\wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC;
s_ready_i0 : in STD_LOGIC;
m_valid_i0 : in STD_LOGIC;
aresetn : in STD_LOGIC;
\state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\cnt_read_reg[4]_rep__0\ : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_2\ : in STD_LOGIC;
\state_reg[0]_rep\ : in STD_LOGIC;
\state_reg[1]_rep_0\ : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
b_push : in STD_LOGIC;
\wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\state_reg[1]_rep_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[1]_0\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_2\ : in STD_LOGIC;
\axaddr_offset_r_reg[2]_4\ : in STD_LOGIC;
\state_reg[0]_rep_0\ : in STD_LOGIC;
\state_reg[1]_rep_2\ : in STD_LOGIC;
si_rs_bvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
\out\ : in STD_LOGIC_VECTOR ( 11 downto 0 );
\s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 );
\cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_1_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice;
architecture STRUCTURE of design_1_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice is
signal \ar.ar_pipe_n_2\ : STD_LOGIC;
signal \aw.aw_pipe_n_1\ : STD_LOGIC;
signal \aw.aw_pipe_n_90\ : STD_LOGIC;
begin
\ar.ar_pipe\: entity work.design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice
port map (
O(3 downto 0) => O(3 downto 0),
Q(54 downto 0) => \s_arid_r_reg[11]\(54 downto 0),
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[0]_0\ => \aw.aw_pipe_n_90\,
\axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0),
\axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0),
axaddr_offset_0(1 downto 0) => axaddr_offset_0(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset_0(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]_0\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_3\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_4\,
\axaddr_offset_r_reg[3]\ => si_rs_arvalid,
\axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0),
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_2\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\,
\m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
m_valid_i0 => m_valid_i0,
m_valid_i_reg_0 => \ar.ar_pipe_n_2\,
m_valid_i_reg_1(0) => m_valid_i_reg(0),
next_pending_r_reg => next_pending_r_reg_0,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_ready_i0 => s_ready_i0,
\state_reg[0]_rep\ => \state_reg[0]_rep_0\,
\state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep_1\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_2\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]_0\,
\wrap_cnt_r_reg[3]\(1 downto 0) => \wrap_cnt_r_reg[3]_0\(1 downto 0),
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]_0\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_2\(3 downto 0)
);
\aw.aw_pipe\: entity work.design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice_0
port map (
D(1 downto 0) => D(1 downto 0),
E(0) => E(0),
Q(54 downto 0) => Q(54 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
aresetn => aresetn,
\aresetn_d_reg[1]_inv\ => \aw.aw_pipe_n_90\,
\aresetn_d_reg[1]_inv_0\ => \ar.ar_pipe_n_2\,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1),
\axaddr_offset_r_reg[0]\ => axaddr_offset(0),
\axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[2]\,
\axaddr_offset_r_reg[2]_0\(0) => \axaddr_offset_r_reg[2]_1\(0),
\axaddr_offset_r_reg[2]_1\ => \axaddr_offset_r_reg[2]_2\,
\axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\,
b_push => b_push,
m_valid_i_reg_0 => si_rs_awvalid,
next_pending_r_reg => next_pending_r_reg,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_ready_i_reg_0 => \aw.aw_pipe_n_1\,
\state_reg[0]_rep\ => \state_reg[0]_rep\,
\state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0),
\state_reg[1]_rep\ => \state_reg[1]_rep\,
\state_reg[1]_rep_0\ => \state_reg[1]_rep_0\,
\wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0),
\wrap_cnt_r_reg[2]\ => \wrap_cnt_r_reg[2]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[1]\ => \wrap_second_len_r_reg[1]\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0)
);
\b.b_pipe\: entity work.\design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized1\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\out\(11 downto 0) => \out\(11 downto 0),
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0),
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[0]_0\ => si_rs_bready
);
\r.r_pipe\: entity work.\design_1_auto_pc_0_axi_register_slice_v2_1_17_axic_register_slice__parameterized2\
port map (
aclk => aclk,
\aresetn_d_reg[0]\ => \aw.aw_pipe_n_1\,
\aresetn_d_reg[1]_inv\ => \ar.ar_pipe_n_2\,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
\cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0),
\cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\,
r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0),
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\skid_buffer_reg[0]_0\ => si_rs_rready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
r_push_r_reg : out STD_LOGIC;
\m_payload_i_reg[0]\ : out STD_LOGIC;
\m_payload_i_reg[0]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_valid_i0 : out STD_LOGIC;
s_ready_i0 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
r_rlast : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arready : in STD_LOGIC;
si_rs_arvalid : in STD_LOGIC;
\cnt_read_reg[2]_rep__0\ : in STD_LOGIC;
\m_payload_i_reg[47]\ : in STD_LOGIC;
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_ready_i_reg : in STD_LOGIC;
O : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel is
signal ar_cmd_fsm_0_n_0 : STD_LOGIC;
signal ar_cmd_fsm_0_n_10 : STD_LOGIC;
signal ar_cmd_fsm_0_n_16 : STD_LOGIC;
signal ar_cmd_fsm_0_n_6 : STD_LOGIC;
signal ar_cmd_fsm_0_n_8 : STD_LOGIC;
signal ar_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_10 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_3 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal \^m_payload_i_reg[0]_0\ : STD_LOGIC;
signal \^r_push_r_reg\ : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\;
r_push_r_reg <= \^r_push_r_reg\;
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
ar_cmd_fsm_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_rd_cmd_fsm
port map (
D(0) => ar_cmd_fsm_0_n_6,
E(0) => ar_cmd_fsm_0_n_8,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\axaddr_incr_reg[0]\(0) => ar_cmd_fsm_0_n_16,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0,
\axlen_cnt_reg[7]_0\ => cmd_translator_0_n_3,
\cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \m_payload_i_reg[0]\,
\m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\,
\m_payload_i_reg[0]_1\(0) => E(0),
\m_payload_i_reg[46]\(0) => Q(18),
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
m_valid_i0 => m_valid_i0,
r_push_r_reg => \^r_push_r_reg\,
s_axburst_eq1_reg => cmd_translator_0_n_10,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => s_ready_i0,
s_ready_i_reg => s_ready_i_reg,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => ar_cmd_fsm_0_n_9,
sel_first_reg_0 => ar_cmd_fsm_0_n_10,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => cmd_translator_0_n_0,
si_rs_arvalid => si_rs_arvalid,
\wrap_boundary_axaddr_r_reg[11]\(0) => \^wrap_boundary_axaddr_r_reg[11]\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator_1
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
O(3 downto 0) => O(3 downto 0),
Q(19 downto 0) => Q(19 downto 0),
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[0]\ => cmd_translator_0_n_3,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
\m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\m_payload_i_reg[7]\(3 downto 0) => \m_payload_i_reg[7]\(3 downto 0),
m_valid_i_reg(0) => ar_cmd_fsm_0_n_8,
r_rlast => r_rlast,
sel_first => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_0,
sel_first_reg_1 => cmd_translator_0_n_2,
sel_first_reg_2 => ar_cmd_fsm_0_n_10,
sel_first_reg_3 => ar_cmd_fsm_0_n_9,
sel_first_reg_4(0) => ar_cmd_fsm_0_n_16,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\ => cmd_translator_0_n_10,
\state_reg[0]_rep_0\ => \^m_payload_i_reg[0]_0\,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\ => ar_cmd_fsm_0_n_0,
\state_reg[1]_rep\ => \^r_push_r_reg\,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(3 downto 1) => D(2 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[3]_1\(2 downto 1) => \wrap_second_len_r_reg[3]_0\(1 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_6
);
\s_arid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \r_arid_r_reg[11]\(0),
R => '0'
);
\s_arid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \r_arid_r_reg[11]\(10),
R => '0'
);
\s_arid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \r_arid_r_reg[11]\(11),
R => '0'
);
\s_arid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \r_arid_r_reg[11]\(1),
R => '0'
);
\s_arid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \r_arid_r_reg[11]\(2),
R => '0'
);
\s_arid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \r_arid_r_reg[11]\(3),
R => '0'
);
\s_arid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \r_arid_r_reg[11]\(4),
R => '0'
);
\s_arid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \r_arid_r_reg[11]\(5),
R => '0'
);
\s_arid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \r_arid_r_reg[11]\(6),
R => '0'
);
\s_arid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \r_arid_r_reg[11]\(7),
R => '0'
);
\s_arid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \r_arid_r_reg[11]\(8),
R => '0'
);
\s_arid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \r_arid_r_reg[11]\(9),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
port (
\wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC;
\state_reg[0]_rep\ : out STD_LOGIC_VECTOR ( 1 downto 0 );
\axlen_cnt_reg[7]\ : out STD_LOGIC;
\axlen_cnt_reg[7]_0\ : out STD_LOGIC;
\wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
\wrap_cnt_r_reg[3]\ : out STD_LOGIC;
\wrap_cnt_r_reg[3]_0\ : out STD_LOGIC;
\axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_cnt_r_reg[3]_1\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
b_push : out STD_LOGIC;
m_axi_awvalid : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
\in\ : out STD_LOGIC_VECTOR ( 15 downto 0 );
S : out STD_LOGIC_VECTOR ( 3 downto 0 );
aclk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 31 downto 0 );
si_rs_awvalid : in STD_LOGIC;
\cnt_read_reg[1]_rep__0\ : in STD_LOGIC;
\cnt_read_reg[0]_rep__0\ : in STD_LOGIC;
m_axi_awready : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\axaddr_offset_r_reg[3]_0\ : in STD_LOGIC;
axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 );
\axaddr_offset_r_reg[3]_1\ : in STD_LOGIC;
\wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
\m_payload_i_reg[47]\ : in STD_LOGIC;
\m_payload_i_reg[47]_0\ : in STD_LOGIC;
areset_d1 : in STD_LOGIC;
\m_payload_i_reg[5]\ : in STD_LOGIC;
axaddr_incr : in STD_LOGIC_VECTOR ( 11 downto 0 );
\m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 )
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel is
signal aw_cmd_fsm_0_n_12 : STD_LOGIC;
signal aw_cmd_fsm_0_n_14 : STD_LOGIC;
signal aw_cmd_fsm_0_n_15 : STD_LOGIC;
signal aw_cmd_fsm_0_n_16 : STD_LOGIC;
signal aw_cmd_fsm_0_n_2 : STD_LOGIC;
signal aw_cmd_fsm_0_n_8 : STD_LOGIC;
signal aw_cmd_fsm_0_n_9 : STD_LOGIC;
signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal cmd_translator_0_n_0 : STD_LOGIC;
signal cmd_translator_0_n_12 : STD_LOGIC;
signal cmd_translator_0_n_2 : STD_LOGIC;
signal cmd_translator_0_n_5 : STD_LOGIC;
signal cmd_translator_0_n_6 : STD_LOGIC;
signal \incr_cmd_0/sel_first\ : STD_LOGIC;
signal incr_next_pending : STD_LOGIC;
signal \next\ : STD_LOGIC;
signal sel_first : STD_LOGIC;
signal sel_first_i : STD_LOGIC;
signal \^state_reg[0]_rep\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC;
signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 2 to 2 );
signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 0 to 0 );
signal wrap_next_pending : STD_LOGIC;
signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\axaddr_offset_r_reg[2]\(0) <= \^axaddr_offset_r_reg[2]\(0);
\axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0);
\state_reg[0]_rep\(1 downto 0) <= \^state_reg[0]_rep\(1 downto 0);
\wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\;
\wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0);
aw_cmd_fsm_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_wr_cmd_fsm
port map (
D(0) => wrap_cnt(0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(0) => axaddr_offset(0),
\axaddr_offset_r_reg[2]\(0) => \^axaddr_offset_r_reg[2]\(0),
\axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\,
\axaddr_offset_r_reg[3]_0\(1) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_wrap_reg[11]\(0) => aw_cmd_fsm_0_n_14,
\axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_8,
\axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_5,
\axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\,
\axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\,
\axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_2,
\axlen_cnt_reg[7]_2\ => cmd_translator_0_n_6,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\,
\cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\,
incr_next_pending => incr_next_pending,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[0]\(0) => E(0),
\m_payload_i_reg[46]\(2) => Q(18),
\m_payload_i_reg[46]\(1 downto 0) => Q(16 downto 15),
\m_payload_i_reg[47]\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[5]\ => \m_payload_i_reg[5]\,
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
s_axburst_eq0_reg => aw_cmd_fsm_0_n_9,
s_axburst_eq1_reg => aw_cmd_fsm_0_n_12,
s_axburst_eq1_reg_0 => cmd_translator_0_n_12,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg => aw_cmd_fsm_0_n_15,
sel_first_reg_0 => aw_cmd_fsm_0_n_16,
sel_first_reg_1 => cmd_translator_0_n_2,
si_rs_awvalid => si_rs_awvalid,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]_0\,
\wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[0]\(0) => \wrap_cmd_0/wrap_second_len\(0),
\wrap_second_len_r_reg[0]_0\(0) => \^wrap_second_len_r_reg[3]\(0)
);
cmd_translator_0: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_cmd_translator
port map (
D(3) => axaddr_offset(2),
D(2) => \^axaddr_offset_r_reg[2]\(0),
D(1 downto 0) => axaddr_offset(1 downto 0),
E(0) => \^wrap_boundary_axaddr_r_reg[11]\,
Q(0) => cmd_translator_0_n_5,
S(3 downto 0) => S(3 downto 0),
aclk => aclk,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_offset_r_reg[3]\(3) => \^axaddr_offset_r_reg[3]\(2),
\axaddr_offset_r_reg[3]\(2) => \wrap_cmd_0/axaddr_offset_r\(2),
\axaddr_offset_r_reg[3]\(1 downto 0) => \^axaddr_offset_r_reg[3]\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_1\,
\axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\,
\axlen_cnt_reg[2]\ => cmd_translator_0_n_6,
incr_next_pending => incr_next_pending,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
\m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_9,
\m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_12,
\m_payload_i_reg[47]\(19 downto 0) => Q(19 downto 0),
\m_payload_i_reg[47]_0\ => \m_payload_i_reg[47]\,
\m_payload_i_reg[47]_1\ => \m_payload_i_reg[47]_0\,
\m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0),
\next\ => \next\,
next_pending_r_reg => cmd_translator_0_n_0,
sel_first => sel_first,
sel_first_0 => \incr_cmd_0/sel_first\,
sel_first_i => sel_first_i,
sel_first_reg_0 => cmd_translator_0_n_2,
sel_first_reg_1 => aw_cmd_fsm_0_n_16,
sel_first_reg_2 => aw_cmd_fsm_0_n_15,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]\(0) => aw_cmd_fsm_0_n_14,
\state_reg[0]_rep\ => aw_cmd_fsm_0_n_2,
\state_reg[1]\(1 downto 0) => \^state_reg[0]_rep\(1 downto 0),
\state_reg[1]_0\(0) => aw_cmd_fsm_0_n_8,
\state_reg[1]_rep\ => cmd_translator_0_n_12,
\wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\,
wrap_next_pending => wrap_next_pending,
\wrap_second_len_r_reg[3]\(3 downto 0) => \^wrap_second_len_r_reg[3]\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0),
\wrap_second_len_r_reg[3]_0\(0) => wrap_cnt(0),
\wrap_second_len_r_reg[3]_1\(3 downto 1) => \wrap_second_len_r_reg[3]_0\(2 downto 0),
\wrap_second_len_r_reg[3]_1\(0) => \wrap_cmd_0/wrap_second_len\(0)
);
\s_awid_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(20),
Q => \in\(4),
R => '0'
);
\s_awid_r_reg[10]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(30),
Q => \in\(14),
R => '0'
);
\s_awid_r_reg[11]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(31),
Q => \in\(15),
R => '0'
);
\s_awid_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(21),
Q => \in\(5),
R => '0'
);
\s_awid_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(22),
Q => \in\(6),
R => '0'
);
\s_awid_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(23),
Q => \in\(7),
R => '0'
);
\s_awid_r_reg[4]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(24),
Q => \in\(8),
R => '0'
);
\s_awid_r_reg[5]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(25),
Q => \in\(9),
R => '0'
);
\s_awid_r_reg[6]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(26),
Q => \in\(10),
R => '0'
);
\s_awid_r_reg[7]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(27),
Q => \in\(11),
R => '0'
);
\s_awid_r_reg[8]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(28),
Q => \in\(12),
R => '0'
);
\s_awid_r_reg[9]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(29),
Q => \in\(13),
R => '0'
);
\s_awlen_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(16),
Q => \in\(0),
R => '0'
);
\s_awlen_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(17),
Q => \in\(1),
R => '0'
);
\s_awlen_r_reg[2]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(18),
Q => \in\(2),
R => '0'
);
\s_awlen_r_reg[3]\: unisim.vcomponents.FDRE
port map (
C => aclk,
CE => '1',
D => Q(19),
Q => \in\(3),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_arready : out STD_LOGIC;
\m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 );
\s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arvalid : out STD_LOGIC;
m_axi_rready : out STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awready : in STD_LOGIC;
m_axi_arready : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
aclk : in STD_LOGIC;
\in\ : in STD_LOGIC_VECTOR ( 33 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awvalid : in STD_LOGIC;
m_axi_bvalid : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
aresetn : in STD_LOGIC
);
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s is
signal \RD.ar_channel_0_n_0\ : STD_LOGIC;
signal \RD.ar_channel_0_n_10\ : STD_LOGIC;
signal \RD.ar_channel_0_n_11\ : STD_LOGIC;
signal \RD.ar_channel_0_n_16\ : STD_LOGIC;
signal \RD.ar_channel_0_n_3\ : STD_LOGIC;
signal \RD.ar_channel_0_n_4\ : STD_LOGIC;
signal \RD.ar_channel_0_n_46\ : STD_LOGIC;
signal \RD.ar_channel_0_n_47\ : STD_LOGIC;
signal \RD.ar_channel_0_n_48\ : STD_LOGIC;
signal \RD.ar_channel_0_n_49\ : STD_LOGIC;
signal \RD.ar_channel_0_n_5\ : STD_LOGIC;
signal \RD.r_channel_0_n_0\ : STD_LOGIC;
signal \RD.r_channel_0_n_1\ : STD_LOGIC;
signal SI_REG_n_132 : STD_LOGIC;
signal SI_REG_n_133 : STD_LOGIC;
signal SI_REG_n_134 : STD_LOGIC;
signal SI_REG_n_135 : STD_LOGIC;
signal SI_REG_n_136 : STD_LOGIC;
signal SI_REG_n_137 : STD_LOGIC;
signal SI_REG_n_138 : STD_LOGIC;
signal SI_REG_n_139 : STD_LOGIC;
signal SI_REG_n_140 : STD_LOGIC;
signal SI_REG_n_141 : STD_LOGIC;
signal SI_REG_n_142 : STD_LOGIC;
signal SI_REG_n_143 : STD_LOGIC;
signal SI_REG_n_149 : STD_LOGIC;
signal SI_REG_n_153 : STD_LOGIC;
signal SI_REG_n_154 : STD_LOGIC;
signal SI_REG_n_155 : STD_LOGIC;
signal SI_REG_n_156 : STD_LOGIC;
signal SI_REG_n_157 : STD_LOGIC;
signal SI_REG_n_161 : STD_LOGIC;
signal SI_REG_n_165 : STD_LOGIC;
signal SI_REG_n_166 : STD_LOGIC;
signal SI_REG_n_167 : STD_LOGIC;
signal SI_REG_n_168 : STD_LOGIC;
signal SI_REG_n_169 : STD_LOGIC;
signal SI_REG_n_170 : STD_LOGIC;
signal SI_REG_n_171 : STD_LOGIC;
signal SI_REG_n_172 : STD_LOGIC;
signal SI_REG_n_173 : STD_LOGIC;
signal SI_REG_n_174 : STD_LOGIC;
signal SI_REG_n_175 : STD_LOGIC;
signal SI_REG_n_176 : STD_LOGIC;
signal SI_REG_n_177 : STD_LOGIC;
signal SI_REG_n_178 : STD_LOGIC;
signal SI_REG_n_179 : STD_LOGIC;
signal SI_REG_n_180 : STD_LOGIC;
signal SI_REG_n_181 : STD_LOGIC;
signal SI_REG_n_182 : STD_LOGIC;
signal SI_REG_n_26 : STD_LOGIC;
signal SI_REG_n_64 : STD_LOGIC;
signal SI_REG_n_8 : STD_LOGIC;
signal SI_REG_n_82 : STD_LOGIC;
signal \WR.aw_channel_0_n_0\ : STD_LOGIC;
signal \WR.aw_channel_0_n_10\ : STD_LOGIC;
signal \WR.aw_channel_0_n_15\ : STD_LOGIC;
signal \WR.aw_channel_0_n_3\ : STD_LOGIC;
signal \WR.aw_channel_0_n_4\ : STD_LOGIC;
signal \WR.aw_channel_0_n_47\ : STD_LOGIC;
signal \WR.aw_channel_0_n_48\ : STD_LOGIC;
signal \WR.aw_channel_0_n_49\ : STD_LOGIC;
signal \WR.aw_channel_0_n_50\ : STD_LOGIC;
signal \WR.aw_channel_0_n_9\ : STD_LOGIC;
signal \WR.b_channel_0_n_1\ : STD_LOGIC;
signal \WR.b_channel_0_n_2\ : STD_LOGIC;
signal \ar.ar_pipe/m_valid_i0\ : STD_LOGIC;
signal \ar.ar_pipe/p_1_in\ : STD_LOGIC;
signal \ar.ar_pipe/s_ready_i0\ : STD_LOGIC;
signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal areset_d1 : STD_LOGIC;
signal areset_d1_i_1_n_0 : STD_LOGIC;
signal \aw.aw_pipe/p_1_in\ : STD_LOGIC;
signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axaddr_incr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal b_push : STD_LOGIC;
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal r_rlast : STD_LOGIC;
signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_arvalid : STD_LOGIC;
signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 );
signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 );
signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_awvalid : STD_LOGIC;
signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_bready : STD_LOGIC;
signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal si_rs_bvalid : STD_LOGIC;
signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 );
signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 );
signal si_rs_rlast : STD_LOGIC;
signal si_rs_rready : STD_LOGIC;
signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 );
signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
s_axi_arready <= \^s_axi_arready\;
\RD.ar_channel_0\: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_ar_channel
port map (
D(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
E(0) => \ar.ar_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(31 downto 20) => s_arid(11 downto 0),
Q(19 downto 16) => si_rs_arlen(3 downto 0),
Q(15) => si_rs_arburst(1),
Q(14) => SI_REG_n_82,
Q(13 downto 12) => si_rs_arsize(1 downto 0),
Q(11 downto 0) => si_rs_araddr(11 downto 0),
S(3) => \RD.ar_channel_0_n_46\,
S(2) => \RD.ar_channel_0_n_47\,
S(1) => \RD.ar_channel_0_n_48\,
S(0) => \RD.ar_channel_0_n_49\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_161,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_165,
\cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_1\,
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
\m_payload_i_reg[0]\ => \RD.ar_channel_0_n_4\,
\m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_5\,
\m_payload_i_reg[3]\(3) => SI_REG_n_132,
\m_payload_i_reg[3]\(2) => SI_REG_n_133,
\m_payload_i_reg[3]\(1) => SI_REG_n_134,
\m_payload_i_reg[3]\(0) => SI_REG_n_135,
\m_payload_i_reg[47]\ => SI_REG_n_64,
\m_payload_i_reg[47]_0\ => SI_REG_n_167,
\m_payload_i_reg[5]\ => SI_REG_n_166,
\m_payload_i_reg[6]\(6) => SI_REG_n_176,
\m_payload_i_reg[6]\(5) => SI_REG_n_177,
\m_payload_i_reg[6]\(4) => SI_REG_n_178,
\m_payload_i_reg[6]\(3) => SI_REG_n_179,
\m_payload_i_reg[6]\(2) => SI_REG_n_180,
\m_payload_i_reg[6]\(1) => SI_REG_n_181,
\m_payload_i_reg[6]\(0) => SI_REG_n_182,
\m_payload_i_reg[7]\(3) => SI_REG_n_136,
\m_payload_i_reg[7]\(2) => SI_REG_n_137,
\m_payload_i_reg[7]\(1) => SI_REG_n_138,
\m_payload_i_reg[7]\(0) => SI_REG_n_139,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
\r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0),
r_push_r_reg => \RD.ar_channel_0_n_3\,
r_rlast => r_rlast,
s_axi_arvalid => s_axi_arvalid,
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
s_ready_i_reg => \^s_axi_arready\,
si_rs_arvalid => si_rs_arvalid,
\state_reg[0]_rep\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \RD.ar_channel_0_n_10\,
\wrap_cnt_r_reg[3]_0\ => \RD.ar_channel_0_n_11\,
\wrap_cnt_r_reg[3]_1\ => \RD.ar_channel_0_n_16\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_157
);
\RD.r_channel_0\: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_r_channel
port map (
D(11 downto 0) => s_arid_r(11 downto 0),
aclk => aclk,
areset_d1 => areset_d1,
\in\(33 downto 0) => \in\(33 downto 0),
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_valid_i_reg => \RD.r_channel_0_n_0\,
\out\(33 downto 32) => si_rs_rresp(1 downto 0),
\out\(31 downto 0) => si_rs_rdata(31 downto 0),
r_rlast => r_rlast,
s_ready_i_reg => SI_REG_n_168,
si_rs_rready => si_rs_rready,
\skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0),
\skid_buffer_reg[46]\(0) => si_rs_rlast,
\state_reg[1]_rep\ => \RD.r_channel_0_n_1\,
\state_reg[1]_rep_0\ => \RD.ar_channel_0_n_3\
);
SI_REG: entity work.design_1_auto_pc_0_axi_register_slice_v2_1_17_axi_register_slice
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
O(3) => SI_REG_n_140,
O(2) => SI_REG_n_141,
O(1) => SI_REG_n_142,
O(0) => SI_REG_n_143,
Q(54 downto 43) => s_awid(11 downto 0),
Q(42 downto 39) => si_rs_awlen(3 downto 0),
Q(38) => si_rs_awburst(1),
Q(37) => SI_REG_n_26,
Q(36 downto 35) => si_rs_awsize(1 downto 0),
Q(34 downto 12) => Q(22 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
aresetn => aresetn,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
\axaddr_incr_reg[3]\(3) => SI_REG_n_132,
\axaddr_incr_reg[3]\(2) => SI_REG_n_133,
\axaddr_incr_reg[3]\(1) => SI_REG_n_134,
\axaddr_incr_reg[3]\(0) => SI_REG_n_135,
\axaddr_incr_reg[7]\(3) => SI_REG_n_136,
\axaddr_incr_reg[7]\(2) => SI_REG_n_137,
\axaddr_incr_reg[7]\(1) => SI_REG_n_138,
\axaddr_incr_reg[7]\(0) => SI_REG_n_139,
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
axaddr_offset_0(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3),
axaddr_offset_0(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(1 downto 0),
\axaddr_offset_r_reg[2]\ => SI_REG_n_154,
\axaddr_offset_r_reg[2]_0\ => SI_REG_n_166,
\axaddr_offset_r_reg[2]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[2]_2\ => \WR.aw_channel_0_n_15\,
\axaddr_offset_r_reg[2]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2),
\axaddr_offset_r_reg[2]_4\ => \RD.ar_channel_0_n_16\,
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\axaddr_offset_r_reg[3]_1\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3),
\axaddr_offset_r_reg[3]_1\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(1 downto 0),
\axaddr_offset_r_reg[3]_2\ => \RD.ar_channel_0_n_11\,
\axlen_cnt_reg[3]\ => SI_REG_n_8,
\axlen_cnt_reg[3]_0\ => SI_REG_n_64,
b_push => b_push,
\cnt_read_reg[2]_rep__0\ => SI_REG_n_168,
\cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0),
\cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0),
\cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_0\,
\m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_46\,
\m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_47\,
\m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_48\,
\m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_49\,
m_valid_i0 => \ar.ar_pipe/m_valid_i0\,
m_valid_i_reg(0) => \ar.ar_pipe/p_1_in\,
next_pending_r_reg => SI_REG_n_155,
next_pending_r_reg_0 => SI_REG_n_167,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0),
r_push_r_reg(0) => si_rs_rlast,
\s_arid_r_reg[11]\(54 downto 43) => s_arid(11 downto 0),
\s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0),
\s_arid_r_reg[11]\(38) => si_rs_arburst(1),
\s_arid_r_reg[11]\(37) => SI_REG_n_82,
\s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0),
\s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0),
\s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0),
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => \^s_axi_arready\,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
\s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0),
s_ready_i0 => \ar.ar_pipe/s_ready_i0\,
si_rs_arvalid => si_rs_arvalid,
si_rs_awvalid => si_rs_awvalid,
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
si_rs_rready => si_rs_rready,
\state_reg[0]_rep\ => \WR.aw_channel_0_n_4\,
\state_reg[0]_rep_0\ => \RD.ar_channel_0_n_5\,
\state_reg[1]\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_0\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0),
\state_reg[1]_rep\ => \WR.aw_channel_0_n_0\,
\state_reg[1]_rep_0\ => \WR.aw_channel_0_n_3\,
\state_reg[1]_rep_1\ => \RD.ar_channel_0_n_0\,
\state_reg[1]_rep_2\ => \RD.ar_channel_0_n_4\,
\wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_169,
\wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_170,
\wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_171,
\wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_172,
\wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_173,
\wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_174,
\wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_175,
\wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_176,
\wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_177,
\wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_178,
\wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_179,
\wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_180,
\wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_181,
\wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_182,
\wrap_cnt_r_reg[2]\ => SI_REG_n_149,
\wrap_cnt_r_reg[2]_0\ => SI_REG_n_161,
\wrap_cnt_r_reg[3]\ => SI_REG_n_153,
\wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_156,
\wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_157,
\wrap_cnt_r_reg[3]_1\ => SI_REG_n_165,
\wrap_second_len_r_reg[1]\ => \WR.aw_channel_0_n_9\,
\wrap_second_len_r_reg[1]_0\ => \RD.ar_channel_0_n_10\,
\wrap_second_len_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 1),
\wrap_second_len_r_reg[3]_1\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0)
);
\WR.aw_channel_0\: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_aw_channel
port map (
D(1 downto 0) => wrap_cnt(3 downto 2),
E(0) => \aw.aw_pipe/p_1_in\,
Q(31 downto 20) => s_awid(11 downto 0),
Q(19 downto 16) => si_rs_awlen(3 downto 0),
Q(15) => si_rs_awburst(1),
Q(14) => SI_REG_n_26,
Q(13 downto 12) => si_rs_awsize(1 downto 0),
Q(11 downto 0) => si_rs_awaddr(11 downto 0),
S(3) => \WR.aw_channel_0_n_47\,
S(2) => \WR.aw_channel_0_n_48\,
S(1) => \WR.aw_channel_0_n_49\,
S(0) => \WR.aw_channel_0_n_50\,
aclk => aclk,
areset_d1 => areset_d1,
axaddr_incr(11 downto 0) => axaddr_incr(11 downto 0),
axaddr_offset(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3),
axaddr_offset(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(1 downto 0),
\axaddr_offset_r_reg[2]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2),
\axaddr_offset_r_reg[3]\(2) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3),
\axaddr_offset_r_reg[3]\(1 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(1 downto 0),
\axaddr_offset_r_reg[3]_0\ => SI_REG_n_149,
\axaddr_offset_r_reg[3]_1\ => SI_REG_n_153,
\axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_3\,
\axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_4\,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
\m_payload_i_reg[47]\ => SI_REG_n_8,
\m_payload_i_reg[47]_0\ => SI_REG_n_155,
\m_payload_i_reg[5]\ => SI_REG_n_154,
\m_payload_i_reg[6]\(6) => SI_REG_n_169,
\m_payload_i_reg[6]\(5) => SI_REG_n_170,
\m_payload_i_reg[6]\(4) => SI_REG_n_171,
\m_payload_i_reg[6]\(3) => SI_REG_n_172,
\m_payload_i_reg[6]\(2) => SI_REG_n_173,
\m_payload_i_reg[6]\(1) => SI_REG_n_174,
\m_payload_i_reg[6]\(0) => SI_REG_n_175,
si_rs_awvalid => si_rs_awvalid,
\state_reg[0]_rep\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0),
\wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_0\,
\wrap_cnt_r_reg[3]\ => \WR.aw_channel_0_n_9\,
\wrap_cnt_r_reg[3]_0\ => \WR.aw_channel_0_n_10\,
\wrap_cnt_r_reg[3]_1\ => \WR.aw_channel_0_n_15\,
\wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 0),
\wrap_second_len_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 1)
);
\WR.b_channel_0\: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s_b_channel
port map (
aclk => aclk,
areset_d1 => areset_d1,
b_push => b_push,
\cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\,
\cnt_read_reg[1]_rep__0\ => \WR.b_channel_0_n_2\,
\in\(15 downto 4) => b_awid(11 downto 0),
\in\(3 downto 0) => b_awlen(3 downto 0),
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
\out\(11 downto 0) => si_rs_bid(11 downto 0),
si_rs_bready => si_rs_bready,
si_rs_bvalid => si_rs_bvalid,
\skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0)
);
areset_d1_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aresetn,
O => areset_d1_i_1_n_0
);
areset_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => '1',
D => areset_d1_i_1_n_0,
Q => areset_d1,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 2;
attribute P_DECERR : string;
attribute P_DECERR of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b11";
attribute P_INCR : string;
attribute P_INCR of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter : entity is "2'b10";
end design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter;
architecture STRUCTURE of design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \^m_axi_wready\ : STD_LOGIC;
signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_wvalid\ : STD_LOGIC;
begin
\^m_axi_wready\ <= m_axi_wready;
\^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0);
\^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0);
\^s_axi_wvalid\ <= s_axi_wvalid;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const1>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(11) <= \<const0>\;
m_axi_arid(10) <= \<const0>\;
m_axi_arid(9) <= \<const0>\;
m_axi_arid(8) <= \<const0>\;
m_axi_arid(7) <= \<const0>\;
m_axi_arid(6) <= \<const0>\;
m_axi_arid(5) <= \<const0>\;
m_axi_arid(4) <= \<const0>\;
m_axi_arid(3) <= \<const0>\;
m_axi_arid(2) <= \<const0>\;
m_axi_arid(1) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const1>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const1>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(11) <= \<const0>\;
m_axi_awid(10) <= \<const0>\;
m_axi_awid(9) <= \<const0>\;
m_axi_awid(8) <= \<const0>\;
m_axi_awid(7) <= \<const0>\;
m_axi_awid(6) <= \<const0>\;
m_axi_awid(5) <= \<const0>\;
m_axi_awid(4) <= \<const0>\;
m_axi_awid(3) <= \<const0>\;
m_axi_awid(2) <= \<const0>\;
m_axi_awid(1) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const1>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0);
m_axi_wid(11) <= \<const0>\;
m_axi_wid(10) <= \<const0>\;
m_axi_wid(9) <= \<const0>\;
m_axi_wid(8) <= \<const0>\;
m_axi_wid(7) <= \<const0>\;
m_axi_wid(6) <= \<const0>\;
m_axi_wid(5) <= \<const0>\;
m_axi_wid(4) <= \<const0>\;
m_axi_wid(3) <= \<const0>\;
m_axi_wid(2) <= \<const0>\;
m_axi_wid(1) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const1>\;
m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0);
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \^s_axi_wvalid\;
s_axi_buser(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_wready <= \^m_axi_wready\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_b2s
port map (
Q(22 downto 20) => m_axi_awprot(2 downto 0),
Q(19 downto 0) => m_axi_awaddr(31 downto 12),
aclk => aclk,
aresetn => aresetn,
\in\(33 downto 32) => m_axi_rresp(1 downto 0),
\in\(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0),
\m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0),
\m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12),
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_bvalid => m_axi_bvalid,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0),
s_axi_awvalid => s_axi_awvalid,
\s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0),
\s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
\s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0),
\s_axi_rid[11]\(34) => s_axi_rlast,
\s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0),
\s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of design_1_auto_pc_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of design_1_auto_pc_0 : entity is "design_1_auto_pc_0,axi_protocol_converter_v2_1_17_axi_protocol_converter,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of design_1_auto_pc_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of design_1_auto_pc_0 : entity is "axi_protocol_converter_v2_1_17_axi_protocol_converter,Vivado 2018.2";
end design_1_auto_pc_0;
architecture STRUCTURE of design_1_auto_pc_0 is
signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of inst : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of inst : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of inst : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of inst : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of inst : label is 32;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of inst : label is 12;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of inst : label is 1;
attribute C_AXI_SUPPORTS_READ : integer;
attribute C_AXI_SUPPORTS_READ of inst : label is 1;
attribute C_AXI_SUPPORTS_USER_SIGNALS : integer;
attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0;
attribute C_AXI_SUPPORTS_WRITE : integer;
attribute C_AXI_SUPPORTS_WRITE of inst : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of inst : label is 1;
attribute C_FAMILY : string;
attribute C_FAMILY of inst : label is "zynq";
attribute C_IGNORE_ID : integer;
attribute C_IGNORE_ID of inst : label is 0;
attribute C_M_AXI_PROTOCOL : integer;
attribute C_M_AXI_PROTOCOL of inst : label is 2;
attribute C_S_AXI_PROTOCOL : integer;
attribute C_S_AXI_PROTOCOL of inst : label is 1;
attribute C_TRANSLATION_MODE : integer;
attribute C_TRANSLATION_MODE of inst : label is 2;
attribute DowngradeIPIdentifiedWarnings of inst : label is "yes";
attribute P_AXI3 : integer;
attribute P_AXI3 of inst : label is 1;
attribute P_AXI4 : integer;
attribute P_AXI4 of inst : label is 0;
attribute P_AXILITE : integer;
attribute P_AXILITE of inst : label is 2;
attribute P_AXILITE_SIZE : string;
attribute P_AXILITE_SIZE of inst : label is "3'b010";
attribute P_CONVERSION : integer;
attribute P_CONVERSION of inst : label is 2;
attribute P_DECERR : string;
attribute P_DECERR of inst : label is "2'b11";
attribute P_INCR : string;
attribute P_INCR of inst : label is "2'b01";
attribute P_PROTECTION : integer;
attribute P_PROTECTION of inst : label is 1;
attribute P_SLVERR : string;
attribute P_SLVERR of inst : label is "2'b10";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 50000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN";
attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST";
attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 50000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 50000000, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
attribute X_INTERFACE_INFO of s_axi_arid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARID";
attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS";
attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
attribute X_INTERFACE_INFO of s_axi_awid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWID";
attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS";
attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
attribute X_INTERFACE_INFO of s_axi_bid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BID";
attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
attribute X_INTERFACE_INFO of s_axi_rid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RID";
attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
attribute X_INTERFACE_INFO of s_axi_wid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WID";
attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
begin
inst: entity work.design_1_auto_pc_0_axi_protocol_converter_v2_1_17_axi_protocol_converter
port map (
aclk => aclk,
aresetn => aresetn,
m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0),
m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => m_axi_arready,
m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => m_axi_arvalid,
m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0),
m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => m_axi_awready,
m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => m_axi_awvalid,
m_axi_bid(11 downto 0) => B"000000000000",
m_axi_bready => m_axi_bready,
m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0),
m_axi_buser(0) => '0',
m_axi_bvalid => m_axi_bvalid,
m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0),
m_axi_rid(11 downto 0) => B"000000000000",
m_axi_rlast => '1',
m_axi_rready => m_axi_rready,
m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0),
m_axi_ruser(0) => '0',
m_axi_rvalid => m_axi_rvalid,
m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0),
m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0),
m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED,
m_axi_wready => m_axi_wready,
m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0),
m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => m_axi_wvalid,
s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0),
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0),
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arregion(3 downto 0) => B"0000",
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_aruser(0) => '0',
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0),
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awregion(3 downto 0) => B"0000",
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awuser(0) => '0',
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wuser(0) => '0',
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
mit
|
elionne/easy_bitcoin_wallet
|
testbench_pw_string.vhdl
|
1
|
5734
|
library IEEE;
use IEEE.std_logic_1164.all;
entity testbench_pw_string is
end testbench_pw_string;
architecture testbench_arch_pw_string of testbench_pw_string is
signal clk : std_logic;
signal enable : std_logic;
signal push_pop : std_logic;
signal char : character;
signal pwd : string (1 to 5);
component pw_string
port (
char : in character;
pwd : out string;
enable: in std_logic;
push_pop : in std_logic;
clk : in std_logic
);
end component;
begin
final_string : pw_string port map (
char => char,
pwd => pwd,
enable => enable,
push_pop => push_pop,
clk => clk
);
process
begin
-- --------------------
clk <= transport '0';
push_pop <= transport '1';
enable <= transport '1';
char <= transport 'a';
-- --------------------
WAIT FOR 110 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
assert pwd(1) = 'a';
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(2) = 'a';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'b';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(3) = 'b';
enable <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'c';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(3) = 'b';
assert pwd(4) = nul;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'd';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
enable <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(4) = 'd' ;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'e';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(5) = 'e' ;
push_pop <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'f';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(5) = nul ;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'g';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
char <= transport 'h';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
char <= transport 'i';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(1) = nul ;
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
push_pop <= transport '1';
char <= transport 'j';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
assert pwd(1) = 'j' ;
char <= transport 'k';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
char <= transport 'l';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
char <= transport 'm';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '1';
-- --------------------
WAIT FOR 10 ns;
clk <= transport '0';
-- --------------------
WAIT;
end process;
end testbench_arch_pw_string;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_rst_ps7_0_49M_0/synth/gcd_zynq_snick_rst_ps7_0_49M_0.vhd
|
1
|
8154
|
-- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0_12;
USE proc_sys_reset_v5_0_12.proc_sys_reset;
ENTITY gcd_zynq_snick_rst_ps7_0_49M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END gcd_zynq_snick_rst_ps7_0_49M_0;
ARCHITECTURE gcd_zynq_snick_rst_ps7_0_49M_0_arch OF gcd_zynq_snick_rst_ps7_0_49M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF gcd_zynq_snick_rst_ps7_0_49M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF gcd_zynq_snick_rst_ps7_0_49M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2018.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF gcd_zynq_snick_rst_ps7_0_49M_0_arch : ARCHITECTURE IS "gcd_zynq_snick_rst_ps7_0_49M_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF gcd_zynq_snick_rst_ps7_0_49M_0_arch: ARCHITECTURE IS "gcd_zynq_snick_rst_ps7_0_49M_0,proc_sys_reset,{x_ipProduct=Vivado 2018.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_aresetn: SIGNAL IS "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF interconnect_aresetn: SIGNAL IS "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF peripheral_reset: SIGNAL IS "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF bus_struct_reset: SIGNAL IS "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_reset: SIGNAL IS "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF mb_debug_sys_rst: SIGNAL IS "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF aux_reset_in: SIGNAL IS "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF ext_reset_in: SIGNAL IS "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF slowest_sync_clk: SIGNAL IS "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END gcd_zynq_snick_rst_ps7_0_49M_0_arch;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado-hls/gcd/solution1/impl/ip/hdl/vhdl/gcd.vhd
|
3
|
12561
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.2
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity gcd is
generic (
C_S_AXI_GCD_BUS_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_GCD_BUS_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_gcd_bus_AWVALID : IN STD_LOGIC;
s_axi_gcd_bus_AWREADY : OUT STD_LOGIC;
s_axi_gcd_bus_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_ADDR_WIDTH-1 downto 0);
s_axi_gcd_bus_WVALID : IN STD_LOGIC;
s_axi_gcd_bus_WREADY : OUT STD_LOGIC;
s_axi_gcd_bus_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH-1 downto 0);
s_axi_gcd_bus_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH/8-1 downto 0);
s_axi_gcd_bus_ARVALID : IN STD_LOGIC;
s_axi_gcd_bus_ARREADY : OUT STD_LOGIC;
s_axi_gcd_bus_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_ADDR_WIDTH-1 downto 0);
s_axi_gcd_bus_RVALID : OUT STD_LOGIC;
s_axi_gcd_bus_RREADY : IN STD_LOGIC;
s_axi_gcd_bus_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_GCD_BUS_DATA_WIDTH-1 downto 0);
s_axi_gcd_bus_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_gcd_bus_BVALID : OUT STD_LOGIC;
s_axi_gcd_bus_BREADY : IN STD_LOGIC;
s_axi_gcd_bus_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of gcd is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"gcd,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=1,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=3.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.429000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=203,HLS_SYN_LUT=285,HLS_VERSION=2018_2}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (3 downto 0) := "0010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (3 downto 0) := "0100";
constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (3 downto 0) := "1000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal a : STD_LOGIC_VECTOR (15 downto 0);
signal b : STD_LOGIC_VECTOR (15 downto 0);
signal pResult_ap_vld : STD_LOGIC;
signal b_read_reg_102 : STD_LOGIC_VECTOR (15 downto 0);
signal a_read_reg_107 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_3_fu_72_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_3_reg_115 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal tmp_2_fu_66_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal a_assign_fu_78_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal a_assign_reg_121 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_fu_84_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_reg_126 : STD_LOGIC_VECTOR (15 downto 0);
signal b_assign_1_fu_90_p3 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_CS_fsm_state4 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none";
signal a_assign_1_fu_96_p3 : STD_LOGIC_VECTOR (15 downto 0);
signal p_s_reg_45 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal result_reg_56 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0);
component gcd_gcd_bus_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
a : OUT STD_LOGIC_VECTOR (15 downto 0);
b : OUT STD_LOGIC_VECTOR (15 downto 0);
pResult : IN STD_LOGIC_VECTOR (15 downto 0);
pResult_ap_vld : IN STD_LOGIC );
end component;
begin
gcd_gcd_bus_s_axi_U : component gcd_gcd_bus_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_GCD_BUS_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_GCD_BUS_DATA_WIDTH)
port map (
AWVALID => s_axi_gcd_bus_AWVALID,
AWREADY => s_axi_gcd_bus_AWREADY,
AWADDR => s_axi_gcd_bus_AWADDR,
WVALID => s_axi_gcd_bus_WVALID,
WREADY => s_axi_gcd_bus_WREADY,
WDATA => s_axi_gcd_bus_WDATA,
WSTRB => s_axi_gcd_bus_WSTRB,
ARVALID => s_axi_gcd_bus_ARVALID,
ARREADY => s_axi_gcd_bus_ARREADY,
ARADDR => s_axi_gcd_bus_ARADDR,
RVALID => s_axi_gcd_bus_RVALID,
RREADY => s_axi_gcd_bus_RREADY,
RDATA => s_axi_gcd_bus_RDATA,
RRESP => s_axi_gcd_bus_RRESP,
BVALID => s_axi_gcd_bus_BVALID,
BREADY => s_axi_gcd_bus_BREADY,
BRESP => s_axi_gcd_bus_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
a => a,
b => b,
pResult => p_s_reg_45,
pResult_ap_vld => pResult_ap_vld);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
p_s_reg_45_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
p_s_reg_45 <= b_assign_1_fu_90_p3;
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
p_s_reg_45 <= b_read_reg_102;
end if;
end if;
end process;
result_reg_56_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
result_reg_56 <= a_assign_1_fu_96_p3;
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
result_reg_56 <= a_read_reg_107;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_2_fu_66_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
a_assign_reg_121 <= a_assign_fu_78_p2;
b_assign_reg_126 <= b_assign_fu_84_p2;
tmp_3_reg_115 <= tmp_3_fu_72_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
a_read_reg_107 <= a;
b_read_reg_102 <= b;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when ap_ST_fsm_state3 =>
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_NS_fsm <= ap_ST_fsm_state1;
else
ap_NS_fsm <= ap_ST_fsm_state4;
end if;
when ap_ST_fsm_state4 =>
ap_NS_fsm <= ap_ST_fsm_state3;
when others =>
ap_NS_fsm <= "XXXX";
end case;
end process;
a_assign_1_fu_96_p3 <=
a_assign_reg_121 when (tmp_3_reg_115(0) = '1') else
result_reg_56;
a_assign_fu_78_p2 <= std_logic_vector(unsigned(result_reg_56) - unsigned(p_s_reg_45));
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_CS_fsm_state4 <= ap_CS_fsm(3);
ap_done_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
b_assign_1_fu_90_p3 <=
p_s_reg_45 when (tmp_3_reg_115(0) = '1') else
b_assign_reg_126;
b_assign_fu_84_p2 <= std_logic_vector(unsigned(p_s_reg_45) - unsigned(result_reg_56));
pResult_ap_vld_assign_proc : process(ap_CS_fsm_state3, tmp_2_fu_66_p2)
begin
if (((tmp_2_fu_66_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
pResult_ap_vld <= ap_const_logic_1;
else
pResult_ap_vld <= ap_const_logic_0;
end if;
end process;
tmp_2_fu_66_p2 <= "1" when (result_reg_56 = p_s_reg_45) else "0";
tmp_3_fu_72_p2 <= "1" when (signed(result_reg_56) > signed(p_s_reg_45)) else "0";
end behav;
|
mit
|
Mailaender/linguist
|
samples/VHDL/foo.vhd
|
91
|
217
|
-- VHDL example file
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port(a : in std_logic;
b : out std_logic);
end entity;
architecture rtl of inverter is
begin
b <= not a;
end architecture;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_processing_system7_0_0/gcd_zynq_snick_processing_system7_0_0_stub.vhdl
|
1
|
5969
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:45 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_processing_system7_0_0/gcd_zynq_snick_processing_system7_0_0_stub.vhdl
-- Design : gcd_zynq_snick_processing_system7_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity gcd_zynq_snick_processing_system7_0_0 is
Port (
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK3 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end gcd_zynq_snick_processing_system7_0_0;
architecture stub of gcd_zynq_snick_processing_system7_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "GPIO_I[63:0],GPIO_O[63:0],GPIO_T[63:0],M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],IRQ_F2P[0:0],FCLK_CLK0,FCLK_CLK1,FCLK_CLK2,FCLK_CLK3,FCLK_RESET0_N,FCLK_RESET1_N,FCLK_RESET2_N,FCLK_RESET3_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
begin
end;
|
mit
|
ls1intum/ArTEMiS
|
src/main/resources/templates/vhdl/solution/verzoegerung_tb.vhd
|
2
|
1374
|
library ieee;
use ieee.std_logic_1164.all;
entity verzoegerung_tb is
end verzoegerung_tb;
architecture behavior of verzoegerung_tb is
component verzoegerung
port(
CLK, START : in std_logic;
STOP : in std_logic; -- Aufgabe 2
ALARM : out std_logic
);
end component;
signal START : std_logic := '0';
signal STOP : std_logic := '0';
signal CLK : std_logic := '0';
signal ALARM : std_logic;
constant clk_period : time := 1 sec;
begin
uut: verzoegerung port map (START => START, STOP => STOP, CLK => CLK,
ALARM => ALARM
);
p0 :process
begin
CLK <= '0';
wait for clk_period/2;
CLK <= '1';
wait for clk_period/2;
end process;
p1: process
begin
wait for 2 * clk_period;
START <= '1';
wait for clk_period;
wait for clk_period;
wait for clk_period;
wait for clk_period;
wait for clk_period;
wait for clk_period;
START <= '0';
wait for clk_period;
START <= '1';
wait for clk_period;
wait for clk_period;
STOP <= '1';
wait for clk_period;
wait for clk_period;
wait for clk_period;
wait for clk_period;
end process;
end;
|
mit
|
varunnagpaal/Digital-Hardware-Modelling
|
xilinx-vivado/proj_pointer_basic_hls_ip_integ/proj_pointer_basic_hls_ip_integ.srcs/sources_1/bd/design_1/ipshared/f86a/hdl/proc_sys_reset_v5_0_vh_rfs.vhd
|
7
|
71590
|
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
-------------------------------------------------------------------------------
-- sequence - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: proc_sys_reset.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
-- -- proc_sys_reset.vhd
-- -- upcnt_n.vhd
-- -- lpf.vhd
-- -- sequence.vhd
-------------------------------------------------------------------------------
-- Filename: sequence.vhd
--
-- Description:
-- This file control the sequencing coming out of a reset.
-- The sequencing is as follows:
-- Bus_Struct_Reset comes out of reset first. Either when the
-- external or auxiliary reset goes inactive or 16 clocks
-- after a PPC Chip_Reset_Request, or 30 clocks after a PPC
-- System_Reset_Request.
-- Peripheral_Reset comes out of reset 16 clocks after
-- Bus_Struct_Reset.
-- The PPC resetcore, comes out of reset
-- 16 clocks after Peripheral_Reset.
-- The PPC resetchip and resetsystem come out of reset
-- at the same time as Bus_Struct_Reset.
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/12/01 -- First Release
-- LC Whittle 10/11/2004 -- Update for NCSim
-- rolandp 04/16/2007 -- v2.00a
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library unisim;
use unisim.vcomponents.all;
library proc_sys_reset_v5_0_12;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
--
-- Definition of Ports:
-- Lpf_reset -- Low Pass Filtered in
-- System_Reset_Req -- System Reset Request
-- Chip_Reset_Req -- Chip Reset Request
-- Slowest_Sync_Clk -- Clock
-- Bsr_out -- Bus Structure Reset out
-- Pr_out -- Peripheral Reset out
-- Core_out -- Core reset out
-- Chip_out -- Chip reset out
-- Sys_out -- System reset out
-- MB_out -- MB reset out
--
-------------------------------------------------------------------------------
entity sequence_psr is
port(
Lpf_reset : in std_logic;
-- System_Reset_Req : in std_logic;
-- Chip_Reset_Req : in std_logic;
Slowest_Sync_Clk : in std_logic;
Bsr_out : out std_logic;
Pr_out : out std_logic;
-- Core_out : out std_logic;
-- Chip_out : out std_logic;
-- Sys_out : out std_logic;
MB_out : out std_logic
);
end sequence_psr;
architecture imp of sequence_psr is
constant CLEAR : std_logic := '0';
constant BSR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "001100"; -- 12
constant BSR_END_SYS : std_logic_vector(5 downto 0) := "011001"; -- 25
constant PR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "011100"; -- 28
constant PR_END_SYS : std_logic_vector(5 downto 0) := "101001"; -- 41
constant CORE_END_LPF_CHIP : std_logic_vector(5 downto 0) := "101100"; -- 44
constant CORE_END_SYS : std_logic_vector(5 downto 0) := "111001"; -- 57
constant CHIP_END_LPF_CHIP : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP;
constant CHIP_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS;
constant SYS_END_LPF : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP;
constant SYS_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS;
signal bsr : std_logic := '1';
signal bsr_dec : std_logic_vector(2 downto 0) := (others => '0');
signal pr : std_logic := '1';
signal pr_dec : std_logic_vector(2 downto 0) := (others => '0');
signal Core : std_logic := '1';
signal core_dec : std_logic_vector(2 downto 0) := (others => '0');
signal Chip : std_logic := '0';
signal chip_dec : std_logic_vector(2 downto 0) := (others => '0');
signal Sys : std_logic := '0';
signal sys_dec : std_logic_vector(2 downto 0) := (others => '0');
signal chip_Reset_Req_d1 : std_logic := '0'; -- delayed Chip_Reset_Req
signal chip_Reset_Req_d2 : std_logic := '0'; -- delayed Chip_Reset_Req
signal chip_Reset_Req_d3 : std_logic := '0'; -- delayed Chip_Reset_Req
signal system_Reset_Req_d1 : std_logic := '0'; -- delayed System_Reset_Req
signal system_Reset_Req_d2 : std_logic := '0'; -- delayed System_Reset_Req
signal system_Reset_Req_d3 : std_logic := '0'; -- delayed System_Reset_Req
signal seq_cnt : std_logic_vector(5 downto 0);
signal seq_cnt_en : std_logic := '0';
signal seq_clr : std_logic := '0';
signal ris_edge : std_logic := '0';
signal sys_edge : std_logic := '0';
signal from_sys : std_logic;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
begin
Pr_out <= pr;
Bsr_out <= bsr;
MB_out <= core;
-- Core_out <= core;
-- Chip_out <= chip or sys;
-- Sys_out <= sys;
-------------------------------------------------------------------------------
-- This process remembers that the reset was caused be
-- System_Reset_Req
-------------------------------------------------------------------------------
SYS_FROM_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
--if Lpf_reset='1' or system_reset_req_d3='1' then
if (Lpf_reset = '1') then
from_sys <= '1';
--elsif Chip_Reset_Req_d3='1' then
-- from_sys <= '0';
elsif (Core = '0') then
from_sys <='0';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- This instantiates a counter to control the sequencing
-------------------------------------------------------------------------------
SEQ_COUNTER : entity proc_sys_reset_v5_0_12.UPCNT_N
generic map (C_SIZE => 6)
port map(
Data => "000000",
Cnt_en => seq_cnt_en,
Load => '0',
Clr => seq_clr,
Clk => Slowest_sync_clk,
Qout => seq_cnt
);
-------------------------------------------------------------------------------
-- SEQ_CNT_EN_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
-- count until all outputs are inactive
-------------------------------------------------------------------------------
SEQ_CNT_EN_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if (Lpf_reset='1' --or
--System_Reset_Req_d3='1' or
--Chip_Reset_Req_d3='1' or
--ris_edge = '1'
) then
seq_cnt_en <= '1';
elsif (Core='0') then -- Core always present and always last
seq_cnt_en <= '0';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- SEQ_CLR_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset to the sequence counter
-- Clear the counter on a rising edge of chip or system request or low pass
-- filter output
-------------------------------------------------------------------------------
SEQ_CLR_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if ris_edge = '1' or Lpf_reset = '1' then
if (Lpf_reset = '1') then
seq_clr <= '0';
else
seq_clr <= '1';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- This process defines the Peripheral_Reset output signal
-------------------------------------------------------------------------------
PR_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
--if ris_edge = '1' or Lpf_reset = '1' then
if (Lpf_reset = '1') then
pr <= '1';
elsif (pr_dec(2) = '1') then
pr <= '0';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- This process decodes the sequence counter for PR to use
-------------------------------------------------------------------------------
PR_DECODE_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if (
(seq_cnt(5 downto 3) = PR_END_LPF_CHIP(5 downto 3) and from_sys = '0')
or
(seq_cnt(5 downto 3) = PR_END_SYS(5 downto 3) and from_sys = '1')
) then
pr_dec(0) <= '1';
else
pr_dec(0) <= '0';
end if;
if (
(seq_cnt(2 downto 0) = PR_END_LPF_CHIP(2 downto 0) and from_sys = '0')
or
(seq_cnt(2 downto 0) = PR_END_SYS(2 downto 0) and from_sys = '1')
)then
pr_dec(1) <= '1';
else
pr_dec(1) <= '0';
end if;
pr_dec(2) <= pr_dec(1) and pr_dec(0);
end if;
end process;
-------------------------------------------------------------------------------
-- This process defines the Bus_Struct_Reset output signal
-------------------------------------------------------------------------------
BSR_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
--if ris_edge = '1' or Lpf_reset = '1' then
if (Lpf_reset = '1') then
bsr <= '1';
elsif (bsr_dec(2) = '1') then
bsr <= '0';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- This process decodes the sequence counter for BSR to use
-------------------------------------------------------------------------------
BSR_DECODE_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if (
(seq_cnt(5 downto 3) = BSR_END_LPF_CHIP(5 downto 3) and from_sys = '0')
or
(seq_cnt(5 downto 3) = BSR_END_SYS(5 downto 3) and from_sys = '1')
)then
bsr_dec(0) <= '1';
else
bsr_dec(0) <= '0';
end if;
if (
(seq_cnt(2 downto 0) = BSR_END_LPF_CHIP(2 downto 0) and from_sys = '0')
or
(seq_cnt(2 downto 0) = BSR_END_SYS(2 downto 0) and from_sys = '1')
)then
bsr_dec(1) <= '1';
else
bsr_dec(1) <= '0';
end if;
bsr_dec(2) <= bsr_dec(1) and bsr_dec(0);
end if;
end process;
-------------------------------------------------------------------------------
-- This process defines the Peripheral_Reset output signal
-------------------------------------------------------------------------------
CORE_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if ris_edge = '1' or Lpf_reset = '1' then
if (Lpf_reset = '1') then
core <= '1';
elsif (core_dec(2) = '1') then
core <= '0';
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- This process decodes the sequence counter for PR to use
-------------------------------------------------------------------------------
CORE_DECODE_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if (
(seq_cnt(5 downto 3) = CORE_END_LPF_CHIP(5 downto 3) and from_sys = '0')
or
(seq_cnt(5 downto 3) = CORE_END_SYS(5 downto 3) and from_sys = '1')
)then
core_dec(0) <= '1';
else
core_dec(0) <= '0';
end if;
if (
(seq_cnt(2 downto 0) = CORE_END_LPF_CHIP(2 downto 0) and from_sys = '0')
or
(seq_cnt(2 downto 0) = CORE_END_SYS(2 downto 0) and from_sys = '1')
)then
core_dec(1) <= '1';
else
core_dec(1) <= '0';
end if;
core_dec(2) <= core_dec(1) and core_dec(0);
end if;
end process;
---------------------------------------------------------------------------------
---- This process defines the Chip output signal
---------------------------------------------------------------------------------
-- CHIP_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- -- if ris_edge = '1' or Lpf_reset = '1' then
-- if Lpf_reset = '1' then
-- chip <= '1';
-- elsif chip_dec(2) = '1' then
-- chip <= '0';
-- end if;
-- end if;
-- end process;
--
---------------------------------------------------------------------------------
---- This process decodes the sequence counter for Chip to use
---- sys is overlapping the chip reset and thus no need to decode this here
---------------------------------------------------------------------------------
-- CHIP_DECODE_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if (seq_cnt(5 downto 2) = CHIP_END_LPF_CHIP(5 downto 2)) then
-- chip_dec(0) <= '1';
-- else
-- chip_dec(0) <= '0';
-- end if;
-- if (seq_cnt(1 downto 0) = CHIP_END_LPF_CHIP(1 downto 0)) then
-- chip_dec(1) <= '1';
-- else
-- chip_dec(1) <= '0';
-- end if;
-- chip_dec(2) <= chip_dec(1) and chip_dec(0);
-- end if;
-- end process;
---------------------------------------------------------------------------------
---- This process defines the Sys output signal
---------------------------------------------------------------------------------
-- SYS_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if sys_edge = '1' or Lpf_reset = '1' then
-- sys <= '1';
-- elsif sys_dec(2) = '1' then
-- sys <= '0';
-- end if;
-- end if;
-- end process;
--
---------------------------------------------------------------------------------
---- This process decodes the sequence counter for Sys to use
---------------------------------------------------------------------------------
-- SYS_DECODE_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if (seq_cnt(5 downto 3) = SYS_END_LPF(5 downto 3) and from_sys = '0') or
-- (seq_cnt(5 downto 3) = SYS_END_SYS(5 downto 3) and from_sys = '1') then
-- sys_dec(0) <= '1';
-- else
-- sys_dec(0) <= '0';
-- end if;
-- if (seq_cnt(2 downto 0) = SYS_END_LPF(2 downto 0) and from_sys = '0') or
-- (seq_cnt(2 downto 0) = SYS_END_SYS(2 downto 0) and from_sys = '1') then
-- sys_dec(1) <= '1';
-- else
-- sys_dec(1) <= '0';
-- end if;
-- sys_dec(2) <= sys_dec(1) and sys_dec(0);
-- end if;
-- end process;
--
---------------------------------------------------------------------------------
---- This process delays signals so the the edge can be detected and used
---------------------------------------------------------------------------------
-- DELAY_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- chip_reset_req_d1 <= Chip_Reset_Req ;
-- chip_reset_req_d2 <= chip_Reset_Req_d1 ;
-- chip_reset_req_d3 <= chip_Reset_Req_d2 ;
-- system_reset_req_d1 <= System_Reset_Req;
-- system_reset_req_d2 <= system_Reset_Req_d1;
-- system_reset_req_d3 <= system_Reset_Req_d2;
-- end if;
-- end process;
-------------------------------------------------------------------------------
-- This process creates a signal that goes high on the rising edge of either
-- Chip_Reset_Req or System_Reset_Req
-------------------------------------------------------------------------------
-- RIS_EDGE_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if (chip_reset_req_d3='0' and chip_Reset_Req_d2= '1') -- rising edge
-- or (system_reset_req_d3='0' and system_Reset_Req_d2='1') then
-- ris_edge <= '1';
-- else
-- ris_edge <='0';
-- end if;
-- end if;
-- end process;
-------------------------------------------------------------------------------
-- This process creates a signal that goes high on the rising edge of
-- System_Reset_Req
-------------------------------------------------------------------------------
-- SYS_EDGE_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- if (system_reset_req_d3='0' and system_reset_req_d2='1') then
-- sys_edge <= '1';
-- else
-- sys_edge <='0';
-- end if;
-- end if;
-- end process;
end architecture imp;
-------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library lib_cdc_v1_0_2;
--use lib_cdc_v1_0_2.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
ACT_HI_EXT: entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
-------------------------------------
ACT_LO_EXT: entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
asr_d1 <= Auxiliary_System_Reset;
-------------------------------------
ACT_HI_AUX: entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
asr_d1 <= not Auxiliary_System_Reset;
ACT_LO_AUX: entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
-------------------------------------------------------------------------------
-- proc_sys_reset - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: proc_sys_reset.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: rolandp
-- History:
-- kc 11/07/01 -- First version
--
-- kc 02/25/2002 -- Changed generic names C_EXT_RST_ACTIVE to
-- C_EXT_RESET_HIGH and C_AUX_RST_ACTIVE to
-- C_AUX_RESET_HIGH to match generics used in
-- MicroBlaze. Added the DCM Lock as an input
-- to keep reset active until after the Lock
-- is valid.
-- lcw 10/11/2004 -- Updated for NCSim
-- Ravi 09/14/2006 -- Added Attributes for synthesis
-- rolandp 04/16/2007 -- version 2.00a
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-- ~~~~~~~
-- SK 05/12/11
-- ^^^^^^^
-- 1. Updated the core so remove the support for PPC related functionality.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library proc_sys_reset_v5_0_12;
use proc_sys_reset_v5_0_12.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
-- C_NUM_BUS_RST -- Number of Bus Structures reset to generate
-- C_NUM_PERP_RST -- Number of Peripheral resets to generate
--
-- C_NUM_INTERCONNECT_ARESETN -- No. of Active low reset to interconnect
-- C_NUM_PERP_ARESETN -- No. of Active low reset to peripheral
-- Definition of Ports:
-- slowest_sync_clk -- Clock
-- ext_reset_in -- External Reset Input
-- aux_reset_in -- Auxiliary Reset Input
-- mb_debug_sys_rst -- MDM Reset Input
-- dcm_locked -- DCM Locked, hold system in reset until 1
-- mb_reset -- MB core reset out
-- bus_struct_reset -- Bus structure reset out
-- peripheral_reset -- Peripheral reset out
-- interconnect_aresetn -- Interconnect Bus structure registered rst out
-- peripheral_aresetn -- Active Low Peripheral registered reset out
-------------------------------------------------------------------------------
entity proc_sys_reset is
generic (
C_FAMILY : string := "virtex7";
C_EXT_RST_WIDTH : integer := 4;
C_AUX_RST_WIDTH : integer := 4;
C_EXT_RESET_HIGH : std_logic := '0'; -- High active input
C_AUX_RESET_HIGH : std_logic := '1'; -- High active input
C_NUM_BUS_RST : integer := 1;
C_NUM_PERP_RST : integer := 1;
C_NUM_INTERCONNECT_ARESETN : integer := 1; -- 3/15/2010
C_NUM_PERP_ARESETN : integer := 1 -- 3/15/2010
);
port (
slowest_sync_clk : in std_logic;
ext_reset_in : in std_logic;
aux_reset_in : in std_logic;
-- from MDM
mb_debug_sys_rst : in std_logic;
-- DCM locked information
dcm_locked : in std_logic := '1';
-- -- from PPC
-- Core_Reset_Req_0 : in std_logic;
-- Chip_Reset_Req_0 : in std_logic;
-- System_Reset_Req_0 : in std_logic;
-- Core_Reset_Req_1 : in std_logic;
-- Chip_Reset_Req_1 : in std_logic;
-- System_Reset_Req_1 : in std_logic;
-- RstcPPCresetcore_0 : out std_logic := '0';
-- RstcPPCresetchip_0 : out std_logic := '0';
-- RstcPPCresetsys_0 : out std_logic := '0';
-- RstcPPCresetcore_1 : out std_logic := '0';
-- RstcPPCresetchip_1 : out std_logic := '0';
-- RstcPPCresetsys_1 : out std_logic := '0';
-- to Microblaze active high reset
mb_reset : out std_logic;
-- active high resets
bus_struct_reset : out std_logic_vector(0 to C_NUM_BUS_RST - 1)
:= (others => '0');
peripheral_reset : out std_logic_vector(0 to C_NUM_PERP_RST - 1)
:= (others => '0');
-- active low resets
interconnect_aresetn : out
std_logic_vector(0 to (C_NUM_INTERCONNECT_ARESETN-1))
:= (others => '1');
peripheral_aresetn : out std_logic_vector(0 to (C_NUM_PERP_ARESETN-1))
:= (others => '1')
);
end entity proc_sys_reset;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture imp of proc_sys_reset is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-- signal Core_Reset_Req_0_d1 : std_logic := '0'; -- delayed Core_Reset_Req
-- signal Core_Reset_Req_0_d2 : std_logic := '0'; -- delayed Core_Reset_Req
-- signal Core_Reset_Req_0_d3 : std_logic := '0'; -- delayed Core_Reset_Req
-- signal Core_Reset_Req_1_d1 : std_logic := '0'; -- delayed Core_Reset_Req
-- signal Core_Reset_Req_1_d2 : std_logic := '0'; -- delayed Core_Reset_Req
-- signal Core_Reset_Req_1_d3 : std_logic := '0'; -- delayed Core_Reset_Req
constant T : std_logic := C_EXT_RESET_HIGH;
signal core_cnt_en_0 : std_logic := '0'; -- Core_Reset_Req_0 counter enable
signal core_cnt_en_1 : std_logic := '0'; -- Core_Reset_Req_1 counter enable
signal core_req_edge_0 : std_logic := '1'; -- Rising edge of Core_Reset_Req_0
signal core_req_edge_1 : std_logic := '1'; -- Rising edge of Core_Reset_Req_1
signal core_cnt_0 : std_logic_vector(3 downto 0); -- core counter output
signal core_cnt_1 : std_logic_vector(3 downto 0); -- core counter output
signal lpf_reset : std_logic; -- Low pass filtered ext or aux
--signal Chip_Reset_Req : std_logic := '0';
--signal System_Reset_Req : std_logic := '0';
signal Bsr_out : std_logic;
signal Pr_out : std_logic;
-- signal Core_out : std_logic;
-- signal Chip_out : std_logic;
-- signal Sys_out : std_logic;
signal MB_out : std_logic := C_EXT_RESET_HIGH;
signal MB_out1 : std_logic := C_EXT_RESET_HIGH;
signal pr_outn : std_logic;
signal bsr_outn : std_logic;
-------------------------------------------------------------------------------
-- Attributes to synthesis
-------------------------------------------------------------------------------
attribute equivalent_register_removal: string;
attribute equivalent_register_removal of bus_struct_reset : signal is "no";
attribute equivalent_register_removal of peripheral_reset : signal is "no";
attribute equivalent_register_removal of interconnect_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_aresetn : signal is "no";
begin
-------------------------------------------------------------------------------
-- ---------------------
-- -- MB_RESET_HIGH_GEN: Generate active high reset for Micro-Blaze
-- ---------------------
-- MB_RESET_HIGH_GEN: if C_INT_RESET_HIGH = 1 generate
-- begin
-- mb_reset <= MB_out1;
-- MB_Reset_PROCESS1: process (slowest_sync_clk)
-- begin
-- if (slowest_sync_clk'event and slowest_sync_clk = '1') then
-- MB_out1 <= MB_out;
-- end if;
-- end process;
FDRE_inst : FDRE
generic map (
INIT => '1') -- Initial value of register ('0' or '1')
port map (
Q => mb_reset, -- Data output
C => slowest_sync_clk, -- Clock input
CE => '1', -- Clock enable input
R => '0', -- Synchronous reset input
D => MB_out -- Data input
);
-- ----------------------------------------------------------------------------
-- -- This For-generate creates D-Flip Flops for the Bus_Struct_Reset output(s)
-- ----------------------------------------------------------------------------
BSR_OUT_DFF: for i in 0 to (C_NUM_BUS_RST-1) generate
FDRE_BSR : FDRE
generic map (
INIT => '1') -- Initial value of register ('0' or '1')
port map (
Q => bus_struct_reset(i), -- Data output
C => slowest_sync_clk, -- Clock input
CE => '1', -- Clock enable input
R => '0', -- Synchronous reset input
D => Bsr_out -- Data input
);
-- BSR_DFF : process (slowest_sync_clk)
-- begin
-- if (slowest_sync_clk'event and slowest_sync_clk = '1') then
-- bus_struct_reset(i) <= Bsr_out;
-- end if;
-- end process;
end generate BSR_OUT_DFF;
-- ---------------------------------------------------------------------------
-- This For-generate creates D-Flip Flops for the Interconnect_aresetn op(s)
-- ---------------------------------------------------------------------------
bsr_outn <= not(Bsr_out);
ACTIVE_LOW_BSR_OUT_DFF: for i in 0 to (C_NUM_INTERCONNECT_ARESETN-1) generate
FDRE_BSR_N : FDRE
generic map (
INIT => '0') -- Initial value of register ('0' or '1')
port map (
Q => interconnect_aresetn(i), -- Data output
C => slowest_sync_clk, -- Clock input
CE => '1', -- Clock enable input
R => '0', -- Synchronous reset input
D => bsr_outn -- Data input
);
-- BSR_DFF : process (slowest_sync_clk)
-- begin
-- if (slowest_sync_clk'event and slowest_sync_clk = '1') then
-- interconnect_aresetn(i) <= not (Bsr_out);
-- end if;
-- end process;
end generate ACTIVE_LOW_BSR_OUT_DFF;
-------------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- -- This For-generate creates D-Flip Flops for the Peripheral_Reset output(s)
-- ----------------------------------------------------------------------------
PR_OUT_DFF: for i in 0 to (C_NUM_PERP_RST-1) generate
FDRE_PER : FDRE
generic map (
INIT => '1') -- Initial value of register ('0' or '1')
port map (
Q => peripheral_reset(i), -- Data output
C => slowest_sync_clk, -- Clock input
CE => '1', -- Clock enable input
R => '0', -- Synchronous reset input
D => Pr_out -- Data input
);
-- PR_DFF : process (slowest_sync_clk)
-- begin
-- if (slowest_sync_clk'event and slowest_sync_clk = '1') then
-- peripheral_reset(i) <= Pr_out;
-- end if;
-- end process;
end generate PR_OUT_DFF;
-- ----------------------------------------------------------------------------
-- This For-generate creates D-Flip Flops for the Peripheral_aresetn op(s)
-- ---A-------------------------------------------------------------------------
pr_outn <= not(Pr_out);
ACTIVE_LOW_PR_OUT_DFF: for i in 0 to (C_NUM_PERP_ARESETN-1) generate
FDRE_PER_N : FDRE
generic map (
INIT => '0') -- Initial value of register ('0' or '1')
port map (
Q => peripheral_aresetn(i), -- Data output
C => slowest_sync_clk, -- Clock input
CE => '1', -- Clock enable input
R => '0', -- Synchronous reset input
D => Pr_outn -- Data input
);
-- ACTIVE_LOW_PR_DFF : process (slowest_sync_clk)
-- begin
-- if (slowest_sync_clk'event and slowest_sync_clk = '1') then
-- peripheral_aresetn(i) <= not(Pr_out);
-- end if;
-- end process;
end generate ACTIVE_LOW_PR_OUT_DFF;
-------------------------------------------------------------------------------
-- This process defines the RstcPPCreset and MB_Reset outputs
-------------------------------------------------------------------------------
-- Rstc_output_PROCESS_0: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- RstcPPCresetcore_0 <= not (core_cnt_0(3) and core_cnt_0(2) and
-- core_cnt_0(1) and core_cnt_0(0))
-- or Core_out;
-- RstcPPCresetchip_0 <= Chip_out;
-- RstcPPCresetsys_0 <= Sys_out;
-- end if;
-- end process;
-- Rstc_output_PROCESS_1: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- RstcPPCresetcore_1 <= not (core_cnt_1(3) and core_cnt_1(2) and
-- core_cnt_1(1) and core_cnt_1(0))
-- or Core_out;
-- RstcPPCresetchip_1 <= Chip_out;
-- RstcPPCresetsys_1 <= Sys_out;
-- end if;
-- end process;
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
---- This process delays signals so the the edge can be detected and used
---- Double register to sync up with slowest_sync_clk
---------------------------------------------------------------------------------
-- DELAY_PROCESS_0: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- core_reset_req_0_d1 <= Core_Reset_Req_0;
-- core_reset_req_0_d2 <= core_reset_req_0_d1;
-- core_reset_req_0_d3 <= core_reset_req_0_d2;
-- end if;
-- end process;
--
-- DELAY_PROCESS_1: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- core_reset_req_1_d1 <= Core_Reset_Req_1;
-- core_reset_req_1_d2 <= core_reset_req_1_d1;
-- core_reset_req_1_d3 <= core_reset_req_1_d2;
-- end if;
-- end process;
-- ** -- -------------------------------------------------------------------------------
-- ** -- -- This instantiates a counter to ensure the Core_Reset_Req_* will genereate a
-- ** -- -- RstcPPCresetcore_* that is a mimimum of 15 clocks
-- ** -- -------------------------------------------------------------------------------
-- ** -- CORE_RESET_0 : entity proc_sys_reset_v5_0_12.UPCNT_N
-- ** -- generic map (C_SIZE => 4)
-- ** -- port map(
-- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
-- ** -- Cnt_en => core_cnt_en_0, -- in STD_LOGIC;
-- ** -- Load => '0', -- in STD_LOGIC;
-- ** -- Clr => core_req_edge_0, -- in STD_LOGIC;
-- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC;
-- ** -- Qout => core_cnt_0 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
-- ** -- );
-- ** --
-- ** -- CORE_RESET_1 : entity proc_sys_reset_v5_0_12.UPCNT_N
-- ** -- generic map (C_SIZE => 4)
-- ** -- port map(
-- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
-- ** -- Cnt_en => core_cnt_en_1, -- in STD_LOGIC;
-- ** -- Load => '0', -- in STD_LOGIC;
-- ** -- Clr => core_req_edge_1, -- in STD_LOGIC;
-- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC;
-- ** -- Qout => core_cnt_1 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
-- ** -- );
-- ** --
-- ** -- -------------------------------------------------------------------------------
-- ** -- -- CORE_RESET_PROCESS
-- ** -- -------------------------------------------------------------------------------
-- ** -- -- This generates the reset pulse and the count enable to core reset counter
-- ** -- --
-- ** -- CORE_RESET_PROCESS_0: process (Slowest_sync_clk)
-- ** -- begin
-- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- ** -- core_cnt_en_0 <= not (core_cnt_0(3) and core_cnt_0(2) and core_cnt_0(1));
-- ** -- --or not core_req_edge_0;
-- ** -- --core_req_edge_0 <= not(Core_Reset_Req_0_d2 and not Core_Reset_Req_0_d3);
-- ** -- end if;
-- ** -- end process;
-- ** --
-- ** -- CORE_RESET_PROCESS_1: process (Slowest_sync_clk)
-- ** -- begin
-- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- ** -- core_cnt_en_1 <= not (core_cnt_1(3) and core_cnt_1(2) and core_cnt_1(1));
-- ** -- --or not core_req_edge_1;
-- ** -- --core_req_edge_1 <= not(Core_Reset_Req_1_d2 and not Core_Reset_Req_1_d3);
-- ** -- end if;
-- ** -- end process;
-------------------------------------------------------------------------------
-- This instantiates a low pass filter to filter both External and Auxiliary
-- Reset Inputs.
-------------------------------------------------------------------------------
EXT_LPF : entity proc_sys_reset_v5_0_12.LPF
generic map (
C_EXT_RST_WIDTH => C_EXT_RST_WIDTH,
C_AUX_RST_WIDTH => C_AUX_RST_WIDTH,
C_EXT_RESET_HIGH => C_EXT_RESET_HIGH,
C_AUX_RESET_HIGH => C_AUX_RESET_HIGH
)
port map(
MB_Debug_Sys_Rst => mb_debug_sys_rst, -- in std_logic
Dcm_locked => dcm_locked, -- in std_logic
External_System_Reset => ext_reset_in, -- in std_logic
Auxiliary_System_Reset => aux_reset_in, -- in std_logic
Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic
Lpf_reset => lpf_reset -- out std_logic
);
-------------------------------------------------------------------------------
-- This instantiates the sequencer
-- This controls the time between resets becoming inactive
-------------------------------------------------------------------------------
-- System_Reset_Req <= System_Reset_Req_0 or System_Reset_Req_1;
-- Chip_Reset_Req <= Chip_Reset_Req_0 or Chip_Reset_Req_1;
SEQ : entity proc_sys_reset_v5_0_12.SEQUENCE_PSR
--generic map (
-- C_EXT_RESET_HIGH_1 => C_EXT_RESET_HIGH
--)
port map(
Lpf_reset => lpf_reset, -- in std_logic
--System_Reset_Req => '0', -- System_Reset_Req, -- in std_logic
--Chip_Reset_Req => '0', -- Chip_Reset_Req, -- in std_logic
Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic
Bsr_out => Bsr_out, -- out std_logic
Pr_out => Pr_out, -- out std_logic
--Core_out => open, -- Core_out, -- out std_logic
--Chip_out => open, -- Chip_out, -- out std_logic
--Sys_out => open, -- Sys_out, -- out std_logic
MB_out => MB_out); -- out std_logic
end imp;
--END_SINGLE_FILE_TAG
|
mit
|
minijackson/school-vhdl
|
E2/TP1.vhdl
|
1
|
2036
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity clock is
port (
enable : in std_logic;
razs : in std_logic;
minuteUnits : out std_logic_vector(3 downto 0);
minuteTenths : out std_logic_vector(2 downto 0);
hoursUnits : out std_logic_vector(3 downto 0);
hoursTenths : out std_logic_vector(1 downto 0);
clk : in std_logic;
reset : in std_logic;
);
end clock;
architecture clockArch of clock is
begin
minuteUnitsComponent : entity clockCounter port map (
enable => enable,
razs => razs,
clk => clk,
reset => reset,
dataOut => minuteUnitsValue
);
minuteTenthsComponent : entity clockCounter
generic map (
n => 3,
max => 5
);
port map (
enable => enable,
razs => razs,
clk => clk,
reset => reset,
dataOut => minuteTenthsValue
);
hoursUnitsComponent : entity clockCounter
port map (
enable => enable,
razs => razs,
clk => clk,
reset => reset,
dataOut => hoursUnitsValue
);
hoursTenthsComponent : entity clockCounter
generic map (
n => 2,
max => 2
);
port map (
enable => enable,
razs => razs,
clk => clk,
reset => reset,
dataOut => hoursTenthsValue
);
end clockArch;
entity clockCounter is
generic (
n : natural := 4;
max : natural := 9;
);
port (
dataOut : out std_logic_vector(n-1 downto 0);
enable : in std_logic;
razs : in std_logic;
clk : in std_logic;
reset : in std_logic;
);
end clockCounter;
architecture clockCounterArch of clock is
signal inc, eno, D, Q : std_logic_vector(n-1 downto 0);
begin
dataOut <= Q
Q <= '0' when reset = '1' else
D when rising_edge(clk);
inc <= 0 when Q = max else
Q+1;
eno <= inc when enable = '1' else
Q;
D <= '0' when razs = '1' else
eno;
end clockCounterArch;
|
mit
|
brotatos/Whack-A-Mole
|
src/SetLED.vhd
|
1
|
1368
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:35:22 12/03/2013
-- Design Name:
-- Module Name: SetLED - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SetLED is
Port ( RAND_INT : in STD_LOGIC_VECTOR (2 downto 0);
LEDS : out STD_LOGIC_VECTOR (7 downto 0));
end SetLED;
architecture Behavioral of SetLED is
begin
with RAND_INT select
LEDS <= "00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when "111",
"00000000" when others;
end Behavioral;
|
mit
|
Cpt-Quantum/VHDL
|
FPGA_Intro/Clocks.vhd
|
1
|
3044
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19.08.2016 14:48:09
-- Design Name:
-- Module Name: Switches_LEDS - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity counter is
Port ( switches_1 : in STD_LOGIC_VECTOR(7 downto 0);
switches_2 : in STD_LOGIC_VECTOR(3 downto 0);
LEDS_1 : out STD_LOGIC_VECTOR(7 downto 0);
LEDS_2 : out STD_LOGIC_VECTOR(3 downto 0);
clk : in STD_LOGIC
);
end counter;
architecture Behavioral of counter is
signal counter : STD_LOGIC_VECTOR(29 downto 0);
signal LED_state : STD_LOGIC_VECTOR(3 downto 0);
-- Reset signals
signal reset : STD_LOGIC;
begin
--Reset block
reset_proc: process(clk)
begin
if rising_edge(clk) then
if switches_2(0) = '1' then
reset <= '1';
else
reset <= '0';
end if;
end if;
end process;
--End of reset block
--Counter block
counter_proc: process(clk)
begin
if rising_edge(clk) and reset = '0' then
counter <= counter+1;
if counter = STD_LOGIC_VECTOR(to_unsigned(100000000,30)) or reset = '1' then
counter <= (others=>'0');
end if;
end if;
end process;
--End of counter block
--Display 1 second on each LED
LED_proc: process(clk)
begin
if rising_edge(clk) then
if reset= '1' then
LED_state <= "1000";
end if;
if counter = STD_LOGIC_VECTOR(to_unsigned(99999999,30)) then
--Assign LEDds to internal LED state
LEDS_1(7 downto 4) <= LED_state(3 downto 0);
-- Shift register to move along LED chain
LED_state(3 downto 0) <= LED_state(0) & LED_state(3 downto 1);
end if;
--Set lower bits for counter as this is all the counter will reach
LEDS_1(3 downto 0) <= counter(25 downto 22);
end if;
end process;
--Switch off LEDS on board, comment if you want to use these LEDs elesewhere
LEDS_2 <= (others=>'0');
end Behavioral;
|
mit
|
brotatos/Whack-A-Mole
|
src/clk_div.vhd
|
1
|
1788
|
----------------------------------------------------------------------------------
-- Company: Ratner Engineering
-- Engineer: bryan mealy
--
-- Create Date: 15:27:40 12/27/2010
-- Design Name:
-- Module Name: clk_div.vhd
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: This divides the input clock frequency into a slower
-- frequency. The frequency is set by the the MAX_COUNT
-- constant in the declarative region of the architecture.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
-----------------------------------------------------------------------
-----------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-----------------------------------------------------------------------
-- Module to divide the clock
-----------------------------------------------------------------------
entity clk_div2 is
Port ( clk : in std_logic;
sclk : out std_logic);
end clk_div2;
architecture my_clk_div of clk_div2 is
constant max_count : integer := (16000000);
-- original
--constant max_count : integer := (3000000);
signal tmp_clk : std_logic := '0';
begin
my_div: process (clk,tmp_clk)
variable div_cnt : integer := 0;
begin
if (rising_edge(clk)) then
if (div_cnt = MAX_COUNT) then
tmp_clk <= not tmp_clk;
div_cnt := 0;
else
div_cnt := div_cnt + 1;
end if;
end if;
sclk <= tmp_clk;
end process my_div;
end my_clk_div;
|
mit
|
airlog/vhdl-rc4
|
src/reseter_tb.vhd
|
1
|
2585
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
ENTITY reseter_tb IS
END reseter_tb;
ARCHITECTURE behavior OF reseter_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT reseter
generic (
size: integer := 256;
width: integer := 8;
addrwidth: integer := 8;
rstvalue : integer := 0
);
PORT(
CLK: in std_logic;
GO: in std_logic;
CTRL: out std_logic;
INDEX: out std_logic_vector((addrwidth - 1) downto 0);
VALUE: out std_logic_vector((width - 1) downto 0);
DONE: out std_logic
);
END COMPONENT;
-- Inputs
signal CLK : std_logic := '0';
signal GO : std_logic := '0';
-- Outputs
signal CTRL : std_logic := '0';
signal INDEX : std_logic_vector(7 downto 0);
signal VALUE : std_logic_vector(7 downto 0);
signal DONE : std_logic;
-- Constants
constant CLK_period : time := 10 ns;
constant width : integer := 8;
constant mem_size : integer := 16;
-- Types
subtype int8 is integer range 0 to (2 ** width - 1);
type int8_array is array (0 to mem_size - 1) of int8;
-- Variables
shared variable memory : int8_array := (
1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16
);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: reseter
generic map(
size => mem_size,
width => width
)
port map(
CLK => CLK,
GO => GO,
CTRL => CTRL,
INDEX => INDEX,
VALUE => VALUE,
DONE => DONE
);
-- Clock process definitions
CLK_process: process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
mem_writer: process (clk, ctrl, index, value)
begin
if rising_edge(clk) then
if ctrl = '1' then
memory(conv_integer(unsigned(index))) := conv_integer(unsigned(value));
else
end if;
end if;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
for i in 0 to (mem_size - 1) loop
assert memory(i) /= 0
report "Zly stan poczatkowy pamieci!"
severity warning;
end loop;
wait for clk_period;
go <= '1';
wait for 2 * clk_period;
go <= '0';
while done = '0' loop
wait for clk_period/2;
end loop;
wait for 5 * clk_period;
assert done = '1'
report "Dzialanie urzadzenia powinno juz sie zakonczyc!"
severity failure;
for i in 0 to (mem_size - 1) loop
assert memory(i) = 0
report "Zly stan koncowy pamieci!"
severity failure;
end loop;
wait;
end process;
END;
|
mit
|
alifazel/16-bit-risc
|
vhdl/dcd3x8.vhd
|
4
|
539
|
-- DCD3x8
-- 3-to-8 decoder
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity dcd3x8 is
port(en : in std_logic_vector(2 downto 0);
de : out std_logic_vector(7 downto 0)
);
end dcd3x8;
architecture logic of dcd3x8 is
begin
with en select de <= "00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when others;
end logic;
|
mit
|
alifazel/16-bit-risc
|
vhdl/reg8x16.vhd
|
4
|
5073
|
-- REG8x16
-- 8x16 registry file
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity reg8x16 is
port(ADD_R1 : in std_logic_vector(2 downto 0);
ADD_R2 : in std_logic_vector(2 downto 0);
ADD_W : in std_logic_vector(2 downto 0);
WE, CLK : in std_logic;
DIN : in std_logic_vector(15 downto 0);
DOUT1 : out std_logic_vector(15 downto 0);
DOUT2 : out std_logic_vector(15 downto 0)
);
end reg8x16;
architecture logic of reg8x16 is
signal o0, o1, o2, o3, o4, o5, o6, o7 : std_logic_vector(15 downto 0);
signal ena : std_logic_vector(7 downto 0);
signal tmp00, tmp01, tmp02,tmp03, tmp10, tmp11, tmp12, tmp13 : std_logic_vector(7 downto 0);
begin
R00: REG8 port map("00000000", ena(0) and WE, CLK, o0(7 downto 0)); --reg0
R01: REG8 port map("00000000", ena(0) and WE, CLK, o0(15 downto 8));
R10: REG8 port map(DIN(7 downto 0), ena(1) and WE, CLK, o1(7 downto 0)); --reg1
R11: REG8 port map(DIN(15 downto 8), ena(1) and WE, CLK, o1(15 downto 8));
R20: REG8 port map(DIN(7 downto 0), ena(2) and WE, CLK, o2(7 downto 0)); --reg2
R21: REG8 port map(DIN(15 downto 8), ena(2) and WE, CLK, o2(15 downto 8));
R30: REG8 port map(DIN(7 downto 0), ena(3) and WE, CLK, o3(7 downto 0)); --reg3
R31: REG8 port map(DIN(15 downto 8), ena(3) and WE, CLK, o3(15 downto 8));
R40: REG8 port map(DIN(7 downto 0), ena(4) and WE, CLK, o4(7 downto 0)); --reg4
R41: REG8 port map(DIN(15 downto 8), ena(4) and WE, CLK, o4(15 downto 8));
R50: REG8 port map(DIN(7 downto 0), ena(5) and WE, CLK, o5(7 downto 0)); --reg5
R51: REG8 port map(DIN(15 downto 8), ena(5) and WE, CLK, o5(15 downto 8));
R60: REG8 port map(DIN(7 downto 0), ena(6) and WE, CLK, o6(7 downto 0)); --reg6
R61: REG8 port map(DIN(15 downto 8), ena(6) and WE, CLK, o6(15 downto 8));
R70: REG8 port map(DIN(7 downto 0), ena(7) and WE, CLK, o7(7 downto 0)); --reg7
R71: REG8 port map(DIN(15 downto 8), ena(7) and WE, CLK, o7(15 downto 8));
M000: MUX4x4 port map (ADD_R1(1 downto 0), o0(3 downto 0), o1(3 downto 0), o2(3 downto 0), o3(3 downto 0), tmp00(3 downto 0));
M001: MUX4x4 port map (ADD_R1(1 downto 0), o4(3 downto 0), o5(3 downto 0), o6(3 downto 0), o7(3 downto 0), tmp00(7 downto 4));
M002: MUX4x4 port map ('0'&ADD_R1(2), tmp00(3 downto 0), tmp00(7 downto 4), "0000", "0000", DOUT1(3 downto 0));
M003: MUX4x4 port map (ADD_R1(1 downto 0), o0(7 downto 4), o1(7 downto 4), o2(7 downto 4), o3(7 downto 4), tmp01(3 downto 0));
M004: MUX4x4 port map (ADD_R1(1 downto 0), o4(7 downto 4), o5(7 downto 4), o6(7 downto 4), o7(7 downto 4), tmp01(7 downto 4));
M005: MUX4x4 port map ('0'&ADD_R1(2), tmp01(3 downto 0), tmp01(7 downto 4), "0000", "0000", DOUT1(7 downto 4));
M006: MUX4x4 port map (ADD_R1(1 downto 0), o0(11 downto 8), o1(11 downto 8), o2(11 downto 8), o3(11 downto 8), tmp02(3 downto 0));
M007: MUX4x4 port map (ADD_R1(1 downto 0), o4(11 downto 8), o5(11 downto 8), o6(11 downto 8), o7(11 downto 8), tmp02(7 downto 4));
M008: MUX4x4 port map ('0'&ADD_R1(2), tmp02(3 downto 0), tmp02(7 downto 4), "0000", "0000", DOUT1(11 downto 8));
M009: MUX4x4 port map (ADD_R1(1 downto 0), o0(15 downto 12), o1(15 downto 12), o2(15 downto 12), o3(15 downto 12), tmp03(3 downto 0));
M010: MUX4x4 port map (ADD_R1(1 downto 0), o4(15 downto 12), o5(15 downto 12), o6(15 downto 12), o7(15 downto 12), tmp03(7 downto 4));
M011: MUX4x4 port map ('0'&ADD_R1(2), tmp03(3 downto 0), tmp03(7 downto 4), "0000", "0000", DOUT1(15 downto 12));
M100: MUX4x4 port map (ADD_R2(1 downto 0), o0(3 downto 0), o1(3 downto 0), o2(3 downto 0), o3(3 downto 0), tmp10(3 downto 0));
M101: MUX4x4 port map (ADD_R2(1 downto 0), o4(3 downto 0), o5(3 downto 0), o6(3 downto 0), o7(3 downto 0), tmp10(7 downto 4));
M102: MUX4x4 port map ('0'&ADD_R2(2), tmp10(3 downto 0), tmp10(7 downto 4), "0000", "0000", DOUT2(3 downto 0));
M103: MUX4x4 port map (ADD_R2(1 downto 0), o0(7 downto 4), o1(7 downto 4), o2(7 downto 4), o3(7 downto 4), tmp11(3 downto 0));
M104: MUX4x4 port map (ADD_R2(1 downto 0), o4(7 downto 4), o5(7 downto 4), o6(7 downto 4), o7(7 downto 4), tmp11(7 downto 4));
M105: MUX4x4 port map ('0'&ADD_R2(2), tmp11(3 downto 0), tmp11(7 downto 4), "0000", "0000", DOUT2(7 downto 4));
M106: MUX4x4 port map (ADD_R2(1 downto 0), o0(11 downto 8), o1(11 downto 8), o2(11 downto 8), o3(11 downto 8), tmp12(3 downto 0));
M107: MUX4x4 port map (ADD_R2(1 downto 0), o4(11 downto 8), o5(11 downto 8), o6(11 downto 8), o7(11 downto 8), tmp12(7 downto 4));
M108: MUX4x4 port map ('0'&ADD_R2(2), tmp12(3 downto 0), tmp12(7 downto 4), "0000", "0000", DOUT2(11 downto 8));
M109: MUX4x4 port map (ADD_R2(1 downto 0), o0(15 downto 12), o1(15 downto 12), o2(15 downto 12), o3(15 downto 12), tmp13(3 downto 0));
M110: MUX4x4 port map (ADD_R2(1 downto 0), o4(15 downto 12), o5(15 downto 12), o6(15 downto 12), o7(15 downto 12), tmp13(7 downto 4));
M111: MUX4x4 port map ('0'&ADD_R2(2), tmp13(3 downto 0), tmp13(7 downto 4), "0000", "0000", DOUT2(15 downto 12));
DE : DCD3x8 port map (ADD_W, ena);
end logic;
|
mit
|
diecaptain/fuzzy_kalman_mppt
|
kr_fuzman_Vt.vhd
|
1
|
1156
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity kr_fuzman_Vt is
port
( clock : in std_logic;
Ut : in std_logic_vector(31 downto 0);
Vtminus : in std_logic_vector(31 downto 0);
Vt : out std_logic_vector(31 downto 0)
);
end kr_fuzman_Vt;
architecture struct of kr_fuzman_Vt is
component kn_kalman_add IS
PORT
( clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
end component;
component kn_kalman_mult IS
PORT
( clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
end component;
signal Z1 : std_logic_vector(31 downto 0);
signal M : std_logic_vector(31 downto 0) := "00111101010011001100110011001101";
begin
M1 : kn_kalman_mult port map (clock => clock, dataa => M, datab => Ut, result => Z1);
M2 : kn_kalman_add port map (clock => clock, dataa => Z1, datab => Vtminus, result => Vt);
end struct;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_sshft.vhd
|
19
|
19232
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WOJX5Fv2S0CzprysR8KMEndET58Nnshq5G41sUF8nyr23cEOOYS3xFWHzDNrh0BglAkKcA2/EcsL
0Mi0zP+UFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gc0ueCwDN9OX/N8ZykP2NxXOhHr0aqi823TAFhXP2T3sZajOBosaRN5Om/T8R3LfwK7+baNKGGz+
UJk1ogy8JwdYWmJV85/JpyrrDFtvClJsQxdfCiEg0IVlJhvJlhs6FCZi5Rj8qwlvbn+/sc8hT0BX
IEC/9Hv+yH9f2HZIeiw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gOAtaUsYvJmoKivS2pd7kBeODY1Q4VX+agLZ2/SaxV/BkQgGuuCLHYg9eGdXBmjxTqXO35IrXGnw
8lzEMm8YS53SBgfLbyNKtLJ5Qej5jTli3Hhz2BXRqoQonahfpMOh6WT/32Mi5HxamPl3+Ad8Dyj3
AbqGosJ8LBJRb65Babsp/E0dGGngj0nJjmmY8NHpqNTG489434uBxC5ykK4ltOheXkVJtXSHoR2s
c+RXEPDO94CZYlHnY9b3pUqLafSVqXTeYuw//0PIJQNmrXYuvkdozgm129vQnlKXVGzYsK5DUlRz
Q+VO09C3aal1Ga70326sWIG6XdhCFEnAfQoucQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3INKfUgfMTydNk3PjPUP24H0r2p1C85cOfDxce4LgEKtine/HDrFDahWRWORtm3mNUVaknW/GXSC
5KErdi7NyQ5+CFdf2MMmaC9h7nGYKW8O4nbf09hLlm3blRBSd2i3h46PihYy7iaS3Q+Z7JKvWuiD
J79EKDKw4Kqn3mmg3iQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YHV/PdEXZA1kC+N7hsk5uDSJPgfJRc2Sgeu6l1dsNtZhWFmXeBe9vCszID1P11I6wOICxCc/uQgT
A2JL79m9I3kuY9Ji47hSGH6+xG4kfTKsYaTVdl+16SjuG/YaIhBwQfN13p/8IGQ6FysnYNYR5siA
+0Lm6CwAYBXVRwsuIA3R9dSPKgq+Sbk3MQCuaqKXbxHiA5oAAI2R3Gz78f9hrvy4Cj5P6dJ+TbkJ
j9bOdpZE4W6tXHasCVI4EqJlfqQQ48uWK076fFPDGpd19w+K6NBgkvxxlXDC1t90ZvbdFgDD30L/
SOFjS0BafCCf2aKaRk8VIdeBs9pr4wj9gMwZYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/ramfifo/wr_status_flags_as.vhd
|
19
|
20484
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iisr0ydwFOm3eepmhOYSaxO3flYpViRsLN97vKyw+ai+x1TubmaH8qRRwK/QFeVsjlGTFdxookcr
olQwv0bmdw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dJvTzz+PoD3n2Ot9SgKfpEhIshJxklhDhS1tYcrcmprfs5wN+lN+5Y+o9jEEql61IqDkJEIGu0xp
zaDWEeMqwkFuovmZnp/AnbrHb7R/19zPRtwSyZ8+VQRLsRMgscwutXu29fTUST6Ribitutae85tQ
1okc5mYK0mcSMIggcMg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZijKIWnBSOuwn6R4ZrzJp1qaSPGZMrP8GTp+SV+Sn9xEivGxLJtGM40xMLXxiYuxIopDD/A1usG6
HkSoNT6OzxHJWKkUEyyVzrZuJdNHJ5q3s3y5LSNY7eMxN9lY4/gygh7aVIBAO9YWzsWu3HLtrHA5
2vsUFQxQdkG5OTLVP1rH68P4j/dhqr/LVHw+9H76c/knGyalpHLRC7tnHQcfuezFJWlkzaNGHfUo
b5cE1YTvtdlZVmw2sVG/GbXIRi5fq3+Okdy+JgckZ4dVWbI20rfa9LkI09/kwD3anyrnovVQVx9h
F0AxolVKVVyWNAaSu1fvXllqzrdJiRLbdnsq0Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LUajPw/jRTLlmEKb+9YylQ2jxw4jlSx/1GGaY1wFfWFdMwK2p0xvQMjui8K3EqJF0fnb3QNWuQDl
1vTtf04vcOAHkfRCeW7Mbp8qeUTtAsflGIPJDxHfVU8ZKprwANsENc8LVrpJ0WnjDFQIzJw7LDqc
Jj2TofWjKprdxXsMnu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KG6kiSPrd66zvVpG96eKD+783ebVLVFNF7pXgq+rCyBBRoa0N9Hp3DIWK5125mkICodI82zuSq6k
C8aCiPbDiv4tiuIn19WDNNPL4ncknL0KLZTLAkq0BIQIsnFNRaZegM9aXOdMYGKYLpnjSD9KRWRt
WPXPZfwprSu2D7PeDZMiij3MY+cixttgVmNfcx9Kkmvg+1B5sTSDTVs3fqpJBBO1YslTmxyJAIC6
uDuGqvQ1138z6f4f+f8vMXratK1Ypo3jPPb4FTNLYJio5Vd1Nbpl9kRRtj801Ie0GGhbggK6IXJx
785o6wX6g3tRyoHXGJ4DGUmWlIHATg0KIAflYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/logic_sshft.vhd
|
19
|
29996
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ccZ+VLNSpHtEulGuEKVDJLwcsmbh6zDXYYsSS4iGpirAhbXM3BP50jl4c3979n2YR8HDHLXE3QbX
SjQosk5Agw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b11dY0owYoWaWqrEwg1RlK8C89M14CAO8cS5xZSZiTQ60prhJpRDDBFmDC0asd3vpmdy6xip59nG
z+R5fGAzPFXPwL2mdZ9u5u2h5M7NuqWsd4/PSQwIb2Zc37lWRpOZZLKl9FzYzSgF2YNv5/jfYnLz
E/n1SJLECqBWTvKh2d4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NDjOIJz/ezAa2sanfwA4cBF4MUjfAWwRdI3fhKW6WomA0dTdlLUaUk3d7HHvjRwYAFZbgsshlvRP
BFUgnI13aIFlirt9v75NS6zbC9iHo4+u43o4DjI7erTR/V7n1KuL02bh7njjYqFW2TM9DCTV7yyk
HpE/bHTEqhTIUHhN3s21EIF7fvF256QO+AgjOS/tV7UeysPdiXp6gUoJ4fZfor+WTfQVkJeKE9LJ
0zpHP6pDYIRgknpLIxX5LP5O6x+a+epaip1DIHLGwD6CJeBzPxV1RVmuuHt0FXHAwR75O/YbsdQ3
OLvEz7nBONr7GpqlRI7TlZBuj6FdMW9zmU7ONA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
23D8eH9xZzeJ+Ojv/tdSxXVchNNJmk24MJAcRI99YbyO8+bv8JOBxvZhz4Qlt9qTY0ExdOGGGFmU
aQ35HO0+71woQEgUY5FOSxt7Z+X3DhAwHoCaoUzrhIzpo/Vibci8Aq5CktZeDbbFyKqw4AG3L+HI
gLdEde8Lyo1jpmEidTc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MgM0EI48WvFKRy0diETe4cjudrS6vIt7158toM9vdseTaMD0TZIog1UmAGNvdE72kJ9RDo475e8B
1F5FJia14jZNw9OSBZ6rrUB6Tjk4EmqoYQgrN7x0TfSl9ybfwnnJEUbiXZrL/obnsUVUxuBuPHw9
KwRIU7YdWp4ONQdRCD9vZVkexu3R144yonCk7ZQbQol5voGa98xXkFS5wJ9AioaVUGfDCcHlVgYv
dd/x2xkSx6aLm3qbkMFW3ZMl2N86VVdkP+mRZE7JGaPyJ93l/kjtm21dSkDxSaPAALmdawaPAmzL
9Uhkk9hs8yLNZbslAd/6iUfM8JK7nIIDO1E/WQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20464)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/blk_mem_gen_v8_1/blk_mem_min_area_pkg.vhd
|
27
|
20310
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XHCjR0nUvMBgM1clzO9mSr8YEx9qhDtoXdaphp+J1JlsC9lSFtsV1/eTy/jaNsyBimTHmHB4CLra
VqfCr1I3uA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ebEJK3bmI2t+WsBGbhWIt2XB+F+QW56z7Xo7/vGiNjxPbaq48cjkY2KIIwhppzuYFDUdRDxp9Iva
RlWujqNPGUrxJ1F5Pa0zN6dEMkhKPrWWxZpAFto5e5cB6DM88tJus2O1hLy9PRfKWKn8u2fBqIhs
zvXwIEX3Rz7kU3GI+Wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oZLpbXnbPC0EfiuqzOyPqmT4FdlvB20VtdO3P1fZux3uAWynrmGeEUk81RKG8dIjeHdSPnugG+6c
jKeGIJZZbH6MRScqnz2QBuupQkeYWE+dCLOq6/P5LV7F5481QZZ3bx28u0vHGlRYhLiMW8KnJ8Xs
JLZ2IP5YULE4cFTCCV3WAM+IdulnwSP3p8oyM0uQffeAJkOTKR9dl0lslKFBplzuTZ7EnXSmYYXA
x4iYEfwbmUZvdla6dJXCCjtKnKqL5vI4L1nHOaep2f0bW/K78py/TJVV+vsvE7+Fi81aNwDFBE3d
V+IzN5VNKD8wM+OpLL9AD+xsAbJ5JCLz2sqFWg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YaruXmtmo/2yQOaZLp6UQc/TTak5F2uchK3/c4SsORqNnQQMwFmjpORZM2++MrgqzkHH5KHH+0SE
PP+ha/JFKIuufLvaAIVDYgMKSDFaxIIvD/8aIAhw7TgTE10+TXTruuPFiw9U65VaBnD/nSEGkP+6
2M+aqBTG/2UNkEELi0I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SWJkuOmi8gVneMbAS0rfK4gI+24fr/0jQv+b5sUWbuvKyCco423EdTDwW7ROH+M/MaGP2QTzNz1B
sh1p0mypy290KKaGmvaZfJU7NOmSNGAsA7Eq3zQGPHDW45/4GXnri5xLLNnybO7r0Ndv34V/fxH0
f64f4NRroCys3EmRDJeCh0D+WDA98E/EHP+OtfmYOGeO+CDzxS2m3FIcGKs7pkeR5dgt+S6srqxz
96yb5/UwV2cpnC9ULYZHZVQa9WYc/XM+Dk71YUYpaEFd7osc9zT0azChQq+XAkJsqukhufRg3dQK
YVPZotO8blEly5GYlPFGnRW13eEh9DRYsb0pSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/axi_lite_ipif_v2_0/hdl/src/vhdl/axi_lite_ipif.vhd
|
7
|
14662
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_lite_ipif.vhd
-- Version: v2.0
-- Description: This is the top level design file for the axi_lite_ipif
-- function. It provides a standardized slave interface
-- between the IP and the AXI. This version supports
-- single read/write transfers only. It does not provide
-- address pipelining or simultaneous read and write
-- operations.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 06/09/10 -- v1.01.a
-- 1. updated to reduce the utilization
-- Closed CR #574507
-- 2. Optimized the state machine code
-- 3. Optimized the address decoder logic to generate the CE's with common logic
-- 4. Address GAP decoding logic is removed and timeout counter is made active
-- for all transactions.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.clog2;
use proc_common_v4_0.proc_common_pkg.max2;
use proc_common_v4_0.family_support.all;
use proc_common_v4_0.ipif_pkg.all;
library axi_lite_ipif_v2_0;
use axi_lite_ipif_v2_0.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_S_AXI_DATA_WIDTH -- AXI data bus width
-- C_S_AXI_ADDR_WIDTH -- AXI address bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_USE_WSTRB -- Use write strobs or not
-- C_DPHASE_TIMEOUT -- Data phase time out counter
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESETN -- AXI Reset
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
-- Bus2IP_Clk -- Synchronization clock provided to User IP
-- Bus2IP_Reset -- Active high reset for use by the User IP
-- Bus2IP_Addr -- Desired address of read or write operation
-- Bus2IP_RNW -- Read or write indicator for the transaction
-- Bus2IP_BE -- Byte enables for the data bus
-- Bus2IP_CS -- Chip select for the transcations
-- Bus2IP_RdCE -- Chip enables for the read
-- Bus2IP_WrCE -- Chip enables for the write
-- Bus2IP_Data -- Write data bus to the User IP
-- IP2Bus_Data -- Input Read Data bus from the User IP
-- IP2Bus_WrAck -- Active high Write Data qualifier from the IP
-- IP2Bus_RdAck -- Active high Read Data qualifier from the IP
-- IP2Bus_Error -- Error signal from the IP
-------------------------------------------------------------------------------
entity axi_lite_ipif is
generic (
C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer range 0 to 512 := 8;
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := -- not used
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := -- not used
(
4, -- User0 CE Number
12 -- User1 CE Number
);
C_FAMILY : string := "virtex6"
);
port (
--System signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- Controls to the IP/IPIF modules
Bus2IP_Clk : out std_logic;
Bus2IP_Resetn : out std_logic;
Bus2IP_Addr : out std_logic_vector
((C_S_AXI_ADDR_WIDTH-1) downto 0);
Bus2IP_RNW : out std_logic;
Bus2IP_BE : out std_logic_vector
(((C_S_AXI_DATA_WIDTH/8)-1) downto 0);
Bus2IP_CS : out std_logic_vector
(((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1) downto 0);
Bus2IP_RdCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0);
Bus2IP_WrCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0);
Bus2IP_Data : out std_logic_vector
((C_S_AXI_DATA_WIDTH-1) downto 0);
IP2Bus_Data : in std_logic_vector
((C_S_AXI_DATA_WIDTH-1) downto 0);
IP2Bus_WrAck : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_Error : in std_logic
);
end axi_lite_ipif;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture imp of axi_lite_ipif is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Slave Attachment
-------------------------------------------------------------------------------
I_SLAVE_ATTACHMENT: entity axi_lite_ipif_v2_0.slave_attachment
generic map(
C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY,
C_IPIF_ABUS_WIDTH => C_S_AXI_ADDR_WIDTH,
C_IPIF_DBUS_WIDTH => C_S_AXI_DATA_WIDTH,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_FAMILY => C_FAMILY
)
port map(
-- AXI signals
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
-- IPIC signals
Bus2IP_Clk => Bus2IP_Clk,
Bus2IP_Resetn => Bus2IP_Resetn,
Bus2IP_Addr => Bus2IP_Addr,
Bus2IP_RNW => Bus2IP_RNW,
Bus2IP_BE => Bus2IP_BE,
Bus2IP_CS => Bus2IP_CS,
Bus2IP_RdCE => Bus2IP_RdCE,
Bus2IP_WrCE => Bus2IP_WrCE,
Bus2IP_Data => Bus2IP_Data,
IP2Bus_Data => IP2Bus_Data,
IP2Bus_WrAck => IP2Bus_WrAck,
IP2Bus_RdAck => IP2Bus_RdAck,
IP2Bus_Error => IP2Bus_Error
);
end imp;
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.