repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/updn_cntr.vhd
|
19
|
10193
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_top.vhd
|
15
|
8369
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET 2001-08-30 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_top.vhd
|
15
|
8369
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET 2001-08-30 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_top.vhd
|
15
|
8369
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET 2001-08-30 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/blk_mem_gen_v8_1/blk_mem_gen_prim_width.vhd
|
27
|
70652
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MPaacDM0TWg8wcifAVW4jEGylx4PKrqc4CLboKEk0r6t7KyfUnirQwQAphZDsR83L059CNEzB4wD
M8AKmBfOkw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XUT3zAfEi3anHP5UZ9Q64SRw1RnMtcFX7nJsXqsc+jcNnlmbg5PdhmwV7UaFs/PrWKFdgim7UZCy
o9NtHbXd3iHyUEXXZiWfkC6NC5Dndoi/rfKSxw5AtxtcCSaJ3/cb/i40IG38fEOD0mldCmJ0WOZD
xOW9J2aHwV12uWmmUBs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5hB2z6qFvCHrfde+xOJHAAm9Y4Zd5X0rYu4ngUzTSYyHrr6WAc0PuLxe2Zog3gNAv7DFoV1y/Y4U
F6T4flnTjzAqIUvyAW8+maZzCAeWDi8VgmeKHRbLydt/JWB9Ri7GcOoofnS5/hxq8wRCMMkoHbQF
kNzxfXz2j2QXU8RR6+E7pvqcJkK5H/P2HIhS88SnGwppr+eD2lVT18h0s/QB43BH12kpY1JIkQU4
LOR3Ej9QoPTxmx24xAodMjc6qGME333306vLcWETw7evLQ7fHCoyGS8qVr9xvwEOuA+HtAnx7p26
Z/azE34tKzoImCmpb36r638Bv/NLBk+b7agF9w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n2iw7CqdgxuZ5kdEH+pm9NjU5keAcvOSKkOt8pim3KzIVtdYby3hWhnEsC/F1aUQ3kkgfoeHTv/o
nwfMP+AVXxDoH7hATDu0iX0A8s8avaGhFp6novk5xXzwMVnGP5Rbk3GwwADpRNWqzKN80je+JhyS
o3J4z9hQTmce/KBAfWo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sR/mTVuOveJs41YLuqwkxNe6mc/KV56Pt/6c0cIYmcRhmwLHOU3+/VfoPpEClea5ISswKcgmSmEA
91cZp5XMe9E1MxpJldN5YBxK+3XVJrpKIG8b4LM2yC+ZTp/81AZ6CpAKQXOcZAota3bpWOVB7WQt
kPn3pALJ48nc4gaIOk2j5GO0g6BLITkCLwe8Z4XOzYZAEaEB+5dJ58Q/7AbNKHr5UdGO2UVVG5Oo
7GIt9ETizL/sKscnCI3CshbxwDQPtnh9/CAQY2Ci2Oqc2ptOmylUrV0jpazJ/ulKvyLMe7D7sjb1
BOUUkYAI7NZU4AkYW+pW9jcllm96HEkuSjkTDQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50560)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_prim_width.vhd
|
27
|
70652
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MPaacDM0TWg8wcifAVW4jEGylx4PKrqc4CLboKEk0r6t7KyfUnirQwQAphZDsR83L059CNEzB4wD
M8AKmBfOkw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XUT3zAfEi3anHP5UZ9Q64SRw1RnMtcFX7nJsXqsc+jcNnlmbg5PdhmwV7UaFs/PrWKFdgim7UZCy
o9NtHbXd3iHyUEXXZiWfkC6NC5Dndoi/rfKSxw5AtxtcCSaJ3/cb/i40IG38fEOD0mldCmJ0WOZD
xOW9J2aHwV12uWmmUBs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5hB2z6qFvCHrfde+xOJHAAm9Y4Zd5X0rYu4ngUzTSYyHrr6WAc0PuLxe2Zog3gNAv7DFoV1y/Y4U
F6T4flnTjzAqIUvyAW8+maZzCAeWDi8VgmeKHRbLydt/JWB9Ri7GcOoofnS5/hxq8wRCMMkoHbQF
kNzxfXz2j2QXU8RR6+E7pvqcJkK5H/P2HIhS88SnGwppr+eD2lVT18h0s/QB43BH12kpY1JIkQU4
LOR3Ej9QoPTxmx24xAodMjc6qGME333306vLcWETw7evLQ7fHCoyGS8qVr9xvwEOuA+HtAnx7p26
Z/azE34tKzoImCmpb36r638Bv/NLBk+b7agF9w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n2iw7CqdgxuZ5kdEH+pm9NjU5keAcvOSKkOt8pim3KzIVtdYby3hWhnEsC/F1aUQ3kkgfoeHTv/o
nwfMP+AVXxDoH7hATDu0iX0A8s8avaGhFp6novk5xXzwMVnGP5Rbk3GwwADpRNWqzKN80je+JhyS
o3J4z9hQTmce/KBAfWo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sR/mTVuOveJs41YLuqwkxNe6mc/KV56Pt/6c0cIYmcRhmwLHOU3+/VfoPpEClea5ISswKcgmSmEA
91cZp5XMe9E1MxpJldN5YBxK+3XVJrpKIG8b4LM2yC+ZTp/81AZ6CpAKQXOcZAota3bpWOVB7WQt
kPn3pALJ48nc4gaIOk2j5GO0g6BLITkCLwe8Z4XOzYZAEaEB+5dJ58Q/7AbNKHr5UdGO2UVVG5Oo
7GIt9ETizL/sKscnCI3CshbxwDQPtnh9/CAQY2Ci2Oqc2ptOmylUrV0jpazJ/ulKvyLMe7D7sjb1
BOUUkYAI7NZU4AkYW+pW9jcllm96HEkuSjkTDQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50560)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/wr_dc_fwft_ext_as.vhd
|
19
|
13630
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v
0RIeWd32HQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f
MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6
+aBOwyo0CpKkCUVEbxg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+
QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls
9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW
IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ
oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP
Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q
rlaoXYJxfrB3B6n9clU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW
p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7
CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ
Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX
Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block
3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9
bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ
c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO
3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu
XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY
NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown
kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b
hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7
9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ
hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL
3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh
0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm
z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr
0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B
+68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX
QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo
CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg
hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU
ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0
xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj
RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U
z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/
lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe
MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC
d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I
nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3
NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr
w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc
1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh
KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF
f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz
uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB
r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8
SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3
w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL
NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ
ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt
kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI
QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju
wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI
jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj
0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954
qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1
bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou
QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB
J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO
NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC
Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z
Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD
i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG
EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4
OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe
BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz
IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC
0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A
lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag
RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC
s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX
c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj
swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/
22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN
o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2
rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1
PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK
laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66
C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa
cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn
C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr
KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv
BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq
PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo
+atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5
r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+
qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId
5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq
h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl
xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU
OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy
JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo
EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B
ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX
Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ
iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU
eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp
bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y
r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C
BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e
4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T
aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM
ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+
Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG
Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel
0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK
FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s
wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe
RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c
DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp
KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL
4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3
OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l
lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2
ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt
9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW
BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj
PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR
Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK
oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ
q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT
YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C
38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10
2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf
eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp
ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S
nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk
pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde
l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC
e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0
KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o
+NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq
b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY
PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC
54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti
p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc
zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN
+XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI
LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA
8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc
lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O
nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ
xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl
KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k
Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4
YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl
+dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB
rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O
rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD
5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer
65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e
6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC
81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw
cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S
BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd
Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB
kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ
L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh
1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw
1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf
`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd
|
19
|
17522
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jPOKnt2dHOagW4dFov86UptHPGMdrE6d2ZgqMnfJehhzqeTiVLl89did3kf45SSrRMnQy9YGjxY6
jqpfslmzag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbXlwhQ0d0UG8+CBDSNOnRgRBfh1oNNVi5QwoMGV3zJAlkTsnTywwNiy3IArHTxG6Niq+d59upyT
QOuldsHqtyc6KQBpxueCYJG7Fv1OIOGGq8mGjrkLmbJVhJEwBvPv4mlhsXKQ+/UhmQDpF2ZyKhkK
EbgpRIm7ap2EmEdPduA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iaTK7nKuH82rPJSrGYALVeHLyxEbb+9Rh0wJiyQuCqzY3/f+ne/dT7ytF39Hm0BXD9csWKwQp3QC
vOqzo1FyLi+w9Ik3lkb4njvMdZauHueYbVoku659dslyFGV84Aivwjcg0Y5de7FqsEonjWrVPTE4
0oo4m4QHuK8VN0pa+LmuzTIHDEzIPM6IMp8H0IstAk4VaGHg6wlCrG0u2kbbhcyaOKk2xzxiDfSu
gcUy11TT1zHFME/fHUU4VO3aHMSGacP3N+kgMah6x7bBUjBd2rfEXkVcl+/1g+qp0xW2BzItYrMY
Q1wtoE+N2GipiyxU+AmrXQ4zQNqO11zaj/N6Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QkbQ08NIPb90+bNjwXDlVNk6WbvhfydYhJZqryulAczmjZMBvdwitIPmanwzKj9BPStsPNHXyOKf
9PFA9l/uvQOwVNRTz3G2U0+6+YFy3j+qj97mRopffETTpncxm/BoroKpRNN1DrgSjygcTkfrt06N
1lOXW+551KWRUPA+fGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LXGnS/C7HF/SjGcWlSWMUKmilNZr5UhJNWaaWr/ybus0u0ctzmNkXcydCyfmEQe8OngFPF/IKSaG
XMrlZODcxs6BdW6TBJGvkBlKfbvIYg7iCmAit8JvgZpuYsROJrZ/IapJ9XCUZT5PW0Y/S/PoGs0O
fXalNP4hoIYlP5OYjMaSowkFFmCMq49fHUdBBmi6thqlMFhrdpbAhfGoJVYkjStWry+O4YcFvpKw
Q8WXsOAh5J64eppUG0x86EZ8HpsK6EGAeT39tAy+jNSSIcnklat3mhXxMF+BE67OS/DRt5H346yK
YrLlKC5qbVgH7HjzWMBFYeVVtUec0iic45xLPw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/axi_reg_slice.vhd
|
19
|
17522
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jPOKnt2dHOagW4dFov86UptHPGMdrE6d2ZgqMnfJehhzqeTiVLl89did3kf45SSrRMnQy9YGjxY6
jqpfslmzag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TbXlwhQ0d0UG8+CBDSNOnRgRBfh1oNNVi5QwoMGV3zJAlkTsnTywwNiy3IArHTxG6Niq+d59upyT
QOuldsHqtyc6KQBpxueCYJG7Fv1OIOGGq8mGjrkLmbJVhJEwBvPv4mlhsXKQ+/UhmQDpF2ZyKhkK
EbgpRIm7ap2EmEdPduA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iaTK7nKuH82rPJSrGYALVeHLyxEbb+9Rh0wJiyQuCqzY3/f+ne/dT7ytF39Hm0BXD9csWKwQp3QC
vOqzo1FyLi+w9Ik3lkb4njvMdZauHueYbVoku659dslyFGV84Aivwjcg0Y5de7FqsEonjWrVPTE4
0oo4m4QHuK8VN0pa+LmuzTIHDEzIPM6IMp8H0IstAk4VaGHg6wlCrG0u2kbbhcyaOKk2xzxiDfSu
gcUy11TT1zHFME/fHUU4VO3aHMSGacP3N+kgMah6x7bBUjBd2rfEXkVcl+/1g+qp0xW2BzItYrMY
Q1wtoE+N2GipiyxU+AmrXQ4zQNqO11zaj/N6Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QkbQ08NIPb90+bNjwXDlVNk6WbvhfydYhJZqryulAczmjZMBvdwitIPmanwzKj9BPStsPNHXyOKf
9PFA9l/uvQOwVNRTz3G2U0+6+YFy3j+qj97mRopffETTpncxm/BoroKpRNN1DrgSjygcTkfrt06N
1lOXW+551KWRUPA+fGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LXGnS/C7HF/SjGcWlSWMUKmilNZr5UhJNWaaWr/ybus0u0ctzmNkXcydCyfmEQe8OngFPF/IKSaG
XMrlZODcxs6BdW6TBJGvkBlKfbvIYg7iCmAit8JvgZpuYsROJrZ/IapJ9XCUZT5PW0Y/S/PoGs0O
fXalNP4hoIYlP5OYjMaSowkFFmCMq49fHUdBBmi6thqlMFhrdpbAhfGoJVYkjStWry+O4YcFvpKw
Q8WXsOAh5J64eppUG0x86EZ8HpsK6EGAeT39tAy+jNSSIcnklat3mhXxMF+BE67OS/DRt5H346yK
YrLlKC5qbVgH7HjzWMBFYeVVtUec0iic45xLPw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/eval_timer.vhd
|
15
|
11230
|
-------------------------------------------------------------------------------
-- $Id: eval_timer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- eval_timer.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: eval_timer.vhd
-- Version: v1.00a
-- Description: 40-bit counter that enables IP to be used in an evaluation
-- mode. Once the counter expires, the eval_timeout signal
-- asserts and can be used to reset the IP.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- eval_timer.vhd
--
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 09/12/01 -- Created from PCI eval timer
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No generics
--
-- Definition of Ports:
-- Clk -- clock
-- Rst -- active high reset
-- Eval_timeout -- timer has expired
-------------------------------------------------------------------------------
entity eval_timer is
port (
Clk : in std_logic;
Rst : in std_logic;
Eval_timeout : out std_logic
);
end entity eval_timer;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of eval_timer is
-----------------------------------------------------------------------------
-- Constant Declarations
-----------------------------------------------------------------------------
constant NUM_BITS : integer := 8;
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
signal co : std_logic_vector(0 to 4); -- carry out
signal ceo : std_logic_vector(0 to 4); -- count enable out
signal ceo_d1 : std_logic_vector(0 to 4); -- registered count enable out
signal zeros : std_logic_vector(NUM_BITS-1 downto 0);
-----------------------------------------------------------------------------
-- Component Declarations
-----------------------------------------------------------------------------
component Counter is
generic(
C_NUM_BITS : Integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0);
Carry_Out : out std_logic
);
end component Counter;
component FDRE
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
component FDR
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
begin -- VHDL_RTL
-- set the load value to zero
zeros <= (others => '0');
-- Generate a 40-bit counter from 5 8-bit counters. Register the carry-out between counters
-- to avoid timing problems.
COUNTER_GEN: for i in 0 to 4 generate
-- first 8-bit counter
FIRST: if i = 0 generate
COUNT_0_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => '1',
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(0)
);
-- register the carry out to create the count enable out
ceo(i) <= co(i);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate FIRST;
-- all other eight bit counters and the carry out register
ALL_OTHERS: if i /= 0 generate
COUNT_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => ceo_d1(i-1),
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(i)
);
-- register the carry out AND the count enable to create the count enable out
ceo(i) <= co(i) and ceo_d1(i-1);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate ALL_OTHERS;
end generate COUNTER_GEN;
-- Using the final carry out as a CE, clock a '1' to assert and hold the eval_timeout signal.
FDRE_I: FDRE
port map (
Q => eval_timeout, --[out]
C => Clk, --[in]
CE => ceo_d1(4), --[in]
D => '1', --[in]
R => Rst --[in]
);
end imp;
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/coregen_comp_defs.vhd
|
12
|
52359
|
-------------------------------------------------------------------------------
-- $Id:$
-------------------------------------------------------------------------------
-- coregen_comp_defs - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: coregen_comp_defs.vhd
-- Version: initial
-- Description:
-- Component declarations for all black box netlists generated by
-- running COREGEN and FIFO Generator when XST elaborated the client core
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- coregen_comp_defs.vhd
-- |
-- |--- XilinxCoreLib.fifo_generator_v9_2
-- |--- XilinxCoreLib.fifo_generator_v9_3
-- |
-- |--- XilinxCoreLib.blk_mem_gen_v7_1
-- |--- XilinxCoreLib.blk_mem_gen_v7_3
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 02/01/2008 Initial Version
--
-- DET 2/01/2008 for proc_common_v4_0
-- ~~~~~~
-- - Adapted coregen_comp_defs.vhd from proc_common_v2_00_a to create
-- this file.
-- - Changed instance of sync fifo to use new wrapper file that will adapt
-- to FIFO Generator primitive.
-- - Replaced "edk_generatecore" with "generatecore" utility call
-- - Removed the CAM component
-- ^^^^^^
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 4.3
-- - Added Block Memory Generator Component Version 2.7
-- ^^^^^^
--
-- MSH 2/26/2009 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 5.1
-- - Added Block Memory Generator Component Version 3.1
-- ^^^^^^
--
-- DET 3/2/2009 for EDK 11.1
-- ~~~~~~
-- - Added new Parameters and ports for Fifo Generatore 5.1.
-- ^^^^^^
--
-- DET 3/30/2009 EDK 11.2
-- ~~~~~~
-- - Had to reorder parameter list of FIFO Generator 4.3 component to match
-- the corresponding Verilog model due to NCSIM positional order
-- dependancy of parameters in vhdl/verilog use case.
-- ^^^^^^
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Added fifo_generator_v5_2
-- ^^^^^^
--
-- DET 2/9/2010 For EDK 12.1
-- ~~~~~~
-- - Added fifo_generator_v5_3
-- - Added blk_mem_gen_v3_3
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Added fifo_generator_v6_1
-- - Added blk_mem_gen_v4_1
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 component. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 10/04/2010 EDK 13.1
-- ~~~~~~
-- - Added fifo_generator_v7_3
-- - Added blk_mem_gen_v5_2
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Replaced fifo_generator v7.3 with v8.1
-- - Added blk_mem_gen_v6_1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Removed blk_mem_gen v6_1
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- - Update to use blk_mem_gen_v6_2
-- - Remove out of date components.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the component decalarion
-- ^^^^^^
--
-- DET 3/7/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR596052
-- - Added removed fifo generator and Blk Mem Gen components back into
-- coregen_comp_defs.
-- ^^^^^^
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library blk_mem_gen_v8_1;
use blk_mem_gen_v8_1.all;
PACKAGE coregen_comp_defs IS
--------------------------------------------------------
-- Declare general attributes used in this file
-- for defining each component being used with
-- the generatecore utility
attribute box_type: string;
attribute GENERATOR_DEFAULT: string;
-------------------------------------------------------
-------------------------------------------------------------------------------------
-- Start FIFO Generator Component for fifo_generator_v11_0
-- The Component declaration for fifo_generator_v11_0 pulled from the
-- Coregen version of
-- file: fifo_generator_v11_0_comp.vhd.
--
-- This component is used for both dual clock (async) and synchronous fifos
-- implemented with BRAM or distributed RAM. Hard FIFO simulation support may not
-- be provided in FIFO Generator V10.0 so not supported here.
--
-- Note: AXI ports and parameters added for this version of FIFO Generator.
--
-------------------------------------------------------------------------------------
COMPONENT fifo_generator_v11_0
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0;
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := "";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0;
C_FAMILY : string := "virtex6";
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0;
C_HAS_MEMINIT_FILE : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0;
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0;
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := "";
C_OPTIMIZATION_MODE : integer := 0;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4";
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1;
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1;
C_MSGON_VAL : integer := 1;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI4 Stream; 2: AXI4/AXI3
C_AXI_TYPE : integer := 0; -- 1: AXI4; 2: AXI4 Lite; 3: AXI3
C_HAS_AXI_WR_CHANNEL : integer := 0;
C_HAS_AXI_RD_CHANNEL : integer := 0;
C_HAS_SLAVE_CE : integer := 0;
C_HAS_MASTER_CE : integer := 0;
C_ADD_NGC_CONSTRAINT : integer := 0;
C_USE_COMMON_OVERFLOW : integer := 0;
C_USE_COMMON_UNDERFLOW : integer := 0;
C_USE_DEFAULT_SETTINGS : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH : integer := 4;
C_AXI_ADDR_WIDTH : integer := 32;
C_AXI_DATA_WIDTH : integer := 64;
C_AXI_LEN_WIDTH : integer := 8;
C_AXI_LOCK_WIDTH : integer := 2;
C_HAS_AXI_ID : integer := 0;
C_HAS_AXI_AWUSER : integer := 0;
C_HAS_AXI_WUSER : integer := 0;
C_HAS_AXI_BUSER : integer := 0;
C_HAS_AXI_ARUSER : integer := 0;
C_HAS_AXI_RUSER : integer := 0;
C_AXI_ARUSER_WIDTH : integer := 1;
C_AXI_AWUSER_WIDTH : integer := 1;
C_AXI_WUSER_WIDTH : integer := 1;
C_AXI_BUSER_WIDTH : integer := 1;
C_AXI_RUSER_WIDTH : integer := 1;
-- AXI Streaming
C_HAS_AXIS_TDATA : integer := 0;
C_HAS_AXIS_TID : integer := 0;
C_HAS_AXIS_TDEST : integer := 0;
C_HAS_AXIS_TUSER : integer := 0;
C_HAS_AXIS_TREADY : integer := 1;
C_HAS_AXIS_TLAST : integer := 0;
C_HAS_AXIS_TSTRB : integer := 0;
C_HAS_AXIS_TKEEP : integer := 0;
C_AXIS_TDATA_WIDTH : integer := 64;
C_AXIS_TID_WIDTH : integer := 8;
C_AXIS_TDEST_WIDTH : integer := 4;
C_AXIS_TUSER_WIDTH : integer := 4;
C_AXIS_TSTRB_WIDTH : integer := 4;
C_AXIS_TKEEP_WIDTH : integer := 4;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 5 = Common Clock Built-in FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH : integer := 1;
C_IMPLEMENTATION_TYPE_WDCH : integer := 1;
C_IMPLEMENTATION_TYPE_WRCH : integer := 1;
C_IMPLEMENTATION_TYPE_RACH : integer := 1;
C_IMPLEMENTATION_TYPE_RDCH : integer := 1;
C_IMPLEMENTATION_TYPE_AXIS : integer := 1;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Sync FIFO
-- 3 = Low Latency Async FIFO
C_APPLICATION_TYPE_WACH : integer := 0;
C_APPLICATION_TYPE_WDCH : integer := 0;
C_APPLICATION_TYPE_WRCH : integer := 0;
C_APPLICATION_TYPE_RACH : integer := 0;
C_APPLICATION_TYPE_RDCH : integer := 0;
C_APPLICATION_TYPE_AXIS : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH : integer := 0;
C_USE_ECC_WDCH : integer := 0;
C_USE_ECC_WRCH : integer := 0;
C_USE_ECC_RACH : integer := 0;
C_USE_ECC_RDCH : integer := 0;
C_USE_ECC_AXIS : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH : integer := 0;
C_ERROR_INJECTION_TYPE_RACH : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH : integer := 32;
C_DIN_WIDTH_WDCH : integer := 64;
C_DIN_WIDTH_WRCH : integer := 2;
C_DIN_WIDTH_RACH : integer := 32;
C_DIN_WIDTH_RDCH : integer := 64;
C_DIN_WIDTH_AXIS : integer := 1;
C_WR_DEPTH_WACH : integer := 16;
C_WR_DEPTH_WDCH : integer := 1024;
C_WR_DEPTH_WRCH : integer := 16;
C_WR_DEPTH_RACH : integer := 16;
C_WR_DEPTH_RDCH : integer := 1024;
C_WR_DEPTH_AXIS : integer := 1024;
C_WR_PNTR_WIDTH_WACH : integer := 4;
C_WR_PNTR_WIDTH_WDCH : integer := 10;
C_WR_PNTR_WIDTH_WRCH : integer := 4;
C_WR_PNTR_WIDTH_RACH : integer := 4;
C_WR_PNTR_WIDTH_RDCH : integer := 10;
C_WR_PNTR_WIDTH_AXIS : integer := 10;
C_HAS_DATA_COUNTS_WACH : integer := 0;
C_HAS_DATA_COUNTS_WDCH : integer := 0;
C_HAS_DATA_COUNTS_WRCH : integer := 0;
C_HAS_DATA_COUNTS_RACH : integer := 0;
C_HAS_DATA_COUNTS_RDCH : integer := 0;
C_HAS_DATA_COUNTS_AXIS : integer := 0;
C_HAS_PROG_FLAGS_WACH : integer := 0;
C_HAS_PROG_FLAGS_WDCH : integer := 0;
C_HAS_PROG_FLAGS_WRCH : integer := 0;
C_HAS_PROG_FLAGS_RACH : integer := 0;
C_HAS_PROG_FLAGS_RDCH : integer := 0;
C_HAS_PROG_FLAGS_AXIS : integer := 0;
-- 0: No Programmable FULL
-- 1: Single Programmable FULL Threshold Constant
-- 3: Single Programmable FULL Threshold Input Port
C_PROG_FULL_TYPE_WACH : integer := 5;
C_PROG_FULL_TYPE_WDCH : integer := 5;
C_PROG_FULL_TYPE_WRCH : integer := 5;
C_PROG_FULL_TYPE_RACH : integer := 5;
C_PROG_FULL_TYPE_RDCH : integer := 5;
C_PROG_FULL_TYPE_AXIS : integer := 5;
-- Single Programmable FULL Threshold Constant Assert Value
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023;
-- 0: No Programmable EMPTY
-- 1: Single Programmable EMPTY Threshold Constant
-- 3: Single Programmable EMPTY Threshold Input Port
C_PROG_EMPTY_TYPE_WACH : integer := 5;
C_PROG_EMPTY_TYPE_WDCH : integer := 5;
C_PROG_EMPTY_TYPE_WRCH : integer := 5;
C_PROG_EMPTY_TYPE_RACH : integer := 5;
C_PROG_EMPTY_TYPE_RDCH : integer := 5;
C_PROG_EMPTY_TYPE_AXIS : integer := 5;
-- Single Programmable EMPTY Threshold Constant Assert Value
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022;
C_REG_SLICE_MODE_WACH : integer := 0;
C_REG_SLICE_MODE_WDCH : integer := 0;
C_REG_SLICE_MODE_WRCH : integer := 0;
C_REG_SLICE_MODE_RACH : integer := 0;
C_REG_SLICE_MODE_RDCH : integer := 0;
C_REG_SLICE_MODE_AXIS : integer := 0
);
PORT(
------------------------------------------------------------------------------
-- Input and Output Declarations
------------------------------------------------------------------------------
-- Conventional FIFO Interface Signals
backup : in std_logic := '0';
backup_marker : in std_logic := '0';
clk : in std_logic := '0';
rst : in std_logic := '0';
srst : in std_logic := '0';
wr_clk : in std_logic := '0';
wr_rst : in std_logic := '0';
rd_clk : in std_logic := '0';
rd_rst : in std_logic := '0';
din : in std_logic_vector(C_DIN_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
rd_en : in std_logic := '0';
-- optional inputs
prog_empty_thresh : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_assert : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_negate : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_assert : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_negate : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
int_clk : in std_logic := '0';
injectdbiterr : in std_logic := '0';
injectsbiterr : in std_logic := '0';
dout : out std_logic_vector(C_DOUT_WIDTH-1 downto 0) := (others => '0');
full : out std_logic := '0';
almost_full : out std_logic := '0';
wr_ack : out std_logic := '0';
overflow : out std_logic := '0';
empty : out std_logic := '1';
almost_empty : out std_logic := '1';
valid : out std_logic := '0';
underflow : out std_logic := '0';
data_count : out std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
rd_data_count : out std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
wr_data_count : out std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
prog_full : out std_logic := '0';
prog_empty : out std_logic := '1';
sbiterr : out std_logic := '0';
dbiterr : out std_logic := '0';
-- axi global signal
m_aclk : in std_logic := '0';
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '1'; -- Active low reset, default value set to 1
m_aclk_en : in std_logic := '0';
s_aclk_en : in std_logic := '0';
-- axi full/lite slave write channel (write side)
s_axi_awid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_awlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_awcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awuser : in std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic := '0';
s_axi_wid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_wdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wuser : in std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic := '0';
s_axi_bid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_bresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_buser : out std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_bvalid : out std_logic := '0';
s_axi_bready : in std_logic := '0';
-- axi full/lite master write channel (read side)
m_axi_awid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_awaddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_awlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_awsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_awlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_awcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awuser : out std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_awvalid : out std_logic := '0';
m_axi_awready : in std_logic := '0';
m_axi_wid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_wdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_wstrb : out std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
m_axi_wlast : out std_logic := '0';
m_axi_wuser : out std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_wvalid : out std_logic := '0';
m_axi_wready : in std_logic := '0';
m_axi_bid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_bresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_buser : in std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_bvalid : in std_logic := '0';
m_axi_bready : out std_logic := '0';
-- axi full/lite slave read channel (write side)
s_axi_arid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_arlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_arcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_aruser : in std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic := '0';
s_axi_rid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_rdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_rresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_rlast : out std_logic := '0';
s_axi_ruser : out std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_rvalid : out std_logic := '0';
s_axi_rready : in std_logic := '0';
-- axi full/lite master read channel (read side)
m_axi_arid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_araddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_arlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_arsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_arlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_arcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_aruser : out std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_arvalid : out std_logic := '0';
m_axi_arready : in std_logic := '0';
m_axi_rid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_rdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_rresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_rlast : in std_logic := '0';
m_axi_ruser : in std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_rvalid : in std_logic := '0';
m_axi_rready : out std_logic := '0';
-- axi streaming slave signals (write side)
s_axis_tvalid : in std_logic := '0';
s_axis_tready : out std_logic := '0';
s_axis_tdata : in std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
s_axis_tstrb : in std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
s_axis_tkeep : in std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
s_axis_tlast : in std_logic := '0';
s_axis_tid : in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
s_axis_tdest : in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
s_axis_tuser : in std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi streaming master signals (read side)
m_axis_tvalid : out std_logic := '0';
m_axis_tready : in std_logic := '0';
m_axis_tdata : out std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
m_axis_tstrb : out std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
m_axis_tkeep : out std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
m_axis_tlast : out std_logic := '0';
m_axis_tid : out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
m_axis_tdest : out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
m_axis_tuser : out std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi full/lite write address channel signals
axi_aw_injectsbiterr : in std_logic := '0';
axi_aw_injectdbiterr : in std_logic := '0';
axi_aw_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_sbiterr : out std_logic := '0';
axi_aw_dbiterr : out std_logic := '0';
axi_aw_overflow : out std_logic := '0';
axi_aw_underflow : out std_logic := '0';
axi_aw_prog_full : out std_logic := '0';
axi_aw_prog_empty : out std_logic := '1';
-- axi_aw_almost_full : out std_logic := '0';
-- axi_aw_almost_empty : out std_logic := '1';
-- axi full/lite write data channel signals
axi_w_injectsbiterr : in std_logic := '0';
axi_w_injectdbiterr : in std_logic := '0';
axi_w_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_sbiterr : out std_logic := '0';
axi_w_dbiterr : out std_logic := '0';
axi_w_overflow : out std_logic := '0';
axi_w_underflow : out std_logic := '0';
axi_w_prog_full : out std_logic := '0';
axi_w_prog_empty : out std_logic := '1';
-- axi_w_almost_full : out std_logic := '0';
-- axi_w_almost_empty : out std_logic := '1';
-- axi full/lite write response channel signals
axi_b_injectsbiterr : in std_logic := '0';
axi_b_injectdbiterr : in std_logic := '0';
axi_b_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_sbiterr : out std_logic := '0';
axi_b_dbiterr : out std_logic := '0';
axi_b_overflow : out std_logic := '0';
axi_b_underflow : out std_logic := '0';
axi_b_prog_full : out std_logic := '0';
axi_b_prog_empty : out std_logic := '1';
-- axi_b_almost_full : out std_logic := '0';
-- axi_b_almost_empty : out std_logic := '1';
-- axi full/lite read address channel signals
axi_ar_injectsbiterr : in std_logic := '0';
axi_ar_injectdbiterr : in std_logic := '0';
axi_ar_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_sbiterr : out std_logic := '0';
axi_ar_dbiterr : out std_logic := '0';
axi_ar_overflow : out std_logic := '0';
axi_ar_underflow : out std_logic := '0';
axi_ar_prog_full : out std_logic := '0';
axi_ar_prog_empty : out std_logic := '1';
-- axi_ar_almost_full : out std_logic := '0';
-- axi_ar_almost_empty : out std_logic := '1';
-- axi full/lite read data channel signals
axi_r_injectsbiterr : in std_logic := '0';
axi_r_injectdbiterr : in std_logic := '0';
axi_r_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_sbiterr : out std_logic := '0';
axi_r_dbiterr : out std_logic := '0';
axi_r_overflow : out std_logic := '0';
axi_r_underflow : out std_logic := '0';
axi_r_prog_full : out std_logic := '0';
axi_r_prog_empty : out std_logic := '1';
-- axi_r_almost_full : out std_logic := '0';
-- axi_r_almost_empty : out std_logic := '1';
-- axi streaming fifo related signals
axis_injectsbiterr : in std_logic := '0';
axis_injectdbiterr : in std_logic := '0';
axis_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_sbiterr : out std_logic := '0';
axis_dbiterr : out std_logic := '0';
axis_overflow : out std_logic := '0';
axis_underflow : out std_logic := '0';
axis_prog_full : out std_logic := '0';
axis_prog_empty : out std_logic := '1'
-- axis_almost_full : out std_logic := '0';
-- axis_almost_empty : out std_logic := '1'
);
END COMPONENT;
-- End FIFO Generator Component ---------------------------------------
-------------------------------------------------------------------------------------
-- Start Block Memory Generator Component for blk_mem_gen_v8_1
-- Component declaration for blk_mem_gen_v8_1 pulled from the
-- /proj/xbuilds/ids_14.4_P.49d.2.0/lin64/14.4/ISE_DS/ISE/vhdl/src/XilinxCoreLib
-- file: blk_mem_gen_v8_1.v
-- Verilog file used to match paramter order for NCSIM compatibility
-------------------------------------------------------------------------------------
component blk_mem_gen_v8_1 IS
GENERIC (
C_FAMILY : STRING := "virtex6";
C_XDEVICEFAMILY : STRING := "virtex6";
C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_AXI_TYPE : INTEGER := 0;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC := '0';
DBITERR : OUT STD_LOGIC := '0';
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_AClk : IN STD_LOGIC := '0';
S_ARESETN : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Write (write side)
S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN STD_LOGIC := '0';
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN STD_LOGIC := '0';
S_AXI_WVALID : IN STD_LOGIC := '0';
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN STD_LOGIC := '0';
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC := '0';
S_AXI_INJECTDBITERR : IN STD_LOGIC := '0';
S_AXI_SBITERR : OUT STD_LOGIC := '0';
S_AXI_DBITERR : OUT STD_LOGIC := '0';
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT; --blk_mem_gen_v8_1
-- The following tells XST that blk_mem_gen_v8_1 is a black box which
-- should be generated command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
-- ATTRIBUTE box_type OF blk_mem_gen_v8_1 : COMPONENT IS "black_box";
-- ATTRIBUTE generator_default OF blk_mem_gen_v8_1 : COMPONENT IS
-- "generatecore com.xilinx.ip.blk_mem_gen_v8_1.blk_mem_gen_v8_1 -a map_qvirtex_to=virtex map_qrvirtex_to=virtex map_virtexe_to=virtex map_qvirtex2_to=virtex2 map_qrvirtex2_to=virtex2 map_spartan2_to=virtex map_spartan2e_to=virtex map_virtex5_to=virtex4 map_spartan3a_to=spartan3e spartan3an_to=spartan3e spartan3adsp_to=spartan3e ";
-- End Block Memory Generator Component for v7_1 -------------------------------
END coregen_comp_defs;
|
mit
|
HighlandersFRC/fpga
|
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/blk_mem_gen_v8_1/blk_mem_axi_read_wrapper.vhd
|
27
|
57813
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gqDFw5NFAM6CTSTQpb6ewV0dkTDze+wC3QoGAxwxbjcNW9/DsOht+2F009+7g6jE2OnhGLtqTq+c
HspFg2GBAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OROCzcjj1wgCYlIqlabkGZopoXwccuhDPoDiFwbBlsbzl7flKX8tC5m+07o0XejIs9tQT70vCTz8
eor9UB573WqZyEwu6nS7RfReZTn9rXIEfFTmb5LNQYR53WQufFJWXVGGzbi12Azu0TUMNBykYjra
GCJvYkOLjulS+N02/QU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
y306+4wGPVAAsHa7Tcr0Z+Y/dNy6G34dYeGbx7ATqkdiT3xoZwFMriTbyxCB/BNDpEEpWtR2x6B5
1geIXl7xRsYW2a/OzYZ1VgC14cIMMrlyvjd+Q0oeBhNwIf7zzOU0YeLe10Ln0VhNNlM9hG1yxJpm
PklN0o7dbe4z3qSMhzdrqG9CNO1AfE0zEYRDe4xK7ci9EcGBPeIBnjhSSGUwaUeKV6BzeVeTBH5k
pFfAdDfvgi3P1VwvurSSAL/VyrhWR7M2OhP7fekXRqEU99K00pFciI0NAEcJPUl8pbYtjc86ccu3
OmuQ0fZKcUeaRlPX6glqeiiehMLm/EPWzCdMgg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gSn/ibMO73s4UyV+DQBAOvPjnov0A3ONpbzDn5S1gDHbJc8laliw/uAOvABs0KKAN8Q7GKr5UYxh
qWYO6FhJPBG8V6RCU+sAaoeSnleJb/buC83HgJws4chUKE1EbA08UnkA2E57wCSfAlSkdEQl5xrl
E4NsCY7zrBmnjMH1Xu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lI1FhNfWvnI088CMtuEIyHMeXPGNhtlppeaUXaQvRzrpC6F1bRvO696fznybaYq7K8VPJB0YyXVb
8oCJzTtV2jMI6KoF+McAzbvubpz0ru0XOCjjvcTsZJ3kGxHGUlKh6xdlB0Gez6kASJJe4GeTuEaI
VZNg+Q6ea8OLPKgQf7VICmBv1vM4svyVLDI/pSGiGOmfSMrfWDP60zo6tHpkaDS7uHEj2WN7lXT+
Q8c1SGnQvLeKyHV/kGG66fpNSvILAslBR0l5Xt1/csaBtahK2IV70dxaZkLZ2c3pylf+SxXTt7v2
CzVvxEgWwmwKjiuhBgmVM6qeL7+tokO6P+FlQw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_defaults.vhd
|
27
|
32589
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WrSZEf64fUAl1kVl9HWWVm9JOgHMmzn0fv0uusEaRSoZ0YHKAX+sj6D4gL2WXWrV9+rdMofvPwNs
9A6zs8psHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R/iTmfCVAo0uuZTRynJ9b5Z2gujQ7+Xxv1u+96JME6mwR6F6/MPV4ayotodCx+xcD+9l4Ktib8Ml
C05jFwQ5vFi+09RjQvyvxQAR5CtE87QE5Bg2A3Gt5QmE+m7ZfJiQZgi5YQHL3kAHS0jfaofTkZIU
6VFVSW/fcrod0Swq7VE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RAfB7dvLyt2uCWNWspMeHiLYPG4TlOk+8Dptz+NhWH6nMzYrNkf7IWIjXk3hEVf7lwT/X64pynoh
QoCCtl9AW1iC77VMTIu5MgFRizuZMUfXZ0crSPULV2aGonx9nQ5JKx8TiRv5BTWxeAsuh1lT/5p6
2v08ZCt1Nwa8GPmEeFnTZsTB1B0jFzZQMa3GGdV0nEcSjDo4bLIkw9sMEBW2OdUuvE5yIHF6Z7++
/wzulmNKOqQpmeHrq3r1VKkMUHNzsDpLkGo5HMiTmEUJr/s3uq2EhCIq1agWSVbcEjS5uDaYcwdG
D4cRvgOxtT5sxpWA4fivRX7vvCyun+C2e4pYew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MsyF52v9pEo5RpJJtfhlgAJQ/9a172C6pJMP5S/aXQMuRuv2+JV5wCeynUZSXHj38Ger421EXuQd
EmO2OIKWiz2pShaEh/NwF+InGDF0QzD16vAgn24LAOYAOX1lcCquf4w2rs7e+0dn2PO/GYRn4rxl
E65F1qdRiZlUeVoRHdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
klspBE6zapxwDIEksFW+V3vEj3afpsQxyK1CWGpsw53FDriMhZB4hONIr9yRSN9nitmQ+6cnlGM3
S4Cxnkb334zdXXX5YoppEYaAdCcB5nDsYhSpn4PyPhd2ANmiSIXxEjiEJ9MDJlVIobzrtkNgFEWA
QkqC/Eky3QLBOqPuDJIgkf5UFynGEkI3eWzGSyuNAHTTYXfoLlYBh8nelaKS5vgYh7jpllyo5l6k
hn08k3sWZKuN1S8dwb88eFGM6hwg1UoX7pTnUY5yGPZZS0JEiN6WVWRmh72r5l3yyFZOFNcvByJJ
z349Odlh9AHKI6joGGP9sLtbKDrZfmu9y/SSsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block
5reB9rg6CsQz+W1jfHWR6t3i46ZMLyMILRT4HqeptAmKfzkBlH3syjcBotShktOxh9wFXknALZ82
SZZXPv8yBHlg6ybT29JvhgcRbz6htFljwCjseds8JQp+i8OYQTIqlwiSOshBRblJMG1KmLKa5EpH
sW2DXXy+eMwkyIQaeTEQwktUybsIyqp22QNWz/9144bWr+ujOiIQswAmC+hvWteYm9csRxOqqy9T
fRypvllkjvCuXsyKR1EcPNryuBEuoFXoJKRp+tI7Zq9XsBzuibScW9+C9M0A4A4AoZKfBP9/e6b8
jzH1BafuGP80GNxYNtU8pYmMdi8JnjeCI9gP8OPxT0o7ROilHTp5HJlI0UtfVWHjty2WjGjHqkcL
CVFyNo1fhz6JraLjnw9jpplFpJ/ZNn2iA9rpkP8GgwQOz3RXXwCUWXtUWjHyQEDKtKSWfBLzJESZ
52lPAJuVtrDqMSuCfzlowrb1QPqhqDo2HAlidDks3hpl3yAOx5XMtUNrSEXSHMvzwIpsnRzNJtbk
lv3qvgmyiJ4FdSS/c/N5lvTBxFKPWD/PqdyBvx0Hm37BbQWUkLt44EY8cnfEgtNamn7hIJ1d7mhP
G2oAiFGH4vnPpJjYIe/KinPWKmitHIAcjybvGjxv+0eGrVgLqkHnjoTg9X4T3V7L8vChJQCiHBgP
6tvO4DAkuon/AFJmPn8qWgDi5esCkVWM8mxcIpKdUl8QUFJCMpXSOmqu5tyQpo0tlF4AzQQw2gCM
7F+osyzeuxGK/5f9AfcARcwkRe6XYEHeuf8CsMmUjT6xt2xVcF6YZQZFt0hCRC2OB7JyvVbyl37t
P+nRiti3xnfKC/Jv3Wrkgwmq8Ag3YkvoGnuRxE3hJb7PR4utDAn3AHM8PMnb6LvyWuM4afJxbJwQ
85AbsPbHAd2fJV2SHeS+3gmPpisK+9Be/5jMjb+HTkdfUZWmvHwnf203S0841xMPvvGwMmNK+WXL
y03TGDFdddgF9rzVQlwJmjWG2nbYefQ77cRumgA5OgP7ORkJuxxbnGwATn9prme0MXGljKuj0wAv
qVPXlEicK1VApr8BCMWwHAoNjFWYu0Y4ixyEJ98psDS72/UqRa4BC4KDGVRApawG0rtOES6h2RUD
yqgZwHGNkx6v4sZCiL8258nv5EMHDK0ug5MY5NpHD/PHU82GQjYtQtHCNSRaiqnlRBtJ6Gp4i/L3
Ys8aUXXLXzdgZLky59kS/N1sUXay6uSwSlzh/uJM+nkhQB/SHXllHqXtxBaJRfRlHRN5fmlzIhTV
zOYMEZVAbVmT32KHXAHoS1/MYusQymVvDJuPQmTr8Tsu1u8Sy3d62/US/aqhA6SXLBFx0uvwcQ6U
u4Pp5lpNHNEc1tr7/aoHfiWHVRjYPGor/BErRmpCsZlQx+ZiEUWWdYfaqNKvy3KWZqtbGzu0xIx2
sv1+pvAEnk2YTs4kBBOHlcI65jGm3rpIZ2ahr4r9eHfdVCjAA9CJ/1XHHwGvJ1QuqFPGPpTY0nrT
9gwrVGsvIbSHub+fv2YG5pzOZjS/YApZRYRqsi0d9XDMKUAnQVZVTkIFtWOjsiyaL4imAINJQZ30
Pp4dmuXr8xNcE1OYtLjlDojlMYLSgTsjqX6yWWBuTz4j+3oPwTtTpK8w20izgqtaH5ZZaj4FYLk5
zS2fysj3m962YX43ZZa0wXc9+sWt62s4kTphTUU1kEKCQhLqW02KJHjUsihsgNq9r8XSi/slGkdh
aCQaD6pN4jVhFRrkrxEG0ILxej9e7ZO8GH/xkmS0chmROZzXf1a5DXVyZ3mZhzptZ9p8o9UP3RbK
EpxKmIC2XfNeMU6NwsgPldvTPHTZzZ9Rw92/D4NvVknTdcqRcZV/0KEFt165o00RnfkAuUXk017g
LZICMjuC+k8adXqLnWdMIJ3SLRqKDzTKbBVj1fOmlve1MWVRXqv3FAtSCqljK/g6MxHdxZzNN8jF
jjtVHeMGWmYL/w2S+3pqc36gQRK0lcAQbpqL6IkoOVGL9McJttbGqpQuM8VxCV8+XS9YGZqE54fM
prnztx9LND+Q/nq4DDun0w6jYVbdkBTk/5UBrz1EpBgpxlKWgG/FUWbdGP93iGWB9UcLxhJCN4TQ
kE3Y9QS3sWTSh1nPj6Gk2kXNBtwVQRq0nfkfqkbGIaOIIdAUTPgpLRe9nm1U6GfKx1LvMxJggDKA
8Z34te6TagsnY2c2pRLt4Wib/ce9zTG25vsKm/uuXNvkHeHN4lb49TWh8kwf4FdHO1oSDLv7KuW2
lDC3GVWnYwkkl/erYrXjscp7Ty/RItVSl8lvMsACG7abcAIhovghm8relGOhhXDWw07bm0QFIE5Q
R8VGT9ulO0MC8nTk1y4kih4yhDqnlec1QXXawqSgjid4LzrwObakteL+W5so2YOJVtZliaisMSoi
VDShXBW+X1V6BnpEotmA83zRqcXnxdAjSbpp8SnzN+Yw9s+TkLVMK8/8t6XUP1uIMYbnHsC/SAKO
Pt1VE5ky28n9I1eurKS3609WDCYk1mTvbjSgWfV+nDSBWZFAu+fmHAR7LiKZuri+hYMDwv3I7fEl
Uc2ef9KR4UHBsr1PUtv2IJ6s/FJYpk1ULvgpWvd45Y196r4THS3yYQgVRyZJgksm8nCoYf/VUnra
ROO/Flvjo5eoMmJEkR/kMCMTDWI2Ctz6R8waVPDeks34/0wmrrXIR3bE4xz0b2J3QoDr+FdYt0vl
4M3I9+4t1wtbXGWtwXnHHDB/DDy+G/S+M4T2gIH2uG++4rQyguPjYj7iUStzjAe70LiMOZ/X7FaI
cj9IXcRSixCYuE6cKWc5oJ30e2BwRLoLvEwtaupLttPj2/VSUazD1rVtoX/jUQjk8FMz079Up7Bz
nXGfg0Bypwp4wCpcj/zIpI8Ci44akGirl2ZmQuQNZJjpy8GXy1mvdeiVx4tzxuURmE1HNbKt8YY/
pjIVg9MdYEbJlrUDKnEP3nM/BLRiw9/FP9xWrN+tWsK1MBoLHut6IUW24UN0RyhYqW0MQEbIw06D
fntw6qG44CfNaa1V6uW3ovkRL7s7gb7BPlChM6X6g1dgx/CKkfKT5qKbbjYCiMWJhTwJiEti2bH+
2Bj2gl5VAExl5Is4KzZ+66U5xUq+JAlTdj0nBZQkdt2Bx+vPpPPczg8afWxSD9cIXfCuDIqZx95h
fOaxXfzDwA1bW7Y1YSu7oGX3dddm3Exqd9JMRpThyGFFynCLDmK0V4UNtitFoPTxQUuccjuUJP3c
F3C5eGLuExLvEbFaTWTyE/NFhVQESLzWhjgEibXb6is95C43Lj7x87P0ZIJ/1IJb+alBGVrd+ZCP
H5D7+ShAGMKn1ZiTZ35OFaWsBNdHkEUy/KzUdIyMGoczz2M3gYPcfoCOAf83jaxUwqH6zRJNcWPT
0+JVLwDq+99xZBsPcz0ht62p6QWGJNwaSsm4r7UrOAZjuy6ozvPHQcKx+Y0y9EMj4bUdcgiWgpsT
CQVWE8jcdh39LkQypakSorZqaskIkSCTwiG/lnxiOTQyyMuqAmE0h2pMaenrhmQkwkKI4L2BIWrS
sKENdKbmx6ShcrxeA3W3gnvXb8SzbuOo4aq7xYJcc2q67pifryj9OlG120o32DMZgBV1lTtNFjiD
dUaWXMzzAnMvtYjMXzrm9U6srzXWa8Gg/JaBlqqwkBXz7d1G+rfNSevxJyuxEMQLs4/HgY2RZFts
P2srP6yhuxgUdDe74/HY1gYMGxobDF2Nxzhv+fT+q7+kZ2lm+knrgsLvf8KUbH1hsY6zkuz2C7b7
2GvuOKxCHG4q6JvzjtUlBKUVyQnE28Rr5Bi7z/3U2b1hkxc/XedOxKAdUWod9d0dRa0mquf0uivm
pFEXEyY0tAmP3O66XbiDzZnKrV6eQCt5WI+6Sgz8hrkxQq8BSQ2JCf2N1CFsIRLskPW7wiRwH4Nn
GlwSPabrni2EdG7IoNparwff5XdgL5hCfCCZavdiH59m3R9VaS5CsbaYjhauE/geQRAqkAw8Gejc
qOO1gOWS/otDZsI50qYgur6L0W3C5E4dqRgjYOH8H3P1XD+jNslrt7u9ojB3NpBn1CpkMqGuECjO
aD68B4K1KJRYMeKZ67K43mC1vKI/4IF/o0Ld+PQ+FdukgA1kOigFkgSNLkoH8UO/5sdBb8l3Y+EK
6y08cpsstzXGBtcKmRIyeeJWIYSr3Y/bT8igb+IvrBquHcBeZ/zo7FjpyE5oWO0mYG6tH2OImlWl
2eQYjUzNQXaLG+lAgFj8ju97lMWJmnbnOfYTzQYFHQV0NCoTjxCEGlghOinHjvDP/bFnQh1O4CIW
9gZrJ2CXdRGJ9klyS5gUy1gSyJCL2TYDJLJFRA9BiKeHmLrOXkjkbk4s/lNoaOfRU5NDLfWnL1W3
9kCL7cvsOX7SBOe71u1nS16RLazNwW8775NP5/ZyVlV+eXJF00iXxAIcsPfUThJnbRiVI7McO9/g
Ao0GavgcTqoFsKM4cQCqF45NzMUd8sAwpn2uPfHLR2PXd7E6qDKp2Vu8isXRLWhRVWouRscAO/M+
Hiha38j10XOU4L0VfqbzzP4dUckPlFhqO76thV5Ws3+xQT/QSoWV+Iic4KIpzjm2qKjWgvJUEg3F
NMjXfXxtI/7D6N5M++p/rllKxqhbMzmJrw1pPlro8MV1WTfDQSZMZYYXb+JbZcgPF3KSLMEjmHkq
ArC6extA/JfGsGREgePfDElZcv2BM8mAxNEO6nadTjDmmbHTurD/0+AuPqx0d6pLiNwgTXp9zFGT
sZ2/W3qihL11bqPUc/vzc2yIFWvx5BFRNh38uT/mZ47a8EUwmx7zbyFLlp0pxqgR1iwMMnvJUOOa
t7g3F16gEpoyAGxzg/lc9sTqZk/dmw49RRxKUVrnZVZxdXaEqFHfyVVPgr+hEcyPT+zUyBKVsEs0
8C1kMIXvlwafQSuX1O3YzN0hfLaAkc3+XopgZ3FAhd8p9W7O81Wzvr3Kf1drjKTqXTQ6AfBXfqi2
NIOdO71tSrXCguqKrwiCxMvAnDfJvLzWZv76rPAyP7rb51WcWNwXUl+cN6rTblMJMvHLNBYIZIbg
lgPXLPppZwy3SeT/fux97VMYozg1sdCiAXa9JvgcL87ZQao7spUztDHRMVRCrpvaA4uWVxJEVfBJ
2tJ3NGuuodEOS7894r7WjqzStJzZG9tBk3MUe2B3EIjAOC6SxMHRnhU0SgQ9guNS1mycCAkYbozQ
x0nMvIfF3mWStil4yuLtFvVepdztp3+yUuwnxaLvy5/IUGOd/luyJnO9VjRZyyyPN0P7KRIp0Wri
pm4v+78NcVZHy7mzvJ+YVtVEmdAZlkTZIfGUIy2hkK5g22EbvZLe13vyqzo/kAPvUrNURF2OCu34
HpBmP9AImcHPRvweemNWODYSunDOo03tyqQ1YcSacm/1mGJ9RZ8ggedBunt9diLjCXCxbXGUuXTF
6aPBP4Layz29Y1ox9FBxKb+p3W+NkLvyM2LyWAP4WOhj0/rTOp2yzh9w9q9uZCLnxwoZg5QuBN8o
neD47wIfkFOwU3mD9xICdJR/vpPAZxvyNVmGFh0P7kwseAlsATAITW7fj7xvUq7RfN/gsIRDYOaU
9CX210FNkgWyyiQqB5PFYGYyp7rs1A2plumdTJd8LNpns/y/JhAzHNg8auYtTtFxz9pk0Gmw0Gt6
e5W+h5dfeUJzkSw9BMBeUds/J0uxZyWufQ6OGCzss3QlgtJ8JU4vdoAKpJS0Mmzkgz/abPQDMFFC
z6d7U8e7wb9jvEf7h2h3qVahR1QaDZQfqB2Sjl3M/ut5kwjtqhjTXmfh+H6BBz0qJLJtu+MX5PP4
hJnEy5uP+Qo3nXg1FMJYTmcDxxhpwfEHTVeoDdId/wvpq0bYAQXWKfInCYrlTA4Ix26IqGAsfFEr
cyLQyktxYArYhbL48mtRzMO9uQZshdk9IEKcEFFjSaGZgfqKBfHoJkHFhrOBfFJGaS0P7zXrQglY
xzYtXUhDwzr+rt39nnmnzBdZaPHL2zFxZJhl9sSIZ1Llvlnw/8uh8GZrTGKY6+bxncFv6PcvUcSN
YdWhcAkSGrGZNVKqFkj0d3UBfDXQLaMuuOnbMGvEVasZZWbgpDvmVdEtXMtG2yrY8lLO0GyT44Do
ENj4rZ7s2h1i+jGSiD6Cu5QG4KqtU+FEJ7Ej3MkM6gLUa1XhxlWAkxXLH+vCMKPS0MVqniqS9dwD
U+lRykNgC7HhhTXuhJI+c0aHuc/zSLmtI3uRSxZ8A2ORV6CqyxMcdRYc8rXUcuAJdjlpOBHYx7yC
kcpEN1EI/y9n5sF1TCuWoXc3IjBbgNzhF/6VnDXN1Oznt88dYZdX6SoCBxnj7ojjHAb8hkrDSitc
6i7OuM2cEGTGnHQeNv4t7FNbKjVlJQfWitRXueYZXy+HiK5MwHIPcYW0bxHJD58dCMDQeCDp3zt9
zudDXHF0J/jlKFb7rWT4qJwHCV2YVMd0bW3CnW+rriA0P2ztKcwiQA48VS/7Kiuudn3D/YQhBm7F
uN7JI1aoJh/dp/n8w47dK698tpBf1xOJwY7MshbgvgJ6naJnrFLyK8cJU911A3JPb/yuUZeRQDzJ
PpLmQY6g59Sym8YFXE5NdqQaTGK6aBC2TtJSM60zpgFKV5EQ4OCsoJ3+0fE7MT2ninlS49V2OA9f
+ZJq9DGYrM2mC/crihk7DJvck9k9qCXGswnB6YWvMSQe1zYE4d23utg3CnItZThkiVXE7vhIGGi/
pnu5BdcPKMePZT45jnGNeRh1BNVPQSuhVukjhjM0JMNrQ4GqOrupEKSCi/dFEQ17S7vg/M2w7rwX
eOxbZ1ujC7dpm2YcnsvvvfwqM6r8EJwL0ybtTNf1cCFtgLBy+45ijOoXEIv9iL5Qk1GmiU7mGC8H
MrgKRo+ODFy2LdKotSzGngyXaqayDfQ4jbQ9aUKhfId+dSZ0vAGbZjtkS6MXj1bq1nbxInuwZYu1
cXh4L5Ovgh+JcdCa+tJjHoCV032WaxKhnFt8+eCMD6fjY+GKRwHRgvj8yLQOpmrCmhlGPCmzsmmd
KHLmooRcMCaK72f5K1eCsuIXKd36apjrQm3SBnBpjlvoQpVcMz3nfgbMJ7Yi6GxWfn43i7FG2Z+I
T4/4woCzSUBeeQvJNrvdpBYUrZ4aAEel5+R8hEGTfWzaT6kS2fQ6NC2x/udE1dQ6DVabTrCS/hCe
/1pE3iFwhxXKCTmWbE6DuPKry8OOgskU1aX4bEss1oe4mSS3Rz6T5rnwHdzGqc8T5Ua5BoGIgG+F
zykfCHhcySOfqRQlpa+f3ht0KRYXXOSaLJ87m5JSY+Uhgn9YWe5kGkEAkUFZQfgs/DWb94x7fCiz
IxEcVzUyYmrck8CAe2ixYoM3W8jhYD8ZN2GUz4bhz+uMeSF6FEgSkVQSsTYk2W95z6vYIEcig4zl
cVIWemn1nv4Y0f6VaiZMI30L5uq8XjDrlDgvrxBFgA+fd96ltD+b8FhQ0HhsjOmTyb4qQLRPYXJg
bYKZ4cyADPKZl2EMKnzU4buFm3W9ag1GgA5A1c4UX1wg3CDsWQCzzI5opXZwc5OjJXYrj6JYh9Ut
owqsbCS8CXZYMwNjTjFKqAJxIW6fBlDdYm0Gt6ez4QYJca87hko3wfe+bz4vASA/O06Y7t33PpLY
SN4yO0rkpNmGnKN6bdQPv8Ty2qlURktR49RWGHMGhSzF+cDrhYNkHcMeJlIt3tqf4FLPYc4qJmGS
9YFlSrSN/phDcqVyhYlPLQrwVppydoHclTaR9WtmukaA+5LMs1Dv/LKMa8C/trLSgjooRHUWsBCd
ulhoDubisUTIvdpZPD+P9gyRpn1oyOTmUhWsjctixTombcPwS5VLFMMjTT/huJdG6nNpYn2L0zpw
YrSLkwQSVT7+o/+CU0rqlYp5W/fJ6kT+WcUSAG4YgIZFwM5WNaXOLRbpwe4Eb0LC7RFzFjfzHJrc
DnaeH9srM+6NJQFe8gjb/PbEiC97IBwt7F/GQ+9HQCd0d+ja6ZRW9icEopMtDzbvdtmYqtWFAU+t
Ik8wGDhrFeYr84KtTDmpJ9+yQHSv8W0g0eNFgcInhmDjlsMDQlBNIeM06PPApWHYfIAdw/zPFcg0
V2wCxNVE+jUeKO4aAHqATriQNDZstLuMpPfdku+5oXK1DpZQqvFrX7WFCxI/ihc7qvh3T6eMUZTJ
dkDYf2WrZ/pnKhrG7vzRa9TwGulAFG21bMnxK+fXAFewkofZw2Wrc/ZuFi0KOt+Wys5hEpFnLefa
Ew0APpm4fNr8ZHiLOcwYGlxPeNYb2PnAZpIQtTquUCgMC/wV56a6uRd+pi2BvTBvdretoLcyDaRm
CZVqzn2YGgx/gjIlVOryEFSMROGEeez/bzwBkMCjkQUvoq9SQ0PxNdm2GmdqNUq8pbidDmZt/3R6
AGqVv9Rq8v56wREviHlISePsiyewItlIC5suluI7RRKaQF56HzpWT+dY054DYw7Nfwz/zZglx9hA
2/iLArcouboAwYdWktNK2159ubFsHwb0r9QiTi8rw96YbIQabDkIiPXb/NaDOxa9eC6yvXPFpYL7
j3IPZKqNQo0aJcPh0dMQ8I8NNizqWMfdpbE+CH4T3agyF+T80505/SSiaqhXVdjkB9zKFlPrBRoD
Y9JTn2bFjGVabtAQ4p48gDLgZhDn5Vpc9VJOfwy0BB0X02jmxBlWTnjEqYjiHI1Zl4AzpIigNw+0
DUQoqFN4jqfLHaENQA0Jl1gtl757WcCECjOGBNYZeX4Je4nVTKxCjO7EOhLtkN6135mZhqTZgGbG
DsIJ0BFdSjQXiKAbJYtB3NAl86RWOQP0eY1QhrFGaGpGrjAGHQXmZ/Z1QVU9H1Vc1SzusxFvfy8V
QeFpCRo5BPozA798G2x8+m1AqxP12MTCJcVqRoFSBH/gie7dMp/TmEmWoA13ZOaqnzCOPr87++BB
AeJvbFWa2UCL6CuLZqqGhjRT4AJz+y/AiNa17QalIDvdXX58dscvaxfD9tJovKdB9GtaRPTghjAZ
DlQ7uqlAtc66K4ffcyEyY6J/sk6ibIn86kVEC4XfV9w3lZ0Mcl15ZbxLm8vCe6Ind6OzYAAia57z
xW4CFye+g+KQK8Ptn4hZ21gmUSbimwIhqPGE69ZChBo9dFBU+3kHMF7HEee9XWnKzSn3UivJzuyF
RdeucmPvrMFX6UEYHX1mCGVyY7rDRqOu2Lh35zCl2/83o5ACwggHZg5+z54KQpVHcGPPBCzgmyOg
4QaWv6ztkJMPcz0awkFuZ/8HoSv5sO3sjkqwmFSPXCJUxq2GZ3xcwniEAQS6qUqpV4NCiDMecCR3
8auMPbqwhPP1DLKqxyFDpMwD/bFpFs6kO/miD64gDfMSvb5nAwVACpt+efwEa0hKy6bk9pzwCd6i
ZkG6PfMzr8cjPgB36S1IcYxV58/HA14dBw6wVylu+WkLfLs+FcS5kUstl+jmIdi0CB19pqHLqY1f
YQUbVp9NlcZWy0BIaV8xkspl1RzmnyMGtSdFBXAIlwMrJxBkzr6KPKSKEdf4o7yOoHHnJOEGIweN
dmkgjzBYsiK+s5H9IwV7P0W/RWVAwl1OjTXrGzsL1yKtQFKvwH1uzFUh7zeZzpe4AZqrcGYI31sF
QKYUFThMh11RHOl4/3ghfZxzXuUFRCsmee2CgQ3Qi2ppLdQYwZSt1upv4zESfwFOJyHNG6BjG49I
IrRR5/Jl0tmRGGu3dHsEQnSnmdw7tazukDk0hEJV+DwlUJng6vtz3ni5WfLTiCo+H+Ch/86Ufhrr
lfGV1zqycg0i8pRnwQuzbl/U6HhJZ/Ewqv0wsAwm6ta7klPBVOdwmUa5ZYeqviiNWhTq4IFY3qrW
4VOmX9bwlhKS0mS6i+qiX/1cpeEIaLr4aBBtJ3P0Ou581h10wXFWnPMOQZ3ueg4ihb30H3h5tuSX
YBwwdFaxk1qxTuTztQ2q62N5WVaSEwDMVqGooiKLOgwB7GVz/F4y+zRVvLGgSMvUKvobQzjcGRo6
WO1MiaYYxKr9959sJ2ijpazf7XckBTX4D2lVy6MCb+rS086LZ7K8ghc1IJ3Hbm4ocZLnaHOYOChL
BRX+v0Bxb34/6qXwjOPNFFcSu7KYbOEdleu22dHrEoi/3sqbOfBdTDN34RhbU6EetXWOTJbl2cY6
p2PBlxLdp2PvyG0OVZCFuyaJDMgV7aSgQQtsUR4tgI4PNXTA5G6c90A0NrTZYYDG6QQVHvi9I8G0
eujz0fpJHy2HuWvDcY67PiPU2j4bmuSo52fjap1m1YfQhDU4fSbLyVqU738Bey7Q73tsLR6nxrHq
m2Pywy/1Qlakmp1jCxUstqfBojW8m2+60GihKizLU/AnPQThGfAkMQ6l3QDQbG3kozjspNc2m1q/
3oYg8gg5TiEDzKJUgtXBEPw9nzAOiyqL35J+aFMUBiuT4/dqXXU949rvNTSswYjplHzOD5kWQ1Ky
FiCLPUSvZ7Z9+luysoew+l2RuHLh8sxBXdRGL9IDTuAEUBclN131DpfsvJL3YS2f2DE3MNlYpSMg
MwNZJhmpgFGKqqgm09J6Gz8VTg253Vuk9FgcoF6DszRjwKtluIXovqYaK+fYybbtry/o3ETpKMIK
TdCvKKBJz18Yz8loYi/r+J5rdVoZBJ8GZpiDAbMN2sQzAV4Kffahe6o+0CG0dW6bcjnvcMU9Gxb6
v7akJgHAM8kY9sRFL/g+Ohzg2OGCpC4Qna6R13UzpQJd50WOns0qCOFzbG4QP22TQaUXi5J1Di8O
XjTXttgc/taUjtytPjOU/vntsBi846rqp+dVJzi902/RXwoBu5J/b8U3OXs9eKfbY4L905aPcvrG
1XdeqPxGeodxsXnkdvgxkg8XaxG9GWNld2wzg9pNGJQwvPkQTFl9zVcs8PplPhMGNWbK0fhSEGBX
pJkuuA6xeWjjzTP/GfCEwFNvkATdOJl3xE6Wpzvt+eCb8Sy5L5DW+IA6WEC5RyTjPfxLUPFt8et+
qZq2HTsU5FHVHGtQtkk5PC0RE4yPqPO0kkCO5RaCZTfmDhgCXyaq7ljQ9jsZp0vfVMI+DyPL5Lh8
Eu+uDnVRC/1bAbCDHbJvxNjF7kFEwsf6fq5R1HrNgp3nSsyYMzBAEcwMWnl+bnAOPawQwfb2aMfQ
UwFzQIoWqhdnUY6MZ3O3ZoPH2vIgZdIm/WkBc4PFwzobUDl785a5ZlPIsCQCbahCUKaXOSsSEKxO
sDMRnkHYv7K1edraDu4TlZH114w6kBbJYzSZZO4eFEN1VPUFJy9TZL3emTfuZTZTT4QsTTprGgux
J1ep84V72VDmzAFor9dHwZgG8nFUqKljCSPYyTaR0X2UrUmiYMo4UuWgNN3Z/dddf4N4kVrlTR/p
k2cias/iBPmO6WGglzlt10rEu5j8kYXrdl4PJVXVWEsx76RIWzM2mc/5dkiZB5ZK41ZD2XMXOLH1
l1UxcHV9aVcJ6wtd1+kl5P3Il1L5+RGSFj339ZaR4smVga+GiWZkuChSJMXLam30U5axVwvlDTiV
N0oENuGOcOz2St1gYJoO8/FpXn/DmCV5rFs/IeUgewQm/IEB+9l8re5TF4UUIo8T+KQMPXBMJhMr
0heG22BkdryK9zMrTn5PQ6aRnuM2ypwEupFtjKp4X+WyCxL3Su1TiXMEDOL4WCButPMMmaZS3JGe
w8rTOFbhbSRc+OoU3gSqm1qyu/6X2ZsGBkukWsefZukgJRPRCVgDLi99wlAgqGrZoqh3i+HbXmoe
KUh3qOUzENDgz6gFRT9iYKd0Rrrk/hGYKALwV1rtjWUCB8lBaRnUzSpk9R794MZbpfYCBNd2pq5H
y6ytKK23yCF1SpxXP0nYSbXjN3Mt0m5ii1I+iNGYsnBmaSoRxAn5z4MG+TXl4Y4gHl1+QXDnxDqs
fxB5i5Gj4Tc7WTbUkO94Dg+jc/O7dPt5oyVvPmBcnlS6NCDLEoHeYyuXpH1gC5i76tJzVt1KJuuB
4xZ956r0vr7C6MM5wnQNWaYWbAt5k21+zLvwE58hchP326th7RxheuEOxUT1GW26ToPFkh1Pcxxs
PDsAkk/w+azZQuxviifzNwbBx4eWLFgOVIZ0ankbonYfYe28zAENM9ima+dlnklp9rrOlWg/LRxg
ndJZx+DgKzQXw4Qpe04CkIY42+pJGFyxEcHdDV+JepAP6PhEbf1CJu7AC57bam8qPnY/UbGYbPin
8y2aOIXFHZ/4cJlCepZJW/5rHmo/CDi65OZRkXWAqoPSC+4Z2zIkyfrxb6wII3cTdxBulfN9ea4s
nLRtL01SjGy/BTLg5XUiL9djnx3PsJpCD8ES8B7FZef3eBfR/91TNa0tsA3debiHA2WCwFw31490
dF8PN3EL7gKk0LCzB51jO/SXOhQvpNcYJXOGtZ87QPDERFw/S5v8qRLOzMEXtkVyMUASTrOpheRU
/q2us/9POgV0HFXOrK66pIWolzd5kdkH0/3gEQJIF+0xoiDWlYLdR6ZVS5nBP6e2y/wgcfRdmXlR
Ipox1it8fVO3xnpHd5exrwww8neoCgqVR9x+8X1SDJs8JYLkncLqY1oJ4UIGPKLAQRrRGlLzbnR7
ViAZdD/E7DwKykEWxkIpkXejLSCFo+L3k4NMtb38/xSUyuICR7Baf5BrcOx2YjvVHHXkNwmWkY3/
v7sZN3Yn3zp+ZIBHKCIxcpS2N+nAyKq258gKF6lgjklncOxlCtHKZta7oU4AW0et+qyx2Ll0O3pJ
N6zCz3C4ingCb+wRxPaFmQpuZIAmiFTYzVLvYCIvKopcm/dVdoHWCGJjjYNy2Tp+b/QjdpDSaU8P
JWSKwWm2F7+BCEv9WHzF2FohMk3izr6YYHLA3dinOqdbHf/fDKT/LgxLOof7IBHdxuoMfraxic8A
BPjiI06woP0x1lghHULH7fbCbS3+Byv9tebJyUSqW5vIykGaBO5DnRp7SfqaAHJ/gNUNNgrLgelx
7qPRHh0k2nSDKgAi6V8/Mpvsvh18FNqlTchn/71uxn34C4pGm+OlfVo0QnnMXYpcbTnNJK8cWBRS
+X/6oKoGjExTuK9Hm1Uorzjs70rsPZ/4i92p3AW9bWIlXszbTGBowFRgxzKU9qUJ21Ne7NEynkZi
qUO4qTVDLopTjCFNe2g/iSYKa0p8m0UzgqKhrVbH3oJWT8Qh0DMrtPNK1oLw3NgIDkriGUof3WDT
aHVRT5roCgTEzoZFW3xImMoEzN0QMwFpY3YrODiGCH5nAO09E0EE8/OsMuE5vreB5sbdqaPyoVXb
4TJ0bg3zLej25ZDRmtP3RiJzFzbVwq/umRHLDYichFTBLDUl4QnYaNptkQCj9s0XSuN5/7tebvzm
eGmuU9tAvZAtNNtrVRCgfcSZPH3dYZMlgy+O9ipZXVQPdFLL45+kq+bZUOfYUZI7M+7GUR7mJzhf
KNTnF24Ng/mjj1RB2J7gpbvcOnlHADGGux73p0ealMGMiD9f3+obZ76gbW/xsYSJEvtxz8q+FyZs
uLmcbwRpC5RUXDigvOkCgFhZKq/dPIMMjAwoe3ec3Kfuib2dU6jFnG50wugiT9CP5FkmWiQIk34F
W6SGogeFdJE8+KKT6h+kKVifiFmHEkzlFWQzZrRQnAsi7MmLmM80XU38lJzfZ+it9OSEbk8iuTwN
UW+daYpeOKg+3Cg6boABQErM08ntWeSwOyiy5XYUfF+Sc2243vz84vGyQmmJD9EPqefpKSJucZT0
UelipY/9zxkDot7Bfi2p9imzdjGMuwy8abUlXtPmw8tRGKwURTZrles6aCEJJWCBOqkCchVMUOmO
2DQoJwecSTvHQGFrwvHSfBPurZJVvxQR4bPE27ty9H5sTuLSfBVuIjzYPVXdqnHCgly4ilxm2XXa
8qDjSCbycI7Q1hJBJtpocnwWX2EKSzsmk3Dih8wdGP0Wy9ChG1xKZMvdiZEz/T2lRLwmj/PsTD7a
HkxXalj1+3h/7CIF4WsA4ePg3NgzpP9fjM0pWRo85D4QgxvcNfR9QLTGVplZqR1bYxyYWvgRO9Cn
miQsoGJ/EvLJSaG2YuVw1cCvYH456PI8KeNHQlw4gzkCVbGSvEExhHfNFgOADs6hCGmNUtgkMYkK
qU5ianxgci67kEnc8hv4zgAkz6EiE2D0qA+R2jOb51TPC3U2ejnMYcLwI1mmeMx0T4+SzuLX+Ll0
HWGwxAUI/hg26NtkcSnPOfmCMINvX5h6aRYlSnfoMaoaErzxWMWvbTHmHc312E9UhnRP9wtyUL50
GK1DtwKSK5kP97NmRjBAL0jvb0ZQ8Mann/5n3crqDltFP1vinNyj9I7KiwGwITVWuLs9t1n0JpVd
7rhhRfY7iW1SJ/TCBOOdHAomF0gY7ZOocotifmErwAdeCMyUbgaY0mVmD+egqiHpNudEpfdrn5Og
G2497wRG+gi0GxZOQssL+Q0PBrRbIvEERj7JmpLckQUFhAVIkWOra6Rb7WIK14UiVncMh7m3B9Tk
LZ2IUxmHLLlz2QeD/+8MikDx4goIrzHUs4uyWnSOUiqJwNZXgl9yz86xQTmvaMM8vSLIqXHpb+qO
Capvtp3Mhof1txBR+JSgLJcsX5ade6rNqT6OsZ3FG27+q/64xM9JFmD0hWysshqHnlOhKcVtEh9g
uoCeb4iclDbB4wRETx5P4IL+8R5Qgy45oQRtjS1SIRuQVub6D52Z+uBviIaFxn4j9LPhdOHuimIM
PY+M891qSI7VsQZ92xzrCT4V6D0ZlYjOthgbkNbAvK7ycaB0N9tr8YCLPD5Sop1Oh34y0biYZVeD
Tb4L4TzFEfgfxAzS2BCJqQz2MoNyn+tG9B3AvBBd7eiblfl4SN55aCInNXNXLqHr2622OF8WnT2K
ZwxRzHNkAXfwC1SrB9t+jhwTQ3ZyJtZL9fkzPIlDoCfzjOBT1/INZPVWNg/RDqAQ69TH4ty5di/r
zWLSyZy2xvlvv8ua1HwbPnEF1rSp9a36XZy3iMSxx6Zm0RkBk6mzYrAtbaWaNFfcYh3rIJfAPSrC
g7gQ0MVDosCqaq4BI+tLet2awAazSjAvHGibNi0MIry3IXVPcdiGg7jejTjLHTl/YBEmtHTVek/3
QoOm2upXJFWCsDP/qIARBbN2qY8Zi10sKDaMGKY5tXPU+LsI97gGaWV5gMUIHpn2/1hXhhz+6LD0
NS1QHaSC59ZKxvm3ijValdyyK0tHCaUzegjgEHuD/lsbiG41GaUOiecWbuaJXYqkAP4NUTAxR1pL
09ii6MdVBUk3ZJ2uN39x3WAaPyKDgt/rFHP4W6yLVEiBuzw+CO01t3uBJzVBi54R++VbWeyGgrxc
Y1kuGWPBIKsmduR1cbYpPF94m3YXo7E5hGcpSVB4mA6lZ/TXbXD5l9ragVSShUko2zevq35dwcuN
sUkhwIEA6h/AGNQoDlHa9Mm0OjDftmvNFJxg8wF5t6h5ZD0WYz3xik8o6B0MFNKdSecmVCR5g9qq
LjYN5EtY5ycTmqLsYRiki0gM1ikR7CpbTDw2EpoJB8RPBtxJJftbtzq0XxAZZ3bBpb69dVdSdwKC
Xy8LUw4wxPusV8KulBG7il1vTC/UGoKWj3S9MPAG/QBuEln82GBvF3ChQ4envULHzsIEk8BOIWDg
uisXuxWZo5dQnvlLWykkB6hJ1g/Bvhs1mt5xsQ+8oxJ9grY1YH2dq81q68HngVpDRVjylwBx81On
E1Zr2IJQMSDo/uEghqM1l3H/EWPukhcAm7baHChzCxKp+nqhUtjoLYr9o6/XeiIJu3P+w6jplEwN
dhgGXu9vlFhqHCb05SgSEiaQJCrXxtf1snw4FJil02OZlBcjF6alkv0Tv5JkQ3yMMZJVvcRtyS4h
jm5a/EH0y1LyS+4jstpEsK9LvlmLxu4HUZ1v1p9HZNczrRUHLQZhZ2dSt7GFSA5nBdOxGOA5oFSH
YyxMvbZyWzacKCp4ZsFOhL/Y19jpzz4g9cF3C4dop2XOU0KIY+ia7Ma8NpshowsIkn277n8He0yy
xZf9eKnDDEFrXWMnv1SdAKGbXSPEMJXccfB4jV9wzh109nr/PJKh4zz5K7+rVKcDOY8obLNhDT/H
I/Thx1iz4LpCJXfRcW3fLI4Ew5goOvptSFPeTrw88xGaWBx16zRWm4EoQ+Tutvac1Rw61ayVflrS
Xaha7yWg3KcvkIvEISnNwuyU5mVJyXZjASuMHk37q1pzQfQ9+7Y8PgzDHP1U6ZcyFiuy5aYT4Idg
TE2YzlyeV0z93XCcodtMh7kTjgLddPtoGWAkhjoYzjphP4w4tKVmCuVX/mpoz1qug/MY/DCuYBmj
RI77rd9d/Pjz5tkHYTJs4TUZOFX52FVobX1as4kAT/nNzZtW6SeWNt7A1HQTqA67J6UHplgT9h77
bpQjJMM0zbLe49G9Vnjf5xJ5CQ2KPyTPl6LyndKFO2fUKXiYxPCTSESOUMBzFybRTLOgKYAzog87
oESj7x6C6lheW2fIfy2n+AVFFfTDeiSky05SAJDZKF1lWQAVfNOcPZIGBGOQWNp0Ym7nPz4MnW2m
11ySvYZ4C3H8pAsRLH5vN7SCLMvg1hz2dPh86D82GbNPSyaTK8watzADvmV1RbHG5OCOmJP4WDaB
WwbNhjvL0A4gJ5mC8Qwt1chAZ7hLX0uDU6nd8O+OANqRBCrmYI6ya4uHQRjcIXaPmfdo5mnn7TfR
ez490eZP7yDXkl8LMJlXM8jJ4RYOC/qyLM4u5XwViSR549pdxnd76S0fp8xzdBvCy6E2bEIweVSo
PpTOZ8+zFwK/Zs0moQbyJMKx+ExN/NIT0fUUo4L+AbmgJKQ/kUddNSplKqCmwG6ko8oimJAzPKvn
wq3dDTgrGhaPMiLHoIM5HhH6xbhjm95PzRgtGnNumz7PZxmjU/oS6l7XDFn1qkyFHjGMxIlyI9xY
//JT3TGg85IV8U8QItJDcxkzFdIM+kY/8RVHUn/xQQgYy/KxWKi33nsT2qdqop6LTYRkUqXt+dN8
/+bVZHJCg6Rm2Mbrc2lmIKDJHBSgYmFjkOjvcp1QLttma77n1PqP7tqIom2jUX9a7U+HwHCrbQP8
LnVN4s/b5Tp5/CPRyZSXWN01HnHceK67ZwSNavlfZ4qSQuunBPfcWIRLpy/j7x2gRexrgvfTkjvT
d6xzaJctSfelqh/r7JsTGacKEvgSylQ9h3Szc22FzopOok0+VQQ9xjPKRamdgWK4/YtjhxVoly8D
/Pgkjv2hx4dNea82KHobLN4T/Bwr/aroMvPLQB2AluuVlqfMcfzRZEA8hI1FN9epr+zkmJht841i
ui+xCJ1mXzmdpAOmP+2PwPL9m6ww+TtfEoZ4l2avoNU+sWpy4l9uWHJmbY3wJTiKgMCUN+ZRb5Lb
Xn9qnM+XGoeXP0ZVnSq/QO1nb5IR5qQl0FViUs2iFtruzjXawyHqYRvyVYUm6q29YS1CNv51e8D+
l4mnvedDxDrCCKIPeaJJzdop7dVLXMbfsZrcmTiZSZOfBPI0viRuhaUcnn53Hom4Ea/HU3lZANmd
vJCgCG//sYFK6ml4d5yb4cZogflKv22FHAH2d3ACkm+dR6aufTGGag6rJmxiT3dNRpX6abwEBTa1
ddQMg7VGfCsMfDknkLu4c9kJ0AtYTg7xnyezcqdRelvAHLjAJ/GnGURUWMmvvr2AN8JOKPoQIViD
YKHdwAc6Vf0tNl06zRkBBd6gdUfMiFj25sdYP13qu6WL5BBa3PYx+UKlTfcXe7iAzWK18CeLdVwE
k3WsHWWMt4mF2kyJdaSd9hcLLpB4YFtVg/nk5ECUNxcbhORw1Q99Say/wrhdI1UvdXTRv7o++Av9
hTrnPEpcOdz7ayLRU6iC9cU9sxCOGoD7JE6Euc26tA/TrUwzaZGAxB6+aUvShGc8udT8zwKN/t63
3OAWsYDNPlBfSVEcBfFJIltGGJvQhBw/nbGA7UsfyNdDDPvTPBoVq2JUZ8IuPQ+l2quILBqVxlEP
8XWiizmjINw9VEJSNyDEPuu3QluXPKUh+2YPa1DA9hHLyLEBaJpf7o4C/16vUa0BEfN5jv15saqn
qRjhar4l4VwgUoW+tykJ1r7PiMXBCDQaUAMHmd/L90j21L+FiNk88mryU9Ub13SgyTrgpQGOZwO3
PLT8WIjuU3PHFHNmSg3nH8JzZ6isoGIF85PoaFiwxv6NZoS+Ozje7C9a/J5exd/6bb/kxNxaaAAr
mIXy6XjVMi0Ive2SVMcKa3sJuWfgZtP777UB0TlHP40yQiU1npEwzuVVTgkOyy+ElpsqxM9WFODT
IJIQgC+uIGJlhhYfVDm09k5HkL2ZNX2irP2Nb9jgrJCooOg6ccriGmaBVpmo58JxwzEhvjeT4jp9
RnuYhp0ygUNfY5YB2jOM0IeAoCl8BwvgrUocUWTGxdb0unoibvvKBgpvmYPF/vvj7cZCIdYPb34Z
rBNWk9SPdH3lVKOTOX7LBSjWzIipsFw0RnJxJSoG64ThZ5c0R9ygpR17I5rqLLLQ7ViKAjOkeIM6
CJbEYqrNzumDllFLm7uupioz5qeChtT8XmMT6HH+f13VpkG6zD5aMlcWVJ/1J0zjQeEI7KGxUZu7
cv/e/lMlMf66ANhnsR2SW2NLNp7ErQp84LvQhc+NOQd+EBLewiZUwBMF+o+l6Jy2l+ov4VC2t0ce
/uq5dETK+U3ZB7OjdX+BGoar/aSHyJAVfSBzH+cyZgfNYKnBg+hPX9jAgDpbm2HFrJJfgi1gFqDs
jVt6JK2b9cbePdAgDv4xK8APOQuIVV7Pxwj1bmdHVhB/WgDCTTr0wO0+N8PqwuRRWDZYajVVWTi2
CbTgcVa9rf8j+AvmWxtqslTQ2t279PHRCEpkjYZG9g3BC9dCfRu7b7L3DmBjKT26JczrNU21CatH
1BS13/2tC0/dYep8twhdvJSL0ItY6Mew6DM37NGIXWVzVVh0qdEBGqjrS6brrUA/q+qJWAfgDeNB
c77TjwCCYkmwVPVepxar2wAdh5PEzmkO6/oi5Zl4SSYUfovw1HYU24tDEqN3WD3q1y8rBbB+G3li
m0jSMW6TZO4bv5F29Tuh/ch3W9GgNfdSEGdM93bM+fcKskTnQSkONX6zmRt0zgIj4MDMPU//4cUK
pYE8BJNzGswbCxqy5CICRZKuL//9WDIBbKHpMgrKzCDm5kd7c8YQhdUqfmEIqel5BOrgzAcShGWP
zfr4/lByqQEeN6YULYOwV/vo4ZXL4sSzzNcpxpdwi64/V2PsPqdcE+6CyvdU+fexdL5WXLogWh0e
eattgYP2NQGTKVJPnlpJyqk48TC1QO1unb6dNSDfKPS2P1aS2G6i4yxOsKUpXAJ+pMtqePkvZgqj
1EeeJPHcm4bB1YIDGalIRGD04K4Qjdn7ShpHLYSrfFFeo6FvCw7+eBK43qCoUbN5duHiv1tfOGxy
zbEFGYv5YLrJNYWpzvrvrVb7+dJGkSzlJixE5ls7EZQuffis3v8mDL5heUsevxWzbtlpyroXE7hz
dX12hPLG67Nwe0fkorNEnWe4Pr+XrHSZotuTW/aMO4qLv3xjGkhck9KUqP0AymGtavUq1kgWTjQQ
p+Y5nG5zmO3vHGnpJtH0Es9Tc8e8eZsxI2HVFg/6CD2xXNkRgL7Ytu2+Uh7uaLm83L+vmvPyNNkJ
tpYuYM6CKDG1yvYCvEWrM7vp8w9J2d6fMoFeB+AJAZfcdDRtRurvAnPQ1XCOnP2YVJKtqvn6qv12
5ACbL8pXNwCHwpUK/JtS576x7fNRAsauuzLr5xu4EB911KuXgvxq3HLTnNO+LZIeVDJKHyljBrrk
FmcJBZ6iiIDE/+yPmVtgYM7ER7Cn+gZMvSCGJHwtI1gX79FCltDkG+HaFiVLHz5ejuGnRQUGc2v4
mNDcf9OC+i5oZBLx178BF8btfJ5rDteT88K1ayqZKF5aM+fda87zo53k+DdFFe6jFQr1RFxxUoc6
8vzlIgrCJBxONB9U7IVqaEU9DJk4HCpF5y7lJzRS+1yUxBePQY9s2+h9jQp9TDqYrC4DiHNstB39
CXLsPIGbKsNA0zYcWslExe/O/5p/OLcR0zum8FlvgppIrKyL3dMU0nn9dvTSh7onA+pYG2JNRRqU
B2sUrUfS5sXk6AdeWPuC/pRZ2IHJdeJyk+jSbwMNC2meY///eH7nNPlCq6vrunuXwDvIE39qxuxP
zw/pODb0gdMWo5Was3OuN12PhdudYa0kZ9jPZlm80meyGtHLEb96ZblOpTOkpHzJeRF3AgMro6kn
HSguoAPDWK4ktXOiRsOTPO5d+n92JYSKpQjpff6HKw0PftzV60FBW7GYzJv1H66765zgbuMNclr/
f/V6RJ1hu2XN2XyBnMYoowzr1fQuJHFrz65uqr0rfptFGu+7o5hMl2Wcavbwd1Xkv9UhGXBa5cHR
izQ9YUxlRYZd+GtLZwVrqEu5rAtKb4vheneyrr/jRHH/0VJ7XzX+P9tuVi0W6a6TTf9BrPodfHbW
JC/eQpd7m6jVwbEc3nSZh4cRJh/xGmdw87cyPAJgxzRV7tGdDeSg3PHPtj1KHjBbgjJNqUpcKYXa
GQOKZ1CxUaD3LYldzyagnvxSHz69s53RflWMxd0devzOcFOB1UKANGuQeWgdzhYSZSIbSZXiObMk
lgzom1HX5uSZ/q11kG3K6zkVWuym476wlI3UKzo9pQoSIddLB18w6q1t6MIWff95bjbxlhHeo+Jv
liypfrOKgQrbwzxi+zV0noqB27ncbKmixc/BgODSvgFZxPPZBB9/RHU51oZ6frbOCgloIJeV1R/L
Jk+b739KCnuxZZCAsmM1JmoxdPUtQr01uOYD5V7tid6k8bW0PTSO7ocE9LyrDDHey8ZYwkpBj6Aq
ltbBJBR1YRfHyO4EbsDWNZwoev9C4/KlYGOGb91ju6PgIuNGDLTWj154Vqefx7Z+GQ3sYl8NlyMH
4RNmQ/VCeeeIPsAciljEnX00BhkzjGcqJni1kxZEr0Bfm3//c9nCqJ4t2Xn1rRxA/8NAVVQVlfzs
ORnFsgGQ/t8qv58NWncmnQA/NMU+olBTIdZgzSrvirnASmZwByvNwCn4lv7/tqIHgVc9W5qhZzrq
5eY2ckMajVki59ih4mP9wJWjqDkSBfrdiX04P0Ln1mpt1SJzXeBCTrrjs55E47stxkAYEyHmAXLj
jDPgkmxji+bvQDZpC9wfNf3vGzLUhBUbsYKCvNm0VJIYHB46BTPjaAaXrhPa4abjec9EQAvRR06s
/jYC7JVM9N21I0D7TKbeDbbmGS496acNg0rjOtags5DCGYHXo3qoRGoRqAggyRGYwq62UVZf9m2M
JjRtBwJem2qBoYhAM8YF/brUPdLNoPtTSlU1hMZI58nC4c/w8+3wjNXWpdfzVGNYKHThSuaVftP2
j+4Fdi3Tbkb0OCcxnn9RbYTaUifLXB5vIvUFlivluNTOeZPifLPEi3SI325WF0/KrT4OM+CzxOSc
MfF861I703lpZE7c/lIeZM+aXMcpNSC7bnpovvClYcAFghUbvn22IRA8sJ5R3hL/v5N+cyBd7xz3
mBpRgb0LSDM+wvrNx1MUlLHTMr7L/QT3SanboOJ1CHTNA7qYLn7HhoEC5w3XfgUlmyQxwkc4OkOI
wMTzuAwyIkno0U2SM7UBgRlRqFDPJS2Iau502EgwzwIDnzAYKY6K+mck7he9DH/eVHRU9IDL1PxV
mGhlTUQ80/qRi7Bh4Y2QkxwQEbcLUv/hfLoH6GtS7u4/4P/dFozncWE/OvERpjWn+dAQynE3NqGu
zk7L9iaAEY23qti5m+QdiUHkvF7OWNLWIQg9d8Zy0QBxSygIkLyauFI0WyzAk8jrHkmcTTG1QDxU
iK+q7YxkCZqnNg6dfaNpqpvM2gt1k6t9cvaES1+D5qArj4pEuQfjPsWzJWFhaTlWiCU3LHY1xxgX
fUldJFcapNneMtkDllFkzIeOFtbH+BCEfpRrDZlsQqyiJ2ilFJpaY3JWytAj0wU0Ku6mAIdVllBW
kiNssDKrE6cbWPrlTb8emeFIVHd+EGV1GrI3eCf6QnHlkE7uH2V7vpHcuJqTdnwgxbkqGXB2ZzuR
aOYUI7p2tyDIGs09/bu0eeNJjTwqD8Nor+4+z3bf+10jkp9IhlKTUJ0athYoaH7m7o0wRxzmxRtX
PBno+czNuUkKx/bamsQyXw2dkum22HfnGe7MB6fyon83feiCsN3BBKxDkSdVk1014E63ASglLQ0t
iRVhMC2vmDdTRPWNTKptcEU4+QZWAhwWoWyfaPT94lOhU15TvsXeXuc7UUnMgQWpJdNDXLxA1LVC
FSo8RbKO/cKUj2rtLCbx9xj9Goa5gf2Y/qFePlORD5UUGFC/bG6Rk1QSdB6FP5w8BhgTrAKSYiWc
1i4bh06uj2CqZerkSOaZl5jrukOan1xOO0zaDUfv6316ZnlfYSkgWvKkx4omgcUBJoE/D55Hl+DD
kCzMdNhvk9xjIzRe7xhqhFsPy1wyi30Yy2HboniHjv7mTmd7JWzSf0PpFUnmMjeXRLLM2Pt9IiNA
RJ3mezzFqM1YuT35FId/LCjiEMTwwNNHWdz7CxTFvx/+CsJ5evdD8CpIKV/UbzIvWsZrwM39SFN9
poFVUxQybztJL9XjtxrwaJjnECmbq8fuVluuOt7y1phe2BAJ8x3dn28ruQ2L35Az/OrrkuKqHe4Y
bLCNZefl1o1E104ut2+6ev7/UXQXoLyErrizqS+GKVBaahAW8qiTbmXIInlfue6qoeoqf+c4yib1
nqe1JxezVN8SyrhVvApEMpvyJaWQq8B+6wMMM83nBDp8dkruJKLyK67AhEUogsi7w+m6h7QLceF7
Kcy32gH6+xIK57OmhQgPNlxvyeKsP0Yv6UpnS2PNJ2+hD/a6HldVhbngpznxH07cKVsv/EykYODU
NRqDrG5A3F3zSVQOVEWws26v+lZ8UdU6UflYsj2eNQwx/fYFsNa4onuJyYl1VuRv+BDS9YUQ9Abh
m0Mkyj1RcZBSie/8hVJZB0T89CuqnTXWwjQaETmFsgC7ZJq6qlLcT5wBG6JGjdZ7ru7BG9GjGCYg
fP1ljFXWPusnSSK9upcwmG4bVWQgKsh1uuw/nKMw5yO2cF9ei0hWcUih23WGQ0Ynxw/PXMPTHjjW
bUQZryMZvwbGy2iE4bi9YH5hvDzApU9TgO2OE05SNWkZvoaRhpfXizLIMSQIT9ffKs+cVAyi/qVO
F3u48hNs+4xhqqcrLyp/NhAIQlg2HZS4YNUw/dLoDpX7xTwtxDwu/87BEN/Bd+HWP/XBsbUiIA8N
oOY9uoCLjdmVOMFrvKQaBuXrJ9Ub4cf1SQjjLxVvx3Wbu2f2ofU40jAAhO7pfD3RPSKNdZJ7KjhF
bCWGLwkdihSD+645CELUhxyXmoLdpZ+tMwctW767kcBZUE0sACz+Z8p2Pnkz1iU5gx6V0kFH/Z3H
wcCBAqTSAr59uGkB6TZy8uzjq3/pEV0M3Rs5SDC99boVBGhNY0YggICdRmtTEYPs8KZl3mWWPCCI
IaiDPwYZADs6/fdpUaYUcaDNXf3tF6w2drgxADQPop+/0PafAa8+2KPUAJLGkzVjlw1F0bMWFanK
Wo5oa9rzlg/UVc7U/iL5PeoRgMpP3Efm5zvrYfh9x5MKQkAKPX8CiCrC4YZrQkYzbdrdl/oqCY6K
uQD5kUa3BpthBXwge0RCeqpn6I8lYu0owJgTfMmwynJRXlcpxKVmu3QV6dlJDsUnAW+uhoLMGXUY
NuOSYredbPmn8DbGzH/24kTeYsW+SlHwVYAuiXaqVjPph7elIx7+Ngfm/kTCAmqj+L3PdYQeRoxc
KVFLhZG7VcxYCFdJGBuu5c31rTxKum37vo2O/zCMV2lxzmsycliVnXffm1xeE7n5DgZCfWfT46JF
VZQ0OqkyTkzZoKCbHVCOdgsSuunjBa1EcETUTCgFrtlLuv6vkEtUomdZ1Qrwl8J08nifSRKnt3ZJ
C9wJPqD3S2EXb2XHLdvayEWKQCmMN9ZVjjU0S9eKYhg8u0xH57TxYRW4EPhShW9pBAwl+FkhCPai
ln3eNHNYXLv7fnJrKM8OGq8zqyDTELZJmqpyfNZjxlisPJX1B3THiABgfsCmdhHnG9OXk97ch8/t
kfeMFCWUi8KXm/2v628JGvVOpUCDOr6AbdnrVEuQFY/+pppgb4m0zWZ5ndzHf4+Aayer35d/BFGb
v52GofyAGeBrSJ6HUfdy36ItMmvRaVCoro4YYSYm7JZHFUIWKWVJRZJ8XVjzI0Vp+bldo6CzxF8V
7dv5u0SIwmsxjdS0j4Zl9Rlh4xfgrkYuqMeup2AlcTqB04akjr1gxmXXVUHw/j3dRzUNSC46essw
5PJTVG59GIAdvc7dflwvfapW+Hd2Yk/fASpflSMEjcZLCphmD0q3QsQPEjf6CSUv5ti6AA9xlY8q
reIDmgn1L5npzv1gn+qJmgIhievOqzKoA/Uk1sB9vlJAlF4zwMc1qyyTJZUBnOj3d1xiizkcPXos
7pUMf8NzTYEXyzDICVftiT8YmenOUnG1XDngR8Y1zFRwbPQ2v6SbS7WI17PiA2D+skB4Fo+Y3XXG
1e8Jd7ldGp+PYvPQuYYD9jq5macu4qy2BSkPYznG2vK4c5SdMtcDSSv0Pn+q3D5mCzSi9lST+MJ4
rsnNUbrBXbidD+7zd7pWq+bK8uVLT2+b55GuSv9MULdrMJypc/gQsSuNChd+uRbkRPPZ4RUkXeV5
SWP4aKOYZ6dYnwSwGZT2gDWFrAPgIzHiuG/exyIRNqM5olby/seUYYX5Zl3V2dc4zKKpy8kco6nr
4xC/ADzc6lS8b/pWs0ZDRt90eEtaA0Dtf61mTxVP+XmIgW5lRFJO8TLvzfjUDsCZK61N8Z8nfhS/
HsLXS1JxNZRHgct4lQiD38Ka1pOroCkQpq0emyuHcBzdVJt/CY894vLSaGE3LE3HVetSpyvIM59Y
7YsevxfELHE9rN6aDlM2s0UYBHJtoY7wO/sQRU/g2I7oVPRynhUUAGxS3gFciDyPxrXLmvJzGwnb
SthtPUIpLCHCwPVWdP7lRXZ2IwIRFitTKxbkwiZL+OFxNQaFRVgb0GA4oKUnQjK8HY9Zdcj1WZf9
tGhTSzMb/bsbdCIw8m1JuEGtEZHsOZhCr+Hg6a12mCIiw0gJirV7xeJYa4W49yB3kr382mQK30kN
voNwElt/FdfGRUvTWWfyxd2EXSWCoTVfz00NlTrPJFrd23oIqCqNphMMZD/YE8yrBc0+Ge3MNK4j
dIG3oNwCeciuUHFTIoqbLsnr8maubkx8we+vbup9DaXltijlwVBb0yP3R4P3gjONutdYxFiDBgaa
OKNp4Q/spiRCLaZEJuThOceSoushKD3gUX5qRqJ//lDuHeOIia3UufrpygGjnHrMIzRq0GS3CEfO
TEvbcJLvUveHwq01F67Zqa1EZICBABR7JC22tlMrlm3IRb0hmsMZar3w5aA24aZ+3AKPMqlVDCMx
gCyHzQLmils6Udc8rqq1TYPOuYviAf1zAE0NRWwa4ygXJn6LghXbdRJirIIDp7nRfO9byBjUQ6eZ
ZPjKwEr2Jh2jN7tD/jWJbm9jOj7uvRKXeTKVWFoev7xaZk2cpcsxrZ4kHCHIbcugEX1Z3dpHQo7e
G1dp6OR1+yCE9UzI/uhqvsvK3e6HytGaeLRsUF8ujhw6dIQqWFPDlQ8vGDAzr2lh7NkfvOqozBJS
8ar28QE7XZ+/XsOliiFR/DeneIGGpbfdOxLpajRHOXUARXo5oM8LK5/nFOsB9kpwat01X+rKSL0d
cUV8MKTWvKa/N+RwC3abuAXzcGWuJvL/+CQAGRMtfvcF/PFMepZzDDh0Ib7NruwdJy/pomFJxiJg
WNp7cON6t8btlmCUJxuYLdMzTe1PYoLXaNl/fa693vMqIfluwtGVR2ErkKk8e6XfHjAm3z7HUybb
NXUJd2VydgjZjO8JyghMLnrDO6oTmf3+93Nc/v2xaaeO46DYDUPHNbB2iejifuTzmWGcWJB5TayY
texHkOtCQQt8HsWPc3loKHbHQ5YDdjrUYGKs8Q/+HA5aoqmq8TwSsE+KQ1HXKITqTJBQaf3O5Kej
d5KxSU/ZiI4+P30IkX7AX2uugPR+4mW6G7/Lm2ya0fi65SuXPURLwEceIRTvo894/iO+6zzQTEEJ
7M958pK/T7rwGeEjTG4GnnB++kYI5IEnXyIsB9vSWfHCt9aDYn2xC8F+Rb0BDl+72ZdVOEqHORr1
gZGqEooseQJD9V04ErYhvlg386ApIaGcGvaIx69laWLTHoIPS5JLCqIS4YimiKZJbexLt+xPXCcG
0GLLMpmeH0ONraC4nlVyMBZSdAKXoVHPcJAIrc2euS8RCEe6MCXgIqoNtFTDw+mySOTMjz10tkKn
71PolkcSRseTBBB9srQ0nw1O+4cmPEQNZ+ZvMBct+IvFwQ3+XFdsm3TLnAeAZM+PmHRvXdehZsqN
MHJkte9K8CiTF7+Qr//LNOg7k4wW3z1TtmLVDVJBISHGMDGX+nh56gL/yHF1cxdYCMYPLE+w2fdp
+z8jrkCXo56B+x6z3UQPXz8lk8JqTQGZh2ubom+Fh15cBui9XDL8GTipDXumVZWumkrxGwMTfmru
I63YDGSDwdgq1BD3P3V9WiF5wc1jEdqyQk0p3FQ8+ZNK1QlGdcSfojUU8x9RWfaIVncX/IJKMc7f
NcCTa1618l8DYZnx1bAGiY/2A8FIXDULdT6JCtTT0yNMThZks5ymws4ClJYdekVDgkSgggNlwDXr
nPNBN3EodBTWAU8hrGPqhGxPDEnBC0YZLOpdkfTZ+jlqnIjpxlyD7GtSCT9pMx8Ycg1/4TGCB2e3
YpzIFmhcvV8QuMzvkrx4WvbzdJFYHexuVoHnJ6FTcjLq/mfTtNLGN9j1uvE5AQdzoMJrUpjuDmtE
CBnKlarPccldWAkUa672U5P83Mo9fmX7H+kH2i0TNG1kjErQmDnIj8RpuNRtHQSjdqCTSeZRUaxm
zQT6q878R/7nbpJzCMaLG6iwIuyQSLApuLnUqX9DB4UB8htmluTUvsHcuixIfO6mx4iVTIEe1gnl
DYli15kv+Qdvsm1QBHv8u7zK+rmNAesqVG2SOMQruozPHkqeUyMRxaNazpEI6Qf5rTtRA+0bvKXM
8JdalsIeSzNwm0vRXGMyNbdr9koShsgSZnQvgaXki6PM/tjHdBRilFXCisjzwSJPS4TbsN7rXRe3
0uLOBvcScn2nV/9mPb+i7BbFPdUfka7zGXp5CLOsKzCv3NO5n0l3SmYWlnIrwwomHgvECD+2yarN
YPREWkH7kVvKFkMQnn2mFMxe1Z/03t0pwz9dEK37htuParBap8nf4JunqEBIIP+cyN8mgREzHGUx
tw/nhirfgNgON1Cc/QAVnxt4AOgVJLDlmJnG96d4fITald210PczJobEyDkCWPKUkoZs3nscqHPO
OV6Ms9L7QoSmTrYhSugYl0qGpaU91aBzCQxiD2zk1AdXtnwQemlQFCYtktmx5iQhaeMmPPuMPskb
qbslKksBiKGQI98AMYusUzb+hy9dxV7xw70GqMa9oGEFJWbOmJY4IYsV6PVq7VO5Sw6BB+ZZsnnN
xAtwbgP34btIbISQ3Nf+QFS3PFBR6EvzTKdD9ZiuJuOd3pOxwck/6YWZ/rkV0QF//TfgBqXPThZf
y1K1AfxfXKc+d9WILUv9uN/xxd33cGFPtUAIZyU7EqI29SMKXvsOl8tTRlz/nVK+MK+S8LY968dC
3CmdNiR6FPkijSR+Hgh6I+gB7iaKqs1Vg+M8UDMNSfm0tuvMNUq3yKV4NeWgfgUnZOy2C4SgDfi3
NitkkZzRq65wbwo/kO59Pj95RrnXKzWUUPdKyQw5nnnOoahNXDV0zSikd2TNtmJ89LpozQz3smbf
cxf+5PmTAXqueuNMPryeo2W+4TeGITS0eviL83K4i49I/UWg23Snzf3BsgmiBzYQUun0WKOWXyJE
eBsPv5zddBwRbwZOUEYBk2xLX0ZKihC1cY7KAwHDeMt6bEoe0ynz8wY80EEEeGacQ1gp/9knn8vx
Hxcoox6YqyJCSV1WiVxJCmAhEg7qBpbOQDEeaMH0q0WprP+lRo86Tcvho8avwENUSa3oTvcQqD3u
z2+FSErduDaCfw2USV2HkUfyRVxIJtIaTNWJpW0Rgq3z+dpJ5o5VaDMFaeepvdxmzUCRnrLHCw9x
JEAosmVj/hrXSKrtiaKr13SR0O5Vxj4YGLy6ARnMsdBkzGucKJLABrb86f0ehitSdiK+iWYnT1wz
pYV7Br8baqbsEV8N3UU3C60RfIfjjgi7Cu/jTh7dcnvRXtcNtp4AKw8/eByB7mnhttqgzGtGahtZ
c2LCVVCZoQC0YlhL2Jn2q9kCpjjO7HMMMRXcq0qbtfFKo6XbaXQ3blMaaq7XkHREGEY9haKEg2ss
VkSZ9iQXgecnl3R5+HLqPdYFpAlWWbDQyzvIZA/8N6NSSpYLhiVF1uBxCPf2w+IWwUOvPZJ1Qtju
RFQqo3YbLLEH+kDkV9Q4PaJLyZ7tSPXrwTClng2nFNF4NYwkWqEfIgE+4ixYBjofqwzaw4SQk7P8
t6xA/kCPkIeg2/0kt3A0/tbOckxzABCkx/hWD2Nq8DqKcT0jIwuT+i9k6UUPym34RFgezge3rSRe
YrLZZ4aEt3DhJuQ22fM+OGv+t1LIREr4EuqgdkGiklajqwAr2NOZIUAWc2NiQ6BMzEMoCDCvVopL
5Oao5Nsm7fpDzX0jc7m2TM8CD06xdotarJ7/LiZdjqPQegc4648fbe7umBGQQXNK+Fk4968oO22H
K9yEecz3gJw9AMPzjY6NYnyki9g4/XYjVsBrjAl0H3qbWTKqRkxtTp0+VEg2Hn+asKd0zFUA+p82
inHRMyVzmPeLYaL5vGrbqZMjSvtVm2l1qGPAoOa3lih0cdkojiAQi/1qbtawQyuO8ADt436NaHJi
sQfHj5Wky2en/bKSdgnRO3rIdwC1QTG5EspheF4k7XDnoqKixvePerpT+anWZRbQanjMld55ZY0d
iuH7MM1YOUfuhklTBrcxIpyUBr28BH2YMo9A+ZESvqR5Q0ZWRaAGOtNEM/uPBpcKiSnVfQsnDOad
/PJTQdkrf7eV2dJYgHVgSQyoGKZgFglda/oPriZqjBrbXiA2iauisYNULpdR7cmCPeq9y04baBdG
ytzpDx/ZvcT+xfPTQZe4EioQL87S66iB4uT2oMagnZeYABF0OlITzdG61RjxFXTu33GDH7LsC3gB
R+4ot5dbc4Yab5O/yfyerdiXZPC1xiVTjYMX4BO9EcJRjswI3FBxiehFNuRMTvKW+j6UK81GOCV4
jkSDbGPf2eYIeZ0IMqEPA6g5IBuL1/ClHx1egdaiStWM7VFxETk1YuuX8uVbZPyZKvJhVkckQAyL
lE6JvkWNeLXyqRuBsYfZ0zaCo0vEPHx+v8nIWfc95r/gJMwB/8rMu336BPKQZuIsA50pipJ818rP
HAFgk9vLz7ZusMlu2HbZ1X97bH6D/blKxtLY1QYtWDkfthBmZNUBRavv90NFiepPozBTsLcHfOYz
CIND2JgO5/8Nk6i5n27g8HOG8hcJtIr5lisqGjiupVh1o4E/0PXJ7McYNltqv2+vHm5LIYkXcKYr
XpWJQBsYtTLP3hRH3U8Mt2S/pcxYf6Ew9pMqzFVavTxpvKFpm0lyXW31eLtM35JHShxrwfoMtJFU
HGFqQOhsShdY+m78YPAKT4AaIbyQPdpq7GwG5PXWL8mdCXiNE8d8Iw==
`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_defaults.vhd
|
27
|
32589
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WrSZEf64fUAl1kVl9HWWVm9JOgHMmzn0fv0uusEaRSoZ0YHKAX+sj6D4gL2WXWrV9+rdMofvPwNs
9A6zs8psHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R/iTmfCVAo0uuZTRynJ9b5Z2gujQ7+Xxv1u+96JME6mwR6F6/MPV4ayotodCx+xcD+9l4Ktib8Ml
C05jFwQ5vFi+09RjQvyvxQAR5CtE87QE5Bg2A3Gt5QmE+m7ZfJiQZgi5YQHL3kAHS0jfaofTkZIU
6VFVSW/fcrod0Swq7VE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RAfB7dvLyt2uCWNWspMeHiLYPG4TlOk+8Dptz+NhWH6nMzYrNkf7IWIjXk3hEVf7lwT/X64pynoh
QoCCtl9AW1iC77VMTIu5MgFRizuZMUfXZ0crSPULV2aGonx9nQ5JKx8TiRv5BTWxeAsuh1lT/5p6
2v08ZCt1Nwa8GPmEeFnTZsTB1B0jFzZQMa3GGdV0nEcSjDo4bLIkw9sMEBW2OdUuvE5yIHF6Z7++
/wzulmNKOqQpmeHrq3r1VKkMUHNzsDpLkGo5HMiTmEUJr/s3uq2EhCIq1agWSVbcEjS5uDaYcwdG
D4cRvgOxtT5sxpWA4fivRX7vvCyun+C2e4pYew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MsyF52v9pEo5RpJJtfhlgAJQ/9a172C6pJMP5S/aXQMuRuv2+JV5wCeynUZSXHj38Ger421EXuQd
EmO2OIKWiz2pShaEh/NwF+InGDF0QzD16vAgn24LAOYAOX1lcCquf4w2rs7e+0dn2PO/GYRn4rxl
E65F1qdRiZlUeVoRHdk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
klspBE6zapxwDIEksFW+V3vEj3afpsQxyK1CWGpsw53FDriMhZB4hONIr9yRSN9nitmQ+6cnlGM3
S4Cxnkb334zdXXX5YoppEYaAdCcB5nDsYhSpn4PyPhd2ANmiSIXxEjiEJ9MDJlVIobzrtkNgFEWA
QkqC/Eky3QLBOqPuDJIgkf5UFynGEkI3eWzGSyuNAHTTYXfoLlYBh8nelaKS5vgYh7jpllyo5l6k
hn08k3sWZKuN1S8dwb88eFGM6hwg1UoX7pTnUY5yGPZZS0JEiN6WVWRmh72r5l3yyFZOFNcvByJJ
z349Odlh9AHKI6joGGP9sLtbKDrZfmu9y/SSsA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
|
19
|
49663
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
|
19
|
49663
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
|
19
|
49663
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
|
19
|
49663
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/or_gate_f.vhd
|
15
|
9178
|
-------------------------------------------------------------------------------
-- $Id: or_gate_f.vhd,v 1.1.4.2 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_gate_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_gate_f.vhd
--
-- Description: OR gates. The width of each OR gate (C_OR_WIDTH)
-- and the number of or gates (C_BUS_WIDTH) are
-- parameterizable.
--
-- Y(j) <= A(j) OR A(C_BUS_WIDTH+j)
-- OR A(2*C_BUS_WIDTH+j)
-- ...
-- OR A((C_OR_WIDTH-1)*C_BUS_WIDTH+j),
--
-- for 0 <= j < C_BUS_WIDTH
--
-- If C_FAMILY is set (or left defaulted) to "nofamily"
-- then the implementation will be by synthesis inference.
-- Otherwise, a structural implementation optimized to
-- C_FAMILY may be generated, depending on whether
-- C_FAMILY supports the needed primtives.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- or_gate_f.vhd
--
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2006-12-11
-- ^^^^^^
-- First Version, derived from or_gate by BLT
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_OR_WIDTH -- Which Xilinx FPGA family to target when
-- syntesizing, affect the RLOC string values
-- C_BUS_WIDTH -- Which Y position the RLOC should start from
--
-- Definition of Ports:
-- A -- Input. Input buses are concatenated together to
-- form input A. Example: to OR buses R, S, and T,
-- assign A <= R & S & T;
-- Y -- Output. Same width as input buses.
--
-------------------------------------------------------------------------------
entity or_gate_f is
generic (
C_OR_WIDTH : natural := 17;
C_BUS_WIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end entity or_gate_f;
architecture imp of or_gate_f is
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
signal test : std_logic_vector(0 to C_BUS_WIDTH-1);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate
signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1);
begin
ORDER_INPUT_BUS_PROCESS: process( A ) is
begin
for k in 0 to C_OR_WIDTH-1 loop
in_Bus(k) <= A(k*C_BUS_WIDTH+i);
end loop;
end process ORDER_INPUT_BUS_PROCESS;
OR_BITS_I: entity proc_common_v4_0.or_muxcy_f
generic map (
C_NUM_BITS => C_OR_WIDTH,
C_FAMILY => C_FAMILY
)
port map (
In_bus => in_Bus, --[in]
Or_out => Y(i) --[out]
);
end generate BUS_WIDTH_FOR_GEN;
end architecture imp;
|
mit
|
HighlandersFRC/fpga
|
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/blk_mem_gen_v8_1/blk_mem_gen_generic_cstr.vhd
|
27
|
120556
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n6SxQ4cZpYT/ILbURpz0n7m3/CtPg7Srwf+5G6B92ASMc93ahDGfXsRmbxfQ4itjqNp4bImRWGHp
TxDOCQa4ZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
T+03ThTlMB5LbidY7dBVWlYp0mNjkvlbypoxh4ls7n36ZTLkklcCR9ZkGKPsYI13rJYYLwxb8HQ9
lAxKeG9QmQNzwwKufgYFwBDRimvj8pMxUUa5UvV+Um8vyzZZSQmIWtsYrZE6EEbBovwAJw8AOtaR
U6gMXGczY3zuLvGCvAw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xyeO5Evu10M+3X2Afou0ntsX5ZB/pwkUmxi6MkSVEZEp/q8vhRIBXtucD3zi9CwKskciGYDIN3V0
Echz03lkOALKA28V6TwxpTDjOCcWnPUs+SbNU9hrNos5LOcUeyT/Umkuwxvon+y1+GmmTNBs/HsN
LDp012R0drMTXSZtr1fQtCR1xHLj1REwEGmrPANPbJm5g9t7g3uQ7e+eNRUcylifmDkL5SHkZMiP
o5a6WQY9gEml+rOEV7XkaZKFEUQnZO3nxTVqbYgCz7Fr3B2jvSfBBfXQPG0AKW9Iz7aUGng8TS33
LFSc4gt02mCKBH1NOkwuxP/U3rpVs0fnK6xENA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UaJ6dwyNV7zPNxnKVFOwTBNM7GBgDixNLEFTEeGL4zxIus/wUjUkJRcBksOgUQrjesNLi9rSamfz
a+6oBrRU3NMz/a6LqvgLX0FtqLiIT69wj/tO+121sBluFxMRAbLYxwtNx0oswICZG6ot3kY7wUo8
MIP1BRyvBE7h7gUe8AY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iLkN9pn78C1qipOzfdJflxHJTY8JBXpf4rPYSCaQgqf5yt0IOulURCvwg0EGtXIXYL5OVuC8GGss
Cxal0AVlk6DQJUg5tnhgoani3XqnRusVYV7ivY3j4fNdUj8iyFUm29wArxnau/1wGXLQIbXlD+l5
Ze35HAoJRWjnvYyl2fMDrjYG0QtBEQHUh7moVIQ+kI8DwofjU8zFsu1KHGJsBje+80Fr1j2xEByY
nscMu+13hzF1cQaS+Ce+aroaWDuHJWx1kJ8/T+29qUQ8IgrJDtRVEWayMxcA9x6qrZ8JHoIeOcCa
xCl16mCCnpbqxuPBt6lvzV/n1cAzp3w9LmCffw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 87504)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/blk_mem_gen_v8_1/blk_mem_gen_generic_cstr.vhd
|
27
|
120556
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n6SxQ4cZpYT/ILbURpz0n7m3/CtPg7Srwf+5G6B92ASMc93ahDGfXsRmbxfQ4itjqNp4bImRWGHp
TxDOCQa4ZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
T+03ThTlMB5LbidY7dBVWlYp0mNjkvlbypoxh4ls7n36ZTLkklcCR9ZkGKPsYI13rJYYLwxb8HQ9
lAxKeG9QmQNzwwKufgYFwBDRimvj8pMxUUa5UvV+Um8vyzZZSQmIWtsYrZE6EEbBovwAJw8AOtaR
U6gMXGczY3zuLvGCvAw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xyeO5Evu10M+3X2Afou0ntsX5ZB/pwkUmxi6MkSVEZEp/q8vhRIBXtucD3zi9CwKskciGYDIN3V0
Echz03lkOALKA28V6TwxpTDjOCcWnPUs+SbNU9hrNos5LOcUeyT/Umkuwxvon+y1+GmmTNBs/HsN
LDp012R0drMTXSZtr1fQtCR1xHLj1REwEGmrPANPbJm5g9t7g3uQ7e+eNRUcylifmDkL5SHkZMiP
o5a6WQY9gEml+rOEV7XkaZKFEUQnZO3nxTVqbYgCz7Fr3B2jvSfBBfXQPG0AKW9Iz7aUGng8TS33
LFSc4gt02mCKBH1NOkwuxP/U3rpVs0fnK6xENA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UaJ6dwyNV7zPNxnKVFOwTBNM7GBgDixNLEFTEeGL4zxIus/wUjUkJRcBksOgUQrjesNLi9rSamfz
a+6oBrRU3NMz/a6LqvgLX0FtqLiIT69wj/tO+121sBluFxMRAbLYxwtNx0oswICZG6ot3kY7wUo8
MIP1BRyvBE7h7gUe8AY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iLkN9pn78C1qipOzfdJflxHJTY8JBXpf4rPYSCaQgqf5yt0IOulURCvwg0EGtXIXYL5OVuC8GGss
Cxal0AVlk6DQJUg5tnhgoani3XqnRusVYV7ivY3j4fNdUj8iyFUm29wArxnau/1wGXLQIbXlD+l5
Ze35HAoJRWjnvYyl2fMDrjYG0QtBEQHUh7moVIQ+kI8DwofjU8zFsu1KHGJsBje+80Fr1j2xEByY
nscMu+13hzF1cQaS+Ce+aroaWDuHJWx1kJ8/T+29qUQ8IgrJDtRVEWayMxcA9x6qrZ8JHoIeOcCa
xCl16mCCnpbqxuPBt6lvzV/n1cAzp3w9LmCffw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 87504)
`protect data_block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`protect end_protected
|
mit
|
fupolarbear/THU-Class-CO-makecomputer
|
src/VGA/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_tb.vhd
|
3
|
4370
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: blk_mem_gen_v7_3_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY blk_mem_gen_v7_3_tb IS
END ENTITY;
ARCHITECTURE blk_mem_gen_v7_3_tb_ARCH OF blk_mem_gen_v7_3_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
blk_mem_gen_v7_3_synth_inst:ENTITY work.blk_mem_gen_v7_3_synth
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
|
mit
|
HighlandersFRC/fpga
|
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ipif_mirror128.vhd
|
15
|
17011
|
--SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- ipif_mirror128 - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer128.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic mirrors data from the master with
-- the smaller bus width to the correct byte lanes of the
-- larger IPIF devices. The BE signals are also mirrored.
--
-- For reads, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer128.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 10-10-2008 -- First version
-- ^^^^^^
-- First version of IPIF mirror logic.
-- ~~~~~~
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of IPIF Slave
-- C_SMALLEST : integer := width of smallest Master (not access size)
-- that will access the IPIF Slave
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of Master accessing slave
-- Size indication (Decode_size)
-- 00 - 32-Bit Master
-- 01 - 64-Bit Master
-- 10 - 128-Bit Master
-- 11 - 256-Bit Master (Not Support)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity ipif_mirror128 is
generic (
C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth)
C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master)
C_AWIDTH : integer := 32
);
port (
Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Wr_Size : in std_logic_vector(0 to 1);
Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Rd_Size : in std_logic_vector(0 to 1);
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity ipif_mirror128;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of ipif_mirror128 is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
GEN_SAME: if C_DWIDTH <= C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
-------------------------------------------------------------------------------
-- Write Data Mirroring
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '0' =>
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 7) <= (others => '0');
when others => null;
end case;
when '1' =>
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "00" => --0
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "01" => --4
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "10" => --8
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31);
BE_Out(8 to 11) <= BE_In(0 to 3);
BE_Out(0 to 7) <= (others => '0');
BE_Out(12 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when "11" => --C
case Wr_Size is
when "00" => --32-Bit Master
Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31);
BE_Out(12 to 15) <= BE_In(0 to 3);
BE_Out(0 to 11) <= (others => '0');
when "01" => --64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_32;
GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4);
case addr_bits is
when '0' =>
case Wr_Size is
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when '1' => --8
case Wr_Size is
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_64;
-------------------------------------------------------------------------------
-- Read Data Steering
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "01" => --4
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when "10" => --8
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95);
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when "11" => --C
case Rd_Size is
when "00" => --32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127);
when "01" => --64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_32;
GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4);
case addr_bits is
when '1' => --8
case Rd_Size is
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_64;
end architecture IMP;
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ipif_mirror128.vhd
|
15
|
17011
|
--SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- ipif_mirror128 - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer128.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic mirrors data from the master with
-- the smaller bus width to the correct byte lanes of the
-- larger IPIF devices. The BE signals are also mirrored.
--
-- For reads, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer128.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 10-10-2008 -- First version
-- ^^^^^^
-- First version of IPIF mirror logic.
-- ~~~~~~
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of IPIF Slave
-- C_SMALLEST : integer := width of smallest Master (not access size)
-- that will access the IPIF Slave
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of Master accessing slave
-- Size indication (Decode_size)
-- 00 - 32-Bit Master
-- 01 - 64-Bit Master
-- 10 - 128-Bit Master
-- 11 - 256-Bit Master (Not Support)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity ipif_mirror128 is
generic (
C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth)
C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master)
C_AWIDTH : integer := 32
);
port (
Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Wr_Size : in std_logic_vector(0 to 1);
Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Rd_Size : in std_logic_vector(0 to 1);
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity ipif_mirror128;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of ipif_mirror128 is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
GEN_SAME: if C_DWIDTH <= C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
-------------------------------------------------------------------------------
-- Write Data Mirroring
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '0' =>
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 7) <= (others => '0');
when others => null;
end case;
when '1' =>
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "00" => --0
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "01" => --4
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "10" => --8
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31);
BE_Out(8 to 11) <= BE_In(0 to 3);
BE_Out(0 to 7) <= (others => '0');
BE_Out(12 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when "11" => --C
case Wr_Size is
when "00" => --32-Bit Master
Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31);
BE_Out(12 to 15) <= BE_In(0 to 3);
BE_Out(0 to 11) <= (others => '0');
when "01" => --64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_32;
GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4);
case addr_bits is
when '0' =>
case Wr_Size is
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when '1' => --8
case Wr_Size is
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_64;
-------------------------------------------------------------------------------
-- Read Data Steering
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "01" => --4
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when "10" => --8
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95);
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when "11" => --C
case Rd_Size is
when "00" => --32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127);
when "01" => --64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_32;
GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4);
case addr_bits is
when '1' => --8
case Rd_Size is
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_64;
end architecture IMP;
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/wr_handshaking_flags.vhd
|
19
|
12657
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZF7Gp+JQYN4x6Hvjz/p/glt8+Yhfw+y+NSJwSgFAT75FGfBEoCi9gxGC1aPKEYH1nKSH9HDVBmjN
jVYDQh69UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bCrwACZO6VlyUjDp7F6NflPANkTfGVm4hgH/4AFvgK6LtR4U73r1HOWXfaKa3y3uaefm3opyWNhK
nV2TI2PpMLr9LswzFSOsgRzHCqR+XBS+8LwZ+lBVN3PhbED4ykAJBbHjWQapS4mEVXs8Bors5GDK
A5lW6VBcepABjdMHcOc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sWMXC7ertaTFiCso7MQnbVyuVSvzDQRw1zbA8jCBUoJcGFv+Da5uM/ZInIx2vKnorpctjF+RfQ/I
vLvHJ4hFA7ai3KLDBa+osiqXeR3vvyAO0dNGGmO7GQ1dYRUzzSKKrGTJhKWqDfnAsYaLroy6U3UI
uNSRIQtxv1ciGPzcMfrykPy27NH2CEGiCobfxP5HXDyrOVBqWAZuLaPzQRv0D8Ie2O70SiCDKawR
vbedGBup6qqgOpbOuoCX/zcbW+qJ2FxQY5Zrju+0WyLSf0XnZd4src68n6rXZlziL4eo4Q6lUGQv
gUEyqpp9Wiyw0QLmYTxtAKnwwMsfY/jCo5ZFSQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d4cZTzaonF13oHTIDZgb2oXxuKQXQmTrHOYXqYqbAU6BYAx+7y9fxq+NNlLqPYeukSU316ZJ2R63
uH6wrMfXFW1V94ov6Pl2EeLSPre3P4xtwdLCKbJrudZD4i07Cl6ICwNSN//h6MJD/kwUIU4k7zeP
ni9WJs+GmLVsVx0bOck=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
W5Ic6b6KWpsR2htHXte3+6CjlmHZcuEa6WOajuu7k286E/JIlKxSU0tNrXH7rL8k7QTBc55tiAC2
sT6Jtn2FOqn9b4N96SwTUIbdNrh5Ew/7EjwCsd26VOwpEgD86kAwm7rEEtRCtStJR4p0yrbCQjf+
9+YuvQ3Ab1Y5fgtY5ijqZPgs+knlZZFAxm+NI7o8f97lEMTpHDonVgfj/KtK8xhV46JSrDB2FPhp
PMezRFDPcrnrGio0JnUe1oPbSneaSJZPAFIoGiaaxfjjDJIOa0DMtbVjecaL42P3+sAmOk0R5Mfk
8MlmwedAmXWwr0D9NdqrNJ68Zt9aVa7CXXiS/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pselect_mask.vhd
|
15
|
13363
|
-------------------------------------------------------------------------------
-- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_mask.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_mask.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect_mask.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- goran 2002-02-06 First Version
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- PS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_mask is
generic (
C_AW : integer := 32;
C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000";
C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000"
);
port (
A : in std_logic_vector(0 to C_AW-1);
Valid : in std_logic;
CS : out std_logic
);
end entity pselect_mask;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
library unisim;
use unisim.all;
architecture imp of pselect_mask is
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic := '0';
-- I1 : in std_logic := '0';
-- I2 : in std_logic := '0';
-- I3 : in std_logic := '0');
-- end component;
-- component MUXCY is
-- port (
-- O : out std_logic;
-- CI : in std_logic;
-- DI : in std_logic;
-- S : in std_logic
-- );
-- end component MUXCY;
function Nr_Of_Ones (S : std_logic_vector) return natural is
variable tmp : natural := 0;
begin -- function Nr_Of_Ones
for I in S'range loop
if (S(I) = '1') then
tmp := tmp + 1;
end if;
end loop; -- I
return tmp;
end function Nr_Of_Ones;
function fix_AB (B : boolean; I : integer) return integer is
begin -- function fix_AB
if (not B) then
return I + 1;
else
return I;
end if;
end function fix_AB;
constant Nr : integer := Nr_Of_Ones(C_MASK);
constant Use_CIN : boolean := ((Nr mod 4) = 0);
constant AB : integer := fix_AB(Use_CIN, Nr);
attribute INIT : string;
constant NUM_LUTS : integer := (AB-1)/4+1;
-- signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
-- signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-- function to initialize LUT within pselect
type int4 is array (3 downto 0) of integer;
function pselect_init_lut(i : integer;
AB : integer;
NUM_LUTS : integer;
C_AW : integer;
C_BAR : std_logic_vector(0 to 31))
return bit_vector is
variable init_vector : bit_vector(15 downto 0) := X"0001";
variable j : integer := 0;
variable val_in : int4;
begin
for j in 0 to 3 loop
if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then
val_in(j) := conv_integer(C_BAR(i*4+j));
else val_in(j) := 0;
end if;
end loop;
init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+
val_in(2)*4+val_in(1)*2+val_in(0)*1),16));
return init_vector;
end pselect_init_lut;
signal A_Bus : std_logic_vector(0 to AB);
signal BAR : std_logic_vector(0 to AB);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin -- VHDL_RTL
Make_Busses : process (A,Valid) is
variable tmp : natural;
begin -- process Make_Busses
tmp := 0;
A_Bus <= (others => '0');
BAR <= (others => '0');
for I in C_MASK'range loop
if (C_MASK(I) = '1') then
A_Bus(tmp) <= A(I);
BAR(tmp) <= C_BAR(I);
tmp := tmp + 1;
end if;
end loop; -- I
if (not Use_CIN) then
BAR(tmp) <= '1';
A_Bus(tmp) <= Valid;
end if;
end process Make_Busses;
-- More_Than_3_Bits : if (AB > 3) generate
-- Using_CIn: if (Use_CIN) generate
-- carry_chain(0) <= Valid;
-- end generate Using_CIn;
-- No_CIn: if (not Use_CIN) generate
-- carry_chain(0) <= '1';
-- end generate No_CIn;
-- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate
-- signal lut_in : std_logic_vector(3 downto 0);
-- begin
-- GEN_LUT_INPUTS : for j in 0 to 3 generate
-- -- Generate to assign address bits to LUT4 inputs
-- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate
-- lut_in(j) <= A_Bus(i*4+j);
-- end generate;
-- -- Generate to assign zeros to remaining LUT4 inputs
-- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate
-- lut_in(j) <= '0';
-- end generate;
-- end generate;
---------------------------------------------------------------------------------
---- RTL version without LUT instantiation for XST
---------------------------------------------------------------------------------
-- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and
-- (lut_in(1) xnor BAR(i*4+1)) and
-- (lut_in(2) xnor BAR(i*4+2)) and
-- (lut_in(3) xnor BAR(i*4+3));
---------------------------------------------------------------------------------
---- Structural version with LUT instantiation for Synplicity (when RLOC is
---- desired for placing LUT
---------------------------------------------------------------------------------
---- LUT4_I : LUT4
---- generic map(
---- -- Function init_lut is used to generate INIT value for LUT4
---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR)
---- )
---- port map (
---- O => lut_out(i), -- [out]
---- I0 => lut_in(0), -- [in]
---- I1 => lut_in(1), -- [in]
---- I2 => lut_in(2), -- [in]
---- I3 => lut_in(3)); -- [in]
---------------------------------------------------------------------------------
-- MUXCY_I : MUXCY
-- port map (
-- O => carry_chain(i+1), --[out]
-- CI => carry_chain(i), --[in]
-- DI => '0', --[in]
-- S => lut_out(i) --[in]
-- );
-- end generate;
-- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output
-- end generate More_Than_3_Bits;
-- Less_than_4_bits: if (AB < 4) generate
CS <= Valid when A_Bus=BAR else '0';
-- end generate Less_than_4_bits;
end imp;
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/common/input_blk.vhd
|
19
|
28006
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DYkUg37UnVRJ+X5v5iFDmCWObMw/mUCrJuxa/Cr9wGl4FgcJi6OQesLI1M+aH7+emQJssoNWrh+N
iL9trwbpEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vb74X6mc2H0e6MLiEAhBKZ84QSTgHhg3aAfwLeb5H8AGScZ7UqNDKDmI5IhuJ/LPpdHQCtOent5+
I1p5tELHTH0LzN6BILTKGZBdaGJ2AKKoofyljqaR51srCF/ZJLUOrn1XUZMkdlutYXGikghh+zK5
6+/HFEYyz6zhpfFGpAE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DUY8u9eRLqeXCDG4E2/8OtDIacK06AysbSio1XfMMKnofNQFNkb8eAjngrn4u/YZ6G16ZNMG7YoY
jk2Rx2Q3M5GrNkHLNcW1r1FM93KBIPYna3s3UsOdPXI8u/gdrTwtTwv/xpFT5pO5KUummozg1ol2
CfVK4phP0ptL6RF00qSF6IA3NotRdVSf39i8Abyti2fNqAeVQtQbe8y1/1WV9RrHHqEjarv5sqIY
6GslwJ8wdJjPL0QS11gBEh6rDpndqUhWIIFTUrFMd1tEU2WzUCNSxtbBPYlWfpU8e4/l9e5xSsF6
weW3wzZvwjgR473vdWcupdpbpXFjQjfOA39+/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
p0GGQgjzPW+6PIUsMdZXTQnjW6BUopNyvt7ApHmGMwjrt0lKkYFdeq6NnHPNeKi9xrrloGAO2Tha
FhPoK1WSUQvFoRR4uKVUk0OywXYhciTgYL90XL5T7z6pvP+T2xdoDnAiUPoqzH/Ubhhi84EoGyo2
+zIDCCcTvvnznOBjfpk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m1/kaqW4ETEcDTOeEJMS5yQHRelnhe+7sXgpcKiP6lTf8NZHj87LtgfMx1Oh7TGMtL3OsgLwXKl5
B/MVSSTPV7z0P/OvFd/MWYJqIMAVI0yV4hJ8dwWC7KK/kawdL1h0Q4iS0dxjn9/392LJCmqkJJmj
TEThXH1uoH4tMKV7xRRg0/MNNOk8hPErcV0Sx7ZxMFsvJk/PuOEi0wzy6daa+A+gop4M475HPjAb
iPZ63o2focv37v9R+NETZc+LyDzZAZPFDxIiHCnZlRMpU+rYc4lLu+Wj7afASerzvuIcVvlJO0R8
MuDtSunchT2Nxfc8io8WUTVsWpkmP/zQb3BvSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18992)
`protect data_block
f6CNel5Ozi1i9FoWzlExxlWYh7DYb4prushNSEGldyJG5PWfK284mBM5CUuT41x9GbDluIQvbljl
zUiYaCIrSRcTn0XclVxiaJqneNv2300Sbq74Sg923NrsPq8iXDiSh2MPQhFzRCDeO+r9dWhzAIQL
wXEBNeLpO783XjXeXxb84EBA1ghldCnDCprBHVAcdpm5BNjFD4lNd0P7HpVzV3FQey85q6bNJXRg
xA9K4ZE/wYCZNdJxARPL/qUuxtFY2dH+jDmR9J/TqwVYXMo4MWbaB7WhwbjrbdbKqMa43lLr1+bn
arMwmTjKCSonIm9MiKsv+ONyJEfNQm1boDp3W3y5/9lFcwLXAkLhcoLO9Kv0/LpjclBGQYXokNSH
s/IjSe2wjdl50TIT/nzDq2/NJsuQk8d0Ar1yNJDgsxbsE1et6N5f84Ou8GIOrUYrR331nh50zqpH
aLaknAL1rcOKPqPgziCOqv/WqoKoAXYTeS8lrXlKvJpUY2FNXLkhTcicodCCAMeqJ0P9VHGuoPde
21d5/+/P887Keamrx6G6SXjL0cJRo+PpGzLmnGD5OC9qWtFIJYsy6xCitddyAd6B1hiPAvtTztzQ
gf1PxBdRA52+e1n0r/sCI+YC5xN6e03OZk0Dqi+YILeYbYGem4irA3HK2//V8d88wVbH+fLYgUvT
nP25OTITkT7chy3NRNLzMOHdALV237eLszKJ3m7nJkuLBPwS3qVP3MrQyds1cm9zVaq6YPW7m+k+
nt0H4OM58KGqFRNgml67XqIH9CUv1o94wpE7gwlJEtTZgFfQoZ0BwgLh8KV8Vp8W3okhW8gOeB0g
/DGNwxfTPyxAH5UimWmMkWx61drfekruB28q4xfyf0HBmkclDI3Bk6+hpKtbRS3qZQkV8frRAViV
3YdwjhZoZA8vUQReDYEe7kW/IGdT3D2XtgkTQJQAY701dDOKJBjUYaTChB4IErGymsUkfV+NuxpM
tCVKkHg9VLyXxGRfKijFhM6lkotfNXiecZsA5pkfnOFzEvFeUsNQSug/FRhA32ddNSvFkTnYxHae
JI6ssg3gblcZqN04MPncVYmbEUvc+Eu7ge6JboU6BFPreQLXlmXB3Yg/89It4Dz7+ZgN1qaCOGl6
t2c1vbDwDKQJRyBk6PnnQIXaEYBhqbUt6huOdWM5V+590A6+oPUDX39N3IDOn7xbhQt9GgHlSe+k
eKa9pzTAC/Z2bHJEYQUhNr050zfDT/OnZjkLr0n5AJ4evW1CNPF3fD8mSqgq+4SzU8ty4S2AjhAV
lJJ+WPYqNNqJka4Wtb+PbFSeynCq4FoLMDHCLDLV1oc3PXon8VAx/SPnykTw+CcT3sFaY/xR+zlQ
OC/SI36Xv2dMyIIT9tcfmTJin4WrzbiHVYLh1IblGpYD6bFkR5T5YfZJeL7kDthCU0in6aiFndBw
1vAiNIQbPmrrT6cc3w5ncPLJSMrdLzjuJCo0W35od/V7L9usaioAEn/2ZvXxKwt7ZbCLtFC7oDjJ
rZ1PChkFgcQ194L8495UUBtnwON1MTd7c1AgPPDplOeNvBEI6A4EBkGh4pp+1FXn90xb5FZPBpNH
5PWTgGGP7BS8Fhca+14EX5fxMZ763+vsNX6+wu8VB1MVFL7TCOrE1NH2Tl+YH2UXQMBqCsAxeYhV
w3KSqWlMqYc6kUMte47cior+N1lr9/0W1/UFIHsXk4oookxSNz16L/Ga1F7V7J+xDekCLhn6MDAD
L+3XAldWPrK1gRx0NIefBpxUoJavNA8lz3YOnpCUTgNXL0JAX7VRNwEKOOKaskpzyLry1zP9JFVU
uh34xY8n2bFpRjtSwJGESh5MwgjddKsPkrqQUfavvGtS6hy6YAGFZ/ljiyTXmp7Vsg92X77V7hqf
ieDlbNhj3TggKfghy4cm40qLUJPYGhQ9QrooCEfez6u+lUwTCRffaiEhtqF4CF0rQ7SC2dqKoyjO
6XfUON+3DViGvM6/LyXg0jEh0qFfhqimBZJQgRnWTRaTm68/qnw/rWjVK+AX0UwnMX0GJA86wC0j
WiFa3DKEoETtTNNtxcHEK8p9zuRMRUVqy6RnG/NsZS85z0kLgjcubhsnF6EB+Bl+b5pS7p1se7sW
M4IDEkMW+ixKrngFPjkZoRc/+5uOzdvGi0kywcgojbGOe8WCnhKvB0m8oIzCZd6zpYj/RfSru5kU
/zA9BE3wa/fAKJI/jR8/1VbTmDfJF/JD2yV//fjbU0beWYlPx8m3yGGdPS1xocNlq+giHyEDxa1f
XnRMg0YBdcXgCm9vguCJkTKUDRlb0TNb8dX50grgPVMdUt8Gh0cQJujXqN/XAVCjkMhVjOURwpJi
3SdsF5M3MSA5JHIy/mlcY/+38UFr83UXyGSxCKJidO2nZc5/iATmFY9nHc/0QLoKuqP7hbyKbxZ2
Pp+UgJ8vr0tpeRWgTzv8o6HZK3H3AttfLWq6g0Oqdmod+H7nuYbttqV+Ezv7/kW9D8FBJkxVwmm0
Jz0BHBRIf7P8XbhrsgNCFdCN2EycPC1DdfDAp9UqzDe+PCaGEy98tU4AUfCWeYUq8kc0HbxOTgkQ
PrHFpTFauoP6iXXSQ6VrijWzz7sX1ZmlHBFhjKBNtWPxPaCv9zuI0v5OhlgpjCWD69SNthfXtn7F
mzoTio/eBsWS4cnHWatU0BPPHkgPkCCGX4j3NZkflKqsCm1lQ7nw7i8k/+WKWbaC+F3SNYFy+Xcl
OQTptvfEyd0WYwvDkZIOS6/n1MrQZ5Bqq581bqUXzINZ4sfXVI8kxt4kHt78pQoNQBmDmJ+ZoLbO
ntoSphZOT7fEBaQEshq0SPhvchXFclskX9CgBM5qqYErzuMlILvfYkUA4zFrhhmjBqfL//xr+D8b
ctdAghC1sPnjsi3uoNDVVV1CQz+U89NWrtkB3xVJ0Mfik+HE2+3ZE5TCkOSsVH2vhwNPMmmomWLx
TTGmsd44d/hSsKAGkoOZgIBoIGBIklLpdVo/6XwdlbTAW8er3tK+HjTOfod4c7pXN59aPy+YZjo8
2unSC/RqNVgEkh3kDBk2rnsbTWQgX7S7+8OUK+Qb1WxbHYawCGn7i1jWq+Pad8UdExBCnLN4P9Q0
gYnoTH+MJ/UxDe99VplYPYC7gHvLE/OAAlEN/40Fm1domPwGv9Th1sr2Lqbb7nX223GXBjrwTOKP
q+vN9zdpN5N57HJcBEqtUZNXmCKXN3QrihD3X6iK8M5ID3ZUpZNIcz8lk56QvEvzJOBUR1kA7wLM
evOTaR2mzEcoDHCw2N9RX6MJLzZ0zUYgja3dVEMn2OlI6mzHtMJimKruyCUKyt1TOwz4ZEqpN3+n
wANmKRFoSDdku17puheuvAqXICka0Dpo+sQL7fW65x/993gHNs2OMlTy8+R2BI21jjWyqeWbRsVB
U/w9CnHqpoUxiPF0w1LieZgbcOuzWjHSYST5DXQVYBems1Jo3st9onnuR5GPEI4Urd0kEfyXqqay
mD8+1qqTB9LJaD1HnNJ+iEWZuxl7KiuRvx8fPAAuBqaD3NkVxHAllRjHrE1jF7kce6OGLNURSe4z
HaIJ+F8eOG6DXpcbCY+X+CZcHEHqSL70iLWs8W3g9xNDlINZaerXgy+oMO4D4OLMQSRLjEVRYDcM
sXjjYtQNV5AYaRTifU/fb89T+xvczX0RjTE3ynMDYmmZPlCyQr7z9+jd+25ayN8TCY3mcK2gaOfG
dEc4dVU8q5U4jtkJc92Khz7FkuolBbigNk//ocaFpJpby5Y2zq7BVCqbs9MP5Tj25uJbfpzl7ar7
c3lMZHs/71FeT2vtl6PaXNcFw23xcytGkJjTLh1Z8WUFgnlFL1zfnMkqvWvjs3vYRrgoPY1eLYWh
Q2YrCOqJLe/c1Ze3hzlip3iKn5d94lEFncCtcrUIlxrrOMNCDZM2Kf/JFn1bT6nZjsMIyG5UsD8c
qDtaWnkJqdFESAPKmjkF0n3blBvhySOlMYKJFOxGucACou55GCfZ2ypjOjvUoXIHjzFG9Q1rE1EK
ox8lCK8BXLg/+5CQqHftrJ+8R/zDnpVmq8jVxj7lVwPatNHu5Ql7/NJTUkxrc1rntn/nm6jf5XlP
Yil1DHL8c4dgvfp9udaGJCUXEtWSBn+mv4vxmLOZU6HDJo2roMK2p9OcTyaK6vjAyglN6dL5x1e8
56EyilUySvoKxG2JM1wTqmInK3xA0nBC7E/OwRVoVaDLc5q88EUN8sscKAA9kJRcjzVkiUfEE4PL
c4qlORJbWMFtVx6EnacGVmfuE1gpzhG5bpDEyG19HtU3b0/Ku0ASSiLS0Ib4HnlgsuQv6MDZl/IL
ew9DYDkWjrgA1q0H3jx3q7gZtIXSQKKDTjvskpK3aiufJUnr8fCxBwd6vu9S6jpk6l14PyCcrWc9
eQh9fkQ7ClSYNFjCEKOVUiKGC6QRqQXa6/s7pCjtKyV2oghoG6g3pKGESp+5Efi2NUOMu+8K+DHX
IyQTEwoJmv8S8a1jxmczA8Ss+l8aVoz+AF/3RBRteT1/Jz3OY6Duoj6TX4/U88AzDbgKjk79ozmS
og+DHZ7a3MKTLS0tUzLUqDWplplLqsZ2m6SBf2dNJ2DxH1ua3dUnhomAuccCnToojS914DkRBU6U
Y9LR378U/9YIMIS0mdVMMrxYGTJmBrX+4swkUCXImt0UCiZg/bzDDfWNHVxEy+GXbGWDTSCSCsaM
/wNayaneSZIS0lqQlqrGYMchrEXxMVUUqhDzJSuccWYdoRPUnhe8omJDD/iMnjnH83xPxXBR38r+
C9MgqfrOK0aOmNXhfJN3RUH6cnooyMGeMN1kegxl4YYdRiLt7UMsVHHwkTfbhfQuAQMrvGSzdDjY
Sh2IsbO9hMOPiNaqm0pOoKi73KThvcmX5WlVzMTNEjGmjRuDKhcocFr52+rKb20bCVAdsQaZ4gtW
4p7Nf+YUO9atXBCJ5FiSmD6tpyh7O9vHAFYhPA2rsglP2ZvL+0pRa3ZxAJpSMwCC29XEzGPkCojK
NeNK4TjetIeME/XmFrPn+pAkkgskcXTx6KvbG2+ANVPcgMuiv30FfAAb4e9egpfWfTkeNgYqS+0h
YZ2o1O2ugiauQITT9cykdeveN/wQ6AnV3kNH8vFErTtDBtKeEfx9Qmj6Nd6Ac/CF1kECr+5fssLN
wmFionx1Hhit2RLDW4gfZ+QqlcosdqMAaZP5h9MliLnSwMA+dCfbq0Ks4+K2yALoAxZsJx60cdw2
PMkAqDmXU2cT/PWZoPixn4zz4b/EBaI0gXnJs4gG2ldC7WAAumZYTPaeoyYFd3aJDu22y5Jm1pxi
1cRitvvsvsXFJk6mtyOl+ojFuJAJee8WLjhBFznLtemDSwDYR4zOeOiMON/EEQUQx5sqQMGC1rMb
CIi8DROyDRfXDXYXlNIkclz8IlbhF63WWkfsJPoL7vcwTw5tWji1xnlQZXdkN8NgmIgfSYKUjKtT
8BtmuS75bJzCANZP2g88PffcatT7N7H9YMmEPzfRpZz96jHziY7o8nXu12odNPFTTwshTTdD1Ilp
FwNXZXbryjgnpABqp7l9q8Enk1AUdNzhsZRY0j2I8dD8IcIWO1wIvBh70tXeIn3pBd8LxWAioosi
4vz6IquNKo93saNWVs4AHL+P8lcPz/MCWF77WhROnj0Hkwl6kefo/TfxPgCPulMGB9thJtOd/YsO
ExTibATaHC/gyz/m8Tp0Gbxyp25Xs3nh+X1Gf1NoK6DhqowrtzBUcOxleccbN0wqCEqUMDEmxIr6
1mB+2NlQPxn+SFD5E+DE7xBV80WCXggsU4cJTxMCEuuRe2wUaFn1H90xrUAv9f5iAP1FenUghkOl
jZOQVLT8BDykY1X+0p/2BbG8xOXAxuF+t82Ia1TdBcZO110TZyysKAN/KenYFMYD8P/fmEuLPC/n
tOVbJ+4cFd9q+awtohTSBLMsX3UHecP22ai8xazg/8r80FeO2Grfe3fb663Zjz8p8qCyCYvcVBxk
CrWUnRi9webWL6vASGxgA2an+Sf0S3JFQ0+Y5spmgDY3KLYeVYUozU8cX9RZVj1lXMgm2YaT1FF/
hpBIETc0cktPxLSXb7+yxdilZF48I3K4PzKOCtg9GH1EZzkVqdI4FimCReJHBFd/4BEjOS0VV3Kt
Q68yCD26X8ryhveP6CA2r60cjk/cE4zE99NWlhKhkOCa0u8CAFHAe5Ej4IqZ55PkLUmS0THz/ysi
hTOEr/7js5X4R5yNUvs4HflrnAM+hBSHsJ/CM6sl32bfkcqlOxMxaWYz8dpaYQucF/jQWMGJ7VBf
8oN5yL+nvdbvzEflcRjjde77Wg+vlFgTSbwuPt3McOWooWk0WrUyluKFZc1qqnhsAwS50UHLsvYX
r4odA+8eRLcfJXVwL6J5Z6qJQlXjl2wLXcIMbMNyYD2LsW3eJpVeeKcriJ/SFJobAl84gZKUtlYK
vJ8z9oWJaNs0YF2MzmsZXeYniFWcejOwMETBjJ2EIWMvjPJ4FPKduh6b+QxdV17pWiHFftcQymF3
/93bDLdlMqoHZccqco37k9LjcxexLNYbLB/Auk03P7oWqVv7G0vL85EKOstGULYC3e+xPCVSloEP
KFcLAN4AUuHOt3AdKHh7G1L8IxT0B/alD92BPOn5WaS7+A9QQ53QORAnID7e4p2eIt5iUhbznkjd
SUGwV/Lv/8sWPoeFZdQ4fiQ0yzL2oAb4rdK+WRH4cRSbFmZAqEdVvH6cGz7WXJN/SfxYd3I+Mlnn
fh/BZOV93kuSX2sYhCQVwv3/2RFQryvUflcOCxAOSYSXndD6KgLHplCseFryrJRxDADgy32yunRO
sWsNxkfFSU0+XwCDxVY/M1SEnRqTsltUC3ctK9jK6HU92i56908/XohbUVFSCy+DuD7VI1c8ryIG
v2fgiDyNiV1/JK41rGrlaFXAYZhA/ka1sAo/mKiLAhou0bZiFU2os6cv7MPqeqmm30hSHKBON5/R
rv7WY5IgqFFXvj3EokriPVV4F8c5sH9Yz+Khi8hnKSPvSumPviGghEz9kzRGAWsjoLlrXcqh4N58
L4v60KJqZq+84IN/4/V93yiCXtHmwolYOcd9AQDa4QWU4KFpKsBe6C2ItdEN1oKYr501NXapbdFu
VG1wKisIz2V/w62TI1A+Gj4x2JjCkcqSe2fkY4KhISdPC4+m2V0sHEqfq65SQkM8YZ34tc3hL7j2
VdQSQMiGPPEnQTC2ZuFRMdIxzGVtk7cbhZGYdWWajG53NesG6iXXWELwCvoQ+B42xIRgG2mdn/mv
tMfL3LGDMEAoM4BvwC1w7gJW6s8ZB2leQcYcBzCXR7V2J8p2gqSz8ovPNq48v/K1n1ZoaFttNzrk
ltBQb61+lSbMD/N27LS3mT2cAk8n1TiGf4GtzGgTKc2yF1R2ZeHoF93terruhNMucl6YY9k2k8cX
3aXHE8MKqB1LvrvfrD5ByB2dFkevisa0APo0VGnlikIG2u2kyza152Sn3pm9MxsAlogTRTVzW7+F
qPE+u8Kz4RV+zjOeVFKBdqXXH1LT4DtMGRMmQpZETL1CahEEe+ALX2yXwu77pkQGeHbAIV8fmg0u
7dTRCgefIjigS5n+fAQtkPny4FpByt9YO7yXZCiPl0PZaIJx98/6UVW+E1ezEzpj2kOs8aVSNTCy
1Ske7wmh6Za1Tf3IZNJSIrEUVha+KHAR1llt/2UM+yI1Azs1LgdIafpdAx55Ubga0vfZJ4pu7bYK
WFviHAEZaEHw0C25Kncl+YV6QsnB7exQ4cD8lmNOGm9clWHjw4pFQ9BZ0X4wImrOmVgYlyptV1fS
DRmN3wTn90J5j6UAE+Bux9NDw3hdF6eTm/MlwMqMk3rpWbwKPuTapowR29AU8vq/YpX35aAm6C+V
wJwxl6IdzNjLJzZiDZ+HUxV5dvddHzG0XdGEI9SBwGpVQlD7JhU4xOblI508UyKXy6msTuId+rbB
W6p3Idptia3NkujUYRRBg8q4iMh7wLC84mtFix12F9423xc+hpLDTKfQN4p1ToReBIp0e4RqzLi1
XwhNWfERLkINnaoqnELHoizmm/xKNou6vrdetIbPAiAYrYJ8DmZGsZ9H5DwqMEGcbT+1wJv+sDu6
vbyZWz1NXOTvuic6Y/8cWwO8RMS3nc45P5/it589NN4PyRhlLt3Sb6NvquTmn22Zt/1YLEKcUK/o
YcTTDc8VsEiK8MVrRrS9KitbgZhr1u3O5NLCzEPNjzRyvsWRuEFJQptDdoeF0Q9alOTVgLU2B0eF
+cZKFlZMybJJwfchCfNVhU/aSvmQY2p+Se+kIHEbVo2QJuqXYs4DGw1Cd7OKjKUFqpWb0T36sS3V
fYY7dooz8T8SuUqNTPe+Z0WLvVFr7SEp5yDeXLaQAyTuUX7TyUk75HXE30T6j1WtmSR2ZkDdD/ul
uBaLMVh8RpJpNgW6iQlMvovbYXf8Uv0XipjWOj/xY7Qbc+nJIq3iq1SDe0Mp9vtOGsjY3BPNQ8Bp
AzLRxhnnZcKujXOoL1JZNCP2fz+WhSYRzEp+Z+GXO/gpW+4irUd2oOFychv2ICxZdNFleuJmc8jR
Sgfj9B1T9zuNIWJc2bOzxcExz3XFmD9KhlN7pamePmKNxU5RZTYb4S77MtDQoIxznM1EbQc4Hati
Txg82wLZh3eZalYjG7nLVMr5Pkk1hh9fKi5o9zhJrbfLTjnU/w0kvPhYuSsZuIC76aDGNwpmBYpL
C4ouzbtNfg62htmEWIn3MO4cLgI42P/7zU/xan6h2FeH7zlmpHXEr8k/QQp/HuCd+5ZTkR4ilx1s
rHtSyGGqGf1pJszJHhkibi11SsBtLpypvd3BAEgwsR9IIv+BWcrvHB7ptL2H3TJEQ8hhLsKR1fZ3
tAmkUwdH5ihdqYPS/DZcr9vXGUz0n82TbM/USBVABxrfxZyb4sp/nbtGGNur2wVFVM0hIeD3GbPq
znj6gYMYkulhQrPZC+7jiWRKt63ERcL2MrZVz9gVjO69MXXq/INIEwsx3QXQCdsygcMp4rmvEiVq
TDA9qLCajFfElOThI2BC1T8tFL5T/tni6Y7Csh+relCW4O3vl2AUhQcT59/KC2V4XUpWEjqc8Jij
4q6i/dmwCCXuR5qDozJ+RjeHl9i95kzLsxhKJx4N0KEzVxtq7yQ4yLshdZPdWk7icKM2ady9yc4t
JlgJDNuWBctYAzN3SF5EiZfMrKvn2h8KOJoqnE6PDR3ZeeFR94OzGvznxlJiAVoBTQYI3bz8pKXa
bu+mh7ayiba4rOSY+ox97MQ5LqlmlSeG6v1BNjdsyQy4cga4G3nPAK4PnxVM9NE6mBv8wIykSI8z
wDOqLpnerHUOxcq3cSpnfhTg3kr/eJnuMMC7WUZzAh9i0Veto1p1Hr8/0o2OrmC689D4lzGbIX2w
MAQJZuwQyMmPpCi/l4+7ySe0EKFn9W7C8e+WYdYcECQOv9ZpzEOIUFk4N0HWYT88R8ajKs2mbduI
+A5b6o9Gf7o8MuJ+VWHqRGyQ7HQjQbY0NEzW7yiE0NDNkmMoY3LxzUVdjTqGANN+TBxtcFuZ/RfS
uRDHRsHsZkly+pAKxoDz87200427u72W4vCEQOrh/o9HDfUHK9LoreMf2fdcOYrohIN9wi007ws3
S9MS7GwWKgK7VKE1yTck7c++1CkkSfb39MiepgS7eAC2vOLVroCFo40vIOUOKOZBD7RxetYBn4Ui
AmGHs3POdVcfxPyoZfM8OvSsn/Yo4KVcGVUDPXjLd3aBC87JV8U4mc9DSuE5XHKFgvnYemuIMsNE
0sogsV+lYNhigkus1KsAVTnjDbWeXhaEE+fAXeByFpvOJ4dxtS/MCtALA8mx3GnvHYWD/hRsizKM
iI9eF9tibtVzu7/WOHxsz9wKfeoFn9hdukpR0UvvcbQGNZtUyhxc3IrfkFP9c1pAOzRNM/75vjWa
Qp1tD3TqRDVpyqnKZW1F5QMdJFPyvTWh1S58jDhONtgYPhEl3Fw3pJG7loYj1VG9Aq6yJQKA5XFE
cH/Ku8XbK7/M9tf/HdOo7GAiqS8hJHPL9sfYJgva7tKcpJrqjv6aQgiAopEevEe3OfBmoIdij4k0
NxCNDaLJyztnNuszqH9/b6JN7E1vqIuhqtg69JFa+fd6CYlRvyK8YTTrOq5wP8OcH2XkZ/hcqptF
dprwX0zLMUCaor2n+k/WNN5JOF42d2XCE7TsPj9FOHtWktiWE60cp7UHQKBRpRbKo7yx4xUeEhdm
cHJc8jxdoI2SVn7iEVSa8vAA9/zaikjcvlZjADwRiXaWjSwUtTVsn99/lxHW6Lp59Cu4auE3tGAN
LsG+byr3Ji82Yv5K4zGqVmLPExxdMfwxhMBgFq1MyWAHUKzNJrgX4tnsCMMzd7WQh6kxK/HHlXBL
GVQdxvZojqVGyJtFn1wH3Ps31q6l34PNw9IhMW/fVJp0kYwaazFWP9yf43+rFLGBHYn4ZhMDzMOX
S7JfKNp1o1pMB+BE260DyPiIsZNa+KlEaXuz4sMjxRcopikeAEnf4Qg2IYq8KkedoqirSniLUxR5
LkKVWrg0UUBHJjEYFKTjer6Vs/fXuuIjylnn6pbUScLWAfYMxy6HKCN+/U51Z+9YzDGYCkaI0eNj
T4Zwpwtsgsf4nIbdUEjHclpYdEv8F3n5AzTfbJ/UOevfpOWwomBIMnioXNVu7B3pIEb3PqrKcSkb
YGnScjRHK17yZ72cVs6yp6Bj9/IwwdYlD0wVyzZMO5PkBUdoa40KvmTdUEOmAPlplUyTyiYJA0aU
HRuMKKUHH+WmcCnGlXod/LOwA3WT/eVMl0twQ8z5efAEJXnJKE9e1mnoDwVfnq/pchZkOx+vyDRX
o4lR+6uNrKK5gms9oiXVko3R1EDZhkikKqNm0tAJU/PipAR5QqintvP8sKqCPeE/ES1oO/ih9dBc
zZyB6LVV7y296hRdRF/FPmogysbHT/qDlqGmvtB8ZhdUVZZuU5rBxnpbhikU9+7Pt04i+l9PppbV
nBZraryPthl+axiKh63iU5foJpXg0g6kp1JJsgm45ENYXWd0jo9G/Ql5ZbEzoBMH2X2p3wdrgAMd
z4FOUvRaKKf0mOL+XYdEbC/4OK8EURtCtRFmpimPjv5mfDL3RM5qp0EGS1gP885z8uS/0D8/qfEW
H9ci0NPVAlNYd9VICzrZT4poBpspBM5grfcrPyVABgdexU/IBvOOoSPuQ/gva4/C+t92iRFBmhCj
OmZz8mFLgWAZASuMLMYdRMMOewPeE/2bv32DOqltt4/7ES6mG7W3YZcn96ZF537tbKKST9HyoxoJ
VaTczcj5rZQylOa/QjOGWPyltC/VIz9AhYiF8uRlq1uO0rPo1saJrOTWAYopJgsF4jks3Sx4j+g+
dG6aZCHDEfVwfmka2R8iBDa3q2/iwjQ/ZGYgp0CqQqwYTPLe9zzgiaXApArgxgd+Xhllc2sqhNbh
6EOFZPVmkKDxtTf1HI8NsJevjuwcah9av5EhJNDdDCgmQyQIxrFwELpHUDnomatlsObgdmQxkjiR
0J4+yZENprhhysJNeP3kHDqW1fJJ1HuGkaKx6XbLaPD4rJ5PhyKxwjpgMrduzfp+q+Ss9zGj4O+1
XcFDaL6w2OrpR3AueN2/7VTUDR/srLe9R/Ozcmwu9t20W8bTIRH865CcYpFxlGSnjv+UVdSccbya
0N8qJcq3VTMcSV8Z+yFscYupq+lTrfYHyVMNlAhupSJFnYy1Wy7W3BhmPy6FzQdIGp2xldZHNpFQ
H0PeyXvtat0Pjqq+/6bUILlkrw1QjF6LZ/hgS7EET9iCjjTFLoWc1ZykBLcKNTt2cpe3y18mK3El
yRV5rxE6ayjOlJMnGAun59Z3PKFuOMtvJpSUZsms/d6g4uuDD2NhQRWs0ii+bXkgfCiTPz21KWJr
husofcIWmb1Na1nfmXY1nzT7M0mUK61CShn1BvOg9ZjTni+XQaSeYoWtMsY6GXLVxYMx2oh2616/
wIxb450bspyuWCTlBlnMQwROhlsVuJqYKXugZTfFXTrrnrP7X6ysMhCdIrjDXol6HWX1oXCz1chP
4JK1Dj4KSW3nmLfHa4uMKAEIfLkJJlbTFAhfkLeTYTMttEawOHrYnFmp/7Zu0KtA21XiJMZqnTtq
SuRQCrqNtV30m0C4J8Eej/sZEm/LG6RNU9ye3Jq42Xv1Ng8K70S0HPGZRmfEQqNZfpyzRgSu2eKj
KahduaAq37+E695quKf1Ai0SSaXba+zxE0IRIg5BxyewHw5v1L2Rnfv7hQbC3a/tObaQr1nYzFuU
2GnZaEIth/NbjeNr2vr9yOU1Wg+bmjsLBW5g5hfPOTz88zRY5BU/5wsQ5FQI+CtIEa/YBjHORIZp
LxLlFpQhWAaLlrxqT8agmfRfa8K48uN3ahca6bx6bN4g4D1h/3wE6dJ5Ihy2M4swCBl1mETiiFFO
OQOK52uFgsjOQ+JFdPIC2AhS43J5R7byzVcTNsfOqwqfAYlms6v0gg9Xaq9Jqgz5eRJibh14UTGm
QiEgkvsvpEezpWE4B+hlrQs1TWXEILlHtiO37cQ5KNKKQnm3lgQecGYv7ARj/fMbD1AlS303E53N
MYmb/0ecxjtSEtnnDCjo5oIAbBsXh/Cbm5BWael2+i9uw1XjK6uJg76Y2Z/Sv+Nvudd4QQQyJSP/
Tu0dLmPg6OaN2Lk3AjtKpHevGVsjS67ni4+usIhppD5GZ1D4q1Xz/UmANbTmPnwTlWV8JM2t0nKZ
4Dxt93zrrlZ4ssoPCSviMYadXYRJYFWtsB+Efe62wG9UL6/q2jTWp7sOZnvQwBti45n+A/tmRI5O
R2p0BfLzjzsffY818+zfbv0w2RigyRWOD2PnTb43CeHYgxWuBBVLwA1hKMCLnqr7+yrlC6heUEh5
48Qvp6Vt0h5hcKZLWqIgbI0Ih25c6y8f6KsQNBSl6shnY6sMxsaL0txOgQjg8ViGqce7pyfLz61a
oxzH4JV/ROzsdf0D6R3u/hYEv63BBzR2lyluLZilJD5iIJh7jOQtw1+cR2lLML8Yd3KWVBqTik6f
2FZQ7AV3mln+5gGZnV12xjhi+UjMnnv7dkS5//Sy9uOtbuj2pE0ZiPvqFfi2HBsdW8LWRvq6wyVf
5akVYh5O6+GiD87gSqsmT7NJdoyim81fqbdW/dzi9ZE2Jc1MjbgA1VdQXNmAJYTL9mX4yCL6p3Au
vk5Zzk33zsrWDwm7dyt2yHF1XfzDsCe8xye6PF0LMo5LnEX97VeGit+zlHe92FDe9Ckn/+07tVON
r7/kwLMBnOl0iG6r0CKImaIMIccxLRch61oHw9tSXleT283c71GsammMlGOsdhxR4MXQpp32R8lH
jda14Yr96TS62pQIjeGBsG5thRyTe2jqQ1ekeCef7ot+Q+JtsGhGu0zcqiR0wAdCiA7J+kqrSBdZ
A+29G5o2HILM3qumC8Y1h6/llPoWlJ8Q6v8PVgZ1WKxLHaEj2rkcMs6t3PrqORoiLTUJLwRMfN4A
wLK8Ge28sLCLv+pv/fHqwgOroElDNE5YajRZyOK8dNfyVVLTb7ZIwyXIj4KLWTiV3bLc4X5tBWq2
+t/MmYF0dn/n19UmEzV8pCmhQrYsrdJfG7Oj6QZdSA3VGLmkrWhHMRbJDwqC1uGT3MBqt+efJrIN
KF5AV4IYy/6PBofSu6ruOmBvp6I3RARNtVQKz7YKCV7+JDwyCSK/7t+j0rvyispGCFfYBKAcNXZn
07+5LZ+85+LZmsdcpcRCvU/+hI/x2UWhjvP+Z85TMJh4rJZP9uJu4ifmUpWfVcu6EgKlUvpqj4pk
r4gQfJq8cWWcHiPDK+h2/UQN9sYiJC4qtrN/MZ8zmZo0XwI7IOVmjiRlhf/UVeTbz2U5i7gOEm36
BHl1fAMHx9ZNyLAzb/w+M1ktdhygp43guthCc8ZFkY0d4wtaU0lA4RSd+rXD5ztyjzQI38esWAZW
52R93sKzEBpwGb41rQNfRMEBHxD8c1a/U+6Vs+7kWbOlsAunYWKNOhOXajaJhq/V38m2k2h6hS50
DHOHD9kUc4WfP8hK6mF5eZ5V+fYzJBXc8VRV4kEFlvZ0gjB3Hx9u4fsXJM/5TM8dJUGT3Zn3Bc+G
H+1Bfxy4A9gHY5OzAqVI55Iz5DOyzaACbjj8eFuAwpd4AbmWilRSTft2DkSuSMZvlT9OVm3erbhF
I7IDDqpvlFNM7M+l+8jEBcOSi8PMuPWBzTNlkyXlZnSUjH7MfHrsJk8K/Pqq0a3bVZw4qaLKR2aQ
di4OXizGhFkgGc0dqekiPA6ThOiuS+LShkb2+5IpwWnWOvMzdiO/V0cyHKqZIQ99Nw6aaNumbk0Q
UNCeB9SFAp5NJ9/hPYhYG0DpOFkO34ZNtVbPBl0e5b0c4OByy2zLNdbFnACSS1hNbOvlb8kyDEgd
yOM4p+P8Wd+RIi61KYSiaidBX7EJ2RVpH6SyFRGeAIIeb+qVWINGsZA9NM9fK+kZbd8u2W5D+V/K
3UH+IyRtloaGZaCpp8jK3WKm78XmgY7Vu0IkNGZaBzvNRRZxNk46vSKA029L4aGbDnMBBKLTzhDE
YtokPhxbVdBcOS/Y29m9VBFMAgwsUW/C3TKhxeiDuRfTEMpf04aR3QVAnikjlJF+MzE+vUciS2By
ylvDyBLoh9nzHAznNhYbodaiDchPAwR/zhZ0gX81r8l87i/469crhYsD6PsUpCmiUDFsIX4sOnyq
gpAxU7gr+Y+DvssUZyCSIC2AQG5evPHCUleQJx+fxjDXRxR24HYHoyQ9q2wEi71bKpoIV/CLyyit
+n+EvBsVZiZzsgSN6MBIrgntovxoaYFuXvXZK9RodnD4USVA9yQRsHA0h4Q0hV77f7sDQ+zuJclr
pOpZfzoXZQsQC37NknPzwmI/IRgv8iFso1QEKC8tQOOpb40epMPkm+uQRvq/L+vjQScDCjyZDWrC
gr+Il6CKVdiDhI7vRkv/Qo/un4RJeSi/yIARMKpv/sYOrBwuu/GSXWaZ6zFDTNxS3YMlvKCnHCra
SfxBP77Sq+nN2oO8YkK50PuUWVMWrwHhTsDP6EnDaaa9IhJ4hf01Bb5svId4gdlWxNr9E6HtSbXq
NjllsIRKRE4s8LTpYuJxxtptXjro29kc5gG1DjItoW0Rk0kTmEI0ovyNZxldyjnrJvXGSz6xaznC
2S0WXw+baF5uBBKKWFY4rjCWMxHlXenIrwnF1Xj8eVSdszydQi9DDBP+YNTRTW63avFKahtdYoCS
ScymkHmdfqSnQJtROlkLQ/mtkHqyxgdE4UmZPRqKcCMXagYKJ5nhepKaD2GjuQeYPt4LxnXnpQ35
ftxHt+DrdrQZvuvUHPZRnzNbhMBmMgRBY/innf8m+ZE6IceRtIe5yFMTApFhjcfjKNa912OCNLOo
GqJ3CYZimOC3M8zMOHaUGxkDverEzzmu1/ZLwq1FTXZuW2xGJprgM4sQhKNw0crtbSk3MJNCaW2/
l5q/55QR0QT6g4zcoy7gITWor4fUXFgBQYVLHpvlG/Z7fg2Wlfn3gypZc990uFlYZSJPJ17AQ0cv
MzQbtgiwW88yNw4y2gfqP64O/EPqwL8GvoLkVND+rMEqDgSGnZ6csdxyj0T9rIh0lgnJFr6efOoG
GYyITW3BJuNpZj28JlSZGdsyp7zCFDohHiC+lG+kWines/ll80Zf2pjUwIkhJbqTSDjK+L8oxX0D
Yw0/OBNXGDkb1913XxJUX4eRcNZGKGxvEWWCnsR8R5gXb65lkhXS/3btLFhgbZdiGmKNqvScD98M
LoVbVE6ZLR7thE3pR534AJpep3vmrwQgZNMrTj4770KVCPD0j9rm7Cbmgsxg64Dynmar5ox80a6/
6dXlJoB6iG3hqddnrO78ioBzK7MU5sSsd4Dyuc7D95Ls9XVMseCxRac+uKAmwfJjFZ3ktFARw/mP
822Vq6N+3rF9oXPNru4dXEicCt7QHinbE69MbMiJmWmDKDOVtuOSE+DLIKP9LPJPyKSbUvPuRLpL
U9s4QCPpAzX+Sl9ORVMJ8I2GccOPum6dX51rBAN+zY/9jOdHgESKq3nNFan61+RUfilC9vmDBN8K
iSuL9AQ8+qncoaO5LRdoEbY5MXTgCCOnwsMEXM5KGKHqlUSaL1lYfg2Y4uqPI6N1EF+gr9dkqNIP
0AgLZpLun5pBfx5HGoGaodjNsYmVUlcg138r6KKsbQTBg78PX8bb3PEeqaAVR8xLwQDQD3PbWh2w
Tmb9e567iKLzGyIcdAMmjXF8LKiN2LunTflELX/WlNp2VI1LfB0cmNj4Z1zqcRMMtvfLHFBbD0Jm
w8WrwEM07ncg4iBdWERogbHFZdo7Y10ZU0tVzBfVi6uEsau3XOlnN6rEYPrbwNvWl0Zk5/bkErOS
nwAwKOXBgFmWRDvVVHvrZ1fB3fN+JdNIkctIwX46i5ILkr9WiaKx63kvKftqnxZJEfMK47DfdPEK
3pY8FgARmvWOZUdjBv2VjrR91vRNVVYVY4PdoE9O+attAURsqHr7j17/QqWO+NKMkZJ2eNDTLh8n
LOEU2X+G2kSan9qhEqqrW++qYuJA47HXle1zdDlhChwy5GWRJH9MP6j5W6TpXKJ1glSRiRBBof1f
0nQMvDmwXI64km9KrQpPCDQZNbv/AJRhQ397FBnJXcyJ7Vx+XT6p5uMshhik+14LHECKAbZudoX6
sa3M+IyxZhXUQ6Hov5koACSTFFO4AnIQ5wDvQh8nKv1ngyDk/OL+8JXTBZ66XnMYPPzlZYWdlBI8
bJV90jlZ4IvGwoRUqKlxhODO0Q6JqFR2kuonFGt/gi9ervT+/AU/PWndJ5QPPQeVE13UD9xVenQs
lZ4PND7TVxFzNqKuCQ72QryXK+BZYLHGwHQ45maq+tA468lO3aEZ/bLcQwjtdVNgLqh6vwkHkUgR
OyLs+ntheu0x5C/U50Xo7jZyTr0T1Z8L1AYTm1jfA1OWY9kPnkh6NwIn+nlfL3+VJ5ZX4BtbTGH2
cU9KxgzzpjwDwlrbOlDZBsnbnariLJenECHqwuMXMl8qShx+zx7KRX9FWfaajhZVrHXttklttMl8
TX+3UIqU3UY5fvYRIZDHFgEM5xxjZ8ZmLq/dQF4/mebRJNYfgbFYl6HGTtT697ixT1/VdY9l99pA
mlA84zvsACuK16+7j1vMytw/v7YDxOj7z8c4jj29b7+Jah/+ZVO7ST4Q+K6xbIvemN9+4IvwM44V
/Isj3zAMhTQ9yvHPzHPTa3j8QbdYEZOr/Ixx4FcPIF7EoW2EGzU7t9SAUMTuu47FfDQQ85K/b1J3
1hrWgrL8XsuD3mz79rfzgpN+V9y+oMUBLVC1QlvFcOVrr5m9EQNIQNuRHVKTat3ZJur7nrVn3PiN
E43aA5GHeRWf7WPPDbBdod/p/DlJPIH+3LcrGOXkwAWgg0Qs+Sd/ZvcLFXyNTg4PMnqV34t6oj3W
V8ahNqqJuZzzCTxRrgynLiwRhdurS3ZbMV8YY6T0pe6ECUE3Stn6bdUrn/rRxhJL586GWi5RTn0L
Mz/ZValm53UeODwT8o/F7mLjb6FxbMBwF+VC5zRMTNU1+jL8dmZyxwS1mE71f6o7ys7NpW+jYpPc
IkVqGc/tc3of8byiCYWtRVE1qvig3g2lzseYqTiQ7hkqrr8ZignayxRkCyz00H7wxZzBCCqCfWYO
uvptldO1YHy2ObXDJzBuqegAoBmhkxIDfiZLddI81Afb9PW/J/ICAOtlDnQANuxUjxmA1mMCWkDt
AVhD//HCz4B5yixweuWwaQkTvglHXdTyBhqTabw07E2Ep1HajkY+u7yu8HbwjzID1PsZ3RIAhoBI
X8X1WBcyXL0SArKQY75MGCDuPQuRMJXCQVQsZKVy/sR+wnXyiIJub9BfXCT3shOuvxYzRFGVo5Sb
gBee3eP3iDgu8LQYnLaCr2mqhJKWjLhZD9Y0M8Xi0yuvHKZUSbBVxCQiJuKzZgg0iBtEkY+xa0e+
zU0I4qiOmZkdTS6qp9UFbPVSnnFYn/PW+ktDTqdUU+K6IlgHRWAfSKECNz/4NuZ7WJzZjiAMuDGy
Tf8Ivmg0nI8NL0/GSzBxaktf8iLOCJsuwNHeOBZnoMyrlehiFB5iAkeKWwSYoiXvE6mgGItZVq0s
GwLOki3BgvmWCSC/d0QYflntjjcLUkSHN8NfqFr6kKTeLjZlOo+QVNr5YVq/6nBq7eTv+ZZZH3Zs
Oj3RJ2VYncSIVnNNU6JoTrCxivKBbzuea2yGzm7nppau1+8BbpCaN8jww8g2B8N73iIVldjdbog1
2Cu6FDywjdjMeAi350DYRyWl8QR++YvFi9UdqWVdyhCMKADxw54P2IWc/jQrgro3dYoobBMLC3+J
f3lAzHDtDvkWv7v/5gYoZCQgJCDv/dg0C2L8htZ40hKQSGTinwWUFV7HWpfWaY/98sbNqxxfMGa6
jWhwMjdgWsF0Ox3jeg1azMwvV/ZCObJKH/krCGXMtxU734OYJRFZCDZ38CkJ9VrDI0iAiLLqy5Ea
lWUhYixZMG25m8ToGKG+mgK0MbDxs6bQxbtDZ5936jo+SH4RuPF3ZX1ks21fd0jJMRvhj76g39+j
3mVCIh6bBtxlsf4jP9pZHUP3LfxyP9SIekjDbqlvd5WQQK5J4OjpovzzXQ6G6NG3hdqTzxbvmqdQ
bNghSBzDi4sVU/Rc/S6bkRNiMoehBKfmd0rODhxnzWSayfgdnLKR+brbyL4mc5XQTKCi9uZkWz5J
mLaVTvY3fFFx1OECki/AbHRBXNrtG2WGoD44I0Dz5m7fXfTj/ufFbiR1YWX+NwBiIaPvsirdbAw6
AmCN/UaOB+olM0HfXQBNPG0kEnhS4tV6L4F8DSilZUYULLeUKNWmh4SRLj62a1TD//fd0H+cCSsT
vSpB8yXT4X1Wt4iH1itAk2jnO6PIw0pCKfBabfFzOQW+trrWvI98irWMTc7obd2CIaEfdtmTfXpA
8AevsAEnxrL945c8FK8QYvVPLTxgyjhwpGaTBRieCkI1ztZXmfDiyMuj3AlXdRb52A5l1W5BYpkz
M+iHDQB4sNFyyIfqDDQyLqEzJqvCIexJgEqCUiNWvPfmTy2g1Z7X+3O5de8jyTejjGJhfFsaTeXK
WUKocqF06n50C2oRo1XrBUG36Z59Oc4spQwgc3JABzfKQomjNOmRYR9+JAUKEHIUOBdXQQk8c9Ip
C8MYu/LfXArw70DAlKcIeP+ZBv5Q5HbTdal4bkLEFa/PTQyFslpN3+hqY6JCnES7vgtk+rHaNTiE
y9dwp/SXauK43ta+sBj4eUzHKATvzzIrjhQ0XPnp4+6ZOW20uFvOXblSVSbtZyqlq2XPA95keMD7
Axe81xDDG3FVL/cYu0zCfapO/tf0S01f/NOailAOTB/bvH3dHlSgMDv+UC3mpZMbj7Gl6ulxaXhU
fE+dY7Iepls0yXD+9dVgjFTJ8NqKo/GjvYU9ysjYNA6EBR1v/ZGbXnpuP+Z5Yi9/8+4ZK2xm4Fwi
F+EBltjnGi3HHrOreqqDwmzfAsKJpJvd8YZzi1+De8dXknQDt1jcp9/jE9LFeDDuHx69/YUijXQE
J/RqTiLOpoBohjXDYuxiLVMUbxIcfbfbNtkob311yIfzVdJdEoG8Xr+uAsYZAw3ApHP09WiQQbon
+m1jcIESItvTpMaW0Bxy9zIpH9+9Z7MDN9QWoD1gOgwIOZ4z3HfBcv9QFS8TgE27rfY8JVrPsAJE
cq84zuQQszQ77hVDqL7XuOHhFs0WepFqQcwvvLtQ6ITtTfVa5YjZfCl51k8cQnVNk5ikEiPSwVSR
Pdem4NpYyzB4+IcpnRw7g8UciF8zDvGdj9bx7FsSwxsLv8gvjXZaE00A8tKSo8xd6vq6o6Q7s0me
mkQAx0X/BBUZvSvGypyPvzFOvYl5yNheLtyVDemYptHeCWRew5IJt/lFV6rKW3kRAZHCXefR6Ywb
HQD/v5jkWrhc/5m1TBcaJQE8nlBfUQ2OZe/t895Qbbh/U67kd7HR2lVSig+GpCv8N/IHOp91423A
ZIpL5LQ5N8ky62uUkbxQzAGBTh/1in5AxT9kLaNgEg7u2BdSf0XIZEWwsR35I8nZlRRX3mOLTLlQ
BJEuTrMtoQ14pWqK8fNFVDfGEn8mJ6rf/c5eiu9OCmjeJQlonlnq9TF+LjpysTRCWPoaXJjR7sAY
VD6i9JJSrS0KF5LMdVgO4J8Ap9WoO17cezEE26uM4dP/xsJXWOos7by7FZImqrFXTxeHyPdgMMmh
GLwi/VEtD1TeHhwMC4cjQjUIPpFcdYGOdDoO9mgl5juEFktUkUBDVKp4Hbqib1eTOZxmtIjHeGRt
Tick7VxQw9ICqRQZjr/UCvaIafwDJv0XlnSyMJqr9vZe3PxVOMkGB4uQBySfoVASdyyVpwmf7WK1
kM/yp8Q17wXxBx9ct3twn7d4yhM2ugclCZh9JVxkIo4IgM+FfWmXaODYCpXqEdBwMe7kFFnXBnlC
eEEPe6SsNPebQJJQZCUeM61jtgLxfl9OIkCyyV4h8Sy9Jy2lom1p69d8LoKrpajUs0d4wPGvloTP
2Uo8wUo/PPDk17IOUImdoUJv/irSho7Rf66WsXAbQtU6aD60gru2CBSpvwXZN/f7pPf12KDiYXsw
+3LnEyShp4ngmTE2Yn0MPsv8tO3EpX0LAJr9oOS7uxHmXWNjbV0E7VqfAvm9sAgVeLVzLVXQklpb
v7HgBzmLcycwsK1aPXpszCTSM7rH2A4gX+s9KXR2kUtwmGMG/8ASyS9e0rSawHwJE31H4IeA9gaM
cCi8Hcl7XcfkATKm+Cshsx2OYd3Uz42JFnJGcPZiOiYhbKrOQRe6f6EJ/2bzSQ6TbnByci8ewROz
9e6N6JE1LO9fvI2MK2wtem+czLn2CefuCujRWuZt5H0fXhrNYGsLWS1/RyfZxI0McUDQ0DSCWp2f
O2FjNKJ5TkYZrNM2CAFjSKIpTFzzlU4HgXb+axoKRrr9YUSUNu5D/SCmEIB6REdZPQxluup6Oi83
F3VQPO+ufSQBB/sOWCMGKsOXTHhBeA2O/m7V2Mr7h45Eb/PHKCAVseek+opl9mz1wEpmnD9t7PeO
q35/FTkQvc9JWLndStZProCXtkl/YE4iapenypVw0uXWaLJt796sWnQctdvgQ/P0nV9lSrnJwIlB
d6cNMTst2imL1MUbStxknXtX5GWzmgOpg7w9XSucLzJEdOOHQN/FPVZ9kaMbyxOOq1czGh5xOoy6
GeyDD6I/KuNarqZFQxZvH/go1/dgrRFoO9k2m2Om930vOGc0xLgNqk9vahy//eBlUHBf+25gwz2s
pPqdy9Pg7GNo9P8NBijWeSdhrUm70Nau5na/BttDxJ29NAYU7mSUTC3KzFT7ZwFYn95SaUyUmrNt
O0sQ1Y6QLUjc3Ulqcpr4CLYFroIXsUmLK+Hxu54EU/IWtLlghEUoJhCHlP38Zgo3Sapq3PxfmPjz
zkRcY7mo9LIbPiPEvKqvlHn6drcm4kcwbHzH2fC7zWc53jDBQCLBi1eK0gSNAhptZmXm+dZlrmbG
ow4BSZPKTZ7TbrOJ+LGtcMmMI6zcYpiz6wfNHauamaVkFtyO4sahi74DVz7pQcrZ64qL/nzpq2CX
HG2PIzKkBT+tjFw1lsprKziaoTIlVA3T62xerSTjiXAZYAC37s+XrgJQhYBqMq4+tVLppCK8mqQ8
XT3HfdN8b6LuTvwwZnFT7gTOIo/YNtOtdLg7Uu8RcQOaYZgWygWlL6s+5rCyVnwdMRmB2oRG23Ly
ozBvGg1l4IHQjTupdIkUEDTYmeYjpQXz/ruObYDx7YV5Zggk/lv5j+KKKiZFz8U4ACFbI25UdI4L
LwWZiDdfdlzFsVSKBFJxLqOZ9sa/grXlQ43e8jeNTNP04nyB8DlQPrb+BW9MYN3CDGwF+qhr0f62
cKRLuIcZGIW1tRD7uqVHXlXfijNc5FK/IzUnwLxYhWVqpI4hbNREBQPoy261IEeuiqZ506Ep9mDg
E1W2jx+blfs2AtxYPedyNWcnQ6gSMSWn7W5HI6Ojjw6pBA5dSZuQPNZ15Wa+cKcxIcXjgnaJcM59
2VxMS/WJGmuFlyttZjJ9DAKiEp4qpjydZ5BfhvYq2O2VZyDewM1yTbQEjeRoDawqAeIncaVjQNqX
AE9cq08h7yJG0fLkNP8MSBZUYHMssKool8j8HagL25EfdotaJlEJ1jzQd3lrGVJMGi43U+zF0mk1
6fLMi0TKuNaOOT/JizxSnlTHvl91jnTFnc+lxZUaIVeZ077zoXIcGqABqgq81nZ96wHId6yASAMu
F3fEXO281L5+4yMtbgv+nSTsMv2s6XtDdyFzFfKBgRJ97SNZVISy1csRuGoMXVehfjqMmK0jt/nD
ZKHAEdXtK+hCPKJGRW1b1EP76cR55LE440/PGvKFf+HEvVQI2LKQEA5Stuqt8VQA00gYz6JN0BZX
uA0XPmGuM0UnfjbemJJim2EE0m53Cfmcm5CJWwqN9GAMKz6UCRVDIlhrjIhqlroH0HJqhwVsXzU2
F7jaPEs2GR4/3Ln+EQiHXyJJcIZ6Z+XVyBA6pNcp5YMOldSQwMaAogh9OmcdFWkEgTo9pGOoFcDS
pHN2efFpO40ApbmZTAQqzWgXBFzaiTfzVKotFqWdHb52NMzsRX3aFtMm49rDecs7/qcmzqEAGrka
sV9XuNTRFWR5UCF2aS+GGA2R2alrV35BGhQp2QRGuNv1tJ6t4qyMOfniYumAgIbwIcxx7x+ujWp4
uA4NSsoDm9lARY4ayBoq1vgy3kuMl3M1YNjZTX5aoJOFoM/u4FccIR5eEv4wjNvdkQXMssbjKFsn
TN716hPdDiA+kFSeUteFivUmmGgxxiakz91kHD3qDZoyA18u9qHP6P4HWoIXDfuXlCD94tTNHdQM
EsdJ6pJYvNYCihle2yMgh1XVELZRDL79GYS7VGOWjzhAdomSo9dn01PXICREF34JWcrLnte2LIyn
LGqEFtPyfJf2EzcF3SKJo1IJ4eYd0o0fHYkNUbnee2S1OxLqPm++P3Bm7Wuu4Utztv7NL2X+Pj+s
x+gNqIl0S9bYTtf8x7fJZkVLpd4+Ek2JkK0iKITIh4wkkkVgYbMGRHymWXuSwU0uj0FQf0nX3DYv
RsduTWZ8CKky9iAuNecvYiQYoAI7P5hMVHJTJtE8+fPegV3Cr8HRBW6HAbdFcSTu9MDcAKXBCJIX
49YAmjF9c/m1FEDx2sgaSAaKmf+1felQZSJymQVLgGuoSWUwepVifO2J8dAh2ogtonmb23CskwTW
+0LEXfuLTRHNXFkwzPbLvXv2oM8vK8NfyZ9ZMJolpD9gRLnGmj299CDdxfghQyleyYVU2I5FFmtF
MuQ3llgLqg+v+M2eSE67NiKV23n/mmriBC/aNHG7gwCXprizIaevukNouYkkDANq1A3sfVQ+CsF1
o/npcNrkTusJhMsMtO27fAU7FnPsBDGC9wZKmTXwZ/AwJC0QBMBPqbLF2aQm/WAAiYbm5v9JOhA/
WcXKeYrSg89eOUoZFYtxjOzXe5W6YglSzmpPHbiIrL+JGAwR7APF/RTKmhSepBua/Mzo1+lZhEXd
0+mjxSqxbUL/3KVSDmFsZHf49rbA2pzmIQnhwOHPbhNGebuCsDMUP1gx+w93LxFuO5WxIM7QXPjL
aQ/Iex9Z1F+wS8DzyHbeug2gE9WwZaEMySM7eHsosgQQF7kQt0L9txTnV2TOIzSXYC2EOsCWj9Tv
oYLc4GNA/kc/5T8Pq9wKv3giUGKZ6whpdxeuzCuNPnUW8KdETw8N8eXTwxeSlt9JsrJ2KrbnSBId
Q/v8UPeqTY4KSCDgPKMTAL4nv+i+DqiFDN1paFahct6IEf8vmvYhkub7IpjsYkXpCuSvC21zKRy/
HdixVcK9YwIsritp5V4I8Rp6fgAHyi4sGQOP321tBRxGmIWIoWLVJJNXQ03f8biYlzit84oWaHN7
m8//MXxpTs+nZ65gJVymaBWL9CN6qp0pdW08hTJ1aN+bImnTTnmBmKY/xXXo2+4aTw15KfLtWL0a
QlI3Yno5YeunAuVrRjn+WXJxZjIrqLSgbXBzZFEGF7Ym8SEBRipasz/Th/YmRbSSdxbVL15wkiaR
neHo3EQiBdo0hM+IJBL46uBMxd3QipQUbCzJ3FYZwFLx0big1lSJXJchmeyBhrz2pDx5xD85ZP//
Pb+mjz2tplRxIcysZdvSSV8AanBrGsiZxIXPm/G4v6AqNKaVID+GQW2+0tCvFwA+5uVvUm5fshys
y962D118DkJaEv3dqxjGIif+r5/m+2YuKxasjr7Q8ZcL/8ezhVfHF2v0xeIt8EB2qfjAUWLrKqpp
wgOQ/E3Fh83Of276dIEScnI55aCcwvyGwdSGdbdrpidRpEC3DML4nOF+l+vxHHp9O4pwQy6F4i8G
KCW1lD659jH5KoHwezrB0SNud9ExP0yZl3N/BNejmRMzW8pg6q8QxhgKU0jIHJc7pmm5742HTLN9
9qC+6S0makBqfx6Xa3uE9dazqooO4Szz6v7blPcvzA39ijUwRqVYQBrGyGb0r69JU8i2kdkGwbZ7
gEA8QJibLB2QQQVY9gTfSptkI2gYlgwWuhoeFR8y1YOACQpeXrJ0+3Z27FNpVYg1rRHS3W/daYAZ
BSFFk9lXv6Ve24JCsqzehla04C+aKLRvUq2vSSeV9swiPJhNN7lLfalj34Inz3rOp0WlUrRVUdff
CLMle8QKaDi+bDyxe5JugMavR/IL0BPbbkoNnPpQbtsTc0R+iw84hcEQOlaukA5HNz7I9d3pfwca
/bM4PP3jh/bfH1rLELM/SOczAXHqgdFUtK/rrkpr+qv+iSrRNrWMZPwVRBYsTYaaQQB6loFWnh7K
YbiHvfSUBKthfxtqZ0T+dTW8T3eolvFktxJXhs2jDS4JYkqFrIbbuUuPlOZJIbn5g4hHkQ9l7I2G
gM0BLR4mLXgjzEs2V2z2UK7b0OfDO68myuCl1e7mlbrWoK5JWyLuN/5wo9Ca9QNsZ1MYxBh7ANyQ
bS1kUKpEXOz5cEhqQDwh/hisS+p2cxdAw96sV/vmXFhWAJhuZ+6Ee5KDo8TBPY58dKWPEDxkvvI2
x/JqAFpDAtnE81iK3uewawcdAdGkMYlg3Ak41vFsbfprI6JwKfdUFvLE1LWg2mpUcdXlb6sETWth
fts4m91Bz4HuEW10sC8590IzJ+FFj6DVadE9Hm9NOyAYEUglhkpbC9nlcslt/UAD8rIkpdZ38r3k
cQXfmo+TjiYJBGo=
`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/common/input_blk.vhd
|
19
|
28006
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DYkUg37UnVRJ+X5v5iFDmCWObMw/mUCrJuxa/Cr9wGl4FgcJi6OQesLI1M+aH7+emQJssoNWrh+N
iL9trwbpEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vb74X6mc2H0e6MLiEAhBKZ84QSTgHhg3aAfwLeb5H8AGScZ7UqNDKDmI5IhuJ/LPpdHQCtOent5+
I1p5tELHTH0LzN6BILTKGZBdaGJ2AKKoofyljqaR51srCF/ZJLUOrn1XUZMkdlutYXGikghh+zK5
6+/HFEYyz6zhpfFGpAE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DUY8u9eRLqeXCDG4E2/8OtDIacK06AysbSio1XfMMKnofNQFNkb8eAjngrn4u/YZ6G16ZNMG7YoY
jk2Rx2Q3M5GrNkHLNcW1r1FM93KBIPYna3s3UsOdPXI8u/gdrTwtTwv/xpFT5pO5KUummozg1ol2
CfVK4phP0ptL6RF00qSF6IA3NotRdVSf39i8Abyti2fNqAeVQtQbe8y1/1WV9RrHHqEjarv5sqIY
6GslwJ8wdJjPL0QS11gBEh6rDpndqUhWIIFTUrFMd1tEU2WzUCNSxtbBPYlWfpU8e4/l9e5xSsF6
weW3wzZvwjgR473vdWcupdpbpXFjQjfOA39+/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
p0GGQgjzPW+6PIUsMdZXTQnjW6BUopNyvt7ApHmGMwjrt0lKkYFdeq6NnHPNeKi9xrrloGAO2Tha
FhPoK1WSUQvFoRR4uKVUk0OywXYhciTgYL90XL5T7z6pvP+T2xdoDnAiUPoqzH/Ubhhi84EoGyo2
+zIDCCcTvvnznOBjfpk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m1/kaqW4ETEcDTOeEJMS5yQHRelnhe+7sXgpcKiP6lTf8NZHj87LtgfMx1Oh7TGMtL3OsgLwXKl5
B/MVSSTPV7z0P/OvFd/MWYJqIMAVI0yV4hJ8dwWC7KK/kawdL1h0Q4iS0dxjn9/392LJCmqkJJmj
TEThXH1uoH4tMKV7xRRg0/MNNOk8hPErcV0Sx7ZxMFsvJk/PuOEi0wzy6daa+A+gop4M475HPjAb
iPZ63o2focv37v9R+NETZc+LyDzZAZPFDxIiHCnZlRMpU+rYc4lLu+Wj7afASerzvuIcVvlJO0R8
MuDtSunchT2Nxfc8io8WUTVsWpkmP/zQb3BvSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18992)
`protect data_block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`protect end_protected
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/counter_bit.vhd
|
15
|
8803
|
-------------------------------------------------------------------------------
-- counter_bit_imp.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: counter_bit.vhd
--
-- Description: Implements 1 bit of the counter/timer
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- counter_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:46 $
--
-- History:
-- tise 2001-04-04 First Version
--
-- KC 2002-01-23 Remove used generics and removed unused code
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity counter_bit is
port (
Clk : in std_logic;
Rst : in std_logic;
Count_In : in std_logic;
Load_In : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic);
end entity counter_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of counter_bit is
component LUT4 is
generic(
INIT : bit_vector := X"0000"
);
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic);
end component LUT4;
component MUXCY_L is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component MUXCY_L;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
signal count_AddSub : std_logic;
signal count_Result : std_logic;
signal count_Result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
I_ALU_LUT : LUT4
generic map(
INIT => X"36C6"
)
port map (
O => count_AddSub, -- [out]
I0 => Count_In, -- [in]
I1 => Count_Down, -- [in]
I2 => Count_Load, -- [in]
I3 => Load_In); -- [in]
MUXCY_I : MUXCY_L
port map (
DI => Count_Down,
CI => Carry_In,
S => count_AddSub,
LO => Carry_Out);
XOR_I : XORCY
port map (
LI => count_AddSub,
CI => Carry_In,
O => count_Result);
FDRE_I: FDRE
port map (
Q => count_Result_Reg, -- [out]
C => Clk, -- [in]
CE => Clock_Enable, -- [in]
D => count_Result, -- [in]
R => Rst -- [in]
);
Result <= count_Result_Reg;
end imp;
|
mit
|
HighlandersFRC/fpga
|
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/addsub.vhd
|
15
|
10861
|
-------------------------------------------------------------------------------
-- $Id: addsub.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- Either add an ArgA or subtract an ArgS from an ArgD.
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: addsub.vhd
-- Version:
--------------------------------------------------------------------------------
-- Description:
-- Either add an ArgA or subtract an ArgS from an ArgD. The
-- output, Result, can be optionally combinatorial or registered.
--
-- When C_REGISTERED is false, Result will take on one of
-- two values:
--
-- ArgD - ArgS, when Sub is asserted, or
-- ArgD + ArgA, when Sub is not asserted.
--
-- Cry_BrwN will be '1' if ArgD + ArgA produces a carry
-- and it will be '0' if ArgD - ArgS produces a borrow.
--
-- The signals Clk, Rst and CE are meaningful and used only
-- if C_REGISTERED is true. These may be "tied off" to any
-- std_logic value in combinatorial instantiations (e.g.
-- connected to '0').
--
-- This table details the operation in registered mode:
--
-- Clk Rst CE Sub <Cry_BrwN, Result>
-- --- --- -- --- ------------------
-- _
-- _| 1 x x 0
--
-- _
-- _| 0 1 0 ArgD + ArgA
--
-- _
-- _| 0 1 1 ArgD - ArgS
--
-- _
-- _| 0 0 x No change
--
-- _
-- not _| x x x No change
--
-------------------------------------------------------------------------------
-- Structure:
--
-- addsub.vhd
-------------------------------------------------------------------------------
-- Author: FO
--
-- History:
--
-- FO 08/14/2003 -- First version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity addsub is
generic (
C_WIDTH : natural := 8;
C_REGISTERED : boolean := false
);
port (
Clk : in std_logic;
Rst : in std_logic; -- Reset Result and Cry_BrwN to zero
CE : in std_logic;
ArgD : in std_logic_vector(0 to C_WIDTH-1);
ArgA : in std_logic_vector(0 to C_WIDTH-1);
ArgS : in std_logic_vector(0 to C_WIDTH-1);
Sub : in std_logic;
Cry_BrwN : out std_logic;
Result : out std_logic_vector(0 to C_WIDTH-1)
);
end addsub;
library unisim;
use unisim.VCOMPONENTS.FDRE;
use unisim.VCOMPONENTS.MUXCY;
use unisim.VCOMPONENTS.XORCY;
library ieee;
use ieee.numeric_std.all;
architecture imp of addsub is
signal lutout,
xorcy_out : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
begin
cry(C_WIDTH) <= Sub;
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation.
------------------------------------------------------------------------
lutout(j) <= ArgD(j) xor ArgA(j) when Sub = '0' else
ArgD(j) xnor ArgS(j);
------------------------------------------------------------------------
-- Propagate the carry (borrow) out.
------------------------------------------------------------------------
MUXCY_i1: MUXCY
port map (
DI => ArgD(j),
CI => cry(j+1),
S => lutout(j),
O => cry(j)
);
------------------------------------------------------------------------
-- Apply the effect of carry (borrow) in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lutout(j),
CI => cry(j+1),
O => xorcy_out(j)
);
------------------------------------------------------------------------
-- Result, combinatorial or registered.
------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Result(j) <= xorcy_out(j);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Result(j),
C => Clk,
CE => CE,
D => xorcy_out(j),
R => Rst
);
end generate;
end generate;
----------------------------------------------------------------------------
-- Cry_BrwN, combinatorial or registered.
----------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Cry_BrwN <= cry(0);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Cry_BrwN,
C => Clk,
CE => CE,
D => cry(0),
R => Rst
);
end generate;
end imp;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_sLpmAddSub.vhd
|
8
|
4640
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library ieee ;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_signed.all;
library LPM;
use LPM.LPM_COMPONENTS.all;
library altera;
use altera.alt_dspbuilder_package.all;
ENTITY alt_dspbuilder_sLpmAddSub IS
GENERIC
(
width : positive :=8;
isunsigned : natural :=0;
pipeline : natural :=0;
or_aclr_inputs : boolean := true
);
PORT
(
add_sub : in std_logic ;
dataa : in std_logic_vector (width-1 DOWNTO 0);
datab : in std_logic_vector (width-1 DOWNTO 0);
cin : in std_logic ;
clock : in std_logic ;
aclr : in std_logic ;
user_aclr : in std_logic ;
clken : in std_logic ;
result : out std_logic_vector (width-1 DOWNTO 0);
cout : out std_logic
);
END alt_dspbuilder_sLpmAddSub;
ARCHITECTURE SYN OF alt_dspbuilder_sLpmAddSub IS
signal aclr_i : std_logic;
BEGIN
or_aclrs: if (or_aclr_inputs=true) generate
aclr_i <= aclr or user_aclr;
end generate or_aclrs;
only_user_aclr: if(or_aclr_inputs=false) generate
aclr_i <= user_aclr;
end generate only_user_aclr;
gnp:if (0=pipeline) generate
gsn:if (0=isunsigned) generate
U0 : lpm_add_sub
GENERIC MAP (
lpm_width => width,
lpm_direction => "UNUSED",
lpm_type => "LPM_ADD_SUB",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO",
lpm_representation => "SIGNED",
lpm_pipeline => 0
)
PORT MAP (
dataa => dataa,
add_sub => add_sub,
datab => datab,
cin => cin,
overflow => cout,
result => result
);
end generate gsn;
gusn:if (0<isunsigned) generate
U0 : lpm_add_sub
GENERIC MAP (
lpm_width => width,
lpm_direction => "UNUSED",
lpm_type => "LPM_ADD_SUB",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO",
lpm_representation => "UNSIGNED",
lpm_pipeline => 0
)
PORT MAP (
dataa => dataa,
add_sub => add_sub,
datab => datab,
cin => cin,
cout => cout,
result => result
);
end generate gusn;
end generate gnp;
gp:if (pipeline>0) generate
gsn:if (0=isunsigned) generate
U0 : lpm_add_sub
GENERIC MAP (
lpm_width => width,
lpm_direction => "UNUSED",
lpm_type => "LPM_ADD_SUB",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO",
lpm_representation => "SIGNED",
lpm_pipeline => pipeline
)
PORT MAP (
dataa => dataa,
add_sub => add_sub,
datab => datab,
cin => cin,
clken => clken,
aclr => aclr_i,
clock => clock,
overflow => cout,
result => result
);
end generate gsn;
gusn:if (0<isunsigned) generate
U0 : lpm_add_sub
GENERIC MAP (
lpm_width => width,
lpm_direction => "UNUSED",
lpm_type => "LPM_ADD_SUB",
lpm_hint => "ONE_INPUT_IS_CONSTANT=NO",
lpm_representation => "UNSIGNED",
lpm_pipeline => pipeline
)
PORT MAP (
dataa => dataa,
add_sub => add_sub,
datab => datab,
cin => cin,
clken => clken,
aclr => aclr_i,
clock => clock,
cout => cout,
result => result
);
end generate gusn;
end generate gp;
END SYN;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_bus_concat_GNXPBV3I7L.vhd
|
4
|
654
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_bus_concat_GNXPBV3I7L is
generic ( widthB : natural := 4;
widthA : natural := 12);
port(
a : in std_logic_vector((widthA)-1 downto 0);
aclr : in std_logic;
b : in std_logic_vector((widthB)-1 downto 0);
clock : in std_logic;
output : out std_logic_vector((widthA+widthB)-1 downto 0));
end entity;
architecture rtl of alt_dspbuilder_bus_concat_GNXPBV3I7L is
Begin
output <= a & b;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER.vhd
|
2
|
35050
|
-- Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.25.10:37:28
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER is
port (
decoder_col : out std_logic_vector(15 downto 0); -- decoder_col.wire
decoder_row : out std_logic_vector(15 downto 0); -- decoder_row.wire
height : out std_logic_vector(15 downto 0); -- height.wire
data : in std_logic_vector(23 downto 0) := (others => '0'); -- data.wire
sop : in std_logic := '0'; -- sop.wire
width : out std_logic_vector(15 downto 0); -- width.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0' -- .reset
);
end entity Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER;
architecture rtl of Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNED3D3FSF is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNED3D3FSF;
component alt_dspbuilder_cast_GNYDD6MCZ4 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(11 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNYDD6MCZ4;
component alt_dspbuilder_cast_GNHBD5Z3AF is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNHBD5Z3AF;
component alt_dspbuilder_cast_GN76IOUHQH is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(11 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN76IOUHQH;
component alt_dspbuilder_cast_GNMU5M7DX7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNMU5M7DX7;
component alt_dspbuilder_cast_GNI42JW6WW is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(11 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNI42JW6WW;
component alt_dspbuilder_bus_concat_GNAUBM7IRL is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNAUBM7IRL;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_bus_concat_GNBH75ZTOD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNBH75ZTOD;
component alt_dspbuilder_bus_concat_GNXPBV3I7L is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNXPBV3I7L;
component alt_dspbuilder_port_GNBO6OMO5Y is
port (
input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_port_GNBO6OMO5Y;
component alt_dspbuilder_if_statement_GNURIZNNI4 is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(2 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNURIZNNI4;
component alt_dspbuilder_constant_GNP7P5YZV2 is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNP7P5YZV2;
component alt_dspbuilder_logical_bit_op_GNKUBZL4TE is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNKUBZL4TE;
component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_delay_GNVCBR7UZP is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNVCBR7UZP;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_counter_GNW5IG44CT is
generic (
use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "0";
use_sload : string := "false";
use_sclr : string := "false";
use_cout : string := "false";
modulus : integer := 256;
use_cnt_ena : string := "false";
width : natural := 8;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0"
);
port (
aclr : in std_logic := 'X'; -- clk
aload : in std_logic := 'X'; -- wire
aset : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cnt_ena : in std_logic := 'X'; -- wire
cout : out std_logic; -- wire
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
direction : in std_logic := 'X'; -- wire
ena : in std_logic := 'X'; -- wire
q : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X'; -- wire
sload : in std_logic := 'X'; -- wire
sset : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_counter_GNW5IG44CT;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_delay_GNGQ56ZS4N is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNGQ56ZS4N;
component alt_dspbuilder_decoder_GNSCEXJCJK is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNSCEXJCJK;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
signal delay5sclrgnd_output_wire : std_logic; -- Delay5sclrGND:output -> Delay5:sclr
signal delay4sclrgnd_output_wire : std_logic; -- Delay4sclrGND:output -> Delay4:sclr
signal delay3sclrgnd_output_wire : std_logic; -- Delay3sclrGND:output -> Delay3:sclr
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal decodersclrgnd_output_wire : std_logic; -- DecodersclrGND:output -> Decoder:sclr
signal decoderenavcc_output_wire : std_logic; -- DecoderenaVCC:output -> Decoder:ena
signal bus_concatenation3_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation3:output -> Bus_Concatenation4:a
signal bus_concatenation5_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation5:output -> Bus_Concatenation1:a
signal bus_concatenation4_output_wire : std_logic_vector(11 downto 0); -- Bus_Concatenation4:output -> Bus_Concatenation6:a
signal bus_concatenation7_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation7:output -> Bus_Concatenation8:a
signal bus_concatenation8_output_wire : std_logic_vector(11 downto 0); -- Bus_Concatenation8:output -> Bus_Concatenation9:a
signal data_0_output_wire : std_logic_vector(23 downto 0); -- data_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, Decoder:data]
signal bus_conversion1_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion1:output -> Bus_Concatenation5:b
signal bus_conversion10_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion10:output -> Bus_Concatenation8:b
signal bus_conversion11_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion11:output -> Bus_Concatenation9:b
signal bus_conversion2_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion2:output -> Bus_Concatenation5:a
signal bus_conversion3_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion3:output -> Bus_Concatenation1:b
signal bus_conversion4_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion4:output -> Bus_Concatenation3:a
signal bus_conversion5_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion5:output -> Bus_Concatenation3:b
signal bus_conversion6_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion6:output -> Bus_Concatenation4:b
signal bus_conversion7_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion7:output -> Bus_Concatenation6:b
signal bus_conversion8_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion8:output -> Bus_Concatenation7:a
signal bus_conversion9_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion9:output -> Bus_Concatenation7:b
signal delay3_output_wire : std_logic_vector(11 downto 0); -- Delay3:output -> [Bus_Conversion4:input, Bus_Conversion5:input, Bus_Conversion6:input]
signal delay4_output_wire : std_logic_vector(11 downto 0); -- Delay4:output -> [Bus_Conversion7:input, Bus_Conversion8:input, Bus_Conversion9:input, Delay3:input]
signal bus_concatenation1_output_wire : std_logic_vector(11 downto 0); -- Bus_Concatenation1:output -> Delay5:input
signal delay5_output_wire : std_logic_vector(11 downto 0); -- Delay5:output -> [Bus_Conversion10:input, Bus_Conversion11:input, Bus_Conversion12:input, Delay4:input]
signal constant2_output_wire : std_logic_vector(2 downto 0); -- Constant2:output -> If_Statement:a
signal counter_q_wire : std_logic_vector(2 downto 0); -- Counter:q -> If_Statement:b
signal decoder_dec_wire : std_logic; -- Decoder:dec -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> Logical_Bit_Operator:data1
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> [Logical_Bit_Operator1:data0, cast221:input]
signal if_statement_true_wire : std_logic; -- If_Statement:true -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> Delay1:ena
signal logical_bit_operator3_result_wire : std_logic; -- Logical_Bit_Operator3:result -> Counter:sclr
signal bus_concatenation6_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation6:output -> [decoder_col_0:input, width_0:input]
signal bus_concatenation9_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation9:output -> [decoder_row_0:input, height_0:input]
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> [cast217:input, cast218:input, cast219:input, cast220:input, cast222:input]
signal cast217_output_wire : std_logic; -- cast217:output -> Counter:cnt_ena
signal cast218_output_wire : std_logic; -- cast218:output -> Delay3:ena
signal cast219_output_wire : std_logic; -- cast219:output -> Delay4:ena
signal cast220_output_wire : std_logic; -- cast220:output -> Delay5:ena
signal cast221_output_wire : std_logic_vector(0 downto 0); -- cast221:output -> Delay1:input
signal cast222_output_wire : std_logic; -- cast222:output -> Logical_Bit_Operator3:data0
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation1:aclr, Bus_Concatenation3:aclr, Bus_Concatenation4:aclr, Bus_Concatenation5:aclr, Bus_Concatenation6:aclr, Bus_Concatenation7:aclr, Bus_Concatenation8:aclr, Bus_Concatenation9:aclr, Counter:aclr, Decoder:aclr, Delay1:aclr, Delay3:aclr, Delay4:aclr, Delay5:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation1:clock, Bus_Concatenation3:clock, Bus_Concatenation4:clock, Bus_Concatenation5:clock, Bus_Concatenation6:clock, Bus_Concatenation7:clock, Bus_Concatenation8:clock, Bus_Concatenation9:clock, Counter:clock, Decoder:clock, Delay1:clock, Delay3:clock, Delay4:clock, Delay5:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNED3D3FSF
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion12 : component alt_dspbuilder_cast_GNYDD6MCZ4
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => open -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GNHBD5Z3AF
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion10 : component alt_dspbuilder_cast_GN76IOUHQH
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => bus_conversion10_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GNMU5M7DX7
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
bus_conversion11 : component alt_dspbuilder_cast_GNI42JW6WW
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => bus_conversion11_output_wire -- output.wire
);
bus_conversion4 : component alt_dspbuilder_cast_GN76IOUHQH
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion4_output_wire -- output.wire
);
bus_concatenation7 : component alt_dspbuilder_bus_concat_GNAUBM7IRL
generic map (
widthB => 4,
widthA => 4
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion8_output_wire, -- a.wire
b => bus_conversion9_output_wire, -- b.wire
output => bus_concatenation7_output_wire -- output.wire
);
data_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data, -- input.wire
output => data_0_output_wire -- output.wire
);
bus_concatenation8 : component alt_dspbuilder_bus_concat_GNBH75ZTOD
generic map (
widthB => 4,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation7_output_wire, -- a.wire
b => bus_conversion10_output_wire, -- b.wire
output => bus_concatenation8_output_wire -- output.wire
);
bus_concatenation5 : component alt_dspbuilder_bus_concat_GNAUBM7IRL
generic map (
widthB => 4,
widthA => 4
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion2_output_wire, -- a.wire
b => bus_conversion1_output_wire, -- b.wire
output => bus_concatenation5_output_wire -- output.wire
);
bus_concatenation6 : component alt_dspbuilder_bus_concat_GNXPBV3I7L
generic map (
widthB => 4,
widthA => 12
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation4_output_wire, -- a.wire
b => bus_conversion7_output_wire, -- b.wire
output => bus_concatenation6_output_wire -- output.wire
);
bus_concatenation3 : component alt_dspbuilder_bus_concat_GNAUBM7IRL
generic map (
widthB => 4,
widthA => 4
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion4_output_wire, -- a.wire
b => bus_conversion5_output_wire, -- b.wire
output => bus_concatenation3_output_wire -- output.wire
);
bus_concatenation4 : component alt_dspbuilder_bus_concat_GNBH75ZTOD
generic map (
widthB => 4,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation3_output_wire, -- a.wire
b => bus_conversion6_output_wire, -- b.wire
output => bus_concatenation4_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GNBH75ZTOD
generic map (
widthB => 4,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation5_output_wire, -- a.wire
b => bus_conversion3_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
height_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => bus_concatenation9_output_wire, -- input.wire
output => height -- output.wire
);
if_statement : component alt_dspbuilder_if_statement_GNURIZNNI4
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a<b)",
number_inputs => 2,
width => 3
)
port map (
true => if_statement_true_wire, -- true.wire
a => constant2_output_wire, -- a.wire
b => counter_q_wire -- b.wire
);
constant2 : component alt_dspbuilder_constant_GNP7P5YZV2
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "001",
width => 3
)
port map (
output => constant2_output_wire -- output.wire
);
logical_bit_operator3 : component alt_dspbuilder_logical_bit_op_GNKUBZL4TE
generic map (
LogicalOp => "AltNOT",
number_inputs => 1
)
port map (
result => logical_bit_operator3_result_wire, -- result.wire
data0 => cast222_output_wire -- data0.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV
generic map (
LogicalOp => "AltOR",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => logical_bit_operator_result_wire, -- data0.wire
data1 => if_statement_true_wire -- data1.wire
);
decoder_row_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => bus_concatenation9_output_wire, -- input.wire
output => decoder_row -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => decoder_dec_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
width_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => bus_concatenation6_output_wire, -- input.wire
output => width -- output.wire
);
decoder_col_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => bus_concatenation6_output_wire, -- input.wire
output => decoder_col -- output.wire
);
delay5 : component alt_dspbuilder_delay_GNVCBR7UZP
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000001",
width => 12
)
port map (
input => bus_concatenation1_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay5_output_wire, -- output.wire
sclr => delay5sclrgnd_output_wire, -- sclr.wire
ena => cast220_output_wire -- ena.wire
);
delay5sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay5sclrgnd_output_wire -- output.wire
);
counter : component alt_dspbuilder_counter_GNW5IG44CT
generic map (
use_usr_aclr => "false",
use_ena => "false",
use_cin => "false",
use_sset => "false",
ndirection => 1,
svalue => "1",
use_sload => "false",
use_sclr => "true",
use_cout => "false",
modulus => -1,
use_cnt_ena => "true",
width => 3,
use_aset => "false",
use_aload => "false",
avalue => "0"
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
cnt_ena => cast217_output_wire, -- cnt_ena.wire
sclr => logical_bit_operator3_result_wire, -- sclr.wire
q => counter_q_wire, -- q.wire
cout => open -- cout.wire
);
delay4 : component alt_dspbuilder_delay_GNVCBR7UZP
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000001",
width => 12
)
port map (
input => delay5_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay4_output_wire, -- output.wire
sclr => delay4sclrgnd_output_wire, -- sclr.wire
ena => cast219_output_wire -- ena.wire
);
delay4sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay4sclrgnd_output_wire -- output.wire
);
delay3 : component alt_dspbuilder_delay_GNVCBR7UZP
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000001",
width => 12
)
port map (
input => delay4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay3_output_wire, -- output.wire
sclr => delay3sclrgnd_output_wire, -- sclr.wire
ena => cast218_output_wire -- ena.wire
);
delay3sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay3sclrgnd_output_wire -- output.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
bus_concatenation9 : component alt_dspbuilder_bus_concat_GNXPBV3I7L
generic map (
widthB => 4,
widthA => 12
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation8_output_wire, -- a.wire
b => bus_conversion11_output_wire, -- b.wire
output => bus_concatenation9_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNGQ56ZS4N
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "1",
width => 1
)
port map (
input => cast221_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator1_result_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
bus_conversion9 : component alt_dspbuilder_cast_GNYDD6MCZ4
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion9_output_wire -- output.wire
);
bus_conversion8 : component alt_dspbuilder_cast_GNI42JW6WW
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion8_output_wire -- output.wire
);
decoder : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => data_0_output_wire, -- data.wire
dec => decoder_dec_wire, -- dec.wire
sclr => decodersclrgnd_output_wire, -- sclr.wire
ena => decoderenavcc_output_wire -- ena.wire
);
decodersclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decodersclrgnd_output_wire -- output.wire
);
decoderenavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoderenavcc_output_wire -- output.wire
);
bus_conversion7 : component alt_dspbuilder_cast_GN76IOUHQH
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion7_output_wire -- output.wire
);
bus_conversion6 : component alt_dspbuilder_cast_GNYDD6MCZ4
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion6_output_wire -- output.wire
);
bus_conversion5 : component alt_dspbuilder_cast_GNI42JW6WW
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion5_output_wire -- output.wire
);
cast217 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast217_output_wire -- output.wire
);
cast218 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast218_output_wire -- output.wire
);
cast219 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast219_output_wire -- output.wire
);
cast220 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast220_output_wire -- output.wire
);
cast221 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast221_output_wire -- output.wire
);
cast222 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast222_output_wire -- output.wire
);
end architecture rtl; -- of Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_if_statement_GNHRNNRV37.vhd
|
4
|
1883
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNHRNNRV37 is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(((a>b) or (a=b)) and ((a<c) or (a=c)) and (d=e)) or ((d>e) and (d<f) and (a=b)) or ((d>e) and (d<f) and (a=c)) or (((a>b) or (a=b)) and ((a<c) or (a=c)) and (d=f))";
number_inputs : integer := 6;
width : natural := 16);
port(
true : out std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0);
c : in std_logic_vector(15 downto 0);
d : in std_logic_vector(15 downto 0);
e : in std_logic_vector(15 downto 0);
f : in std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNHRNNRV37 is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(15 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(15 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((((a>b) or (a=b)) and ((a<c) or (a=c)) and (d=e)) or ((d>e) and (d<f) and (a=b)) or ((d>e) and (d<f) and (a=c)) or (((a>b) or (a=b)) and ((a<c) or (a=c)) and (d=f))) ;
true <= result;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/altera_lnsim/altera_lnsim_functions/_primary.vhd
|
5
|
104
|
library verilog;
use verilog.vl_types.all;
entity altera_lnsim_functions is
end altera_lnsim_functions;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_AROUND.vhd
|
20
|
2588
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_signed.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_AROUND is
generic (
widthin : natural :=8;
widthout : natural :=4
);
port (
xin : in std_logic_vector(widthin-1 downto 0);
yout : out std_logic_vector(widthout-1 downto 0)
);
end alt_dspbuilder_AROUND;
architecture AROUND_SYNTH of alt_dspbuilder_AROUND is
signal ADDOFIVE : std_logic_vector(widthin downto 0) ;
signal XINEXT : std_logic_vector(widthin downto 0) ;
signal YOUTEXT : std_logic_vector(widthin downto 0);
signal notsigned : std_logic :='0';
begin
ev:if widthin=widthout generate
yout <= xin;
end generate ev;
nev:if (widthin>widthout) generate
ad5:if (widthin-widthout>1) generate
lo:for i in 0 to widthin-widthout-2 generate
ADDOFIVE(i) <= '1';
end generate lo;
hi:for i in widthin-widthout-1 to widthin generate
ADDOFIVE(i) <= '0';
end generate hi;
end generate ad5;
adn:if (widthin-widthout=1) generate
hi:for i in 0 to widthin generate
ADDOFIVE(i) <= '0';
end generate hi;
end generate adn;
XINEXT(widthin-1 downto 0) <= xin(widthin-1 downto 0);
XINEXT(widthin) <= xin(widthin-1);
notsigned <= not(XINEXT(widthin-1));
YOUTEXT <= XINEXT + ADDOFIVE + notsigned;
gy:for i in 0 to widthout-1 generate
yout(i) <= YOUTEXT(i+widthin-widthout) ;
end generate gy;
end generate ;
end AROUND_SYNTH;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_single_pulse.vhd
|
4
|
1650
|
-- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity alt_dspbuilder_single_pulse is
generic (
DELAY : positive := 1;
SIGNAL_TYPE : string := "Impulse";
IMPULSE_WIDTH : positive := 1
);
port (
result : out std_logic;
clock : in std_logic := '0';
sclr : in std_logic := '0';
aclr : in std_logic := '0';
ena : in std_logic := '0'
);
end entity alt_dspbuilder_single_pulse;
architecture rtl of alt_dspbuilder_single_pulse is
component alt_dspbuilder_single_pulse_GN2XGKTRR3 is
generic (
DELAY : positive := 1;
SIGNAL_TYPE : string := "Step Down";
IMPULSE_WIDTH : positive := 1
);
port (
aclr : in std_logic := '0';
clock : in std_logic := '0';
ena : in std_logic := '0';
result : out std_logic;
sclr : in std_logic := '0'
);
end component alt_dspbuilder_single_pulse_GN2XGKTRR3;
begin
alt_dspbuilder_single_pulse_GN2XGKTRR3_0: if ((DELAY = 1) and (SIGNAL_TYPE = "Step Down") and (IMPULSE_WIDTH = 1)) generate
inst_alt_dspbuilder_single_pulse_GN2XGKTRR3_0: alt_dspbuilder_single_pulse_GN2XGKTRR3
generic map(DELAY => 1, SIGNAL_TYPE => "Step Down", IMPULSE_WIDTH => 1)
port map(aclr => aclr, clock => clock, ena => ena, result => result, sclr => sclr);
end generate;
assert not (((DELAY = 1) and (SIGNAL_TYPE = "Step Down") and (IMPULSE_WIDTH = 1)))
report "Please run generate again" severity error;
end architecture rtl;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_testbench_salt_GNUCY2GBID.vhd
|
4
|
1747
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_salt_GNUCY2GBID is
generic ( XFILE : string := "default");
port(
clock : in std_logic;
aclr : in std_logic;
output : out std_logic_vector(2 downto 0));
end entity;
architecture rtl of alt_dspbuilder_testbench_salt_GNUCY2GBID is
function to_std_logic (B: character) return std_logic is
begin
case B is
when '0' => return '0';
when '1' => return '1';
when OTHERS => return 'X';
end case;
end;
function to_std_logic_vector (B: string) return
std_logic_vector is
variable res: std_logic_vector (B'range);
begin
for i in B'range loop
case B(i) is
when '0' => res(i) := '0';
when '1' => res(i) := '1';
when OTHERS => res(i) := 'X';
end case;
end loop;
return res;
end;
procedure skip_type_header(file f:text) is
use STD.textio.all;
variable in_line : line;
begin
readline(f, in_line);
end procedure skip_type_header ;
file InputFile : text open read_mode is XFILE;
Begin
-- salt generator
skip_type_header(InputFile);
-- Reading Simulink Input
Input_pInput:process(clock, aclr)
variable s : string(1 to 3) ;
variable ptr : line ;
begin
if (aclr = '1') then
output <= (others=>'0');
elsif (not endfile(InputFile)) then
if clock'event and clock='0' then
readline(Inputfile, ptr);
read(ptr, s);
output <= to_std_logic_vector(s);
end if ;
end if ;
end process ;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_testbench_capture_GNAXODEVVW.vhd
|
3
|
1775
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_capture_GNAXODEVVW is
generic ( XFILE : string := "default";
DSPBTYPE : string := "");
port(
clock : in std_logic;
aclr : in std_logic;
input : in std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_testbench_capture_GNAXODEVVW is
function str(sl: std_logic) return character is
variable c: character;
begin
case sl is
when '0' => c := '0';
when '1' => c := '1';
when others => c := 'X';
end case;
return c;
end str;
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := str(slv(i));
r := r + 1;
end loop;
return result;
end str;
procedure write_type_header(file f:text) is
use STD.textio.all;
variable my_line : line;
begin
write ( my_line, DSPBTYPE);
writeline ( f, my_line );
end procedure write_type_header ;
file oFile : text open write_mode is XFILE;
Begin
-- data capture
-- write type information to output file
write_type_header(oFile);
-- Writing Output Signal into file
Output:process(clock)
variable traceline : line ;
begin
if (aclr ='1') then
-- do not record
elsif clock'event and clock='1' then
write(traceline, str(input),justified=>left);
writeline(oFile,traceline);
end if ;
end process ;
end architecture;
|
mit
|
danschultz/ace_polymer.dart
|
lib/src/ace-js/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_SBF.vhd
|
20
|
8869
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_SBF is
generic (
width_inl : natural :=10;
width_inr : natural :=10;
width_outl : natural :=8;
width_outr : natural :=8;
round : natural :=1;
satur : natural :=1;
lpm_signed : BusArithm :=BusIsSigned
);
port (
xin : in std_logic_vector(width_inl+width_inr-1 downto 0);
yout : out std_logic_vector(width_outl+width_outr-1 downto 0)
);
end alt_dspbuilder_SBF;
architecture SBF_SYNTH of alt_dspbuilder_SBF is
signal youtround : std_logic_vector(width_inl+width_outr-1 downto 0);
signal youtroundc : std_logic_vector(width_outl+width_outr-1 downto 0);
signal xinextc : std_logic_vector(width_outl+width_inr-1 downto 0) ;
signal xin_int : std_logic_vector(width_inl+width_inr-1 downto 0);
begin
u0: alt_dspbuilder_sAltrPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion , width=> width_inl+width_inr)
port map (d => xin, r => xin_int);
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--(width_inl>=width_outl) and (width_inr>=width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_a:if (width_inl>=width_outl) and (width_inr>=width_outr) generate
gnsnr:if (round = 0) generate
gnsat:if (satur=0) generate
gl:for i in 0 to width_outl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
end generate gnsat;
gsat:if (satur>0) generate
gl:for i in 0 to width_inl+width_outr-1 generate
youtround(i) <= xin_int(i+width_inr-width_outr);
end generate ;
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout);
end generate gsat;
end generate ;
rnd:if (round>0)generate
ura:alt_dspbuilder_AROUND
generic map ( widthin => width_inl+width_inr,
widthout => width_inl+width_outr)
port map ( xin => xin_int,
yout => youtround);
gns:if satur=0 generate
yout(width_outl+width_outr-1 downto 0) <= youtround(width_outl+width_outr-1 downto 0);
end generate gns;
gs:if (satur>0) generate
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout
);
end generate gs;
end generate rnd;
end generate sbf_a;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl>width_outl) and (width_inr<width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_b:if (width_inl>=width_outl) and (width_inr<width_outr) generate
ns:if (satur=0) generate
gc:for i in 0 to width_outr-width_inr-1 generate
yout(i) <= '0';
end generate gc;
gl:for i in width_outr-width_inr to width_outl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
end generate ns ;
gs:if (satur>0) generate
gc:for i in 0 to width_outr-width_inr-1 generate
youtround(i) <= '0';
end generate gc;
gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate
youtround(i) <= xin_int(i+width_inr-width_outr);
end generate ;
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout);
end generate gs ;
end generate sbf_b;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl<width_outl) and (width_inr>width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_c:if (width_inl<width_outl) and (width_inr>=width_outr) generate
gnsnr:if (round = 0) generate
gl:for i in 0 to width_inl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
gc:for i in width_inl+width_outr to width_outl+width_outr-1 generate
yout(i) <= xin_int( width_inl+width_inr-1);
end generate ;
end generate ;
rnd:if (round > 0) generate
xinextc(width_inl+width_inr-1 downto 0) <= xin_int(width_inl+width_inr-1 downto 0);
gxinextc:for i in width_inl+width_inr to width_outl+width_inr-1 generate
xinextc(i) <= xin_int(width_inl+width_inr-1);
end generate gxinextc;
urb:alt_dspbuilder_AROUND
generic map ( widthin => width_outl+width_inr,
widthout => width_outl+width_outr)
port map ( xin => xinextc,
yout => youtroundc);
yout <= youtroundc;
end generate rnd ;
end generate sbf_c;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl<width_outl) and (width_inr<width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_d:if (width_inl<width_outl) and (width_inr<width_outr) generate
gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate gl;
gc:for i in 0 to width_outr-width_inr-1 generate
yout(i) <= '0';
end generate gc;
gcv:for i in width_inl+width_outr to width_outl+width_outr-1 generate
yout(i) <= xin_int( width_inl+width_inr-1);
end generate gcv;
end generate sbf_d;
end SBF_SYNTH;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_logical_bit_op_GNA5ZFEL7V.vhd
|
20
|
806
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic ( LogicalOp : string := "AltAND";
number_inputs : positive := 2);
port(
result : out std_logic;
data0 : in std_logic;
data1 : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
Begin
-- Logical Bit Operation - Simulink Block "LogicalBitOperator"
LogicalBitOperatori : alt_dspbuilder_SBitLogical generic map (
LPM_WIDTH => 2,
LOP => AltAND)
port map (
dataa(0) => data0,
dataa(1) => data1,
result => result);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_if_statement_GN7VA7SRUP.vhd
|
16
|
1471
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_decoder_GNAGWQMRGS.vhd
|
2
|
947
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GNAGWQMRGS is
generic ( decode : string := "000000000000000000000000";
pipeline : natural := 0;
width : natural := 24);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GNAGWQMRGS is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 24,
decode => "000000000000000000000000",
pipeline => 0)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_bus_concat_GNAUBM7IRL.vhd
|
8
|
653
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_bus_concat_GNAUBM7IRL is
generic ( widthB : natural := 4;
widthA : natural := 4);
port(
a : in std_logic_vector((widthA)-1 downto 0);
aclr : in std_logic;
b : in std_logic_vector((widthB)-1 downto 0);
clock : in std_logic;
output : out std_logic_vector((widthA+widthB)-1 downto 0));
end entity;
architecture rtl of alt_dspbuilder_bus_concat_GNAUBM7IRL is
Begin
output <= a & b;
end architecture;
|
mit
|
lsangild/DSD
|
Exercise6/Alarm/Alarm.vhd
|
1
|
411
|
----- Libraries------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
----- Entity ------
entity Alarm is
port( bin_min1, bin_min10, bin_hrs1, bin_hrs10 : in std_logic_vector(3 downto 0);
time_alarm : out std_logic_vector(15 downto 0)
);
end Alarm;
-----Architecture-----
architecture Alerter of Alarm is
begin
time_alarm <= bin_hrs10 & bin_hrs1 & bin_min10 & bin_min1;
end Alerter;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par.vhd
|
2
|
34955
|
-- Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.25.10:37:28
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par is
port (
width : out std_logic_vector(15 downto 0); -- width.wire
write : in std_logic := '0'; -- write.wire
writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- writedata.wire
addr : in std_logic_vector(2 downto 0) := (others => '0'); -- addr.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
sop : in std_logic := '0'; -- sop.wire
height : out std_logic_vector(15 downto 0); -- height.wire
vertex_col : out std_logic_vector(15 downto 0); -- vertex_col.wire
vertex_row : out std_logic_vector(15 downto 0); -- vertex_row.wire
data : in std_logic := '0' -- data.wire
);
end entity Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par;
architecture rtl of Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNNZHXLS76 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNNZHXLS76;
component alt_dspbuilder_port_GNEPKLLZKY is
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(31 downto 0) -- wire
);
end component alt_dspbuilder_port_GNEPKLLZKY;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_port_GNS2GDLO5E is
port (
input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_port_GNS2GDLO5E;
component alt_dspbuilder_port_GNBO6OMO5Y is
port (
input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_port_GNBO6OMO5Y;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_decoder_GNBHXAVAPH is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNBHXAVAPH;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_decoder_GNSCEXJCJK is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNSCEXJCJK;
component alt_dspbuilder_delay_GNWON5MXYC is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNWON5MXYC;
component alt_dspbuilder_decoder_GNQPHUITBS is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNQPHUITBS;
component alt_dspbuilder_decoder_GN7W55JURN is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GN7W55JURN;
component alt_dspbuilder_decoder_GNBT6YIKS3 is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNBT6YIKS3;
component alt_dspbuilder_cast_GNWMSU6SSZ is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNWMSU6SSZ;
signal decoder2sclrgnd_output_wire : std_logic; -- Decoder2sclrGND:output -> Decoder2:sclr
signal decoder2enavcc_output_wire : std_logic; -- Decoder2enaVCC:output -> Decoder2:ena
signal decoder3sclrgnd_output_wire : std_logic; -- Decoder3sclrGND:output -> Decoder3:sclr
signal decoder3enavcc_output_wire : std_logic; -- Decoder3enaVCC:output -> Decoder3:ena
signal decoder1sclrgnd_output_wire : std_logic; -- Decoder1sclrGND:output -> Decoder1:sclr
signal decoder1enavcc_output_wire : std_logic; -- Decoder1enaVCC:output -> Decoder1:ena
signal delay6sclrgnd_output_wire : std_logic; -- Delay6sclrGND:output -> Delay6:sclr
signal delay5sclrgnd_output_wire : std_logic; -- Delay5sclrGND:output -> Delay5:sclr
signal delay4sclrgnd_output_wire : std_logic; -- Delay4sclrGND:output -> Delay4:sclr
signal delay9sclrgnd_output_wire : std_logic; -- Delay9sclrGND:output -> Delay9:sclr
signal delay8sclrgnd_output_wire : std_logic; -- Delay8sclrGND:output -> Delay8:sclr
signal delay7sclrgnd_output_wire : std_logic; -- Delay7sclrGND:output -> Delay7:sclr
signal decoder7sclrgnd_output_wire : std_logic; -- Decoder7sclrGND:output -> Decoder7:sclr
signal decoder7enavcc_output_wire : std_logic; -- Decoder7enaVCC:output -> Decoder7:ena
signal delay10sclrgnd_output_wire : std_logic; -- Delay10sclrGND:output -> Delay10:sclr
signal decodersclrgnd_output_wire : std_logic; -- DecodersclrGND:output -> Decoder:sclr
signal decoderenavcc_output_wire : std_logic; -- DecoderenaVCC:output -> Decoder:ena
signal decoder6sclrgnd_output_wire : std_logic; -- Decoder6sclrGND:output -> Decoder6:sclr
signal decoder6enavcc_output_wire : std_logic; -- Decoder6enaVCC:output -> Decoder6:ena
signal delay11sclrgnd_output_wire : std_logic; -- Delay11sclrGND:output -> Delay11:sclr
signal decoder5sclrgnd_output_wire : std_logic; -- Decoder5sclrGND:output -> Decoder5:sclr
signal decoder5enavcc_output_wire : std_logic; -- Decoder5enaVCC:output -> Decoder5:ena
signal decoder4sclrgnd_output_wire : std_logic; -- Decoder4sclrGND:output -> Decoder4:sclr
signal decoder4enavcc_output_wire : std_logic; -- Decoder4enaVCC:output -> Decoder4:ena
signal writedata_0_output_wire : std_logic_vector(31 downto 0); -- writedata_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, Bus_Conversion8:input]
signal addr_0_output_wire : std_logic_vector(2 downto 0); -- addr_0:output -> [Decoder2:data, Decoder4:data, Decoder6:data, Decoder:data]
signal bus_conversion8_output_wire : std_logic_vector(15 downto 0); -- Bus_Conversion8:output -> Delay10:input
signal delay10_output_wire : std_logic_vector(15 downto 0); -- Delay10:output -> Delay11:input
signal bus_conversion1_output_wire : std_logic_vector(15 downto 0); -- Bus_Conversion1:output -> Delay4:input
signal delay4_output_wire : std_logic_vector(15 downto 0); -- Delay4:output -> Delay5:input
signal bus_conversion2_output_wire : std_logic_vector(15 downto 0); -- Bus_Conversion2:output -> Delay6:input
signal delay6_output_wire : std_logic_vector(15 downto 0); -- Delay6:output -> Delay7:input
signal bus_conversion3_output_wire : std_logic_vector(15 downto 0); -- Bus_Conversion3:output -> Delay8:input
signal delay8_output_wire : std_logic_vector(15 downto 0); -- Delay8:output -> Delay9:input
signal decoder_dec_wire : std_logic; -- Decoder:dec -> Logical_Bit_Operator1:data0
signal write_0_output_wire : std_logic; -- write_0:output -> [Logical_Bit_Operator1:data1, Logical_Bit_Operator4:data1, Logical_Bit_Operator6:data1, Logical_Bit_Operator8:data1]
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> Delay4:ena
signal sop_0_output_wire : std_logic; -- sop_0:output -> [Logical_Bit_Operator3:data0, Logical_Bit_Operator5:data0, Logical_Bit_Operator7:data0, Logical_Bit_Operator9:data0]
signal decoder1_dec_wire : std_logic; -- Decoder1:dec -> Logical_Bit_Operator3:data1
signal logical_bit_operator3_result_wire : std_logic; -- Logical_Bit_Operator3:result -> Delay5:ena
signal decoder2_dec_wire : std_logic; -- Decoder2:dec -> Logical_Bit_Operator4:data0
signal logical_bit_operator4_result_wire : std_logic; -- Logical_Bit_Operator4:result -> Delay6:ena
signal decoder3_dec_wire : std_logic; -- Decoder3:dec -> Logical_Bit_Operator5:data1
signal logical_bit_operator5_result_wire : std_logic; -- Logical_Bit_Operator5:result -> Delay7:ena
signal decoder4_dec_wire : std_logic; -- Decoder4:dec -> Logical_Bit_Operator6:data0
signal logical_bit_operator6_result_wire : std_logic; -- Logical_Bit_Operator6:result -> Delay8:ena
signal decoder5_dec_wire : std_logic; -- Decoder5:dec -> Logical_Bit_Operator7:data1
signal logical_bit_operator7_result_wire : std_logic; -- Logical_Bit_Operator7:result -> Delay9:ena
signal decoder6_dec_wire : std_logic; -- Decoder6:dec -> Logical_Bit_Operator8:data0
signal logical_bit_operator8_result_wire : std_logic; -- Logical_Bit_Operator8:result -> Delay10:ena
signal decoder7_dec_wire : std_logic; -- Decoder7:dec -> Logical_Bit_Operator9:data1
signal logical_bit_operator9_result_wire : std_logic; -- Logical_Bit_Operator9:result -> Delay11:ena
signal delay5_output_wire : std_logic_vector(15 downto 0); -- Delay5:output -> vertex_col_0:input
signal delay7_output_wire : std_logic_vector(15 downto 0); -- Delay7:output -> vertex_row_0:input
signal delay9_output_wire : std_logic_vector(15 downto 0); -- Delay9:output -> width_0:input
signal delay11_output_wire : std_logic_vector(15 downto 0); -- Delay11:output -> height_0:input
signal data_0_output_wire : std_logic; -- data_0:output -> [cast223:input, cast224:input, cast225:input, cast226:input]
signal cast223_output_wire : std_logic_vector(23 downto 0); -- cast223:output -> Decoder5:data
signal cast224_output_wire : std_logic_vector(23 downto 0); -- cast224:output -> Decoder1:data
signal cast225_output_wire : std_logic_vector(23 downto 0); -- cast225:output -> Decoder7:data
signal cast226_output_wire : std_logic_vector(23 downto 0); -- cast226:output -> Decoder3:data
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Decoder1:aclr, Decoder2:aclr, Decoder3:aclr, Decoder4:aclr, Decoder5:aclr, Decoder6:aclr, Decoder7:aclr, Decoder:aclr, Delay10:aclr, Delay11:aclr, Delay4:aclr, Delay5:aclr, Delay6:aclr, Delay7:aclr, Delay8:aclr, Delay9:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Decoder1:clock, Decoder2:clock, Decoder3:clock, Decoder4:clock, Decoder5:clock, Decoder6:clock, Decoder7:clock, Decoder:clock, Delay10:clock, Delay11:clock, Delay4:clock, Delay5:clock, Delay6:clock, Delay7:clock, Delay8:clock, Delay9:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNNZHXLS76
generic map (
round => 0,
saturate => 0
)
port map (
input => writedata_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GNNZHXLS76
generic map (
round => 0,
saturate => 0
)
port map (
input => writedata_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GNNZHXLS76
generic map (
round => 0,
saturate => 0
)
port map (
input => writedata_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
writedata_0 : component alt_dspbuilder_port_GNEPKLLZKY
port map (
input => writedata, -- input.wire
output => writedata_0_output_wire -- output.wire
);
data_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => data, -- input.wire
output => data_0_output_wire -- output.wire
);
addr_0 : component alt_dspbuilder_port_GNS2GDLO5E
port map (
input => addr, -- input.wire
output => addr_0_output_wire -- output.wire
);
vertex_row_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay7_output_wire, -- input.wire
output => vertex_row -- output.wire
);
logical_bit_operator7 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator7_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder5_dec_wire -- data1.wire
);
logical_bit_operator6 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator6_result_wire, -- result.wire
data0 => decoder4_dec_wire, -- data0.wire
data1 => write_0_output_wire -- data1.wire
);
vertex_col_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay5_output_wire, -- input.wire
output => vertex_col -- output.wire
);
logical_bit_operator5 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator5_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder3_dec_wire -- data1.wire
);
height_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay11_output_wire, -- input.wire
output => height -- output.wire
);
logical_bit_operator4 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator4_result_wire, -- result.wire
data0 => decoder2_dec_wire, -- data0.wire
data1 => write_0_output_wire -- data1.wire
);
logical_bit_operator9 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator9_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder7_dec_wire -- data1.wire
);
logical_bit_operator8 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator8_result_wire, -- result.wire
data0 => decoder6_dec_wire, -- data0.wire
data1 => write_0_output_wire -- data1.wire
);
write_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => write, -- input.wire
output => write_0_output_wire -- output.wire
);
logical_bit_operator3 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator3_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder1_dec_wire -- data1.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => decoder_dec_wire, -- data0.wire
data1 => write_0_output_wire -- data1.wire
);
decoder2 : component alt_dspbuilder_decoder_GNBHXAVAPH
generic map (
decode => "010",
pipeline => 1,
width => 3
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => addr_0_output_wire, -- data.wire
dec => decoder2_dec_wire, -- dec.wire
sclr => decoder2sclrgnd_output_wire, -- sclr.wire
ena => decoder2enavcc_output_wire -- ena.wire
);
decoder2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder2sclrgnd_output_wire -- output.wire
);
decoder2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder2enavcc_output_wire -- output.wire
);
decoder3 : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => cast226_output_wire, -- data.wire
dec => decoder3_dec_wire, -- dec.wire
sclr => decoder3sclrgnd_output_wire, -- sclr.wire
ena => decoder3enavcc_output_wire -- ena.wire
);
decoder3sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder3sclrgnd_output_wire -- output.wire
);
decoder3enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder3enavcc_output_wire -- output.wire
);
decoder1 : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => cast224_output_wire, -- data.wire
dec => decoder1_dec_wire, -- dec.wire
sclr => decoder1sclrgnd_output_wire, -- sclr.wire
ena => decoder1enavcc_output_wire -- ena.wire
);
decoder1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder1sclrgnd_output_wire -- output.wire
);
decoder1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder1enavcc_output_wire -- output.wire
);
width_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay9_output_wire, -- input.wire
output => width -- output.wire
);
delay6 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => bus_conversion2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay6_output_wire, -- output.wire
sclr => delay6sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator4_result_wire -- ena.wire
);
delay6sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay6sclrgnd_output_wire -- output.wire
);
delay5 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => delay4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay5_output_wire, -- output.wire
sclr => delay5sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator3_result_wire -- ena.wire
);
delay5sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay5sclrgnd_output_wire -- output.wire
);
delay4 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => bus_conversion1_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay4_output_wire, -- output.wire
sclr => delay4sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator1_result_wire -- ena.wire
);
delay4sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay4sclrgnd_output_wire -- output.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
delay9 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => delay8_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay9_output_wire, -- output.wire
sclr => delay9sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator7_result_wire -- ena.wire
);
delay9sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay9sclrgnd_output_wire -- output.wire
);
delay8 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => bus_conversion3_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay8_output_wire, -- output.wire
sclr => delay8sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator6_result_wire -- ena.wire
);
delay8sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay8sclrgnd_output_wire -- output.wire
);
delay7 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => delay6_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay7_output_wire, -- output.wire
sclr => delay7sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator5_result_wire -- ena.wire
);
delay7sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay7sclrgnd_output_wire -- output.wire
);
bus_conversion8 : component alt_dspbuilder_cast_GNNZHXLS76
generic map (
round => 0,
saturate => 0
)
port map (
input => writedata_0_output_wire, -- input.wire
output => bus_conversion8_output_wire -- output.wire
);
decoder7 : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => cast225_output_wire, -- data.wire
dec => decoder7_dec_wire, -- dec.wire
sclr => decoder7sclrgnd_output_wire, -- sclr.wire
ena => decoder7enavcc_output_wire -- ena.wire
);
decoder7sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder7sclrgnd_output_wire -- output.wire
);
decoder7enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder7enavcc_output_wire -- output.wire
);
delay10 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => bus_conversion8_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay10_output_wire, -- output.wire
sclr => delay10sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator8_result_wire -- ena.wire
);
delay10sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay10sclrgnd_output_wire -- output.wire
);
decoder : component alt_dspbuilder_decoder_GNQPHUITBS
generic map (
decode => "001",
pipeline => 1,
width => 3
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => addr_0_output_wire, -- data.wire
dec => decoder_dec_wire, -- dec.wire
sclr => decodersclrgnd_output_wire, -- sclr.wire
ena => decoderenavcc_output_wire -- ena.wire
);
decodersclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decodersclrgnd_output_wire -- output.wire
);
decoderenavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoderenavcc_output_wire -- output.wire
);
decoder6 : component alt_dspbuilder_decoder_GN7W55JURN
generic map (
decode => "100",
pipeline => 1,
width => 3
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => addr_0_output_wire, -- data.wire
dec => decoder6_dec_wire, -- dec.wire
sclr => decoder6sclrgnd_output_wire, -- sclr.wire
ena => decoder6enavcc_output_wire -- ena.wire
);
decoder6sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder6sclrgnd_output_wire -- output.wire
);
decoder6enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder6enavcc_output_wire -- output.wire
);
delay11 : component alt_dspbuilder_delay_GNWON5MXYC
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000001010",
width => 16
)
port map (
input => delay10_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay11_output_wire, -- output.wire
sclr => delay11sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator9_result_wire -- ena.wire
);
delay11sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay11sclrgnd_output_wire -- output.wire
);
decoder5 : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => cast223_output_wire, -- data.wire
dec => decoder5_dec_wire, -- dec.wire
sclr => decoder5sclrgnd_output_wire, -- sclr.wire
ena => decoder5enavcc_output_wire -- ena.wire
);
decoder5sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder5sclrgnd_output_wire -- output.wire
);
decoder5enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder5enavcc_output_wire -- output.wire
);
decoder4 : component alt_dspbuilder_decoder_GNBT6YIKS3
generic map (
decode => "011",
pipeline => 1,
width => 3
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => addr_0_output_wire, -- data.wire
dec => decoder4_dec_wire, -- dec.wire
sclr => decoder4sclrgnd_output_wire, -- sclr.wire
ena => decoder4enavcc_output_wire -- ena.wire
);
decoder4sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder4sclrgnd_output_wire -- output.wire
);
decoder4enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder4enavcc_output_wire -- output.wire
);
cast223 : component alt_dspbuilder_cast_GNWMSU6SSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => cast223_output_wire -- output.wire
);
cast224 : component alt_dspbuilder_cast_GNWMSU6SSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => cast224_output_wire -- output.wire
);
cast225 : component alt_dspbuilder_cast_GNWMSU6SSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => cast225_output_wire -- output.wire
);
cast226 : component alt_dspbuilder_cast_GNWMSU6SSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_0_output_wire, -- input.wire
output => cast226_output_wire -- output.wire
);
end architecture rtl; -- of Add_Frame_GN_Add_Frame_Add_Frame_Module_Frame_Par
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_constant_GNTKFCVCZO.vhd
|
1
|
576
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNTKFCVCZO is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000001001000000";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNTKFCVCZO is
Begin
-- Constant
output <= "0000001001000000";
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_constant_GNKFDCPWED.vhd
|
1
|
576
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNKFDCPWED is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000000111111";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNKFDCPWED is
Begin
-- Constant
output <= "0000000000111111";
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_counter_GNW5IG44CT.vhd
|
4
|
1595
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_counter_GNW5IG44CT is
generic ( use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "1";
use_sload : string := "false";
use_sclr : string := "true";
use_cout : string := "false";
modulus : integer := -1;
use_cnt_ena : string := "true";
width : natural := 3;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0");
port(
aclr : in std_logic;
aload : in std_logic;
aset : in std_logic;
cin : in std_logic;
clock : in std_logic;
cnt_ena : in std_logic;
cout : out std_logic;
data : in std_logic_vector((width)-1 downto 0);
direction : in std_logic;
ena : in std_logic;
q : out std_logic_vector((width)-1 downto 0);
sclr : in std_logic;
sload : in std_logic;
sset : in std_logic;
user_aclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_counter_GNW5IG44CT is
Begin
-- DSP Builder Block - Simulink Block "Counter"
Counteri : lpm_counter Generic map (
LPM_WIDTH => 3,
LPM_DIRECTION => "UP",
LPM_AVALUE => "0",
LPM_SVALUE => "1",
LPM_TYPE => "LPM_COUNTER"
)
port map (
clock => clock,
cnt_en => cnt_ena,
aclr => aclr,
sclr => sclr,
q => q);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_port_GN37ALZBS4.vhd
|
20
|
449
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GN37ALZBS4 is
port(
input : in std_logic;
output : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_port_GN37ALZBS4 is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_port.vhd
|
10
|
637
|
-- This file is not intended for synthesis. The entity described in this file
-- is not directly instantiatable from HDL because its port list changes in a
-- way which is too complex to describe in VHDL or Verilog. Please use a tool
-- such as SOPC builder, DSP builder or the Megawizard plug-in manager to
-- instantiate this entity.
--altera translate_off
entity alt_dspbuilder_port is
end entity alt_dspbuilder_port;
architecture rtl of alt_dspbuilder_port is
begin
assert false report "This file is not intended for synthesis. Please remove it from your project" severity error;
end architecture rtl;
--altera translate_on
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/tb_Add_Frame.vhd
|
2
|
25065
|
-- tb_Add_Frame.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.25.10:37:28
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity tb_Add_Frame is
end entity tb_Add_Frame;
architecture rtl of tb_Add_Frame is
component Add_Frame_GN is
port (
Clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
Avalon_MM_Slave_address : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
Avalon_ST_Source_valid : out std_logic; -- wire
Avalon_MM_Slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
Avalon_ST_Source_endofpacket : out std_logic; -- wire
Avalon_ST_Source_startofpacket : out std_logic; -- wire
Avalon_MM_Slave_write : in std_logic := 'X'; -- wire
Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
Avalon_ST_Sink_ready : out std_logic; -- wire
Avalon_ST_Sink_startofpacket : in std_logic := 'X'; -- wire
Avalon_ST_Sink_endofpacket : in std_logic := 'X'; -- wire
Avalon_ST_Source_data : out std_logic_vector(23 downto 0); -- wire
Avalon_ST_Sink_valid : in std_logic := 'X'; -- wire
Add_Frame_Add_Frame_Module_row_counter : out std_logic_vector(15 downto 0); -- wire
Add_Frame_Add_Frame_Module_state : out std_logic_vector(2 downto 0); -- wire
Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row : out std_logic_vector(15 downto 0); -- wire
Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col : out std_logic_vector(15 downto 0); -- wire
Add_Frame_Add_Frame_Module_frame_in : out std_logic_vector(0 downto 0); -- wire
Add_Frame_Add_Frame_Module_col_counter : out std_logic_vector(15 downto 0); -- wire
Avalon_ST_Source_ready : in std_logic := 'X' -- wire
);
end component Add_Frame_GN;
component alt_dspbuilder_testbench_clock_GNCGUFKHRR is
generic (
SIMULATION_START_CYCLE : natural := 4;
RESET_LATENCY : natural := 0;
RESET_REGISTER_CASCADE_DEPTH : natural := 0
);
port (
aclr_out : out std_logic; -- reset
clock_out : out std_logic; -- clk
reg_aclr_out : out std_logic; -- reset
tb_aclr : out std_logic -- reset
);
end component alt_dspbuilder_testbench_clock_GNCGUFKHRR;
component alt_dspbuilder_testbench_salt_GNUCY2GBID is
generic (
XFILE : string := "default"
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_testbench_salt_GNUCY2GBID;
component alt_dspbuilder_testbench_salt_GN7Z4SHGOK is
generic (
XFILE : string := "default"
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
output : out std_logic_vector(31 downto 0) -- wire
);
end component alt_dspbuilder_testbench_salt_GN7Z4SHGOK;
component alt_dspbuilder_testbench_salt_GNDBMPYDND is
generic (
XFILE : string := "default"
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
output : out std_logic -- wire
);
end component alt_dspbuilder_testbench_salt_GNDBMPYDND;
component alt_dspbuilder_testbench_salt_GNOXVOQUET is
generic (
XFILE : string := "default"
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_testbench_salt_GNOXVOQUET;
component alt_dspbuilder_testbench_capture_GNQX2JTRTZ is
generic (
XFILE : string := "default";
DSPBTYPE : string := ""
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
input : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_testbench_capture_GNQX2JTRTZ;
component alt_dspbuilder_testbench_capture_GNHCRI5YMO is
generic (
XFILE : string := "default";
DSPBTYPE : string := ""
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
input : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_testbench_capture_GNHCRI5YMO;
component alt_dspbuilder_testbench_capture_GNAXODEVVW is
generic (
XFILE : string := "default";
DSPBTYPE : string := ""
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
input : in std_logic_vector(15 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_testbench_capture_GNAXODEVVW;
component alt_dspbuilder_testbench_capture_GN32ABLG7V is
generic (
XFILE : string := "default";
DSPBTYPE : string := ""
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
input : in std_logic_vector(2 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_testbench_capture_GN32ABLG7V;
component alt_dspbuilder_testbench_capture_GNZUHKKGTG is
generic (
XFILE : string := "default";
DSPBTYPE : string := ""
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
input : in std_logic_vector(0 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_testbench_capture_GNZUHKKGTG;
signal salt_avalon_mm_slave_address_output_wire : std_logic_vector(2 downto 0); -- salt_Avalon_MM_Slave_address:output -> dut:Avalon_MM_Slave_address
signal clock_clock_tb_reset : std_logic; -- Clock:tb_aclr -> [salt_Avalon_MM_Slave_address:aclr, salt_Avalon_MM_Slave_write:aclr, salt_Avalon_MM_Slave_writedata:aclr, salt_Avalon_ST_Sink_data:aclr, salt_Avalon_ST_Sink_endofpacket:aclr, salt_Avalon_ST_Sink_startofpacket:aclr, salt_Avalon_ST_Sink_valid:aclr, salt_Avalon_ST_Source_ready:aclr]
signal clock_clock_tb_clk : std_logic; -- Clock:clock_out -> [capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col:clock, capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row:clock, capture_Add_Frame_Add_Frame_Module_col_counter:clock, capture_Add_Frame_Add_Frame_Module_frame_in:clock, capture_Add_Frame_Add_Frame_Module_row_counter:clock, capture_Add_Frame_Add_Frame_Module_state:clock, capture_Avalon_ST_Sink_ready:clock, capture_Avalon_ST_Source_data:clock, capture_Avalon_ST_Source_endofpacket:clock, capture_Avalon_ST_Source_startofpacket:clock, capture_Avalon_ST_Source_valid:clock, dut:Clock, salt_Avalon_MM_Slave_address:clock, salt_Avalon_MM_Slave_write:clock, salt_Avalon_MM_Slave_writedata:clock, salt_Avalon_ST_Sink_data:clock, salt_Avalon_ST_Sink_endofpacket:clock, salt_Avalon_ST_Sink_startofpacket:clock, salt_Avalon_ST_Sink_valid:clock, salt_Avalon_ST_Source_ready:clock]
signal salt_avalon_mm_slave_writedata_output_wire : std_logic_vector(31 downto 0); -- salt_Avalon_MM_Slave_writedata:output -> dut:Avalon_MM_Slave_writedata
signal salt_avalon_mm_slave_write_output_wire : std_logic; -- salt_Avalon_MM_Slave_write:output -> dut:Avalon_MM_Slave_write
signal salt_avalon_st_sink_data_output_wire : std_logic_vector(23 downto 0); -- salt_Avalon_ST_Sink_data:output -> dut:Avalon_ST_Sink_data
signal salt_avalon_st_sink_startofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_startofpacket:output -> dut:Avalon_ST_Sink_startofpacket
signal salt_avalon_st_sink_endofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_endofpacket:output -> dut:Avalon_ST_Sink_endofpacket
signal salt_avalon_st_sink_valid_output_wire : std_logic; -- salt_Avalon_ST_Sink_valid:output -> dut:Avalon_ST_Sink_valid
signal salt_avalon_st_source_ready_output_wire : std_logic; -- salt_Avalon_ST_Source_ready:output -> dut:Avalon_ST_Source_ready
signal dut_avalon_st_source_valid_wire : std_logic; -- dut:Avalon_ST_Source_valid -> capture_Avalon_ST_Source_valid:input
signal clock_clock_reg_reset_reset : std_logic; -- Clock:reg_aclr_out -> [capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col:aclr, capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row:aclr, capture_Add_Frame_Add_Frame_Module_col_counter:aclr, capture_Add_Frame_Add_Frame_Module_frame_in:aclr, capture_Add_Frame_Add_Frame_Module_row_counter:aclr, capture_Add_Frame_Add_Frame_Module_state:aclr, capture_Avalon_ST_Sink_ready:aclr, capture_Avalon_ST_Source_data:aclr, capture_Avalon_ST_Source_endofpacket:aclr, capture_Avalon_ST_Source_startofpacket:aclr, capture_Avalon_ST_Source_valid:aclr]
signal dut_avalon_st_source_endofpacket_wire : std_logic; -- dut:Avalon_ST_Source_endofpacket -> capture_Avalon_ST_Source_endofpacket:input
signal dut_avalon_st_source_startofpacket_wire : std_logic; -- dut:Avalon_ST_Source_startofpacket -> capture_Avalon_ST_Source_startofpacket:input
signal dut_avalon_st_sink_ready_wire : std_logic; -- dut:Avalon_ST_Sink_ready -> capture_Avalon_ST_Sink_ready:input
signal dut_avalon_st_source_data_wire : std_logic_vector(23 downto 0); -- dut:Avalon_ST_Source_data -> capture_Avalon_ST_Source_data:input
signal dut_add_frame_add_frame_module_row_counter_wire : std_logic_vector(15 downto 0); -- dut:Add_Frame_Add_Frame_Module_row_counter -> capture_Add_Frame_Add_Frame_Module_row_counter:input
signal dut_add_frame_add_frame_module_state_wire : std_logic_vector(2 downto 0); -- dut:Add_Frame_Add_Frame_Module_state -> capture_Add_Frame_Add_Frame_Module_state:input
signal dut_add_frame_add_frame_module_ctrl_decoder_decoder_row_wire : std_logic_vector(15 downto 0); -- dut:Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row -> capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row:input
signal dut_add_frame_add_frame_module_ctrl_decoder_decoder_col_wire : std_logic_vector(15 downto 0); -- dut:Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col -> capture_Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col:input
signal dut_add_frame_add_frame_module_frame_in_wire : std_logic_vector(0 downto 0); -- dut:Add_Frame_Add_Frame_Module_frame_in -> capture_Add_Frame_Add_Frame_Module_frame_in:input
signal dut_add_frame_add_frame_module_col_counter_wire : std_logic_vector(15 downto 0); -- dut:Add_Frame_Add_Frame_Module_col_counter -> capture_Add_Frame_Add_Frame_Module_col_counter:input
signal clock_clock_output_reset : std_logic; -- Clock:aclr_out -> dut:aclr
begin
dut : component Add_Frame_GN
port map (
Clock => clock_clock_tb_clk, -- Clock.clk
aclr => clock_clock_output_reset, -- .reset
Avalon_MM_Slave_address => salt_avalon_mm_slave_address_output_wire, -- Avalon_MM_Slave_address.wire
Avalon_ST_Source_valid => dut_avalon_st_source_valid_wire, -- Avalon_ST_Source_valid.wire
Avalon_MM_Slave_writedata => salt_avalon_mm_slave_writedata_output_wire, -- Avalon_MM_Slave_writedata.wire
Avalon_ST_Source_endofpacket => dut_avalon_st_source_endofpacket_wire, -- Avalon_ST_Source_endofpacket.wire
Avalon_ST_Source_startofpacket => dut_avalon_st_source_startofpacket_wire, -- Avalon_ST_Source_startofpacket.wire
Avalon_MM_Slave_write => salt_avalon_mm_slave_write_output_wire, -- Avalon_MM_Slave_write.wire
Avalon_ST_Sink_data => salt_avalon_st_sink_data_output_wire, -- Avalon_ST_Sink_data.wire
Avalon_ST_Sink_ready => dut_avalon_st_sink_ready_wire, -- Avalon_ST_Sink_ready.wire
Avalon_ST_Sink_startofpacket => salt_avalon_st_sink_startofpacket_output_wire, -- Avalon_ST_Sink_startofpacket.wire
Avalon_ST_Sink_endofpacket => salt_avalon_st_sink_endofpacket_output_wire, -- Avalon_ST_Sink_endofpacket.wire
Avalon_ST_Source_data => dut_avalon_st_source_data_wire, -- Avalon_ST_Source_data.wire
Avalon_ST_Sink_valid => salt_avalon_st_sink_valid_output_wire, -- Avalon_ST_Sink_valid.wire
Add_Frame_Add_Frame_Module_row_counter => dut_add_frame_add_frame_module_row_counter_wire, -- Add_Frame_Add_Frame_Module_row_counter.wire
Add_Frame_Add_Frame_Module_state => dut_add_frame_add_frame_module_state_wire, -- Add_Frame_Add_Frame_Module_state.wire
Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row => dut_add_frame_add_frame_module_ctrl_decoder_decoder_row_wire, -- Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row.wire
Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col => dut_add_frame_add_frame_module_ctrl_decoder_decoder_col_wire, -- Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col.wire
Add_Frame_Add_Frame_Module_frame_in => dut_add_frame_add_frame_module_frame_in_wire, -- Add_Frame_Add_Frame_Module_frame_in.wire
Add_Frame_Add_Frame_Module_col_counter => dut_add_frame_add_frame_module_col_counter_wire, -- Add_Frame_Add_Frame_Module_col_counter.wire
Avalon_ST_Source_ready => salt_avalon_st_source_ready_output_wire -- Avalon_ST_Source_ready.wire
);
clock : component alt_dspbuilder_testbench_clock_GNCGUFKHRR
generic map (
SIMULATION_START_CYCLE => 5,
RESET_LATENCY => 0,
RESET_REGISTER_CASCADE_DEPTH => 0
)
port map (
clock_out => clock_clock_tb_clk, -- clock_tb.clk
tb_aclr => clock_clock_tb_reset, -- .reset
aclr_out => clock_clock_output_reset, -- clock_output.reset
reg_aclr_out => clock_clock_reg_reset_reset -- clock_reg_reset.reset
);
salt_avalon_mm_slave_address : component alt_dspbuilder_testbench_salt_GNUCY2GBID
generic map (
XFILE => "Add%5FFrame_Avalon-MM+Slave_address.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_mm_slave_address_output_wire -- output.wire
);
salt_avalon_mm_slave_writedata : component alt_dspbuilder_testbench_salt_GN7Z4SHGOK
generic map (
XFILE => "Add%5FFrame_Avalon-MM+Slave_writedata.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_mm_slave_writedata_output_wire -- output.wire
);
salt_avalon_mm_slave_write : component alt_dspbuilder_testbench_salt_GNDBMPYDND
generic map (
XFILE => "Add%5FFrame_Avalon-MM+Slave_write.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_mm_slave_write_output_wire -- output.wire
);
salt_avalon_st_sink_data : component alt_dspbuilder_testbench_salt_GNOXVOQUET
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Sink_data.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_st_sink_data_output_wire -- output.wire
);
salt_avalon_st_sink_startofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Sink_startofpacket.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_st_sink_startofpacket_output_wire -- output.wire
);
salt_avalon_st_sink_endofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Sink_endofpacket.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_st_sink_endofpacket_output_wire -- output.wire
);
salt_avalon_st_sink_valid : component alt_dspbuilder_testbench_salt_GNDBMPYDND
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Sink_valid.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_st_sink_valid_output_wire -- output.wire
);
salt_avalon_st_source_ready : component alt_dspbuilder_testbench_salt_GNDBMPYDND
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Source_ready.salt"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_tb_reset, -- .reset
output => salt_avalon_st_source_ready_output_wire -- output.wire
);
capture_avalon_st_source_valid : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Source_valid.capture.msim",
DSPBTYPE => "BIT [1, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_avalon_st_source_valid_wire -- input.wire
);
capture_avalon_st_source_endofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Source_endofpacket.capture.msim",
DSPBTYPE => "BIT [1, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_avalon_st_source_endofpacket_wire -- input.wire
);
capture_avalon_st_source_startofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Source_startofpacket.capture.msim",
DSPBTYPE => "BIT [1, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_avalon_st_source_startofpacket_wire -- input.wire
);
capture_avalon_st_sink_ready : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Sink_ready.capture.msim",
DSPBTYPE => "BIT [1, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_avalon_st_sink_ready_wire -- input.wire
);
capture_avalon_st_source_data : component alt_dspbuilder_testbench_capture_GNHCRI5YMO
generic map (
XFILE => "Add%5FFrame_Avalon-ST+Source_data.capture.msim",
DSPBTYPE => "UINT [24, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_avalon_st_source_data_wire -- input.wire
);
capture_add_frame_add_frame_module_row_counter : component alt_dspbuilder_testbench_capture_GNAXODEVVW
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_row%5Fcounter.capture.msim",
DSPBTYPE => "UINT [16, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_row_counter_wire -- input.wire
);
capture_add_frame_add_frame_module_state : component alt_dspbuilder_testbench_capture_GN32ABLG7V
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_state.capture.msim",
DSPBTYPE => "INT [3, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_state_wire -- input.wire
);
capture_add_frame_add_frame_module_ctrl_decoder_decoder_row : component alt_dspbuilder_testbench_capture_GNAXODEVVW
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_CTRL%5FDECODER_decoder%5Frow.capture.msim",
DSPBTYPE => "UINT [16, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_ctrl_decoder_decoder_row_wire -- input.wire
);
capture_add_frame_add_frame_module_ctrl_decoder_decoder_col : component alt_dspbuilder_testbench_capture_GNAXODEVVW
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_CTRL%5FDECODER_decoder%5Fcol.capture.msim",
DSPBTYPE => "UINT [16, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_ctrl_decoder_decoder_col_wire -- input.wire
);
capture_add_frame_add_frame_module_frame_in : component alt_dspbuilder_testbench_capture_GNZUHKKGTG
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_frame%5Fin.capture.msim",
DSPBTYPE => "INT [1, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_frame_in_wire -- input.wire
);
capture_add_frame_add_frame_module_col_counter : component alt_dspbuilder_testbench_capture_GNAXODEVVW
generic map (
XFILE => "Add%5FFrame_Add+Frame+Module_col%5Fcounter.capture.msim",
DSPBTYPE => "UINT [16, 0]"
)
port map (
clock => clock_clock_tb_clk, -- clock_aclr.clk
aclr => clock_clock_reg_reset_reset, -- .reset
input => dut_add_frame_add_frame_module_col_counter_wire -- input.wire
);
end architecture rtl; -- of tb_Add_Frame
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_port_GNS2GDLO5E.vhd
|
4
|
487
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNS2GDLO5E is
port(
input : in std_logic_vector(2 downto 0);
output : out std_logic_vector(2 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNS2GDLO5E is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
lsangild/DSD
|
Exercise5/GuessGame/GuessGame2.vhd
|
1
|
1244
|
-----Library statements -----
library ieee;
use ieee.std_logic_1164.all;
-----Entity declaration -----
entity GuessGame2 is
port(
inputs : in std_logic_vector(7 downto 0);
player : in std_logic;
set : in std_logic; -- set predefined value
show : in std_logic; -- Show predefined value
try : in std_logic; -- Evaluate guess
hex1 : out std_logic_vector(6 downto 0); -- 7seg ones
hex10 : out std_logic_vector(6 downto 0) -- 7seg tens
);
end GuessGame2;
architecture guessing of GuessGame2 is
-- declare signals, components here...
signal setValue, dispValue : std_logic_vector(7 downto 0) := "00000000";
begin
ones: entity work.DecimalSeg port map(bin => dispValue(3 downto 0), seg => hex1);
tens: entity work.DecimalSeg port map(bin => dispValue(7 downto 4), seg => hex10);
-- architecture body...
process(show, set, try)
begin
if set = '0' then
setValue <= inputs;
dispValue <= setValue; -- to avoid inferred latch
elsif show = '0' then
dispValue <= setValue;
elsif try = '0' then
if inputs < setValue then
dispValue <= "10101011";
elsif inputs > setValue then
dispValue <= "11001101";
else
dispValue <= "11101110";
end if;
else
dispValue <= inputs;
end if;
end process;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_sImpulsen1Altr.vhd
|
8
|
3102
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_sImpulsen1Altr is
generic (
Impulsedelay : positive
);
port (
clock : in std_logic;
ena : in std_logic :='1';
sclr : in std_logic :='0';
aclr : in std_logic :='0';
q : out std_logic
);
end alt_dspbuilder_sImpulsen1Altr ;
architecture syn of alt_dspbuilder_sImpulsen1Altr is
type States_ImpulseAltr is (sclear, slow, shigh,slowend);
signal current_state : States_ImpulseAltr;
signal next_state : States_ImpulseAltr;
signal count : std_logic_vector(ToNatural(nbitnecessary(Impulsedelay)-1) downto 0);
begin
rp:process(clock,aclr)
begin
if aclr='1' then
count <= (others=>'0');
current_state <= sclear;
elsif clock'event and clock='1' then
if (sclr='1') then
count <= (others=>'0');
current_state <= sclear;
elsif (ena='1') then
count <= count+int2ustd(1,nbitnecessary(Impulsedelay));
current_state <= next_state;
end if;
end if;
end process;
cp:process(count, current_state, sclr,ena)
begin
case current_state is
when sclear =>
q <= '0';
if (ena='1') and (sclr='0') then
next_state <= slow;
else
next_state <= sclear;
end if;
when slow =>
q <= '0';
if (sclr='1') then
next_state <= sclear;
elsif (count=int2ustd(Impulsedelay-1,nbitnecessary(Impulsedelay))) and (ena='1') then
next_state <= shigh;
else
next_state <= slow ;
end if;
when shigh =>
q <= '1';
if (sclr='1') then
next_state <= sclear;
elsif (ena='1') then
next_state <= slowend ;
else
next_state <= shigh;
end if;
when slowend =>
q <= '0';
if (sclr='1') then
next_state <= sclear;
else
next_state <= slowend ;
end if;
end case;
end process;
end syn;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_cast_GNLWRZWTQF.vhd
|
8
|
852
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNLWRZWTQF is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(2 downto 0);
output : out std_logic_vector(2 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNLWRZWTQF is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 3 ,
width_inr=> 0,
width_outl=> 3,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(2 downto 0) => input,
yout => output
);
end architecture;
|
mit
|
lsangild/DSD
|
Exercise6/Clock6/output_files/reset_logic.vhd
|
2
|
544
|
----- Libraries------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
----- Entity ------
entity reset_logic is
port( reset_in : in std_logic;
reset_out : out std_logic;
hrs_bin1, hrs_bin10 : in std_logic_vector(3 downto 0)
);
end reset_logic;
-----Architecture-----
architecture resetter of reset_logic is
begin
process(reset_in, hrs_bin1, hrs_bin10)
begin
if (reset_in = '0' or ((hrs_bin10 & hrs_bin1) > "00100011")) then
reset_out <= '0';
else
reset_out <= '1';
end if;
end process;
end resetter;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_decoder_GN7UJNSI7B.vhd
|
2
|
903
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GN7UJNSI7B is
generic ( decode : string := "101";
pipeline : natural := 1;
width : natural := 3);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GN7UJNSI7B is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 3,
decode => "101",
pipeline => 1)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_testbench_capture_GNQX2JTRTZ.vhd
|
20
|
1755
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_capture_GNQX2JTRTZ is
generic ( XFILE : string := "default";
DSPBTYPE : string := "");
port(
clock : in std_logic;
aclr : in std_logic;
input : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_testbench_capture_GNQX2JTRTZ is
function str(sl: std_logic) return character is
variable c: character;
begin
case sl is
when '0' => c := '0';
when '1' => c := '1';
when others => c := 'X';
end case;
return c;
end str;
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := str(slv(i));
r := r + 1;
end loop;
return result;
end str;
procedure write_type_header(file f:text) is
use STD.textio.all;
variable my_line : line;
begin
write ( my_line, DSPBTYPE);
writeline ( f, my_line );
end procedure write_type_header ;
file oFile : text open write_mode is XFILE;
Begin
-- data capture
-- write type information to output file
write_type_header(oFile);
-- Writing Output Signal into file
Output:process(clock)
variable traceline : line ;
begin
if (aclr ='1') then
-- do not record
elsif clock'event and clock='1' then
write(traceline, str(input),justified=>left);
writeline(oFile,traceline);
end if ;
end process ;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER.vhd
|
2
|
37667
|
-- Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.27.11:15:11
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER is
port (
height : out std_logic_vector(15 downto 0); -- height.wire
width : out std_logic_vector(15 downto 0); -- width.wire
sop : in std_logic := '0'; -- sop.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
data : in std_logic_vector(23 downto 0) := (others => '0'); -- data.wire
valid : in std_logic := '0' -- valid.wire
);
end entity Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER;
architecture rtl of Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNHBD5Z3AF is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNHBD5Z3AF;
component alt_dspbuilder_cast_GNED3D3FSF is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNED3D3FSF;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_cast_GNMU5M7DX7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNMU5M7DX7;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_bus_concat_GNBH75ZTOD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNBH75ZTOD;
component alt_dspbuilder_bus_concat_GNXPBV3I7L is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNXPBV3I7L;
component alt_dspbuilder_bus_concat_GNAUBM7IRL is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNAUBM7IRL;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_port_GNBO6OMO5Y is
port (
input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_port_GNBO6OMO5Y;
component alt_dspbuilder_if_statement_GNURIZNNI4 is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(2 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNURIZNNI4;
component alt_dspbuilder_constant_GNDDTJRE6Q is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNDDTJRE6Q;
component alt_dspbuilder_delay_GNZCCH64DU is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNZCCH64DU;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_logical_bit_op_GNKUBZL4TE is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNKUBZL4TE;
component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV;
component alt_dspbuilder_decoder_GNAGWQMRGS is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNAGWQMRGS;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_delay_GNXEWPAYC5 is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNXEWPAYC5;
component alt_dspbuilder_counter_GNW5IG44CT is
generic (
use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "0";
use_sload : string := "false";
use_sclr : string := "false";
use_cout : string := "false";
modulus : integer := 256;
use_cnt_ena : string := "false";
width : natural := 8;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0"
);
port (
aclr : in std_logic := 'X'; -- clk
aload : in std_logic := 'X'; -- wire
aset : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cnt_ena : in std_logic := 'X'; -- wire
cout : out std_logic; -- wire
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
direction : in std_logic := 'X'; -- wire
ena : in std_logic := 'X'; -- wire
q : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X'; -- wire
sload : in std_logic := 'X'; -- wire
sset : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_counter_GNW5IG44CT;
component alt_dspbuilder_delay_GNGQ56ZS4N is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNGQ56ZS4N;
component alt_dspbuilder_decoder_GNSCEXJCJK is
generic (
decode : string := "00000000";
pipeline : natural := 0;
width : natural := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
dec : out std_logic; -- wire
ena : in std_logic := 'X'; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_decoder_GNSCEXJCJK;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
signal delaysclrgnd_output_wire : std_logic; -- DelaysclrGND:output -> Delay:sclr
signal decoder2sclrgnd_output_wire : std_logic; -- Decoder2sclrGND:output -> Decoder2:sclr
signal decoder2enavcc_output_wire : std_logic; -- Decoder2enaVCC:output -> Decoder2:ena
signal decoder1sclrgnd_output_wire : std_logic; -- Decoder1sclrGND:output -> Decoder1:sclr
signal decoder1enavcc_output_wire : std_logic; -- Decoder1enaVCC:output -> Decoder1:ena
signal delay5sclrgnd_output_wire : std_logic; -- Delay5sclrGND:output -> Delay5:sclr
signal delay4sclrgnd_output_wire : std_logic; -- Delay4sclrGND:output -> Delay4:sclr
signal delay3sclrgnd_output_wire : std_logic; -- Delay3sclrGND:output -> Delay3:sclr
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr
signal decodersclrgnd_output_wire : std_logic; -- DecodersclrGND:output -> Decoder:sclr
signal decoderenavcc_output_wire : std_logic; -- DecoderenaVCC:output -> Decoder:ena
signal bus_concatenation1_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation1:output -> Bus_Concatenation2:a
signal bus_concatenation2_output_wire : std_logic_vector(11 downto 0); -- Bus_Concatenation2:output -> Bus_Concatenation3:a
signal bus_concatenation4_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation4:output -> Bus_Concatenation5:a
signal bus_concatenation5_output_wire : std_logic_vector(11 downto 0); -- Bus_Concatenation5:output -> Bus_Concatenation6:a
signal bus_conversion1_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion1:output -> Bus_Concatenation1:a
signal bus_conversion2_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion2:output -> Bus_Concatenation1:b
signal bus_conversion3_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion3:output -> Bus_Concatenation2:b
signal bus_conversion4_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion4:output -> Bus_Concatenation3:b
signal bus_conversion5_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion5:output -> Bus_Concatenation4:a
signal bus_conversion6_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion6:output -> Bus_Concatenation4:b
signal bus_conversion7_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion7:output -> Bus_Concatenation5:b
signal bus_conversion8_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion8:output -> Bus_Concatenation6:b
signal data_0_output_wire : std_logic_vector(23 downto 0); -- data_0:output -> [Decoder1:data, Decoder2:data, Decoder:data, Delay5:input]
signal bus_concatenation3_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation3:output -> Delay:input
signal bus_concatenation6_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation6:output -> Delay2:input
signal delay3_output_wire : std_logic_vector(23 downto 0); -- Delay3:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input]
signal delay4_output_wire : std_logic_vector(23 downto 0); -- Delay4:output -> [Bus_Conversion4:input, Bus_Conversion5:input, Bus_Conversion6:input, Delay3:input]
signal delay5_output_wire : std_logic_vector(23 downto 0); -- Delay5:output -> [Bus_Conversion7:input, Bus_Conversion8:input, Bus_Conversion9:input, Delay4:input]
signal constant2_output_wire : std_logic_vector(2 downto 0); -- Constant2:output -> If_Statement:a
signal counter_q_wire : std_logic_vector(2 downto 0); -- Counter:q -> If_Statement:b
signal decoder_dec_wire : std_logic; -- Decoder:dec -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> [Logical_Bit_Operator2:data0, Logical_Bit_Operator4:data0, Logical_Bit_Operator:data1]
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> [Logical_Bit_Operator1:data0, cast6:input]
signal if_statement_true_wire : std_logic; -- If_Statement:true -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> Delay1:ena
signal decoder1_dec_wire : std_logic; -- Decoder1:dec -> Logical_Bit_Operator2:data1
signal logical_bit_operator2_result_wire : std_logic; -- Logical_Bit_Operator2:result -> Delay:ena
signal logical_bit_operator3_result_wire : std_logic; -- Logical_Bit_Operator3:result -> Counter:sclr
signal decoder2_dec_wire : std_logic; -- Decoder2:dec -> Logical_Bit_Operator4:data1
signal logical_bit_operator4_result_wire : std_logic; -- Logical_Bit_Operator4:result -> Delay2:ena
signal valid_0_output_wire : std_logic; -- valid_0:output -> Logical_Bit_Operator5:data1
signal logical_bit_operator5_result_wire : std_logic; -- Logical_Bit_Operator5:result -> [Counter:cnt_ena, Delay3:ena, Delay4:ena, Delay5:ena]
signal delay_output_wire : std_logic_vector(15 downto 0); -- Delay:output -> width_0:input
signal delay2_output_wire : std_logic_vector(15 downto 0); -- Delay2:output -> height_0:input
signal cast6_output_wire : std_logic_vector(0 downto 0); -- cast6:output -> Delay1:input
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> [cast7:input, cast8:input]
signal cast7_output_wire : std_logic; -- cast7:output -> Logical_Bit_Operator3:data0
signal cast8_output_wire : std_logic; -- cast8:output -> Logical_Bit_Operator5:data0
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation1:aclr, Bus_Concatenation2:aclr, Bus_Concatenation3:aclr, Bus_Concatenation4:aclr, Bus_Concatenation5:aclr, Bus_Concatenation6:aclr, Counter:aclr, Decoder1:aclr, Decoder2:aclr, Decoder:aclr, Delay1:aclr, Delay2:aclr, Delay3:aclr, Delay4:aclr, Delay5:aclr, Delay:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation1:clock, Bus_Concatenation2:clock, Bus_Concatenation3:clock, Bus_Concatenation4:clock, Bus_Concatenation5:clock, Bus_Concatenation6:clock, Counter:clock, Decoder1:clock, Decoder2:clock, Decoder:clock, Delay1:clock, Delay2:clock, Delay3:clock, Delay4:clock, Delay5:clock, Delay:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNHBD5Z3AF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GNED3D3FSF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
valid_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => valid, -- input.wire
output => valid_0_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GNMU5M7DX7
generic map (
round => 0,
saturate => 0
)
port map (
input => delay3_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
bus_conversion4 : component alt_dspbuilder_cast_GNHBD5Z3AF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion4_output_wire -- output.wire
);
data_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data, -- input.wire
output => data_0_output_wire -- output.wire
);
bus_concatenation5 : component alt_dspbuilder_bus_concat_GNBH75ZTOD
generic map (
widthB => 4,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation4_output_wire, -- a.wire
b => bus_conversion7_output_wire, -- b.wire
output => bus_concatenation5_output_wire -- output.wire
);
bus_concatenation6 : component alt_dspbuilder_bus_concat_GNXPBV3I7L
generic map (
widthB => 4,
widthA => 12
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation5_output_wire, -- a.wire
b => bus_conversion8_output_wire, -- b.wire
output => bus_concatenation6_output_wire -- output.wire
);
bus_concatenation3 : component alt_dspbuilder_bus_concat_GNXPBV3I7L
generic map (
widthB => 4,
widthA => 12
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation2_output_wire, -- a.wire
b => bus_conversion4_output_wire, -- b.wire
output => bus_concatenation3_output_wire -- output.wire
);
bus_concatenation4 : component alt_dspbuilder_bus_concat_GNAUBM7IRL
generic map (
widthB => 4,
widthA => 4
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion5_output_wire, -- a.wire
b => bus_conversion6_output_wire, -- b.wire
output => bus_concatenation4_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GNAUBM7IRL
generic map (
widthB => 4,
widthA => 4
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion1_output_wire, -- a.wire
b => bus_conversion2_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
bus_concatenation2 : component alt_dspbuilder_bus_concat_GNBH75ZTOD
generic map (
widthB => 4,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_concatenation1_output_wire, -- a.wire
b => bus_conversion3_output_wire, -- b.wire
output => bus_concatenation2_output_wire -- output.wire
);
logical_bit_operator5 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator5_result_wire, -- result.wire
data0 => cast8_output_wire, -- data0.wire
data1 => valid_0_output_wire -- data1.wire
);
height_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay2_output_wire, -- input.wire
output => height -- output.wire
);
logical_bit_operator4 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator4_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder2_dec_wire -- data1.wire
);
if_statement : component alt_dspbuilder_if_statement_GNURIZNNI4
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a<b)",
number_inputs => 2,
width => 3
)
port map (
true => if_statement_true_wire, -- true.wire
a => constant2_output_wire, -- a.wire
b => counter_q_wire -- b.wire
);
constant2 : component alt_dspbuilder_constant_GNDDTJRE6Q
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "010",
width => 3
)
port map (
output => constant2_output_wire -- output.wire
);
delay : component alt_dspbuilder_delay_GNZCCH64DU
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000000000",
width => 16
)
port map (
input => bus_concatenation3_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay_output_wire, -- output.wire
sclr => delaysclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator2_result_wire -- ena.wire
);
delaysclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delaysclrgnd_output_wire -- output.wire
);
logical_bit_operator3 : component alt_dspbuilder_logical_bit_op_GNKUBZL4TE
generic map (
LogicalOp => "AltNOT",
number_inputs => 1
)
port map (
result => logical_bit_operator3_result_wire, -- result.wire
data0 => cast7_output_wire -- data0.wire
);
logical_bit_operator2 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator2_result_wire, -- result.wire
data0 => sop_0_output_wire, -- data0.wire
data1 => decoder1_dec_wire -- data1.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV
generic map (
LogicalOp => "AltOR",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => logical_bit_operator_result_wire, -- data0.wire
data1 => if_statement_true_wire -- data1.wire
);
decoder2 : component alt_dspbuilder_decoder_GNAGWQMRGS
generic map (
decode => "000000000000000000000000",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => data_0_output_wire, -- data.wire
dec => decoder2_dec_wire, -- dec.wire
sclr => decoder2sclrgnd_output_wire, -- sclr.wire
ena => decoder2enavcc_output_wire -- ena.wire
);
decoder2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder2sclrgnd_output_wire -- output.wire
);
decoder2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder2enavcc_output_wire -- output.wire
);
decoder1 : component alt_dspbuilder_decoder_GNAGWQMRGS
generic map (
decode => "000000000000000000000000",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => data_0_output_wire, -- data.wire
dec => decoder1_dec_wire, -- dec.wire
sclr => decoder1sclrgnd_output_wire, -- sclr.wire
ena => decoder1enavcc_output_wire -- ena.wire
);
decoder1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decoder1sclrgnd_output_wire -- output.wire
);
decoder1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoder1enavcc_output_wire -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => decoder_dec_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
width_0 : component alt_dspbuilder_port_GNBO6OMO5Y
port map (
input => delay_output_wire, -- input.wire
output => width -- output.wire
);
delay5 : component alt_dspbuilder_delay_GNXEWPAYC5
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000000000000000001",
width => 24
)
port map (
input => data_0_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay5_output_wire, -- output.wire
sclr => delay5sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator5_result_wire -- ena.wire
);
delay5sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay5sclrgnd_output_wire -- output.wire
);
counter : component alt_dspbuilder_counter_GNW5IG44CT
generic map (
use_usr_aclr => "false",
use_ena => "false",
use_cin => "false",
use_sset => "false",
ndirection => 1,
svalue => "1",
use_sload => "false",
use_sclr => "true",
use_cout => "false",
modulus => -1,
use_cnt_ena => "true",
width => 3,
use_aset => "false",
use_aload => "false",
avalue => "0"
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
cnt_ena => logical_bit_operator5_result_wire, -- cnt_ena.wire
sclr => logical_bit_operator3_result_wire, -- sclr.wire
q => counter_q_wire, -- q.wire
cout => open -- cout.wire
);
delay4 : component alt_dspbuilder_delay_GNXEWPAYC5
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000000000000000001",
width => 24
)
port map (
input => delay5_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay4_output_wire, -- output.wire
sclr => delay4sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator5_result_wire -- ena.wire
);
delay4sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay4sclrgnd_output_wire -- output.wire
);
delay3 : component alt_dspbuilder_delay_GNXEWPAYC5
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "000000000000000000000001",
width => 24
)
port map (
input => delay4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay3_output_wire, -- output.wire
sclr => delay3sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator5_result_wire -- ena.wire
);
delay3sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay3sclrgnd_output_wire -- output.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNGQ56ZS4N
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "1",
width => 1
)
port map (
input => cast6_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator1_result_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
delay2 : component alt_dspbuilder_delay_GNZCCH64DU
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0000000000000000",
width => 16
)
port map (
input => bus_concatenation6_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay2_output_wire, -- output.wire
sclr => delay2sclrgnd_output_wire, -- sclr.wire
ena => logical_bit_operator4_result_wire -- ena.wire
);
delay2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay2sclrgnd_output_wire -- output.wire
);
bus_conversion9 : component alt_dspbuilder_cast_GNMU5M7DX7
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => open -- output.wire
);
bus_conversion8 : component alt_dspbuilder_cast_GNED3D3FSF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => bus_conversion8_output_wire -- output.wire
);
bus_conversion7 : component alt_dspbuilder_cast_GNHBD5Z3AF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay5_output_wire, -- input.wire
output => bus_conversion7_output_wire -- output.wire
);
decoder : component alt_dspbuilder_decoder_GNSCEXJCJK
generic map (
decode => "000000000000000000001111",
pipeline => 0,
width => 24
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => data_0_output_wire, -- data.wire
dec => decoder_dec_wire, -- dec.wire
sclr => decodersclrgnd_output_wire, -- sclr.wire
ena => decoderenavcc_output_wire -- ena.wire
);
decodersclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => decodersclrgnd_output_wire -- output.wire
);
decoderenavcc : component alt_dspbuilder_vcc_GN
port map (
output => decoderenavcc_output_wire -- output.wire
);
bus_conversion6 : component alt_dspbuilder_cast_GNMU5M7DX7
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion6_output_wire -- output.wire
);
bus_conversion5 : component alt_dspbuilder_cast_GNED3D3FSF
generic map (
round => 0,
saturate => 0
)
port map (
input => delay4_output_wire, -- input.wire
output => bus_conversion5_output_wire -- output.wire
);
cast6 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast6_output_wire -- output.wire
);
cast7 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast7_output_wire -- output.wire
);
cast8 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast8_output_wire -- output.wire
);
end architecture rtl; -- of Add_Frame_GN_Add_Frame_Add_Frame_Module_CTRL_DECODER
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_port_GNXAOKDYKC.vhd
|
4
|
487
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNXAOKDYKC is
port(
input : in std_logic_vector(0 downto 0);
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNXAOKDYKC is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_counter_GNCXNZXIE5.vhd
|
1
|
1618
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_counter_GNCXNZXIE5 is
generic ( use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "1";
use_sload : string := "false";
use_sclr : string := "true";
use_cout : string := "false";
modulus : integer := 7;
use_cnt_ena : string := "true";
width : natural := 3;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0");
port(
aclr : in std_logic;
aload : in std_logic;
aset : in std_logic;
cin : in std_logic;
clock : in std_logic;
cnt_ena : in std_logic;
cout : out std_logic;
data : in std_logic_vector((width)-1 downto 0);
direction : in std_logic;
ena : in std_logic;
q : out std_logic_vector((width)-1 downto 0);
sclr : in std_logic;
sload : in std_logic;
sset : in std_logic;
user_aclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_counter_GNCXNZXIE5 is
Begin
-- DSP Builder Block - Simulink Block "Counter"
Counteri : lpm_counter Generic map (
LPM_WIDTH => 3,
LPM_DIRECTION => "UP",
LPM_MODULUS => 7,
LPM_AVALUE => "0",
LPM_SVALUE => "1",
LPM_TYPE => "LPM_COUNTER"
)
port map (
clock => clock,
cnt_en => cnt_ena,
aclr => aclr,
sclr => sclr,
q => q);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/altera_lnsim/common_porta_latches/_primary.vhd
|
5
|
935
|
library verilog;
use verilog.vl_types.all;
entity common_porta_latches is
generic(
addr_register_width: integer := 1;
datain_register_width: integer := 1;
byteena_register_width: integer := 1
);
port(
addr_d : in vl_logic_vector;
datain_d : in vl_logic_vector;
byteena_d : in vl_logic_vector;
clk : in vl_logic;
ena : in vl_logic;
addr_q : out vl_logic_vector;
datain_q : out vl_logic_vector;
byteena_q : out vl_logic_vector
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of addr_register_width : constant is 1;
attribute mti_svvh_generic_type of datain_register_width : constant is 1;
attribute mti_svvh_generic_type of byteena_register_width : constant is 1;
end common_porta_latches;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_sImpulse1nAltr.vhd
|
8
|
2837
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_sImpulse1nAltr is
generic (
Impulsewidth : positive
);
port (
clock : in std_logic;
ena : in std_logic :='1';
sclr : in std_logic :='0';
aclr : in std_logic :='0';
q : out std_logic
);
end alt_dspbuilder_sImpulse1nAltr ;
architecture syn of alt_dspbuilder_sImpulse1nAltr is
type States_ImpulseAltr is (sclear, shigh,slowend);
signal current_state : States_ImpulseAltr;
signal next_state : States_ImpulseAltr;
signal count : std_logic_vector(ToNatural(nbitnecessary(Impulsewidth)-1) downto 0);
begin
rp:process(clock,aclr)
begin
if aclr='1' then
current_state <= sclear;
count <= (others=>'0');
elsif clock'event and clock='1' then
if (sclr='1') then
current_state <= sclear;
count <= (others=>'0');
elsif (ena='1') then
current_state <= next_state;
count <= count+int2ustd(1,nbitnecessary(Impulsewidth));
end if;
end if;
end process;
cp:process(count,current_state, sclr,ena)
begin
case current_state is
when sclear =>
q <= '0';
if (ena='1') and (sclr='0') then
next_state <= shigh;
else
next_state <= sclear;
end if;
when shigh =>
q <= '1';
if (sclr='1') then
next_state <= sclear;
elsif (count=int2ustd(Impulsewidth,nbitnecessary(Impulsewidth))) and (ena='1') then
next_state <= slowend ;
else
next_state <= shigh;
end if;
when slowend =>
q <= '0';
if (sclr='1') then
next_state <= sclear;
else
next_state <= slowend ;
end if;
end case;
end process;
end syn;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_sMuxAltr.vhd
|
20
|
3446
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library altera;
use altera.alt_dspbuilder_package.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity alt_dspbuilder_sMuxAltr is
generic ( lpm_pipeline : natural:=0;
lpm_size : positive:=5;
lpm_widths : positive:=3;
lpm_width : positive:=8;
SelOneHot : natural:=0);
PORT ( clock : in std_logic ;
aclr : in std_logic := '0';
user_aclr : in std_logic := '0';
ena : in std_logic := '1';
data : in std_logic_vector (lpm_width*lpm_size-1 downto 0);
sel : in std_logic_vector (lpm_widths-1 downto 0);
result : out std_logic_vector (lpm_width-1 downto 0));
end alt_dspbuilder_sMuxAltr;
architecture synth of alt_dspbuilder_sMuxAltr is
function salive( ipp : integer; w : natural ) return std_logic_vector is
variable sxbus : std_logic_vector(w-1 downto 0);
begin
for i in 0 to w-1 loop
if ipp=i then
sxbus(i) :='1';
else
sxbus(i) :='0';
end if;
end loop;
return sxbus;
end;
signal selint : std_logic_vector(nbitnecessary(lpm_size)-1 downto 0);
signal dataa : std_logic_2d (lpm_size-1 downto 0, lpm_width-1 downto 0);
signal aclr_i : std_logic;
begin
aclr_i <= aclr or user_aclr;
gnoh:if SelOneHot=0 generate
selint <= sel;
end generate gnoh;
g_one_hot:if SelOneHot>0 generate
gi:for i in 0 to lpm_size-1 generate
selint <= int2ustd(i,nbitnecessary(lpm_size)) when sel = salive(i, lpm_size) else (others=>'Z');
end generate gi;
end generate g_one_hot;
g2d:for i in 1 to lpm_size generate
gw:for j in 0 to lpm_width-1 generate
dataa(i-1,j) <= data(j+(i-1)*lpm_width);
end generate gw;
end generate g2d;
gp:if lpm_pipeline>0 generate
U0 : lpm_mux generic map ( lpm_pipeline => lpm_pipeline,
lpm_size => lpm_size,
lpm_widths => nbitnecessary(lpm_size),
lpm_width => lpm_width,
lpm_type => "LPM_MUX")
port map ( sel => selint,
clken => ena,
aclr => aclr_i,
clock => clock,
data => dataa,
result => result);
end generate gp;
gc:if lpm_pipeline=0 generate
U0 : lpm_mux generic map ( lpm_size => lpm_size,
lpm_widths => nbitnecessary(lpm_size),
lpm_width => lpm_width,
lpm_type => "LPM_MUX")
port map ( sel => selint,
data => dataa,
result => result);
end generate gc;
end synth;
|
mit
|
lsangild/DSD
|
Exercise5/8inputNAND/oInputNAND.vhd
|
1
|
433
|
-----Libraries-----
library ieee;
use ieee.std_logic_1164.all;
-----Entities-----
entity OinputNAND is
port( a : in std_logic_vector(7 downto 0);
y : out std_logic
);
end oinputNAND;
-----Architectures-----
architecture oNAND of OinputNAND is
begin
po: process(a)
variable equal : std_logic;
begin
equal:= '1';
for index in 7 downto 0 loop
equal := equal and a(index);
end loop;
y <= NOT equal;
end process;
end oNAND;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_port_GNEPKLLZKY.vhd
|
17
|
489
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNEPKLLZKY is
port(
input : in std_logic_vector(31 downto 0);
output : out std_logic_vector(31 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNEPKLLZKY is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_delay_GNHYCSAEGT.vhd
|
16
|
1037
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_delay_GNHYCSAEGT is
generic ( ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "0";
width : positive := 1);
port(
aclr : in std_logic;
clock : in std_logic;
ena : in std_logic;
input : in std_logic_vector((width)-1 downto 0);
output : out std_logic_vector((width)-1 downto 0);
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_delay_GNHYCSAEGT is
Begin
-- Delay Element
Delay1i : alt_dspbuilder_SDelay generic map (
LPM_WIDTH => 1,
LPM_DELAY => 1,
SequenceLength => 1,
SequenceValue => "1")
port map (
dataa => input,
clock => clock,
ena => ena,
sclr => sclr,
aclr => aclr,
user_aclr => '0',
result => output);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_decoder_GNSCEXJCJK.vhd
|
13
|
947
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GNSCEXJCJK is
generic ( decode : string := "000000000000000000001111";
pipeline : natural := 0;
width : natural := 24);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GNSCEXJCJK is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 24,
decode => "000000000000000000001111",
pipeline => 0)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_decoder_GNSCEXJCJK.vhd
|
13
|
947
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_decoder_GNSCEXJCJK is
generic ( decode : string := "000000000000000000001111";
pipeline : natural := 0;
width : natural := 24);
port(
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector((width)-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_decoder_GNSCEXJCJK is
Begin
-- DSP Builder Block - Simulink Block "Decoder"
Decoderi : alt_dspbuilder_sdecoderaltr Generic map (
width => 24,
decode => "000000000000000000001111",
pipeline => 0)
port map (
aclr => aclr,
user_aclr => '0',
sclr => sclr,
clock => clock,
data => data,
dec => dec);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/reports/Add_Frame/tb_Add_Frame_example.vhd
|
2
|
296
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity tb_Add_Frame_example is
end entity;
architecture rtl of tb_Add_Frame_example is
component tb_Add_Frame
end component;
begin
tb_Add_Frame_instance :
component tb_Add_Frame
port map();
end architecture rtl;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_vecseq.vhd
|
20
|
2951
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_vecseq is
generic (
SequenceLength : positive :=15;
SequenceValue : std_logic_vector := "100001110001001"
);
port (
clock : in std_logic ;
ena : in std_logic :='1';
sclr : in std_logic :='0';
aclr : in std_logic :='0';
yout : out std_logic
);
end alt_dspbuilder_vecseq;
architecture seq_SYNTH of alt_dspbuilder_vecseq is
signal clr_signal : STD_LOGIC;
signal lclr_signal : STD_LOGIC;
signal counter : std_logic_vector(ToNatural(nbitnecessary(SequenceLength)-1) downto 0);
signal yout_int : STD_LOGIC;
signal yout_comb : STD_LOGIC;
begin
u0: alt_dspbuilder_sAltrBitPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion)
port map (d => yout_int, r => yout);
fixed_constant:if SequenceLength=1 generate
yout_int <=SequenceValue(0);
end generate fixed_constant;
resetable_sequence:if SequenceLength>1 generate
process(clock, aclr)
begin
if aclr='1' then
yout_int <= '0';
counter <= (OTHERS => '0');
elsif clock'event and clock='1' then
if sclr='1' then
yout_int <= '0';
counter <= (OTHERS => '0');
elsif ena='1' then
if counter < int2ustd(SequenceLength-1 ,nbitnecessary(SequenceLength)+1) then
counter <= counter + '1';
else
counter <= (OTHERS => '0');
end if;
yout_int <= yout_comb;
end if;
end if;
end process;
gen:for i in 0 to SequenceLength-1 generate
yout_comb <= SequenceValue(i) when (counter=int2ustd(i,nbitnecessary(SequenceLength)+1)) else 'Z';
end generate;
end generate resetable_sequence;
end seq_SYNTH;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_constant_GNWFCSDEFM.vhd
|
4
|
576
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNWFCSDEFM is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000000000001";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNWFCSDEFM is
Begin
-- Constant
output <= "0000000000000001";
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/hdl/alt_dspbuilder_constant_GNWFCSDEFM.vhd
|
4
|
576
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_constant_GNWFCSDEFM is
generic ( HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000000000000001";
width : natural := 16);
port(
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_constant_GNWFCSDEFM is
Begin
-- Constant
output <= "0000000000000001";
end architecture;
|
mit
|
lsangild/DSD
|
Exercise5/GuessGame/testerGuessGame.vhd
|
1
|
645
|
-----Libraries-----
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-----Entity-----
entity testerGuessGame is
port( SW : in std_logic_vector(7 downto 0);
HEX0, HEX1, HEX2, HEX3 : out std_logic_vector(6 downto 0);
KEY : in std_logic_vector(2 downto 0)
);
end testerGuessGame;
-----Architecture-----
architecture TEST of testerGuessGame is
constant turnOff : std_logic_vector(6 downto 0) := "1111111";
begin
HEX2 <= turnOff;
HEX3 <= turnOff;
B2S : entity work.GuessGame port map ( inputs => SW, set => KEY(0),
show => KEY(1), try => KEY(2),
hex1 => HEX0, hex10 => HEX1);
end TEST;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_testbench_salt_GNDBMPYDND.vhd
|
20
|
1717
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_salt_GNDBMPYDND is
generic ( XFILE : string := "default");
port(
clock : in std_logic;
aclr : in std_logic;
output : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_testbench_salt_GNDBMPYDND is
function to_std_logic (B: character) return std_logic is
begin
case B is
when '0' => return '0';
when '1' => return '1';
when OTHERS => return 'X';
end case;
end;
function to_std_logic_vector (B: string) return
std_logic_vector is
variable res: std_logic_vector (B'range);
begin
for i in B'range loop
case B(i) is
when '0' => res(i) := '0';
when '1' => res(i) := '1';
when OTHERS => res(i) := 'X';
end case;
end loop;
return res;
end;
procedure skip_type_header(file f:text) is
use STD.textio.all;
variable in_line : line;
begin
readline(f, in_line);
end procedure skip_type_header ;
file InputFile : text open read_mode is XFILE;
Begin
-- salt generator
skip_type_header(InputFile);
-- Reading Simulink Input
Input_pInput:process(clock, aclr)
variable s : string(1 to 1) ;
variable ptr : line ;
begin
if (aclr = '1') then
output <= '0';
elsif (not endfile(InputFile)) then
if clock'event and clock='0' then
readline(Inputfile, ptr);
read(ptr, s);
output <= to_std_logic(s(1));
end if ;
end if ;
end process ;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_testbench_salt_GNDBMPYDND.vhd
|
20
|
1717
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_salt_GNDBMPYDND is
generic ( XFILE : string := "default");
port(
clock : in std_logic;
aclr : in std_logic;
output : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_testbench_salt_GNDBMPYDND is
function to_std_logic (B: character) return std_logic is
begin
case B is
when '0' => return '0';
when '1' => return '1';
when OTHERS => return 'X';
end case;
end;
function to_std_logic_vector (B: string) return
std_logic_vector is
variable res: std_logic_vector (B'range);
begin
for i in B'range loop
case B(i) is
when '0' => res(i) := '0';
when '1' => res(i) := '1';
when OTHERS => res(i) := 'X';
end case;
end loop;
return res;
end;
procedure skip_type_header(file f:text) is
use STD.textio.all;
variable in_line : line;
begin
readline(f, in_line);
end procedure skip_type_header ;
file InputFile : text open read_mode is XFILE;
Begin
-- salt generator
skip_type_header(InputFile);
-- Reading Simulink Input
Input_pInput:process(clock, aclr)
variable s : string(1 to 1) ;
variable ptr : line ;
begin
if (aclr = '1') then
output <= '0';
elsif (not endfile(InputFile)) then
if clock'event and clock='0' then
readline(Inputfile, ptr);
read(ptr, s);
output <= to_std_logic(s(1));
end if ;
end if ;
end process ;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_sImpulseAltr.vhd
|
8
|
2714
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library ieee ;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_sImpulseAltr is
generic (
Impulsedelay : positive ;
Impulsewidth : positive
);
port (
clock : in std_logic;
ena : in std_logic :='1';
sclr : in std_logic :='0';
aclr : in std_logic :='0';
user_aclr : in std_logic :='0';
q : out std_logic
);
end alt_dspbuilder_sImpulseAltr ;
architecture syn of alt_dspbuilder_sImpulseAltr is
signal aclr_i : std_logic;
begin
aclr_i <= aclr or user_aclr;
g1:if Impulsewidth=1 generate
gr:if Impulsedelay=1 generate
u0: alt_dspbuilder_sImpulse11Altr port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q );
end generate gr;
grr:if Impulsedelay>1 generate
u0: alt_dspbuilder_sImpulsen1Altr generic map (Impulsedelay=>Impulsedelay)
port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q );
end generate grr;
end generate g1;
gn:if Impulsewidth>1 generate
gr:if Impulsedelay=1 generate
u0: alt_dspbuilder_sImpulse1nAltr generic map (Impulsewidth=>Impulsewidth)
port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q );
end generate gr;
grr:if Impulsedelay>1 generate
u0: alt_dspbuilder_sImpulsennAltr generic map (Impulsedelay=>Impulsedelay, Impulsewidth=>Impulsewidth)
port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q );
end generate grr;
end generate gn;
end syn;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_cast_GNNZHXLS76.vhd
|
8
|
879
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNNZHXLS76 is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(31 downto 0);
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNNZHXLS76 is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 32 + 1 ,
width_inr=> 0,
width_outl=> 16,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(31 downto 0) => input,
xin(32) => '0', yout => output
);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/db/alt_dspbuilder_cast_GNNZHXLS76.vhd
|
8
|
879
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNNZHXLS76 is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(31 downto 0);
output : out std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNNZHXLS76 is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 32 + 1 ,
width_inr=> 0,
width_outl=> 16,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(31 downto 0) => input,
xin(32) => '0', yout => output
);
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/hdl/alt_dspbuilder_if_statement_GNUCFELPE2.vhd
|
4
|
1405
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNUCFELPE2 is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b)";
number_inputs : integer := 2;
width : natural := 16);
port(
true : out std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNUCFELPE2 is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(15 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(15 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b)) ;
true <= result;
end architecture;
|
mit
|
Given-Jiang/Add_Frame
|
tb_Add_Frame/altera_lnsim/generic_m10k/_primary.vhd
|
5
|
9371
|
library verilog;
use verilog.vl_types.all;
entity generic_m10k is
generic(
operation_mode : string := "single_port";
mixed_port_feed_through_mode: string := "dont_care";
ram_block_type : string := "auto";
logical_ram_name: string := "ram_name";
init_file : string := "init_file.hex";
init_file_layout: string := "none";
ecc_pipeline_stage_enabled: string := "false";
enable_ecc : string := "false";
width_eccstatus : integer := 2;
data_interleave_width_in_bits: integer := 1;
data_interleave_offset_in_bits: integer := 1;
port_a_logical_ram_depth: integer := 0;
port_a_logical_ram_width: integer := 0;
port_a_first_address: integer := 0;
port_a_last_address: integer := 0;
port_a_first_bit_number: integer := 0;
port_a_data_out_clear: string := "none";
port_a_data_out_clock: string := "none";
port_a_data_width: integer := 1;
port_a_address_width: integer := 1;
port_a_byte_enable_mask_width: integer := 1;
port_b_logical_ram_depth: integer := 0;
port_b_logical_ram_width: integer := 0;
port_b_first_address: integer := 0;
port_b_last_address: integer := 0;
port_b_first_bit_number: integer := 0;
port_b_address_clear: string := "none";
port_b_data_out_clear: string := "none";
port_b_data_in_clock: string := "clock1";
port_b_address_clock: string := "clock1";
port_b_write_enable_clock: string := "clock1";
port_b_read_enable_clock: string := "clock1";
port_b_byte_enable_clock: string := "clock1";
port_b_data_out_clock: string := "none";
port_b_data_width: integer := 1;
port_b_address_width: integer := 1;
port_b_byte_enable_mask_width: integer := 1;
port_a_read_during_write_mode: string := "new_data_no_nbe_read";
port_b_read_during_write_mode: string := "new_data_no_nbe_read";
power_up_uninitialized: string := "false";
lpm_type : string := "arriav_ram_block";
lpm_hint : string := "true";
connectivity_checking: string := "off";
mem_init0 : string := "";
mem_init1 : string := "";
mem_init2 : string := "";
mem_init3 : string := "";
mem_init4 : string := "";
port_a_byte_size: integer := 0;
port_b_byte_size: integer := 0;
clk0_input_clock_enable: string := "none";
clk0_core_clock_enable: string := "none";
clk0_output_clock_enable: string := "none";
clk1_input_clock_enable: string := "none";
clk1_core_clock_enable: string := "none";
clk1_output_clock_enable: string := "none";
bist_ena : string := "false";
port_a_address_clear: string := "none";
port_a_data_in_clock: string := "clock0";
port_a_address_clock: string := "clock0";
port_a_write_enable_clock: string := "clock0";
port_a_byte_enable_clock: string := "clock0";
port_a_read_enable_clock: string := "clock0"
);
port(
portadatain : in vl_logic_vector;
portaaddr : in vl_logic_vector;
portawe : in vl_logic;
portare : in vl_logic;
portbdatain : in vl_logic_vector;
portbaddr : in vl_logic_vector;
portbwe : in vl_logic;
portbre : in vl_logic;
clk0 : in vl_logic;
clk1 : in vl_logic;
ena0 : in vl_logic;
ena1 : in vl_logic;
ena2 : in vl_logic;
ena3 : in vl_logic;
clr0 : in vl_logic;
clr1 : in vl_logic;
nerror : in vl_logic;
portabyteenamasks: in vl_logic_vector;
portbbyteenamasks: in vl_logic_vector;
portaaddrstall : in vl_logic;
portbaddrstall : in vl_logic;
devclrn : in vl_logic;
devpor : in vl_logic;
eccstatus : out vl_logic_vector;
portadataout : out vl_logic_vector;
portbdataout : out vl_logic_vector;
dftout : out vl_logic_vector(8 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of operation_mode : constant is 1;
attribute mti_svvh_generic_type of mixed_port_feed_through_mode : constant is 1;
attribute mti_svvh_generic_type of ram_block_type : constant is 1;
attribute mti_svvh_generic_type of logical_ram_name : constant is 1;
attribute mti_svvh_generic_type of init_file : constant is 1;
attribute mti_svvh_generic_type of init_file_layout : constant is 1;
attribute mti_svvh_generic_type of ecc_pipeline_stage_enabled : constant is 1;
attribute mti_svvh_generic_type of enable_ecc : constant is 1;
attribute mti_svvh_generic_type of width_eccstatus : constant is 1;
attribute mti_svvh_generic_type of data_interleave_width_in_bits : constant is 1;
attribute mti_svvh_generic_type of data_interleave_offset_in_bits : constant is 1;
attribute mti_svvh_generic_type of port_a_logical_ram_depth : constant is 1;
attribute mti_svvh_generic_type of port_a_logical_ram_width : constant is 1;
attribute mti_svvh_generic_type of port_a_first_address : constant is 1;
attribute mti_svvh_generic_type of port_a_last_address : constant is 1;
attribute mti_svvh_generic_type of port_a_first_bit_number : constant is 1;
attribute mti_svvh_generic_type of port_a_data_out_clear : constant is 1;
attribute mti_svvh_generic_type of port_a_data_out_clock : constant is 1;
attribute mti_svvh_generic_type of port_a_data_width : constant is 1;
attribute mti_svvh_generic_type of port_a_address_width : constant is 1;
attribute mti_svvh_generic_type of port_a_byte_enable_mask_width : constant is 1;
attribute mti_svvh_generic_type of port_b_logical_ram_depth : constant is 1;
attribute mti_svvh_generic_type of port_b_logical_ram_width : constant is 1;
attribute mti_svvh_generic_type of port_b_first_address : constant is 1;
attribute mti_svvh_generic_type of port_b_last_address : constant is 1;
attribute mti_svvh_generic_type of port_b_first_bit_number : constant is 1;
attribute mti_svvh_generic_type of port_b_address_clear : constant is 1;
attribute mti_svvh_generic_type of port_b_data_out_clear : constant is 1;
attribute mti_svvh_generic_type of port_b_data_in_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_address_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_write_enable_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_read_enable_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_byte_enable_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_data_out_clock : constant is 1;
attribute mti_svvh_generic_type of port_b_data_width : constant is 1;
attribute mti_svvh_generic_type of port_b_address_width : constant is 1;
attribute mti_svvh_generic_type of port_b_byte_enable_mask_width : constant is 1;
attribute mti_svvh_generic_type of port_a_read_during_write_mode : constant is 1;
attribute mti_svvh_generic_type of port_b_read_during_write_mode : constant is 1;
attribute mti_svvh_generic_type of power_up_uninitialized : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of connectivity_checking : constant is 1;
attribute mti_svvh_generic_type of mem_init0 : constant is 1;
attribute mti_svvh_generic_type of mem_init1 : constant is 1;
attribute mti_svvh_generic_type of mem_init2 : constant is 1;
attribute mti_svvh_generic_type of mem_init3 : constant is 1;
attribute mti_svvh_generic_type of mem_init4 : constant is 1;
attribute mti_svvh_generic_type of port_a_byte_size : constant is 1;
attribute mti_svvh_generic_type of port_b_byte_size : constant is 1;
attribute mti_svvh_generic_type of clk0_input_clock_enable : constant is 1;
attribute mti_svvh_generic_type of clk0_core_clock_enable : constant is 1;
attribute mti_svvh_generic_type of clk0_output_clock_enable : constant is 1;
attribute mti_svvh_generic_type of clk1_input_clock_enable : constant is 1;
attribute mti_svvh_generic_type of clk1_core_clock_enable : constant is 1;
attribute mti_svvh_generic_type of clk1_output_clock_enable : constant is 1;
attribute mti_svvh_generic_type of bist_ena : constant is 1;
attribute mti_svvh_generic_type of port_a_address_clear : constant is 1;
attribute mti_svvh_generic_type of port_a_data_in_clock : constant is 1;
attribute mti_svvh_generic_type of port_a_address_clock : constant is 1;
attribute mti_svvh_generic_type of port_a_write_enable_clock : constant is 1;
attribute mti_svvh_generic_type of port_a_byte_enable_clock : constant is 1;
attribute mti_svvh_generic_type of port_a_read_enable_clock : constant is 1;
end generic_m10k;
|
mit
|
Given-Jiang/Add_Frame
|
Add_Frame_dspbuilder/db/alt_dspbuilder_sAltrBitPropagate.vhd
|
20
|
1572
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_sAltrBitPropagate is
generic (
QTB : string :="on";
QTB_PRODUCT : string :="DSP Builder";
QTB_VERSION : string :="6.0"
);
port (
d : in std_logic;
r : out std_logic
);
end alt_dspbuilder_sAltrBitPropagate ;
architecture sAltrBitPropagate_Synth of alt_dspbuilder_sAltrBitPropagate is
begin
r<=d;
end sAltrBitPropagate_Synth;
|
mit
|
UCR-CS179-SUMMER2014/NES_FPGA
|
source/NES_FPGA/nios_system/synthesis/submodules/Altera_UP_SD_Card_Clock.vhd
|
2
|
2224
|
-------------------------------------------------------------------------------------
-- This module is a clock generator for the SD card interface. It takes a 50 MHz
-- clock as input and produces a clock signal that depends on the mode in which the
-- SD card interface is in. For a card identification mode a clock with a frequency of
-- 390.625 kHz is generated. For the data transfer mode, a clock with a frequency of
-- 12.5MHz is generated.
--
-- In addition, the generator produces a clock_mode value that identifies the frequency
-- of the o_SD_clock that is currently being generated.
--
-- NOTES/REVISIONS:
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Altera_UP_SD_Card_Clock is
port
(
i_clock : in std_logic;
i_reset_n : in std_logic;
i_enable : in std_logic;
i_mode : in std_logic; -- 0 for card identification mode, 1 for data transfer mode.
o_SD_clock : out std_logic;
o_clock_mode : out std_logic;
o_trigger_receive : out std_logic;
o_trigger_send : out std_logic
);
end entity;
architecture rtl of Altera_UP_SD_Card_Clock is
-- Local wires
-- REGISTERED
signal counter : std_logic_vector(6 downto 0);
signal local_mode : std_logic;
-- UNREGISTERED
begin
process(i_clock, i_reset_n)
begin
if (i_reset_n = '0') then
counter <= (OTHERS => '0');
local_mode <= '0';
else
if (rising_edge(i_clock)) then
if (i_enable = '1') then
counter <= counter + '1';
end if;
-- Change the clock pulse only when at the positive edge of the clock
if (counter = "1000000") then
local_mode <= i_mode;
end if;
end if;
end if;
end process;
o_clock_mode <= local_mode;
o_SD_clock <= counter(6) when (local_mode = '0') else counter(1);
o_trigger_receive <= '1' when ((local_mode = '0') and (counter = "0111111")) else
((not counter(1)) and (counter(0))) when (local_mode = '1') else '0';
o_trigger_send <= '1' when ((local_mode = '0') and (counter = "0011111")) else
((counter(1)) and (counter(0))) when (local_mode = '1') else '0';
end rtl;
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/data_compression/4-way_2-tree/src/ip_pcie/PCIeGen2x8If128_stub.vhdl
|
1
|
7253
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Sun Aug 28 01:02:31 2016
-- Host : fpgaserv running 64-bit Ubuntu 14.04.4 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /home/kobayashi/PCIe_test/branches/IEICE/data_compression/4-way_2-tree/src/ip_pcie/PCIeGen2x8If128_stub.vhdl
-- Design : PCIeGen2x8If128
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7vx485tffg1761-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity PCIeGen2x8If128 is
Port (
pci_exp_txp : out STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_txn : out STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_rxp : in STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_rxn : in STD_LOGIC_VECTOR ( 7 downto 0 );
user_clk_out : out STD_LOGIC;
user_reset_out : out STD_LOGIC;
user_lnk_up : out STD_LOGIC;
user_app_rdy : out STD_LOGIC;
tx_buf_av : out STD_LOGIC_VECTOR ( 5 downto 0 );
tx_cfg_req : out STD_LOGIC;
tx_err_drop : out STD_LOGIC;
s_axis_tx_tready : out STD_LOGIC;
s_axis_tx_tdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
s_axis_tx_tkeep : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_tx_tlast : in STD_LOGIC;
s_axis_tx_tvalid : in STD_LOGIC;
s_axis_tx_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
tx_cfg_gnt : in STD_LOGIC;
m_axis_rx_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
m_axis_rx_tkeep : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_rx_tlast : out STD_LOGIC;
m_axis_rx_tvalid : out STD_LOGIC;
m_axis_rx_tready : in STD_LOGIC;
m_axis_rx_tuser : out STD_LOGIC_VECTOR ( 21 downto 0 );
rx_np_ok : in STD_LOGIC;
rx_np_req : in STD_LOGIC;
fc_cpld : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_cplh : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_npd : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_nph : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_pd : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_ph : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_sel : in STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_status : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_command : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dstatus : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dcommand : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_lstatus : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_lcommand : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dcommand2 : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_pcie_link_state : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_pmcsr_pme_en : out STD_LOGIC;
cfg_pmcsr_powerstate : out STD_LOGIC_VECTOR ( 1 downto 0 );
cfg_pmcsr_pme_status : out STD_LOGIC;
cfg_received_func_lvl_rst : out STD_LOGIC;
cfg_trn_pending : in STD_LOGIC;
cfg_pm_halt_aspm_l0s : in STD_LOGIC;
cfg_pm_halt_aspm_l1 : in STD_LOGIC;
cfg_pm_force_state_en : in STD_LOGIC;
cfg_pm_force_state : in STD_LOGIC_VECTOR ( 1 downto 0 );
cfg_dsn : in STD_LOGIC_VECTOR ( 63 downto 0 );
cfg_interrupt : in STD_LOGIC;
cfg_interrupt_rdy : out STD_LOGIC;
cfg_interrupt_assert : in STD_LOGIC;
cfg_interrupt_di : in STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_interrupt_do : out STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_interrupt_mmenable : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_interrupt_msienable : out STD_LOGIC;
cfg_interrupt_msixenable : out STD_LOGIC;
cfg_interrupt_msixfm : out STD_LOGIC;
cfg_interrupt_stat : in STD_LOGIC;
cfg_pciecap_interrupt_msgnum : in STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_to_turnoff : out STD_LOGIC;
cfg_turnoff_ok : in STD_LOGIC;
cfg_bus_number : out STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_device_number : out STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_function_number : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_pm_wake : in STD_LOGIC;
cfg_pm_send_pme_to : in STD_LOGIC;
cfg_ds_bus_number : in STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_ds_device_number : in STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_ds_function_number : in STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_bridge_serr_en : out STD_LOGIC;
cfg_slot_control_electromech_il_ctl_pulse : out STD_LOGIC;
cfg_root_control_syserr_corr_err_en : out STD_LOGIC;
cfg_root_control_syserr_non_fatal_err_en : out STD_LOGIC;
cfg_root_control_syserr_fatal_err_en : out STD_LOGIC;
cfg_root_control_pme_int_en : out STD_LOGIC;
cfg_aer_rooterr_corr_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_fatal_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_corr_err_received : out STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_received : out STD_LOGIC;
cfg_aer_rooterr_fatal_err_received : out STD_LOGIC;
cfg_vc_tcvc_map : out STD_LOGIC_VECTOR ( 6 downto 0 );
sys_clk : in STD_LOGIC;
sys_rst_n : in STD_LOGIC
);
end PCIeGen2x8If128;
architecture stub of PCIeGen2x8If128 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "pci_exp_txp[7:0],pci_exp_txn[7:0],pci_exp_rxp[7:0],pci_exp_rxn[7:0],user_clk_out,user_reset_out,user_lnk_up,user_app_rdy,tx_buf_av[5:0],tx_cfg_req,tx_err_drop,s_axis_tx_tready,s_axis_tx_tdata[127:0],s_axis_tx_tkeep[15:0],s_axis_tx_tlast,s_axis_tx_tvalid,s_axis_tx_tuser[3:0],tx_cfg_gnt,m_axis_rx_tdata[127:0],m_axis_rx_tkeep[15:0],m_axis_rx_tlast,m_axis_rx_tvalid,m_axis_rx_tready,m_axis_rx_tuser[21:0],rx_np_ok,rx_np_req,fc_cpld[11:0],fc_cplh[7:0],fc_npd[11:0],fc_nph[7:0],fc_pd[11:0],fc_ph[7:0],fc_sel[2:0],cfg_status[15:0],cfg_command[15:0],cfg_dstatus[15:0],cfg_dcommand[15:0],cfg_lstatus[15:0],cfg_lcommand[15:0],cfg_dcommand2[15:0],cfg_pcie_link_state[2:0],cfg_pmcsr_pme_en,cfg_pmcsr_powerstate[1:0],cfg_pmcsr_pme_status,cfg_received_func_lvl_rst,cfg_trn_pending,cfg_pm_halt_aspm_l0s,cfg_pm_halt_aspm_l1,cfg_pm_force_state_en,cfg_pm_force_state[1:0],cfg_dsn[63:0],cfg_interrupt,cfg_interrupt_rdy,cfg_interrupt_assert,cfg_interrupt_di[7:0],cfg_interrupt_do[7:0],cfg_interrupt_mmenable[2:0],cfg_interrupt_msienable,cfg_interrupt_msixenable,cfg_interrupt_msixfm,cfg_interrupt_stat,cfg_pciecap_interrupt_msgnum[4:0],cfg_to_turnoff,cfg_turnoff_ok,cfg_bus_number[7:0],cfg_device_number[4:0],cfg_function_number[2:0],cfg_pm_wake,cfg_pm_send_pme_to,cfg_ds_bus_number[7:0],cfg_ds_device_number[4:0],cfg_ds_function_number[2:0],cfg_bridge_serr_en,cfg_slot_control_electromech_il_ctl_pulse,cfg_root_control_syserr_corr_err_en,cfg_root_control_syserr_non_fatal_err_en,cfg_root_control_syserr_fatal_err_en,cfg_root_control_pme_int_en,cfg_aer_rooterr_corr_err_reporting_en,cfg_aer_rooterr_non_fatal_err_reporting_en,cfg_aer_rooterr_fatal_err_reporting_en,cfg_aer_rooterr_corr_err_received,cfg_aer_rooterr_non_fatal_err_received,cfg_aer_rooterr_fatal_err_received,cfg_vc_tcvc_map[6:0],sys_clk,sys_rst_n";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "PCIeGen2x8If128_pcie2_top,Vivado 2015.4";
begin
end;
|
mit
|
monotone-RK/FACE
|
IEICE-Trans/4-way/src/ip_pcie/PCIeGen2x8If128_stub.vhdl
|
1
|
7229
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Thu Aug 25 17:35:26 2016
-- Host : fpgaserv running 64-bit Ubuntu 14.04.4 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /home/kobayashi/PCIe_test/branches/IEICE/4-way/src/ip_pcie/PCIeGen2x8If128_stub.vhdl
-- Design : PCIeGen2x8If128
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7vx485tffg1761-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity PCIeGen2x8If128 is
Port (
pci_exp_txp : out STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_txn : out STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_rxp : in STD_LOGIC_VECTOR ( 7 downto 0 );
pci_exp_rxn : in STD_LOGIC_VECTOR ( 7 downto 0 );
user_clk_out : out STD_LOGIC;
user_reset_out : out STD_LOGIC;
user_lnk_up : out STD_LOGIC;
user_app_rdy : out STD_LOGIC;
tx_buf_av : out STD_LOGIC_VECTOR ( 5 downto 0 );
tx_cfg_req : out STD_LOGIC;
tx_err_drop : out STD_LOGIC;
s_axis_tx_tready : out STD_LOGIC;
s_axis_tx_tdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
s_axis_tx_tkeep : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_tx_tlast : in STD_LOGIC;
s_axis_tx_tvalid : in STD_LOGIC;
s_axis_tx_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
tx_cfg_gnt : in STD_LOGIC;
m_axis_rx_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
m_axis_rx_tkeep : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_rx_tlast : out STD_LOGIC;
m_axis_rx_tvalid : out STD_LOGIC;
m_axis_rx_tready : in STD_LOGIC;
m_axis_rx_tuser : out STD_LOGIC_VECTOR ( 21 downto 0 );
rx_np_ok : in STD_LOGIC;
rx_np_req : in STD_LOGIC;
fc_cpld : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_cplh : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_npd : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_nph : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_pd : out STD_LOGIC_VECTOR ( 11 downto 0 );
fc_ph : out STD_LOGIC_VECTOR ( 7 downto 0 );
fc_sel : in STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_status : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_command : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dstatus : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dcommand : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_lstatus : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_lcommand : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_dcommand2 : out STD_LOGIC_VECTOR ( 15 downto 0 );
cfg_pcie_link_state : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_pmcsr_pme_en : out STD_LOGIC;
cfg_pmcsr_powerstate : out STD_LOGIC_VECTOR ( 1 downto 0 );
cfg_pmcsr_pme_status : out STD_LOGIC;
cfg_received_func_lvl_rst : out STD_LOGIC;
cfg_trn_pending : in STD_LOGIC;
cfg_pm_halt_aspm_l0s : in STD_LOGIC;
cfg_pm_halt_aspm_l1 : in STD_LOGIC;
cfg_pm_force_state_en : in STD_LOGIC;
cfg_pm_force_state : in STD_LOGIC_VECTOR ( 1 downto 0 );
cfg_dsn : in STD_LOGIC_VECTOR ( 63 downto 0 );
cfg_interrupt : in STD_LOGIC;
cfg_interrupt_rdy : out STD_LOGIC;
cfg_interrupt_assert : in STD_LOGIC;
cfg_interrupt_di : in STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_interrupt_do : out STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_interrupt_mmenable : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_interrupt_msienable : out STD_LOGIC;
cfg_interrupt_msixenable : out STD_LOGIC;
cfg_interrupt_msixfm : out STD_LOGIC;
cfg_interrupt_stat : in STD_LOGIC;
cfg_pciecap_interrupt_msgnum : in STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_to_turnoff : out STD_LOGIC;
cfg_turnoff_ok : in STD_LOGIC;
cfg_bus_number : out STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_device_number : out STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_function_number : out STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_pm_wake : in STD_LOGIC;
cfg_pm_send_pme_to : in STD_LOGIC;
cfg_ds_bus_number : in STD_LOGIC_VECTOR ( 7 downto 0 );
cfg_ds_device_number : in STD_LOGIC_VECTOR ( 4 downto 0 );
cfg_ds_function_number : in STD_LOGIC_VECTOR ( 2 downto 0 );
cfg_bridge_serr_en : out STD_LOGIC;
cfg_slot_control_electromech_il_ctl_pulse : out STD_LOGIC;
cfg_root_control_syserr_corr_err_en : out STD_LOGIC;
cfg_root_control_syserr_non_fatal_err_en : out STD_LOGIC;
cfg_root_control_syserr_fatal_err_en : out STD_LOGIC;
cfg_root_control_pme_int_en : out STD_LOGIC;
cfg_aer_rooterr_corr_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_fatal_err_reporting_en : out STD_LOGIC;
cfg_aer_rooterr_corr_err_received : out STD_LOGIC;
cfg_aer_rooterr_non_fatal_err_received : out STD_LOGIC;
cfg_aer_rooterr_fatal_err_received : out STD_LOGIC;
cfg_vc_tcvc_map : out STD_LOGIC_VECTOR ( 6 downto 0 );
sys_clk : in STD_LOGIC;
sys_rst_n : in STD_LOGIC
);
end PCIeGen2x8If128;
architecture stub of PCIeGen2x8If128 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "pci_exp_txp[7:0],pci_exp_txn[7:0],pci_exp_rxp[7:0],pci_exp_rxn[7:0],user_clk_out,user_reset_out,user_lnk_up,user_app_rdy,tx_buf_av[5:0],tx_cfg_req,tx_err_drop,s_axis_tx_tready,s_axis_tx_tdata[127:0],s_axis_tx_tkeep[15:0],s_axis_tx_tlast,s_axis_tx_tvalid,s_axis_tx_tuser[3:0],tx_cfg_gnt,m_axis_rx_tdata[127:0],m_axis_rx_tkeep[15:0],m_axis_rx_tlast,m_axis_rx_tvalid,m_axis_rx_tready,m_axis_rx_tuser[21:0],rx_np_ok,rx_np_req,fc_cpld[11:0],fc_cplh[7:0],fc_npd[11:0],fc_nph[7:0],fc_pd[11:0],fc_ph[7:0],fc_sel[2:0],cfg_status[15:0],cfg_command[15:0],cfg_dstatus[15:0],cfg_dcommand[15:0],cfg_lstatus[15:0],cfg_lcommand[15:0],cfg_dcommand2[15:0],cfg_pcie_link_state[2:0],cfg_pmcsr_pme_en,cfg_pmcsr_powerstate[1:0],cfg_pmcsr_pme_status,cfg_received_func_lvl_rst,cfg_trn_pending,cfg_pm_halt_aspm_l0s,cfg_pm_halt_aspm_l1,cfg_pm_force_state_en,cfg_pm_force_state[1:0],cfg_dsn[63:0],cfg_interrupt,cfg_interrupt_rdy,cfg_interrupt_assert,cfg_interrupt_di[7:0],cfg_interrupt_do[7:0],cfg_interrupt_mmenable[2:0],cfg_interrupt_msienable,cfg_interrupt_msixenable,cfg_interrupt_msixfm,cfg_interrupt_stat,cfg_pciecap_interrupt_msgnum[4:0],cfg_to_turnoff,cfg_turnoff_ok,cfg_bus_number[7:0],cfg_device_number[4:0],cfg_function_number[2:0],cfg_pm_wake,cfg_pm_send_pme_to,cfg_ds_bus_number[7:0],cfg_ds_device_number[4:0],cfg_ds_function_number[2:0],cfg_bridge_serr_en,cfg_slot_control_electromech_il_ctl_pulse,cfg_root_control_syserr_corr_err_en,cfg_root_control_syserr_non_fatal_err_en,cfg_root_control_syserr_fatal_err_en,cfg_root_control_pme_int_en,cfg_aer_rooterr_corr_err_reporting_en,cfg_aer_rooterr_non_fatal_err_reporting_en,cfg_aer_rooterr_fatal_err_reporting_en,cfg_aer_rooterr_corr_err_received,cfg_aer_rooterr_non_fatal_err_received,cfg_aer_rooterr_fatal_err_received,cfg_vc_tcvc_map[6:0],sys_clk,sys_rst_n";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "PCIeGen2x8If128_pcie2_top,Vivado 2015.4";
begin
end;
|
mit
|
Xion345/fpga-projects
|
library/uart/uart_tx.vhd
|
1
|
3776
|
-- UART Transmitter
-- 20/07/2015
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity uart_tx is
port(
clk, reset: in std_logic; -- Clock and reset
baud16_tick: in std_logic; -- 16x oversampled baud tick
data_in: in std_logic_vector(7 downto 0); -- Data byte to send
tx_start: in std_logic; -- Start transmission tick
tx: out std_logic; -- UART TX (Send) pin
tx_done_tick: out std_logic
);
end uart_tx;
architecture uart_tx_arch of uart_tx is
type state_type is (idle, start, data, stop);
signal state_reg, state_next: state_type; -- State register
signal data_reg, data_next: std_logic_vector(7 downto 0); -- Data (shift) register
signal sent_reg, sent_next: unsigned(2 downto 0); -- Count sent bits
signal ticks_reg, ticks_next: unsigned(3 downto 0); -- Ticks count (oversampling)
signal tx_reg, tx_next: std_logic; -- TX pin register
begin
-- State and data registers
process(clk, reset)
begin
if reset = '1' then
state_reg <= idle;
ticks_reg <= (others => '0');
sent_reg <= (others => '0');
data_reg <= (others => '0');
tx_reg <= '1'; -- Keep TX high for idle state (it is held low to start transmission)
elsif rising_edge(clk) then
state_reg <= state_next;
data_reg <= data_next;
sent_reg <= sent_next;
ticks_reg <= ticks_next;
tx_reg <= tx_next;
end if;
end process;
-- Next state logic and data path
process(state_reg, data_reg, sent_reg, ticks_reg, tx_reg, baud16_tick, tx_start, data_in)
begin
state_next <= state_reg;
data_next <= data_reg;
sent_next <= sent_reg;
ticks_next <= ticks_reg;
tx_next <= tx_reg;
tx_done_tick <= '0';
case state_reg is
--
when idle =>
if tx_start = '1' then
state_next <= start;
ticks_next <= (others => '0');
data_next <= data_in;
end if;
--
when start =>
if baud16_tick = '1' then
if ticks_reg = 15 then -- Move to data state
state_next <= data;
ticks_next <= (others => '0');
sent_next <= (others => '0');
else
tx_next <= '0';
ticks_next <= ticks_reg + 1;
end if;
end if;
--
when data =>
if baud16_tick = '1' then
if ticks_reg = 15 then -- Move to next bit
ticks_next <= (others => '0');
data_next <= '0' & data_reg(7 downto 1);
if sent_reg = 7 then -- Last byte ?
state_next <= stop;
else
sent_next <= sent_reg + 1;
end if;
else
tx_next <= data_reg(0);
ticks_next <= ticks_reg + 1;
end if;
end if;
--
when stop =>
if baud16_tick = '1' then
if ticks_reg = 15 then
state_next <= idle;
tx_done_tick <= '1';
else
tx_next <= '1'; -- I FOUND YOU BASTARD BUG !
ticks_next <= ticks_reg + 1;
end if;
end if;
end case;
end process;
-- Output logic
tx <= tx_reg;
end uart_tx_arch;
|
mit
|
shio-phys/SPI-FLASH-Programmer
|
fpga/SPI_CommandSender.vhd
|
1
|
5139
|
--------------------------------------------------------------------------------
--! @file SPI_CommandSender.vhd
--! @brief Send command to SPI FLASH and receive data from SPI FLASH
--! @author Takehiro Shiozaki
--! @date 2014-06-24
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity SPI_CommandSender is
port(
CLK : in std_logic;
RESET : in std_logic;
START : in std_logic;
BUSY : out std_logic;
LENGTH : in std_logic_vector(12 downto 0);
WE : out std_logic;
DOUT : out std_logic_vector(7 downto 0);
WADDR : out std_logic_vector(12 downto 0);
DIN : in std_logic_vector(7 downto 0);
RADDR : out std_logic_vector(8 downto 0);
SPI_SCLK : out std_logic;
SPI_SS_N : out std_logic;
SPI_MOSI : out std_logic;
SPI_MISO : in std_logic
);
end SPI_CommandSender;
architecture RTL of SPI_CommandSender is
component SPI_IF is
port(
CLK : in std_logic;
RESET : in std_logic;
DIN : in std_logic_vector(7 downto 0);
DOUT : out std_logic_vector(7 downto 0);
START : in std_logic;
BUSY : out std_logic;
SPI_SCLK : out std_logic;
SPI_MISO : in std_logic;
SPI_MOSI : out std_logic
);
end component;
signal int_WADDR : std_logic_vector(12 downto 0);
signal WaddrCountUp : std_logic;
signal WaddrCountClear : std_logic;
signal int_RADDR : std_logic_vector(8 downto 0);
signal RaddrCountUp : std_logic;
signal RaddrCountClear : std_logic;
signal LengthReg : std_logic_vector(12 downto 0);
signal LengthRegCountDown : std_logic;
signal StartIf : std_logic;
signal BusyIf : std_logic;
signal SpiSSNPre : std_logic;
type State is (IDLE, START_IF, WAIT_BUSY, WRITE_DATA);
signal CurrentState, NextState : State;
begin
SPI_IF_0: SPI_IF
port map(
CLK => CLK,
RESET => RESET,
DIN => DIN,
DOUT => DOUT,
START => StartIf,
BUSY => BusyIf,
SPI_SCLK => SPI_SCLK,
SPI_MISO => SPI_MISO,
SPI_MOSI => SPI_MOSI
);
process(CLK)
begin
if(CLK'event and CLK = '1') then
if(WaddrCountClear = '1') then
int_WADDR <= (others => '0');
elsif(WaddrCountUp = '1') then
int_WADDR <= int_WADDR + 1;
end if;
end if;
end process;
WADDR <= int_WADDR;
process(CLK)
begin
if(CLK'event and CLK = '1') then
if(RaddrCountClear = '1') then
int_RADDR <= (others => '0');
elsif(RaddrCountUp = '1') then
int_RADDR <= int_RADDR + 1;
end if;
end if;
end process;
RADDR <= int_RADDR;
process(CLK)
begin
if(CLK'event and CLK = '1') then
if(START = '1') then
LengthReg <= LENGTH;
elsif(LengthRegCountDown = '1') then
LengthReg <= LengthReg - 1;
end if;
end if;
end process;
process(CLK)
begin
if(CLK'event and CLK = '1') then
if(RESET = '1') then
CurrentState <= IDLE;
else
CurrentState <= NextState;
end if;
end if;
end process;
process(CurrentState, START, BusyIf, LengthReg)
begin
case CurrentState is
when IDLE =>
if(START = '1') then
NextState <= START_IF;
else
NextState <= CurrentState;
end if;
when START_IF =>
NextState <= WAIT_BUSY;
when WAIT_BUSY =>
if(BusyIf = '1') then
NextState <= CurrentState;
else
NextState <= WRITE_DATA;
end if;
when WRITE_DATA =>
if(LengthReg = 0) then
NextState <= IDLE;
else
NextState <= START_IF;
end if;
end case;
end process;
WaddrCountUp <= '1' when(CurrentState = WRITE_DATA) else
'0';
WaddrCountClear <= '1' when(CurrentState = IDLE) else
'0';
RaddrCountUp <= '1' when(CurrentState = START_IF) else
'0';
RaddrCountClear <= '1' when(CurrentState = IDLE) else
'0';
LengthRegCountDown <= '1' when(CurrentState = WRITE_DATA) else
'0';
StartIf <= '1' when(CurrentState = START_IF) else
'0';
SpiSSNPre <= '1' when(CurrentState = IDLE) else
'0';
WE <= '1' when(CurrentState = WRITE_DATA) else
'0';
BUSY <= '0' when(CurrentState = IDLE) else
'1';
process(CLK)
begin
if(CLK'event and CLK = '1') then
SPI_SS_N <= SpiSSNPre;
end if;
end process;
end RTL;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/db/alt_dspbuilder_cast_GN46N4UJ5S.vhd
|
20
|
844
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GN46N4UJ5S is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic;
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GN46N4UJ5S is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 1 + 1 ,
width_inr=> 0,
width_outl=> 1,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(0) => input,
xin(1) => '0', yout => output
);
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
Gray_Processing_dspbuilder/db/alt_dspbuilder_SBF.vhd
|
20
|
8869
|
--------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_SBF is
generic (
width_inl : natural :=10;
width_inr : natural :=10;
width_outl : natural :=8;
width_outr : natural :=8;
round : natural :=1;
satur : natural :=1;
lpm_signed : BusArithm :=BusIsSigned
);
port (
xin : in std_logic_vector(width_inl+width_inr-1 downto 0);
yout : out std_logic_vector(width_outl+width_outr-1 downto 0)
);
end alt_dspbuilder_SBF;
architecture SBF_SYNTH of alt_dspbuilder_SBF is
signal youtround : std_logic_vector(width_inl+width_outr-1 downto 0);
signal youtroundc : std_logic_vector(width_outl+width_outr-1 downto 0);
signal xinextc : std_logic_vector(width_outl+width_inr-1 downto 0) ;
signal xin_int : std_logic_vector(width_inl+width_inr-1 downto 0);
begin
u0: alt_dspbuilder_sAltrPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion , width=> width_inl+width_inr)
port map (d => xin, r => xin_int);
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--(width_inl>=width_outl) and (width_inr>=width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_a:if (width_inl>=width_outl) and (width_inr>=width_outr) generate
gnsnr:if (round = 0) generate
gnsat:if (satur=0) generate
gl:for i in 0 to width_outl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
end generate gnsat;
gsat:if (satur>0) generate
gl:for i in 0 to width_inl+width_outr-1 generate
youtround(i) <= xin_int(i+width_inr-width_outr);
end generate ;
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout);
end generate gsat;
end generate ;
rnd:if (round>0)generate
ura:alt_dspbuilder_AROUND
generic map ( widthin => width_inl+width_inr,
widthout => width_inl+width_outr)
port map ( xin => xin_int,
yout => youtround);
gns:if satur=0 generate
yout(width_outl+width_outr-1 downto 0) <= youtround(width_outl+width_outr-1 downto 0);
end generate gns;
gs:if (satur>0) generate
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout
);
end generate gs;
end generate rnd;
end generate sbf_a;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl>width_outl) and (width_inr<width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_b:if (width_inl>=width_outl) and (width_inr<width_outr) generate
ns:if (satur=0) generate
gc:for i in 0 to width_outr-width_inr-1 generate
yout(i) <= '0';
end generate gc;
gl:for i in width_outr-width_inr to width_outl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
end generate ns ;
gs:if (satur>0) generate
gc:for i in 0 to width_outr-width_inr-1 generate
youtround(i) <= '0';
end generate gc;
gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate
youtround(i) <= xin_int(i+width_inr-width_outr);
end generate ;
us:alt_dspbuilder_ASAT
generic map ( widthin => width_inl+width_outr,
widthout => width_outl+width_outr,
lpm_signed => lpm_signed)
port map ( xin => youtround,
yout => yout);
end generate gs ;
end generate sbf_b;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl<width_outl) and (width_inr>width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_c:if (width_inl<width_outl) and (width_inr>=width_outr) generate
gnsnr:if (round = 0) generate
gl:for i in 0 to width_inl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate ;
gc:for i in width_inl+width_outr to width_outl+width_outr-1 generate
yout(i) <= xin_int( width_inl+width_inr-1);
end generate ;
end generate ;
rnd:if (round > 0) generate
xinextc(width_inl+width_inr-1 downto 0) <= xin_int(width_inl+width_inr-1 downto 0);
gxinextc:for i in width_inl+width_inr to width_outl+width_inr-1 generate
xinextc(i) <= xin_int(width_inl+width_inr-1);
end generate gxinextc;
urb:alt_dspbuilder_AROUND
generic map ( widthin => width_outl+width_inr,
widthout => width_outl+width_outr)
port map ( xin => xinextc,
yout => youtroundc);
yout <= youtroundc;
end generate rnd ;
end generate sbf_c;
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
-- (width_inl<width_outl) and (width_inr<width_outr)
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------------------------------------
sbf_d:if (width_inl<width_outl) and (width_inr<width_outr) generate
gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate
yout(i) <= xin_int(i+width_inr-width_outr);
end generate gl;
gc:for i in 0 to width_outr-width_inr-1 generate
yout(i) <= '0';
end generate gc;
gcv:for i in width_inl+width_outr to width_outl+width_outr-1 generate
yout(i) <= xin_int( width_inl+width_inr-1);
end generate gcv;
end generate sbf_d;
end SBF_SYNTH;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/reports/Gray_Processing/tb_Gray_Processing_example.vhd
|
2
|
326
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity tb_Gray_Processing_example is
end entity;
architecture rtl of tb_Gray_Processing_example is
component tb_Gray_Processing
end component;
begin
tb_Gray_Processing_instance :
component tb_Gray_Processing
port map();
end architecture rtl;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/altera_lnsim/dprio_init/_primary.vhd
|
5
|
627
|
library verilog;
use verilog.vl_types.all;
entity dprio_init is
port(
clk : in vl_logic;
reset_n : in vl_logic;
dprio_address : out vl_logic_vector(5 downto 0);
dprio_byteen : out vl_logic_vector(1 downto 0);
dprio_write : out vl_logic;
dprio_writedata : out vl_logic_vector(15 downto 0);
atpgmode : out vl_logic;
mdio_dis : out vl_logic;
scanen : out vl_logic;
ser_shift_load : out vl_logic;
dprio_init_done : out vl_logic
);
end dprio_init;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/hdl/alt_dspbuilder_barrelshifter_GNV5DVAGHT.vhd
|
8
|
1654
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_barrelshifter_GNV5DVAGHT is
generic ( DISTANCE_WIDTH : natural := 4;
NDIRECTION : natural := 1;
SIGNED : integer := 0;
use_dedicated_circuitry : string := "false";
PIPELINE : natural := 0;
WIDTH : natural := 18);
port(
a : in std_logic_vector((WIDTH)-1 downto 0);
aclr : in std_logic;
clock : in std_logic;
direction : in std_logic;
distance : in std_logic_vector((DISTANCE_WIDTH)-1 downto 0);
ena : in std_logic;
r : out std_logic_vector((WIDTH)-1 downto 0);
user_aclr : in std_logic);
end entity;
--- The DSPBuilder BarrelShifter
architecture rtl of alt_dspbuilder_barrelshifter_GNV5DVAGHT is
signal clear : std_logic;
signal a_ext : std_logic_vector(18 downto 0);
signal d_ext : std_logic_vector(5 - 1 downto 0);
signal r_ext : std_logic_vector(18 downto 0);
Begin
clear <= aclr or user_aclr;
a_ext(18 - 1 downto 0) <= a;
a_ext(18) <= '0';
d_ext(4 - 1 downto 0) <= distance;
d_ext(5 - 1 downto 4) <= (others => '0');
BarrelShifteri : alt_dspbuilder_BarrelShiftAltr Generic map (
widthin => WIDTH + 1 ,
pipeline => PIPELINE,
use_dedicated_circuitry => 0 ,
widthd => 5 ,
ndirection => NDIRECTION )
port map (
clock => clock,
aclr => clear,
sclr => '0',
ena => ena,
direction => '0',
xin => a_ext ,
distance => d_ext ,
yout => r_ext );
r <= r_ext(18 - 1 downto 0);
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
Gray_Processing_dspbuilder/hdl/alt_dspbuilder_cast_GNKXX25S2S.vhd
|
12
|
877
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNKXX25S2S is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNKXX25S2S is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 24 + 1 ,
width_inr=> 0,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(23 downto 0) => input,
xin(24) => '0', yout => output
);
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
Gray_Processing_dspbuilder/hdl/alt_dspbuilder_port_GNOC3SGKQJ.vhd
|
20
|
489
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNOC3SGKQJ is
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNOC3SGKQJ is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
Gray_Processing_dspbuilder/db/alt_dspbuilder_port_GNOC3SGKQJ.vhd
|
20
|
489
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNOC3SGKQJ is
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNOC3SGKQJ is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/db/alt_dspbuilder_clock_GNF343OQUJ.vhd
|
16
|
576
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_clock_GNF343OQUJ is
port(
aclr : in std_logic;
aclr_n : in std_logic;
aclr_out : out std_logic;
clock : in std_logic;
clock_out : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_clock_GNF343OQUJ is
Begin
-- Straight Bypass Clock
clock_out <= clock;
-- reset logic
aclr_out <= not(aclr_n);
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
tb_Gray_Processing/hdl/alt_dspbuilder_port_GN37ALZBS4.vhd
|
20
|
449
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GN37ALZBS4 is
port(
input : in std_logic;
output : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_port_GN37ALZBS4 is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Given-Jiang/Gray_Processing
|
Gray_Processing_dspbuilder/db/alt_dspbuilder_port_GN37ALZBS4.vhd
|
20
|
449
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GN37ALZBS4 is
port(
input : in std_logic;
output : out std_logic);
end entity;
architecture rtl of alt_dspbuilder_port_GN37ALZBS4 is
Begin
-- Straight Bypass block
output <= input;
end architecture;
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.