repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
abidrahmank/MyRoughWork
|
vitbi/input_mem.vhd
|
1
|
2143
|
----------------------------------------------------
-------CODE FOR INRAM(COMMENTED TO WORK AS A ROM)-----
----------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.all;
--library UNISIM;
--use UNISIM.VComponents.all;
----------------------------------------------------
entity INPUT_MEM is
port (CLK ,reset : in std_logic;
--CLR : in std_logic;
--WE : in std_logic;
--EN : in std_logic;
--INPUT_DI : in std_logic_vector(1 downto 0);
INPUT_DO : out std_logic_vector(1 downto 0));
end INPUT_MEM;
----------------------------------------------------
architecture inp_memarch of INPUT_MEM is
type INRAM_type is array (0 TO 15) of std_logic_vector (1 downto 0);
signal INADDR: std_logic_vector (3 downto 0);
signal INRAM: INRAM_type:= ("11",
"10",
"11",
"11",
"01",
"01",
"11",
"11",
"10",
"11",
"11",
"01",
"01",
"11",
"00",
"00");
----------------------------------------------------
component MOD16UP is
port(CLK:in std_logic;
reset :in std_logic;
Q_UP : out std_logic_vector(3 downto 0));
end component;
----------------------------------------------------
begin
counter: MOD16UP port map (CLK,reset,INADDR);----GIVE CLR IN SENSITIVITY LIST IF IT IS USED IN THE CIRCUIT
process (CLK,reset)
begin
if (reset='1') then
INPUT_DO <= "00" ;
elsif CLK'event and CLK = '1' then
--if EN = '1' then
--- if WE = '1' then
-- INRAM(conv_integer(INADDR)) <= INPUT_DI;----(uncomment id used as INRAM)
--end if;
INPUT_DO <= INRAM(conv_integer(INADDR+1)) ;
--- end if;
--end if;
end if;
end process;
end inp_memarch;
----------------------------------------------------
----------------------------------------------------
----------------------------------------------------
|
mit
|
ssabogal/nocturnal
|
ip_repo/router/src/router_struct_tb.vhd
|
1
|
10460
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity router_struct_tb is
end entity;
architecture structure of router_struct_tb is
component router_struct is
generic (
ADDR_X : natural range 0 to 3 := 0;
ADDR_Y : natural range 0 to 3 := 0;
N_INST : boolean := true;
S_INST : boolean := true;
E_INST : boolean := true;
W_INST : boolean := true
);
port (
CLOCK : in std_logic;
RESET : in std_logic;
-- local
L_DIN : in std_logic_vector(31 downto 0);
L_VIN : in std_logic;
L_RIN : out std_logic;
L_DOUT : out std_logic_vector(31 downto 0);
L_VOUT : out std_logic;
L_ROUT : in std_logic;
-- north
N_DIN : in std_logic_vector(31 downto 0);
N_VIN : in std_logic;
N_RIN : out std_logic;
N_DOUT : out std_logic_vector(31 downto 0);
N_VOUT : out std_logic;
N_ROUT : in std_logic;
-- south
S_DIN : in std_logic_vector(31 downto 0);
S_VIN : in std_logic;
S_RIN : out std_logic;
S_DOUT : out std_logic_vector(31 downto 0);
S_VOUT : out std_logic;
S_ROUT : in std_logic;
-- east
E_DIN : in std_logic_vector(31 downto 0);
E_VIN : in std_logic;
E_RIN : out std_logic;
E_DOUT : out std_logic_vector(31 downto 0);
E_VOUT : out std_logic;
E_ROUT : in std_logic;
-- west
W_DIN : in std_logic_vector(31 downto 0);
W_VIN : in std_logic;
W_RIN : out std_logic;
W_DOUT : out std_logic_vector(31 downto 0);
W_VOUT : out std_logic;
W_ROUT : in std_logic
);
end component;
constant ADDR_X : natural range 0 to 3 := 1;
constant ADDR_Y : natural range 0 to 3 := 1;
constant N_INST : boolean := true;
constant S_INST : boolean := true;
constant E_INST : boolean := true;
constant W_INST : boolean := true;
signal CLOCK : std_logic := '0';
signal RESET : std_logic := '0';
-- local
signal L_DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal L_VIN : std_logic := '0';
signal L_RIN : std_logic;
signal L_DOUT : std_logic_vector(31 downto 0);
signal L_VOUT : std_logic;
signal L_ROUT : std_logic := '0';
-- north
signal N_DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal N_VIN : std_logic := '0';
signal N_RIN : std_logic;
signal N_DOUT : std_logic_vector(31 downto 0);
signal N_VOUT : std_logic;
signal N_ROUT : std_logic := '0';
-- south
signal S_DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal S_VIN : std_logic := '0';
signal S_RIN : std_logic;
signal S_DOUT : std_logic_vector(31 downto 0);
signal S_VOUT : std_logic;
signal S_ROUT : std_logic := '0';
-- east
signal E_DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal E_VIN : std_logic := '0';
signal E_RIN : std_logic;
signal E_DOUT : std_logic_vector(31 downto 0);
signal E_VOUT : std_logic;
signal E_ROUT : std_logic := '0';
-- west
signal W_DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal W_VIN : std_logic := '0';
signal W_RIN : std_logic;
signal W_DOUT : std_logic_vector(31 downto 0);
signal W_VOUT : std_logic;
signal W_ROUT : std_logic := '0';
constant PKT_SIZE : positive := 8;
begin
UUT: router_struct
generic map (
ADDR_X => ADDR_X,
ADDR_Y => ADDR_Y,
N_INST => N_INST,
S_INST => S_INST,
E_INST => E_INST,
W_INST => W_INST
)
port map (
CLOCK => CLOCK,
RESET => RESET,
-- local
L_DIN => L_DIN,
L_VIN => L_VIN,
L_RIN => L_RIN,
L_DOUT => L_DOUT,
L_VOUT => L_VOUT,
L_ROUT => L_ROUT,
-- north
N_DIN => N_DIN,
N_VIN => N_VIN,
N_RIN => N_RIN,
N_DOUT => N_DOUT,
N_VOUT => N_VOUT,
N_ROUT => N_ROUT,
-- south
S_DIN => S_DIN,
S_VIN => S_VIN,
S_RIN => S_RIN,
S_DOUT => S_DOUT,
S_VOUT => S_VOUT,
S_ROUT => S_ROUT,
-- east
E_DIN => E_DIN,
E_VIN => E_VIN,
E_RIN => E_RIN,
E_DOUT => E_DOUT,
E_VOUT => E_VOUT,
E_ROUT => E_ROUT,
-- west
W_DIN => W_DIN,
W_VIN => W_VIN,
W_RIN => W_RIN,
W_DOUT => W_DOUT,
W_VOUT => W_VOUT,
W_ROUT => W_ROUT
);
CLOCK <= not CLOCK after 5 ns;
RESET <= '1', '0' after 20 ns;
-- local
L_PROC: process
begin
wait for 100 ns;
L_DIN <= X"00000000"; --west
L_VIN <= '1';
wait until rising_edge(CLOCK);
L_DIN <= X"10000000";
L_VIN <= '1';
wait until rising_edge(CLOCK);
L_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
L_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
L_DIN <= std_logic_vector(to_unsigned(i, 32));
L_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
L_DIN <= (others => 'X');
L_VIN <= '0';
wait for 200 ns;
L_DIN <= X"50000001"; --local
L_VIN <= '1';
wait until rising_edge(CLOCK);
L_DIN <= X"10000000";
L_VIN <= '1';
wait until rising_edge(CLOCK);
L_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
L_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
L_DIN <= std_logic_vector(to_unsigned(i, 32));
L_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
L_DIN <= (others => 'X');
L_VIN <= '0';
wait;
end process;
-- north
N_PROC: process
begin
wait for 100 ns;
N_DIN <= X"F0000000"; --east
N_VIN <= '1';
wait until rising_edge(CLOCK);
N_DIN <= X"10000000";
N_VIN <= '1';
wait until rising_edge(CLOCK);
N_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
N_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
N_DIN <= std_logic_vector(to_unsigned(i, 32));
N_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
N_DIN <= (others => 'X');
N_VIN <= '0';
wait for 200 ns;
N_DIN <= X"00000001"; --west
N_VIN <= '1';
wait until rising_edge(CLOCK);
N_DIN <= X"10000000";
N_VIN <= '1';
wait until rising_edge(CLOCK);
N_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
N_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
N_DIN <= std_logic_vector(to_unsigned(i, 32));
N_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
N_DIN <= (others => 'X');
N_VIN <= '0';
wait;
end process;
-- south
S_PROC: process
begin
wait for 100 ns;
S_DIN <= X"70000000"; --north
S_VIN <= '1';
wait until rising_edge(CLOCK);
S_DIN <= X"10000000";
S_VIN <= '1';
wait until rising_edge(CLOCK);
S_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
S_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
S_DIN <= std_logic_vector(to_unsigned(i, 32));
S_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
S_DIN <= (others => 'X');
S_VIN <= '0';
wait for 200 ns;
S_DIN <= X"40000001"; --south
S_VIN <= '1';
wait until rising_edge(CLOCK);
S_DIN <= X"10000000";
S_VIN <= '1';
wait until rising_edge(CLOCK);
S_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
S_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
S_DIN <= std_logic_vector(to_unsigned(i, 32));
S_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
S_DIN <= (others => 'X');
S_VIN <= '0';
wait;
end process;
-- east
E_PROC: process
begin
wait for 100 ns;
E_DIN <= X"40000000"; --south
E_VIN <= '1';
wait until rising_edge(CLOCK);
E_DIN <= X"10000000";
E_VIN <= '1';
wait until rising_edge(CLOCK);
E_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
E_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
E_DIN <= std_logic_vector(to_unsigned(i, 32));
E_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
E_DIN <= (others => 'X');
E_VIN <= '0';
wait for 200 ns;
E_DIN <= X"70000001"; --north
E_VIN <= '1';
wait until rising_edge(CLOCK);
E_DIN <= X"10000000";
E_VIN <= '1';
wait until rising_edge(CLOCK);
E_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
E_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
E_DIN <= std_logic_vector(to_unsigned(i, 32));
E_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
E_DIN <= (others => 'X');
E_VIN <= '0';
wait;
end process;
-- west
W_PROC: process
begin
wait for 100 ns;
W_DIN <= X"50000000"; --local
W_VIN <= '1';
wait until rising_edge(CLOCK);
W_DIN <= X"10000000";
W_VIN <= '1';
wait until rising_edge(CLOCK);
W_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
W_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
W_DIN <= std_logic_vector(to_unsigned(i, 32));
W_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
W_DIN <= (others => 'X');
W_VIN <= '0';
wait for 200 ns;
W_DIN <= X"F0000001"; --east
W_VIN <= '1';
wait until rising_edge(CLOCK);
W_DIN <= X"10000000";
W_VIN <= '1';
wait until rising_edge(CLOCK);
W_DIN <= std_logic_vector(to_unsigned(PKT_SIZE, 32));
W_VIN <= '1';
wait until rising_edge(CLOCK);
for i in 1 to PKT_SIZE - 3 loop
W_DIN <= std_logic_vector(to_unsigned(i, 32));
W_VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
W_DIN <= (others => 'X');
W_VIN <= '0';
wait;
end process;
-- output
O_PROC: process
begin
wait for 500 ns;
for i in 1 to 8 loop
L_ROUT <= '1';
N_ROUT <= '1';
S_ROUT <= '1';
E_ROUT <= '1';
W_ROUT <= '1';
wait until rising_edge(CLOCK);
end loop;
L_ROUT <= '0';
N_ROUT <= '0';
S_ROUT <= '0';
E_ROUT <= '0';
W_ROUT <= '0';
wait for 200 ns;
for i in 1 to 8 loop
L_ROUT <= '1';
N_ROUT <= '1';
S_ROUT <= '1';
E_ROUT <= '1';
W_ROUT <= '1';
wait until rising_edge(CLOCK);
end loop;
L_ROUT <= '0';
N_ROUT <= '0';
S_ROUT <= '0';
E_ROUT <= '0';
W_ROUT <= '0';
wait;
end process;
end architecture;
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_axi_nic_10_2/src/FIFO_32x4K/synth/FIFO_32x4K.vhd
|
9
|
39096
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY FIFO_32x4K IS
PORT (
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0)
);
END FIFO_32x4K;
ARCHITECTURE FIFO_32x4K_arch OF FIFO_32x4K IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF FIFO_32x4K_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF FIFO_32x4K_arch : ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF FIFO_32x4K_arch: ARCHITECTURE IS "FIFO_32x4K,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=18,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=18,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINI" &
"T_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=4kx4,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH_N" &
"EGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_T" &
"YPE=1,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1," &
"C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=32,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=1,C_AXIS_TSTRB_WIDTH=4,C_AXIS_TKEEP_WIDTH=4,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=2,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=2,C_IMPLEMENTATION_TYPE_RACH=2,C_IMPLEMENTATION_TYPE_RD" &
"CH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=4kx9,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_" &
"INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=32,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=4096,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=12,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=1,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=15,C_" &
"PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=15,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=4095,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=14,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1" &
"4,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=4094,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 slave_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 slave_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS TDATA";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 18,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 18,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "4kx4",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1022,
C_PROG_FULL_THRESH_NEGATE_VAL => 1021,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 10,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 10,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 1,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 0,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 32,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 1,
C_AXIS_TSTRB_WIDTH => 4,
C_AXIS_TKEEP_WIDTH => 4,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 2,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 2,
C_IMPLEMENTATION_TYPE_RACH => 2,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "4kx9",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 32,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 4096,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 12,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 1,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 15,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 4095,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 14,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 4094,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 18)),
wr_en => '0',
rd_en => '0',
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
m_aclk => '0',
s_aclk => s_aclk,
s_aresetn => s_aresetn,
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => s_axis_tvalid,
s_axis_tready => s_axis_tready,
s_axis_tdata => s_axis_tdata,
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_tvalid => m_axis_tvalid,
m_axis_tready => m_axis_tready,
m_axis_tdata => m_axis_tdata,
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)),
axis_data_count => axis_data_count
);
END FIFO_32x4K_arch;
|
mit
|
OgliariNatan/projetointegrador_II
|
D_7SEG/debug_ram.vhd
|
1
|
6461
|
-- megafunction wizard: %RAM: 1-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: debug_ram.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 17.0.0 Build 595 04/25/2017 SJ Standard Edition
-- ************************************************************
--Copyright (C) 2017 Intel Corporation. All rights reserved.
--Your use of Intel Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Intel Program License
--Subscription Agreement, the Intel Quartus Prime License Agreement,
--the Intel MegaCore Function License Agreement, or other
--applicable license agreement, including, without limitation,
--that your use is for the sole purpose of programming logic
--devices manufactured by Intel and sold by Intel or its
--authorized distributors. Please refer to the applicable
--agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY debug_ram IS
PORT
(
address : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
wren : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END debug_ram;
ARCHITECTURE SYN OF debug_ram IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
q <= sub_wire0(31 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
intended_device_family => "Cyclone IV E",
lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=dbg",
lpm_type => "altsyncram",
numwords_a => 32,
operation_mode => "SINGLE_PORT",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
widthad_a => 5,
width_a => 32,
width_byteena_a => 1
)
PORT MAP (
address_a => address,
clock0 => clock,
data_a => data,
wren_a => wren,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrData NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "dbg"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING ""
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegData NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1"
-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "5"
-- Retrieval info: PRIVATE: WidthData NUMERIC "32"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=dbg"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 5 0 INPUT NODEFVAL "address[4..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]"
-- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]"
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren"
-- Retrieval info: CONNECT: @address_a 0 0 5 0 address 0 0 5 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL debug_ram.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL debug_ram.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL debug_ram.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL debug_ram.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL debug_ram_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
mit
|
Beck-Sisyphus/EE471
|
Lab2/simulation/modelsim/rtl_work/decoder11_2048/_primary.vhd
|
2
|
232
|
library verilog;
use verilog.vl_types.all;
entity decoder11_2048 is
port(
\in\ : in vl_logic_vector(10 downto 0);
\out\ : out vl_logic_vector(2047 downto 0)
);
end decoder11_2048;
|
mit
|
Beck-Sisyphus/EE471
|
Lab4/simulation/modelsim/rtl_work/@i@mtest/_primary.vhd
|
1
|
237
|
library verilog;
use verilog.vl_types.all;
entity IMtest is
generic(
d : integer := 20
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of d : constant is 1;
end IMtest;
|
mit
|
rccoder/CPU-Summer-Term-HIT
|
CPU/ALU.vhd
|
1
|
3022
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:46:08 07/26/2015
-- Design Name:
-- Module Name: ALU_module - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ALU is
port(
-- ʵÏÖ×¼±¸ºÍÔËË㹦ÄÜ
enable_t : in std_logic ; -- ×¼±¸ºÍÔËË㹦ÄÜʹÄÜÐźÅ
ir : in std_logic_vector(15 downto 0);
-- Ïò·Ã´æ¿ØÖÆÄ£¿éÊä³ö
sig_reg7aluout : out std_logic_vector ( 15 downto 0 ); -- ÔÝ´æÆ÷Êä³ö¶Ë¿Ú
sig_reg7addrout : out std_logic_vector ( 15 downto 0 ); -- 8λµØÖ·Êä³ö¶Ë¿Ú
--reg7_out : out std_logic_vector ( 7 downto 0 );
-- ʵÏÖ»ØÐ´¹¦ÄÜ
enable_wb : in std_logic ; -- »ØÐ´¹¦ÄÜʹÄÜ
reg_wb : in std_logic_vector (7 downto 0 ); -- »ØÐ´½ÓÊÕ¶Ë¿Ú
-- ½øÎ»±êÖ¾
cy : out std_logic
);
end ALU;
architecture Behavioral of ALU is
type registers_8 is array (7 downto 0) of std_logic_vector(7 downto 0);
signal reg : registers_8; -- Êý×éÐÍ8¸ö8λ¼Ä´æÆ÷
signal addr : std_logic_vector ( 7 downto 0 ); -- ÔÝ´æÆ÷
begin
get_ready : process (enable_t,addr)
variable a,b : std_logic_vector ( 7 downto 0 );
variable tempa , tempb ,tempsum: std_logic_vector (8 downto 0 ); -- ½øÎ»±êÖ¾¼ÆËã
begin
a := reg(conv_integer(ir(10 downto 8)));
b := reg(conv_integer(ir(2 downto 0)));
addr <= ir( 7 downto 0 );
tempa := '0'&a;
tempb := '0'&b;
if enable_t = '1' then
case ir(15 downto 11) is
when "00000"=> tempsum := tempa + tempb ; --ADD
when "00001"=> tempsum := tempa - tempb ; --SUB
when "00010"=> tempsum := tempb; --MOV
when "10010"=> tempsum := '0'&addr; --MVI
when "11011"=> tempsum := '0'&addr; --LDA
when "11000"=> tempsum := tempa; --STA
when "10001"=> tempsum := '0'&addr; --JMP
when "10000"=> tempsum := tempa; --JZ
--when "11111"=> tempsum := '0'&addr; --IN
--when "11100"=> tempsum :=tempa; --OUT
when others=> tempsum :="ZZZZZZZZZ";
end case;
--sig_reg7aluout <= reg(7)&tempsum ( 7 downto 0 );
sig_reg7aluout <= "00000000"&tempsum ( 7 downto 0 );
cy <= tempsum (8) ;
sig_reg7addrout <= reg(7)&addr;
end if ;
end process;
write_back : process (reg_wb,enable_wb)
begin
if enable_wb = '1' then
reg(conv_integer(ir(10 downto 8))) <= reg_wb;
end if;
end process;
end Behavioral;
|
mit
|
kklt92/RISC_Microprocessor
|
RISC_microprocessor/src/ReorderBuffer.vhd
|
1
|
4650
|
--******************************************************************************--
-- Author: Weihao Ming --
-- Date: 2014-01-13 --
-- Module: EE3A1 RISC Microprocessor --
-- Description: Re-order buffer. Placing before instruction decoder --
-- and automatic re-order instruction for --
-- microprocessor. --
--******************************************************************************--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_arith.ALL;
ENTITY reorderBuffer IS
PORT( in1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
out1: OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clk: IN STD_LOGIC);
END ENTITY reorderBuffer;
ARCHITECTURE rtl OF reorderBuffer IS
TYPE buffer_array IS ARRAY( 0 TO 5) OF STD_LOGIC_VECTOR ( 31 DOWNTO 0);
SIGNAL buffer_data: buffer_array:= (X"00000000", X"00000000", X"00000000", -- 6 addresses buffer.
X"00000000", X"00000000", X"00000000");
BEGIN
PROCESS(clk)
variable i: integer := 2; -- i is for pre-running instruction.
variable j: integer := 0; -- j is for post-running instruction.
variable c: integer := 0; -- 0 for incomplete output. 1 for complete.
variable output1 : STD_LOGIC_VECTOR(31 DOWNTO 0) := X"00000000"; -- Temperaryly store output.
variable buffer_temp : buffer_array := buffer_data; -- Copy all the data in buffer to
BEGIN -- re-order it. and pushing everything
IF(rising_edge(clk)) THEN -- forward to fullfill empty address.
i := 2;
j := 0;
c := 0;
WHILE ( i < 6 ) LOOP -- Storing incoming instruction in an empty address.
IF( buffer_data(i) /= X"00000000")THEN
i := i + 1;
ELSE
buffer_data(i) <= in1;
buffer_temp(i) := in1;
i := 0;
EXIT;
END IF;
END LOOP;
i := 2;
j := 0;
c := 0;
WHILE ( i < 6 ) LOOP
IF( buffer_data(0) = X"00000000") -- If previous two instructions are both no-op,
AND (buffer_data(1) = X"00000000") THEN -- then output first no no-op instruction.
IF(buffer_data(i) /= X"00000000") THEN
out1 <= buffer_data(i);
buffer_data(i) <= X"00000000";
buffer_temp(i) := X"00000000";
output1 := buffer_data(i);
i := 2;
c := 1;
EXIT;
ELSE
i := i+1;
END IF;
ELSIF (buffer_data(1)(15 DOWNTO 11) = buffer_data(2)(25 DOWNTO 21)) THEN -- If current reading is previous written,
out1 <= buffer_data(2); -- then output to meet multiplexer funtion.
output1 := buffer_data(2);
buffer_data(2) <= X"00000000";
buffer_temp(2) := X"00000000";
i :=2;
c :=1;
EXIT;
ELSE
j := 0; -- Check if there is data hazard. if so, then reorder.
WHILE ( j < 3 ) LOOP
IF(buffer_data(j) /= X"00000000") THEN
IF( buffer_data(i)(25 DOWNTO 21) /= buffer_data(j)(15 DOWNTO 11)) THEN
IF(buffer_data(i)(20 DOWNTO 16) /= buffer_data(j)(15 DOWNTO 11)) THEN
IF(buffer_data(i) /= X"00000000") THEN
out1 <= buffer_data(i);
output1 := buffer_data(i);
buffer_data(i) <= X"00000000";
buffer_temp(i) := X"00000000";
i := 2;
j := 0;
c := 1;
EXIT;
ELSE
j := j+1;
END IF;
ELSE
j := j+1;
END IF;
ELSE
j := j+1;
END IF;
ELSE
j := j + 1;
END IF;
END LOOP;
END IF;
IF ( c = 0) THEN
i := i + 1;
ELSE
i := 2;
j := 0;
EXIT;
END IF;
END LOOP;
IF ( c = 0) THEN -- If there will be data harzard for all buffer instruction,
out1 <= X"00000000"; -- then output no-op.
output1 := X"00000000";
c := 1;
END IF;
buffer_data(0) <= buffer_data(1);
buffer_data(1) <= output1;
i := 2;
WHILE( i < 5) LOOP -- Pushing buffer data forward to fullfill empty address.
IF(buffer_temp(i) = X"00000000") THEN
buffer_temp(i) := buffer_temp(i+1);
buffer_temp(i+1) := X"00000000";
END IF;
i := i+1;
END LOOP;
i:=2;
WHILE( i < 6) LOOP -- Writing temperary data to address.
buffer_data(i) <= buffer_temp(i);
i := i+1;
END LOOP;
END IF;
END PROCESS;
END ARCHITECTURE rtl;
|
mit
|
Beck-Sisyphus/EE471
|
Lab4/simulation/modelsim/rtl_work/or@gate/_primary.vhd
|
1
|
449
|
library verilog;
use verilog.vl_types.all;
entity orGate is
port(
busOR : out vl_logic_vector(31 downto 0);
busA : in vl_logic_vector(31 downto 0);
busB : in vl_logic_vector(31 downto 0);
zOR : out vl_logic;
oOR : out vl_logic;
cOR : out vl_logic;
\nOR\ : out vl_logic
);
end orGate;
|
mit
|
OgliariNatan/projetointegrador_II
|
D_7SEG/clockDivider.vhd
|
1
|
689
|
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY clockDivider IS
PORT ( CLOCKIN : IN STD_LOGIC;
FreqIn : IN INTEGER;
freqOut : IN INTEGER;
CLOCKOUT : OUT STD_LOGIC
);
END clockDivider;
ARCHITECTURE behavior OF clockDivider IS
SIGNAL clock : STD_LOGIC := '0';
CONSTANT COUNT_MAX : INTEGER := ((freqIn / freqOut) / 2) - 1;
BEGIN
PROCESS(CLOCKIN)
VARIABLE counter : INTEGER RANGE 0 TO COUNT_MAX := 0;
BEGIN
IF (CLOCKIN'EVENT AND CLOCKIN = '1') THEN
IF counter < COUNT_MAX THEN
counter := counter + 1;
ELSE
counter := 0;
clock <= NOT clock;
END IF;
END IF;
END PROCESS;
CLOCKOUT <= clock;
END;
|
mit
|
rccoder/CPU-Summer-Term-HIT
|
chapter4/fpga0/FPGA0.vhd
|
1
|
1620
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:30:30 07/20/2015
-- Design Name:
-- Module Name: FPGA0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity FPGA0 is
Port ( k0 : in STD_LOGIC_VECTOR (7 downto 0);
k1 : in STD_LOGIC_VECTOR (7 downto 0);
k2 : in STD_LOGIC_VECTOR (7 downto 0);
k3 : in STD_LOGIC_VECTOR (7 downto 0);
k4 : in STD_LOGIC_VECTOR (7 downto 0);
s0 : out STD_LOGIC_VECTOR (7 downto 0);
s1 : out STD_LOGIC_VECTOR (7 downto 0);
s2 : out STD_LOGIC_VECTOR (7 downto 0);
s3 : out STD_LOGIC_VECTOR (7 downto 0);
s4 : out STD_LOGIC_VECTOR (7 downto 0);
s5 : out STD_LOGIC_VECTOR (7 downto 0);
A : out STD_LOGIC_VECTOR (7 downto 0);
B : out STD_LOGIC_VECTOR (7 downto 0));
end FPGA0;
architecture Behavioral of FPGA0 is
begin
process(k0, k1, k2, k3, k4)
begin
s0 <= k0;
s3 <= k0;
s1 <= k1;
s4 <= k1;
s2 <= k2;
s5 <= k2;
B <= k3;
A <= k4;
end process;
end Behavioral;
|
mit
|
OgliariNatan/projetointegrador_II
|
D_7SEG/freq_counter.vhd
|
1
|
1402
|
-- *
-- * freq_counter.vhd
-- *
-- * Created on: Mar 21, 2017
-- * Author: Renan Augusto Starke
-- *
-- * IFSC -- DALEN--
--------------------------------------------/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity freq_counter is
port(
clk : in std_logic;
rst : in std_logic;
pulse : in std_logic;
err : out std_logic;
data_out : out std_logic_vector(15 downto 0)
);
end entity freq_counter;
architecture RTL of freq_counter is
signal count, prev_count : std_logic_vector(15 downto 0);
begin
-- simple counter process
-- max frequency is clk
process(clk, rst)
begin
if (rst = '1') then
count <= (others => '0');
else
if rising_edge(clk) then
count <= count + 1;
end if;
end if;
end process;
process(pulse, rst)
begin
if (rst = '1') then
prev_count <= (others => '0');
data_out <= (others => '0');
else
if rising_edge(pulse) then
if (prev_count < count) then
data_out <= count - prev_count;
err <= '0';
elsif (prev_count > count) then
data_out <= prev_count + count;
err <= '0';
else
data_out <= (others => '0');
err <= '1';
end if;
prev_count <= count;
end if;
end if;
end process;
end architecture RTL;
|
mit
|
rccoder/CPU-Summer-Term-HIT
|
chapter3/decode4.vhd
|
1
|
1348
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:45:16 07/16/2015
-- Design Name:
-- Module Name: decode4 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity decode4 is
port(
d : in std_logic_vector(3 downto 0);
enable : in std_logic;
q8, q9, q11 : out std_logic
);
end decode4 ;
architecture main of decode4 is
begin
process( enable, d )
begin
if enable = '1' then
case d is
when "1000" => q8 <= '0'; q9 <= '1'; q11 <= '1';
when "1001" => q8 <= '1'; q9 <= '0'; q11 <= '1';
when "1011" => q8 <= '1'; q9 <= '1'; q11 <= '0';
when others => q8 <= '1'; q9 <= '1'; q11 <= '1';
end case;
else
q8 <= '1'; q9 <= '1'; q11 <= '1';
end if;
end process;
end main;
|
mit
|
rccoder/CPU-Summer-Term-HIT
|
chapter3/reg8.vhd
|
1
|
1126
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:46:07 07/16/2015
-- Design Name:
-- Module Name: reg8 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity reg8 is
port(
clrn, clk : in std_logic;
d : in std_logic_vector(7 downto 0);
q : out std_logic_vector(7 downto 0)
);
end reg8 ;
architecture main of reg8 is
begin
process(clk, clrn)
begin
if clrn = '0' then
q <= "00000000";
elsif clk = '1' and clk'event then
q <= d;
end if;
end process;
end main;
|
mit
|
djanowski/pygmentize
|
vendor/pygments/tests/examplefiles/test.vhdl
|
75
|
4446
|
library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity top_testbench is --test
generic ( -- test
n : integer := 8 -- test
); -- test
end top_testbench; -- test
architecture top_testbench_arch of top_testbench is
component top is
generic (
n : integer
) ;
port (
clk : in std_logic;
rst : in std_logic;
d1 : in std_logic_vector (n-1 downto 0);
d2 : in std_logic_vector (n-1 downto 0);
operation : in std_logic;
result : out std_logic_vector (2*n-1 downto 0)
);
end component;
signal clk : std_logic;
signal rst : std_logic;
signal operation : std_logic;
signal d1 : std_logic_vector (n-1 downto 0);
signal d2 : std_logic_vector (n-1 downto 0);
signal result : std_logic_vector (2*n-1 downto 0);
type test_type is ( a1, a2, a3, a4, a5, a6, a7, a8, a9, a10);
attribute enum_encoding of my_state : type is "001 010 011 100 111";
begin
TESTUNIT : top generic map (n => n)
port map (clk => clk,
rst => rst,
d1 => d1,
d2 => d2,
operation => operation,
result => result);
clock_process : process
begin
clk <= '0';
wait for 5 ns;
clk <= '1';
wait for 5 ns;
end process;
data_process : process
begin
-- test case #1
operation <= '0';
rst <= '1';
wait for 5 ns;
rst <= '0';
wait for 5 ns;
d1 <= std_logic_vector(to_unsigned(60, d1'length));
d2 <= std_logic_vector(to_unsigned(12, d2'length));
wait for 360 ns;
assert (result = std_logic_vector(to_unsigned(720, result'length)))
report "Test case #1 failed" severity error;
-- test case #2
operation <= '0';
rst <= '1';
wait for 5 ns;
rst <= '0';
wait for 5 ns;
d1 <= std_logic_vector(to_unsigned(55, d1'length));
d2 <= std_logic_vector(to_unsigned(1, d2'length));
wait for 360 ns;
assert (result = std_logic_vector(to_unsigned(55, result'length)))
report "Test case #2 failed" severity error;
-- etc
end process;
end top_testbench_arch;
configuration testbench_for_top of top_testbench is
for top_testbench_arch
for TESTUNIT : top
use entity work.top(top_arch);
end for;
end for;
end testbench_for_top;
function compare(A: std_logic, B: std_Logic) return std_logic is
constant pi : real := 3.14159;
constant half_pi : real := pi / 2.0;
constant cycle_time : time := 2 ns;
constant N, N5 : integer := 5;
begin
if (A = '0' and B = '1') then
return B;
else
return A;
end if ;
end compare;
procedure print(P : std_logic_vector(7 downto 0);
U : std_logic_vector(3 downto 0)) is
variable my_line : line;
alias swrite is write [line, string, side, width] ;
begin
swrite(my_line, "sqrt( ");
write(my_line, P);
swrite(my_line, " )= ");
write(my_line, U);
writeline(output, my_line);
end print;
entity add32csa is -- one stage of carry save adder for multiplier
port(
b : in std_logic; -- a multiplier bit
a : in std_logic_vector(31 downto 0); -- multiplicand
sum_in : in std_logic_vector(31 downto 0); -- sums from previous stage
cin : in std_logic_vector(31 downto 0); -- carrys from previous stage
sum_out : out std_logic_vector(31 downto 0); -- sums to next stage
cout : out std_logic_vector(31 downto 0)); -- carrys to next stage
end add32csa;
ARCHITECTURE circuits of add32csa IS
SIGNAL zero : STD_LOGIC_VECTOR(31 downto 0) := X"00000000";
SIGNAL aa : std_logic_vector(31 downto 0) := X"00000000";
COMPONENT fadd -- duplicates entity port
PoRT(a : in std_logic;
b : in std_logic;
cin : in std_logic;
s : out std_logic;
cout : out std_logic);
end comPonent fadd;
begin -- circuits of add32csa
aa <= a when b='1' else zero after 1 ns;
stage: for I in 0 to 31 generate
sta: fadd port map(aa(I), sum_in(I), cin(I) , sum_out(I), cout(I));
end generate stage;
end architecture circuits; -- of add32csa
|
mit
|
briannkym/583final
|
Breakout/src/Character.vhd
|
1
|
8436
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Char is
port(
rainbow : in std_logic;
charnum : in std_logic_vector(7 downto 0);
x : in std_logic_vector(2 downto 0);
y : in std_logic_vector(2 downto 0);
R : out std_logic_vector(3 downto 0);
G : out std_logic_vector(3 downto 0);
B : out std_logic_vector(3 downto 0));
end Char;
architecture dataflow of Char is
constant leta: std_logic_vector(0 to 63):=
"0001100000111100001001000110011001111110010000101100001111000011";
constant letb: std_logic_vector(0 to 63):=
"1111110011000110110000111111110011001110110000111100001111111110";
constant letc: std_logic_vector(0 to 63):=
"0001111001110011110000001100000011000000110000000111001100011110";
constant letd: std_logic_vector(0 to 63):=
"1111110011001110110000111100001111000011110000111100111011111100";
constant lete: std_logic_vector(0 to 63):=
"1111111111000001110000001111000011111000110000001100000111111111";
constant letf: std_logic_vector(0 to 63):=
"1111111111000001110000001111001011111110110000001100000011100000";
constant letg: std_logic_vector(0 to 63):=
"0011110011100111110000001100000011000111110000111110011100111100";
constant leth: std_logic_vector(0 to 63):=
"1110011111000011110000111110011111111111110000111100001111100111";
constant leti: std_logic_vector(0 to 63):=
"1111111110011001000110000001100000011000000110001001100111111111";
constant letj: std_logic_vector(0 to 63):=
"1111111100001100000011000000110011101100110011001100110001111000";
constant letk: std_logic_vector(0 to 63):=
"1110001111000110110111001111000011011000110011001100011011100011";
constant letl: std_logic_vector(0 to 63):=
"1110000011000000110000001100000011000000110000001100000111111111";
constant letm: std_logic_vector(0 to 63):=
"1100001111000011111001111111111111011011110000111100001111000011";
constant letn: std_logic_vector(0 to 63):=
"1100011111100011111100111101101111001111110001111100001111100111";
constant leto: std_logic_vector(0 to 63):=
"0011110011100111110000111100001111000011110000111110011100111100";
constant letp: std_logic_vector(0 to 63):=
"1111110011000111110000111100011111111110110000001100000011100000";
constant letq: std_logic_vector(0 to 63):=
"0001100000111100001001000110011001111110010000101100001111000011";
constant letr: std_logic_vector(0 to 63):=
"1111110011000111110000111100011011111100110011001100011011100111";
constant lets: std_logic_vector(0 to 63):=
"0011111111100001110000000111000000111100000011101100011101111110";
constant lett: std_logic_vector(0 to 63):=
"1111111110011001000110000001100000011000000110000001100000111100";
constant letu: std_logic_vector(0 to 63):=
"1110011111000011110000111100001111000011110000111110011100111100";
constant letv: std_logic_vector(0 to 63):=
"1110011111000011111001110110011001100110001001000011110000011000";
constant letw: std_logic_vector(0 to 63):=
"0001100000111100001001000110011001111110010000101100001111000011";
constant letx: std_logic_vector(0 to 63):=
"0001100000111100001001000110011001111110010000101100001111000011";
constant lety: std_logic_vector(0 to 63):=
"1100001101100110001001000011110000011000000110000001100000111100";
constant letz: std_logic_vector(0 to 63):=
"0001100000111100001001000110011001111110010000101100001111000011";
signal pixel: std_logic :='0';
begin
process(x, y, charnum)
begin
case charnum is
when x"00" =>
if(leta(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"01" =>
if(letb(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"02" =>
if(letc(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"03" =>
if(letd(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"04" =>
if(lete(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"05" =>
if(letf(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"06" =>
if(letg(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"07" =>
if(leth(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"08" =>
if(leti(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"09" =>
if(letj(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0a" =>
if(letk(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0b" =>
if(letl(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0c" =>
if(letm(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0d" =>
if(letn(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0e" =>
if(leto(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"0f" =>
if(letp(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"10" =>
if(letq(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"11" =>
if(letr(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"12" =>
if(lets(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"13" =>
if(lett(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"14" =>
if(letu(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"15" =>
if(letv(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"16" =>
if(letw(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"17" =>
if(letx(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"18" =>
if(lety(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when x"19" =>
if(letz(to_integer(unsigned(y & "000") +
unsigned("000" & x))) = '1') then
pixel<='1';
else
pixel<='0';
end if;
when others =>
end case;
end process;
process (pixel, rainbow)
begin
if(pixel='1') then
if(rainbow='1') then
case y is
when "000" =>
R <= "1110";
G <= "0000";
B <= "0000";
when "001" =>
R <= "1110";
G <= "0110";
B <= "0000";
when "010" =>
R <= "1110";
G <= "1110";
B <= "0000";
when "011" =>
R <= "0000";
G <= "1110";
B <= "0000";
when "100" =>
R <= "0000";
G <= "0000";
B <= "1100";
when "101" =>
R <= "1000";
G <= "0000";
B <= "1100";
when others =>
R <= "1110";
G <= "0000";
B <= "0000";
end case;
else
R <="1000";
G <="1000";
B <="1000";
end if;
else
R <=x"0";
G <=x"0";
B <=x"0";
end if;
end process;
end dataflow;
|
mit
|
maikmerten/riscv-tomthumb
|
src/vhdl/cpu/constants.vhd
|
1
|
6715
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package constants is
constant XLEN: integer := 32;
constant XLEN_ZERO: std_logic_vector(XLEN-1 downto 0) := X"00000000";
constant XLEN_ONE: std_logic_vector(XLEN-1 downto 0) := X"00000001";
constant RESET_VECTOR: std_logic_vector(XLEN-1 downto 0) := X"00000000";
constant TRAP_VECTOR: std_logic_vector(XLEN-1 downto 0) := X"00000004";
constant INTERRUPT_VECTOR: std_logic_vector(XLEN-1 downto 0) := X"00000008";
-- Opcodes
constant OP_OP: std_logic_vector(4 downto 0) := "01100"; -- R-type
constant OP_CUSTOM0: std_logic_vector(4 downto 0) := "00010"; -- R-type
constant OP_JALR: std_logic_vector(4 downto 0) := "11001"; -- I-type
constant OP_LOAD: std_logic_vector(4 downto 0) := "00000"; -- I-type
constant OP_OPIMM: std_logic_vector(4 downto 0) := "00100"; -- I-type
constant OP_SYSTEM: std_logic_vector(4 downto 0) := "11100"; -- I-type
constant OP_MISCMEM: std_logic_vector(4 downto 0) := "00011"; -- I-type?
constant OP_STORE: std_logic_vector(4 downto 0) := "01000"; -- S-type
constant OP_BRANCH: std_logic_vector(4 downto 0) := "11000"; -- SB-type
constant OP_LUI: std_logic_vector(4 downto 0) := "01101"; -- U-type
constant OP_AUIPC: std_logic_vector(4 downto 0) := "00101"; -- U-type
constant OP_JAL: std_logic_vector(4 downto 0) := "11011"; -- UJ-type
constant FUNC_JALR: std_logic_vector(2 downto 0) := "000";
-- Functions
constant FUNC_BEQ: std_logic_vector(2 downto 0) := "000";
constant FUNC_BNE: std_logic_vector(2 downto 0) := "001";
constant FUNC_BLT: std_logic_vector(2 downto 0) := "100";
constant FUNC_BGE: std_logic_vector(2 downto 0) := "101";
constant FUNC_BLTU: std_logic_vector(2 downto 0) := "110";
constant FUNC_BGEU: std_logic_vector(2 downto 0) := "111";
constant FUNC_LB: std_logic_vector(2 downto 0) := "000";
constant FUNC_LH: std_logic_vector(2 downto 0) := "001";
constant FUNC_LW: std_logic_vector(2 downto 0) := "010";
constant FUNC_LBU: std_logic_vector(2 downto 0) := "100";
constant FUNC_LHU: std_logic_vector(2 downto 0) := "101";
constant FUNC_SB: std_logic_vector(2 downto 0) := "000";
constant FUNC_SH: std_logic_vector(2 downto 0) := "001";
constant FUNC_SW: std_logic_vector(2 downto 0) := "010";
constant FUNC_ADDI: std_logic_vector(2 downto 0) := "000";
constant FUNC_SLLI: std_logic_vector(2 downto 0) := "001";
constant FUNC_SLTI: std_logic_vector(2 downto 0) := "010";
constant FUNC_SLTIU: std_logic_vector(2 downto 0) := "011";
constant FUNC_XORI: std_logic_vector(2 downto 0) := "100";
constant FUNC_SRLI_SRAI: std_logic_vector(2 downto 0) := "101";
constant FUNC_ORI: std_logic_vector(2 downto 0) := "110";
constant FUNC_ANDI: std_logic_vector(2 downto 0) := "111";
constant FUNC_ADD_SUB: std_logic_vector(2 downto 0) := "000";
constant FUNC_SLL: std_logic_vector(2 downto 0) := "001";
constant FUNC_SLT: std_logic_vector(2 downto 0) := "010";
constant FUNC_SLTU: std_logic_vector(2 downto 0) := "011";
constant FUNC_XOR: std_logic_vector(2 downto 0) := "100";
constant FUNC_SRL_SRA: std_logic_vector(2 downto 0) := "101";
constant FUNC_OR: std_logic_vector(2 downto 0) := "110";
constant FUNC_AND: std_logic_vector(2 downto 0) := "111";
constant FUNC_FENCE: std_logic_vector(2 downto 0) := "000";
constant FUNC_FENCEI: std_logic_vector(2 downto 0) := "001";
constant FUNC_SCALL_SBREAK: std_logic_vector(2 downto 0) := "000";
constant FUNC_RD: std_logic_vector(2 downto 0) := "010";
constant R0: std_logic_vector(4 downto 0) := "00000";
constant R1: std_logic_vector(4 downto 0) := "00001";
constant R2: std_logic_vector(4 downto 0) := "00010";
constant R3: std_logic_vector(4 downto 0) := "00011";
constant R4: std_logic_vector(4 downto 0) := "00100";
constant R5, T0: std_logic_vector(4 downto 0) := "00101";
constant R6, T1: std_logic_vector(4 downto 0) := "00110";
constant R7, T2: std_logic_vector(4 downto 0) := "00111";
constant R8: std_logic_vector(4 downto 0) := "01000";
constant R9: std_logic_vector(4 downto 0) := "01001";
constant R10: std_logic_vector(4 downto 0) := "01010";
constant R11: std_logic_vector(4 downto 0) := "01011";
constant R12: std_logic_vector(4 downto 0) := "01100";
constant R13: std_logic_vector(4 downto 0) := "01101";
constant R14: std_logic_vector(4 downto 0) := "01110";
constant R15: std_logic_vector(4 downto 0) := "01111";
constant R16: std_logic_vector(4 downto 0) := "10000";
constant R17: std_logic_vector(4 downto 0) := "10001";
constant R18: std_logic_vector(4 downto 0) := "10010";
constant R19: std_logic_vector(4 downto 0) := "10011";
constant R20: std_logic_vector(4 downto 0) := "10100";
constant R21: std_logic_vector(4 downto 0) := "10101";
constant R22: std_logic_vector(4 downto 0) := "10110";
constant R23: std_logic_vector(4 downto 0) := "10111";
constant R24: std_logic_vector(4 downto 0) := "11000";
constant R25: std_logic_vector(4 downto 0) := "11001";
constant R26: std_logic_vector(4 downto 0) := "11010";
constant R27: std_logic_vector(4 downto 0) := "11011";
constant R28: std_logic_vector(4 downto 0) := "11100";
constant R29: std_logic_vector(4 downto 0) := "11101";
constant R30: std_logic_vector(4 downto 0) := "11110";
constant R31: std_logic_vector(4 downto 0) := "11111";
-- muxer ports
constant MUX_BUS_ADDR_PORTS: integer := 2;
constant MUX_BUS_ADDR_PORT_ALU: integer := 0;
constant MUX_BUS_ADDR_PORT_PC: integer := 1;
constant MUX_REG_DATA_PORTS: integer := 4;
constant MUX_REG_DATA_PORT_ALU: integer := 0;
constant MUX_REG_DATA_PORT_BUS: integer := 1;
constant MUX_REG_DATA_PORT_IMM: integer := 2;
constant MUX_REG_DATA_PORT_TRAPRET: integer := 3;
constant MUX_ALU_DAT1_PORTS: integer := 2;
constant MUX_ALU_DAT1_PORT_S1: integer := 0;
constant MUX_ALU_DAT1_PORT_PC: integer := 1;
constant MUX_ALU_DAT2_PORTS: integer := 3;
constant MUX_ALU_DAT2_PORT_S2: integer := 0;
constant MUX_ALU_DAT2_PORT_IMM: integer := 1;
constant MUX_ALU_DAT2_PORT_INSTLEN: integer := 2;
attribute enum_encoding : string;
-- ALU operations, signalled by decode unit
type aluops_t is (ALU_ADD, ALU_SUB, ALU_AND, ALU_OR, ALU_XOR, ALU_SLT, ALU_SLTU, ALU_SLL, ALU_SRL, ALU_SRA);
--attribute enum_encoding of aluops_t : type is "sequential";
-- commands for bus unit
type busops_t is (BUS_READB, BUS_READBU, BUS_READH, BUS_READHU, BUS_READW, BUS_WRITEB, BUS_WRITEH, BUS_WRITEW);
attribute enum_encoding of busops_t : type is "one-hot";
-- commands for program counter unit (PCU)
type pcuops_t is (PCU_SETPC, PCU_ENTERTRAP, PCU_RETTRAP, PCU_ENTERINT, PCU_RETINT);
attribute enum_encoding of pcuops_t : type is "one-hot";
-- commands for register unit
type regops_t is (REGOP_READ, REGOP_WRITE);
attribute enum_encoding of regops_t : type is "sequential";
end constants;
package body constants is
end constants;
|
mit
|
miree/vhdl_cores
|
delay/delay_tb.vhd
|
1
|
1200
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.delay_pkg.all;
entity delay_tb is
end entity;
architecture simulation of delay_tb is
constant clk_period : time := 5 ns;
-- generics for the device under test
constant test_depth : integer := 7; -- delay length of 2^3
constant test_bit_width : integer := 8;
-- signals to connect to fifo
signal clk : std_logic;
signal rst : std_logic;
signal d,q : std_logic_vector ( test_bit_width-1 downto 0 ) := (others => '0');
begin
-- instantiate device under test (dut)
dut : work.delay_pkg.delay
generic map (
depth => test_depth,
bit_width => test_bit_width
)
port map (
clk_i => clk,
rst_i => rst,
d_i => d,
q_o => q
);
clk_gen: process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
rst_initial: process
begin
rst <= '1';
wait for clk_period*20;
rst <= '0';
wait;
end process;
count: process(clk)
begin
if rising_edge(clk) then
d <= std_logic_vector(unsigned(d) + x"01");
end if;
end process;
end architecture;
|
mit
|
jpcofr/PDUAMaude
|
PDUAMaudeModel/doc/PDUA spec/PDUA VHDL Source/MDR.vhdl
|
1
|
1457
|
-- ***********************************************
-- ** PROYECTO PDUA **
-- ** Modulo: MDR (Registro de datos) **
-- ** Creacion: Julio 07 **
-- ** Revisión: Marzo 08 **
-- ** Por: MGH-CMUA-UNIANDES **
-- ***********************************************
-- Descripcion:
-- Registro de Datos
-- HMDR (habilitador)
-- _____|_
-- RD_WR -->| |
-- DATA_EX_in -->| |<-- DATA_ALU
-- DATA_EX_out <--| |--> DATA_C
-- |_______|
--
-- ***********************************************
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity MDR is
Port ( DATA_EX_in : in std_logic_vector(7 downto 0);
DATA_EX_out : out std_logic_vector(7 downto 0);
DATA_ALU : in std_logic_vector(7 downto 0);
DATA_C : out std_logic_vector(7 downto 0);
HMDR : in std_logic;
RD_WR : in std_logic);
end MDR;
architecture Behavioral of MDR is
begin
process(DATA_EX_in,DATA_ALU,HMDR,RD_WR)
begin
if HMDR = '0' then -- no acceso a memoria
DATA_EX_out <= (others => 'Z');
DATA_C <= DATA_ALU;
elsif RD_WR = '0' then --lectura
--DATA_EX_out <= (others => 'Z');
DATA_C <= DATA_EX_in;
else
DATA_EX_out <= DATA_ALU;
DATA_C <= DATA_ALU;
end if;
end process;
end Behavioral;
|
mit
|
Ecodev/gims
|
htdocs/lib/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
miree/vhdl_cores
|
maw/maw.vhd
|
1
|
1797
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity maw is
generic (
-- window width is 2^depth
depth : integer;
input_bit_width : integer
);
port (
clk_i , rst_i : in std_logic;
value_i : in unsigned ( input_bit_width-1 downto 0 );
-- the ouput value's maximum is 2^input_bit_width * 2^depth
value_o : out unsigned ( input_bit_width+depth-1 downto 0 )
);
end entity;
architecture rtl of maw is
signal delayed : std_logic_vector ( input_bit_width-1 downto 0 );
signal sum : unsigned (input_bit_width+depth-1 downto 0);
signal add, sub : unsigned (input_bit_width+depth-1 downto 0);
begin
buf : entity work.delay
generic map (
depth => depth,
bit_width => input_bit_width
)
port map (
clk_i => clk_i,
rst_i => rst_i,
q_o => delayed, -- type conversion only works for inputs (see line below)
-- so here an additional signal is used for type matching
d_i => std_logic_vector(value_i)
);
process (clk_i)
variable leading_zeros : unsigned (depth-1 downto 0) := (others => '0');
begin
if rising_edge(clk_i) then
if rst_i = '1' then
value_o <= (others => '0');
sum <= (others => '0');
sub <= (others => '0');
add <= (others => '0');
else
add <= leading_zeros & value_i ;
sub <= leading_zeros & unsigned(delayed);
-- here it is imporatant to do the subtraction first,
-- otherwise the intermediate value can overflow (be greater than 2^input_bit_width * 2^depth)
sum <= sum - sub + add;
value_o <= sum;
end if;
end if;
end process;
end architecture;
|
mit
|
miree/vhdl_cores
|
uart/uart_chipsim.vhd
|
1
|
4449
|
package uart_chipsim_pkg is
procedure uart_chipsim_init(stop_unitl_connected : boolean);
attribute foreign of uart_chipsim_init : procedure is "VHPIDIRECT uart_chipsim_init";
-- if the function returns a positive integer, it is a valid value
-- if the function returns a negative value it is either
-- TIMEOUT, meaning that nothing was read
-- or HANGUP, meaning that the client disconnected
function uart_chipsim_read(timeout_value : integer) return integer;
attribute foreign of uart_chipsim_read : function is "VHPIDIRECT uart_chipsim_read";
procedure uart_chipsim_write(x : integer);
attribute foreign of uart_chipsim_write : procedure is "VHPIDIRECT uart_chipsim_write";
procedure uart_chipsim_flush;
attribute foreign of uart_chipsim_flush : procedure is "VHPIDIRECT uart_chipsim_flush";
shared variable my_var : integer := 43;
end package;
package body uart_chipsim_pkg is
procedure uart_chipsim_init(stop_unitl_connected : boolean) is
begin
assert false report "VHPI" severity failure;
end procedure;
function uart_chipsim_read(timeout_value : integer) return integer is
begin
assert false report "VHPI" severity failure;
return 0;
end function;
procedure uart_chipsim_write(x : integer) is
begin
assert false report "VHPI" severity failure;
end procedure;
procedure uart_chipsim_flush is
begin
assert false report "VHPI" severity failure;
end procedure;
end package body;
library ieee;
use ieee.math_real.log2;
use ieee.math_real.ceil;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.uart_chipsim_pkg.all;
entity uart_chipsim is
generic (
g_wait_until_connected : boolean := true;
g_continue_after_disconnect : boolean := true;
g_baud_rate : integer := 9600
);
port (
tx_o : out std_logic;
rx_i : in std_logic
);
end entity;
architecture simulation of uart_chipsim is
signal tx : std_logic := '1';
signal clk_internal : std_logic := '1';
constant clk_internal_period : time := 100 ms / g_baud_rate;
signal value_from_file : integer := -1;
signal tx_dat, rx_dat : std_logic_vector(7 downto 0) := (others => '0');
signal tx_stb, rx_stb : std_logic := '0';
signal tx_stall : std_logic := '0';
function fix_rx_dat(rx : std_logic_vector(7 downto 0)) return std_logic_vector is
variable result : std_logic_vector(rx'range) := rx;
begin
for i in result'range loop
if result(i) /= '1' then
result(i) := '0';
end if;
end loop;
return result;
end function;
begin
-- clock generation
clk_internal <= not clk_internal after clk_internal_period/2;
-- instantiate a uart serializer
uart_tx_inst: entity work.uart_tx
generic map (
g_clk_freq => g_baud_rate*10,
g_baud_rate => g_baud_rate,
g_bits => 8)
port map (
clk_i => clk_internal,
dat_i => tx_dat,
stb_i => tx_stb,
stall_o => tx_stall,
tx_o => tx_o
);
uart_rx_inst: entity work.uart_rx
generic map (
g_clk_freq => g_baud_rate*10,
g_baud_rate => g_baud_rate,
g_bits => 8)
port map (
clk_i => clk_internal,
dat_o => rx_dat,
stb_o => rx_stb,
rx_i => rx_i
);
main: process
variable client_connected : boolean;
variable stop_until_client_connects : boolean := g_wait_until_connected;
begin
wait until rising_edge(clk_internal);
while true loop
uart_chipsim_init(stop_until_client_connects);
stop_until_client_connects := not g_continue_after_disconnect;
client_connected := true;
while client_connected loop
wait until rising_edge(clk_internal);
-- get value from device
if value_from_file < 0 then
value_from_file <= uart_chipsim_read(timeout_value=>0);
if value_from_file = -2 then
client_connected := false;
end if;
end if;
-- provide value to simulation
tx_stb <= '0';
if value_from_file >= 0 and tx_stall = '0' then
tx_dat <= std_logic_vector(to_signed(value_from_file,8));
tx_stb <= '1';
value_from_file <= -1;
end if;
if rx_stb = '1' then
uart_chipsim_write(to_integer(unsigned(fix_rx_dat(rx_dat))));
uart_chipsim_flush;
end if;
end loop;
end loop;
end process;
end architecture;
|
mit
|
maikmerten/riscv-tomthumb
|
src/vhdl/cpu/pcu_tb.vhd
|
1
|
2364
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.constants.all;
entity pcu_tb is
end pcu_tb;
architecture Behavior of pcu_tb is
constant I_clk_period : time := 10 ns;
signal I_clk, I_reset : std_logic := '0';
signal I_en: std_logic := '1';
signal I_op: pcuops_t;
signal I_data, O_data, O_trapret: std_logic_vector(XLEN-1 downto 0);
begin
-- instantiate unit under test
uut: entity work.pcu port map(
I_clk => I_clk,
I_en => I_en,
I_reset => I_reset,
I_op => I_op,
I_data => I_data,
O_data => O_data,
O_trapret => O_trapret
);
proc_clock: process
begin
I_clk <= '0';
wait for I_clk_period/2;
I_clk <= '1';
wait for I_clk_period/2;
end process;
proc_stimuli: process
begin
-- test setting the program counter
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
-- test entering and returning from a trap
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
I_data <= X"BEEFCAFE";
I_op <= PCU_ENTERTRAP;
wait until falling_edge(I_clk);
assert O_data = TRAP_VECTOR report "wrong value" severity failure;
I_op <= PCU_RETTRAP;
wait until falling_edge(I_clk);
assert O_data = X"BEEFCAFE" report "wrong value" severity failure;
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
assert O_trapret = X"BEEFCAFE" report "wrong value" severity failure;
-- test entering and returning from an interrupt
wait until falling_edge(I_clk);
I_data <= X"CAFEBABE";
I_op <= PCU_SETPC;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
I_data <= X"BEEFCAFE";
I_op <= PCU_ENTERINT;
wait until falling_edge(I_clk);
assert O_data = INTERRUPT_VECTOR report "wrong value" severity failure;
I_op <= PCU_RETINT;
wait until falling_edge(I_clk);
assert O_data = X"CAFEBABE" report "wrong value" severity failure;
wait for I_clk_period;
assert false report "end of simulation" severity failure;
end process;
end architecture;
|
mit
|
maikmerten/riscv-tomthumb
|
src/vhdl/cpu/pcu.vhd
|
1
|
1962
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.constants.all;
entity pcu is
port(
I_clk: in std_logic;
I_en: in std_logic;
I_reset: in std_logic;
I_op: in pcuops_t;
I_data: in std_logic_vector(XLEN-1 downto 0);
O_data: out std_logic_vector(XLEN-1 downto 0);
O_trapret: out std_logic_vector(XLEN-1 downto 0)
);
end pcu;
architecture Behavioral of pcu is
signal pc,ret_trap,ret_interrupt: std_logic_vector(XLEN-1 downto 1) := RESET_VECTOR(XLEN-1 downto 1);
begin
process(I_clk, I_en, I_reset, I_op, I_data)
variable newpc: std_logic_vector(XLEN-1 downto 0) := XLEN_ZERO;
begin
if rising_edge(I_clk) and I_en = '1' then
case I_op is
-- load and output program counter value
when PCU_SETPC =>
pc <= I_data(XLEN-1 downto 1);
-- output trap vector and save return address
-- NOTE: a return address needs to be computed beforehand
-- for that, the ALU will compute (PC + INSTR_LEN)
when PCU_ENTERTRAP =>
ret_trap <= I_data(XLEN-1 downto 1);
pc <= TRAP_VECTOR(XLEN-1 downto 1);
-- set program counter to trap return address
when PCU_RETTRAP =>
pc <= ret_trap;
-- output interrupt vector and save return address
-- Note: the return address is the original pc value, unlike traps
when PCU_ENTERINT =>
ret_interrupt <= pc;
pc <= INTERRUPT_VECTOR(XLEN-1 downto 1);
-- set program counter to interrupt return address
when PCU_RETINT =>
pc <= ret_interrupt;
end case;
if I_reset = '1' then
pc <= RESET_VECTOR(XLEN-1 downto 1);
ret_trap <= RESET_VECTOR(XLEN-1 downto 1);
ret_interrupt <= RESET_VECTOR(XLEN-1 downto 1);
end if;
end if;
end process;
-- process to drive O_data
process(pc)
begin
O_data <= pc & '0';
end process;
-- process to drive O_trapret
process(ret_trap)
begin
O_trapret <= ret_trap & '0';
end process;
end Behavioral;
|
mit
|
maikmerten/riscv-tomthumb
|
boards/de0-nano/vhdl/wizpll/wizpll.vhd
|
1
|
14812
|
-- megafunction wizard: %ALTPLL%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altpll
-- ============================================================
-- File Name: wizpll.vhd
-- Megafunction Name(s):
-- altpll
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 15.1.0 Build 185 10/21/2015 SJ Lite Edition
-- ************************************************************
--Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, the Altera Quartus Prime License Agreement,
--the Altera MegaCore Function License Agreement, or other
--applicable license agreement, including, without limitation,
--that your use is for the sole purpose of programming logic
--devices manufactured by Altera and sold by Altera or its
--authorized distributors. Please refer to the applicable
--agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY wizpll IS
PORT
(
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC
);
END wizpll;
ARCHITECTURE SYN OF wizpll IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
bandwidth_type : STRING;
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
compensate_clock : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
pll_type : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING;
width_clock : NATURAL
);
PORT (
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire4_bv(0 DOWNTO 0) <= "0";
sub_wire4 <= To_stdlogicvector(sub_wire4_bv);
sub_wire1 <= sub_wire0(0);
c0 <= sub_wire1;
sub_wire2 <= inclk0;
sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2;
altpll_component : altpll
GENERIC MAP (
bandwidth_type => "AUTO",
clk0_divide_by => 1,
clk0_duty_cycle => 50,
clk0_multiply_by => 1,
clk0_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
intended_device_family => "Cyclone IV E",
lpm_hint => "CBX_MODULE_PREFIX=wizpll",
lpm_type => "altpll",
operation_mode => "NORMAL",
pll_type => "AUTO",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_UNUSED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_UNUSED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_UNUSED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED",
width_clock => 5
)
PORT MAP (
inclk => sub_wire3,
clk => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "10"
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "5.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "wizpll.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "10"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL wizpll_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
|
mit
|
maikmerten/riscv-tomthumb
|
src/vhdl/leds/leds_wb8.vhd
|
1
|
923
|
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.constants.all;
entity leds_wb8 is
Port(
-- naming according to Wishbone B4 spec
ADR_I: in std_logic_vector(31 downto 0);
CLK_I: in std_logic;
DAT_I: in std_logic_vector(7 downto 0);
STB_I: in std_logic;
WE_I: in std_logic;
ACK_O: out std_logic;
DAT_O: out std_logic_vector(7 downto 0);
-- control signal for onboard LEDs
O_leds: out std_logic_vector(7 downto 0)
);
end leds_wb8;
architecture Behavioral of leds_wb8 is
signal led_value: std_logic_vector(7 downto 0) := X"00";
begin
O_leds <= led_value;
process(CLK_I)
begin
if rising_edge(CLK_I) then
if STB_I = '1' then
if WE_I = '1' and ADR_I(3 downto 0) = X"0" then
led_value <= DAT_I;
else
DAT_O <= led_value;
end if;
ACK_O <= '1';
else
ACK_O <= '0';
end if;
end if;
end process;
end Behavioral;
|
mit
|
Derek-X-Wang/VGA-Text-Generator
|
VGA-Text-Generator.srcs/sources_1/new/wrapper.vhd
|
1
|
3564
|
-- This is a wrapper made for calling Pixel_On_Text.vhd form verilog
-- Since I'm not familiar with mapping string and structure(point_2d) bewteen verilog and vhdl, this is a simple walkaround.
-- By using Pixel_On_Text2.vhd, this file may not be necessary anymore.
-- However, sometimes it's a bit more convenient to group all you text in one place.
-- I also include some sample code for acheiving dynamic text(a simple way).
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
-- note this line.The package is compiled to this directory by default.
-- so don't forget to include this directory.
library work;
-- this line also is must.This includes the particular package into your program.
use work.commonPak.all;
entity wrapper is
Port (
clk: in std_logic;
xCoord: in std_logic_vector(11 downto 0);
yCoord: in std_logic_vector(11 downto 0);
pixOn: out std_logic
);
end wrapper;
architecture Behavioral of wrapper is
signal h : integer := to_integer(signed(xCoord));
signal v : integer := to_integer(signed(yCoord));
-- results
signal d1 : std_logic := '0';
signal d2 : std_logic := '0';
signal d3 : std_logic := '0';
begin
textElement1: entity work.Pixel_On_Text
generic map (
textLength => 38
)
port map(
clk => clk,
displayText => "Pixel_On_Text -- test 1!@#$ at (50,50)",
position => (50, 50),
horzCoord => h,
vertCoord => v,
pixel => d1
);
textElement2: entity work.Pixel_On_Text
generic map (
textLength => 39
)
port map(
clk => clk,
displayText => "Pixel_On_Text -- test 2%^&* at (500,50)",
position => (500, 50),
horzCoord => h,
vertCoord => v,
pixel => d2
);
textElement3: entity work.Pixel_On_Text
generic map (
textLength => 41
)
port map(
clk => clk,
displayText => "Pixel_On_Text -- test 3()_+-= at (50,130)",
position => (50, 130),
horzCoord => h,
vertCoord => v,
pixel => d3
);
-- -- This is a simply way for a dynamic text. Of course, I know you probably have a better solution :)
-- -- With a new input "timeDiv", we can switch on different string
-- with timeDiv select
-- timeDivDigitNum <= "Time/Div: 0.8 sec/div " when 0,
-- "Time/Div: 0.2 sec/div " when 1,
-- "Time/Div: 0.1 sec/div " when 2,
-- "Time/Div: 100 ms/div " when 3,
-- "Time/Div: 50 ms/div " when 4,
-- "Time/Div: 10 ms/div " when 5,
-- "Time/Div: 1 ms/div " when 6,
-- "Time/Div: 0.1ms/div " when 7,
-- "Time/Div: unknown " when OTHERS;
-- textDrawElement4: entity work.Pixel_On_Text
-- generic map (
-- textLength => 23
-- )
-- port map(
-- clk => clk,
-- reset => reset,
-- textPassage => timeDivDigitNum ,--& integer'image(timeDiv),
-- position => (70, 90),
-- hCount => h,
-- vCount => v,
-- drawElement => d4
-- );
pixelInTextGroup: process(clk)
begin
if rising_edge(clk) then
-- the pixel is on when one of the text matched
pixOn <= d1 or d2 or d3;
end if;
end process;
end Behavioral;
|
mit
|
notti/schaltungstechnik_vertiefung
|
Assignement/Task5/ps2receiver.vhd
|
1
|
3532
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
entity ps2Receiver is
generic(
TIMEOUT :integer := 5000 -- = 100us at 50Mhz
);
port(
clk : in std_logic;
rst : in std_logic;
-- data
rxData : out std_logic_vector(7 downto 0);
-- module sync signals
dataReady : out std_logic;
dataFetched : in std_logic;
-- ps2 pins
ps2Data : in std_logic;
ps2Clk : in std_logic
);
end entity ps2Receiver;
architecture RTL of ps2Receiver is
signal ps2Clk_sync : std_logic_vector(1 downto 0);
signal ps2Clk_dly : std_logic;
signal ps2Clk_fall : std_logic;
type state_type is (idle, wait_start, shift, check);
signal fsm : state_type;
signal data : std_logic_vector(10 downto 0);
signal bit_cnt : integer;
signal timeout_cnt : integer;
function xor_many(input : std_logic_vector) return std_logic is
variable result : std_logic;
variable i : integer;
begin
result := '0';
for i in input'low to input'high
loop
result := result xor input(i);
end loop;
return result;
end;
begin
ps2Clk_synchronizer: process(clk)
begin
if rising_edge(clk) then
ps2Clk_sync <= ps2Clk & ps2Clk_sync(1);
end if;
end process ps2Clk_synchronizer;
ps2Clk_delay: process(clk)
begin
if rising_edge(clk) then
ps2Clk_dly <= ps2Clk_sync(0);
end if;
end process ps2Clk_delay;
ps2Clk_fall <= (not ps2Clk_sync(0)) and ps2Clk_dly;
timeout_process: process(clk, rst)
begin
if rst = '1' then
timeout_cnt <= 0;
elsif rising_edge(clk) then
if ps2Clk_fall = '1' or timeout_cnt = TIMEOUT then
timeout_cnt <= 0;
else
timeout_cnt <= timeout_cnt + 1;
end if;
end if;
end process;
fsm_p: process(clk, rst)
begin
if rst = '1' then
fsm <= idle;
bit_cnt <= 0;
data <= (others => '1');
rxData <= (others => '0');
dataReady <= '0';
elsif rising_edge(clk) then
case fsm is
when idle =>
if dataFetched = '1' then
fsm <= wait_start;
dataReady <= '0';
end if;
when wait_start =>
if ps2Clk_fall = '1' and ps2Data = '0' then
fsm <= shift;
bit_cnt <= 0;
data <= (10 => '0', others => '1');
end if;
when shift =>
if timeout_cnt = TIMEOUT then
fsm <= idle;
end if;
if ps2Clk_fall = '1' then
bit_cnt <= bit_cnt + 1;
data <= ps2Data & data(10 downto 1);
end if;
if bit_cnt = 10 then
fsm <= check;
end if;
when check =>
fsm <= idle;
if xor_many(data(9 downto 1)) = '1' and data(0) = '0' and data(10) = '1' then
rxData <= data(8 downto 1);
dataReady <= '1';
end if;
end case;
end if;
end process fsm_p;
end architecture RTL;
|
mit
|
lucas2213690/TEC429--Projetos-de-Circuitos-Digitais
|
PBL_3/Comparador21.vhd
|
1
|
5041
|
-- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_compare
-- ============================================================
-- File Name: Comparador21.vhd
-- Megafunction Name(s):
-- lpm_compare
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.0 Build 132 02/25/2009 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY Comparador21 IS
PORT
(
clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
AeB : OUT STD_LOGIC ;
AgB : OUT STD_LOGIC
);
END Comparador21;
ARCHITECTURE SYN OF comparador21 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2_bv : BIT_VECTOR (4 DOWNTO 0);
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_pipeline : NATURAL;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
clock : IN STD_LOGIC ;
AgB : OUT STD_LOGIC ;
AeB : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
sub_wire2_bv(4 DOWNTO 0) <= "10101";
sub_wire2 <= To_stdlogicvector(sub_wire2_bv);
AgB <= sub_wire0;
AeB <= sub_wire1;
lpm_compare_component : lpm_compare
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_pipeline => 1,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 5
)
PORT MAP (
dataa => dataa,
datab => sub_wire2,
clock => clock,
AgB => sub_wire0,
AeB => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "1"
-- Retrieval info: PRIVATE: AgeB NUMERIC "0"
-- Retrieval info: PRIVATE: AgtB NUMERIC "1"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "ACEX1K"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
-- Retrieval info: PRIVATE: Latency NUMERIC "1"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "21"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "5"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "5"
-- Retrieval info: USED_PORT: AeB 0 0 0 0 OUTPUT NODEFVAL AeB
-- Retrieval info: USED_PORT: AgB 0 0 0 0 OUTPUT NODEFVAL AgB
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: dataa 0 0 5 0 INPUT NODEFVAL dataa[4..0]
-- Retrieval info: CONNECT: AeB 0 0 0 0 @AeB 0 0 0 0
-- Retrieval info: CONNECT: AgB 0 0 0 0 @AgB 0 0 0 0
-- Retrieval info: CONNECT: @dataa 0 0 5 0 dataa 0 0 5 0
-- Retrieval info: CONNECT: @datab 0 0 5 0 21 0 0 0 0
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21.inc TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL Comparador21_wave*.jpg TRUE
-- Retrieval info: LIB_FILE: lpm
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/svga_hello_world/pwm.vhd
|
15
|
1069
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity PWM is
generic(
MAX_VAL : integer := 256;
CLOCK_DIVIDER : integer := 256
);
port(
CLK : in std_logic;
DATA : in std_logic_vector(31 downto 0);
DATA_STB : in std_logic;
DATA_ACK : out std_logic;
OUT_BIT : out std_logic
);
end entity PWM;
architecture RTL of PWM is
signal TIMER : integer range 0 to CLOCK_DIVIDER - 1 := CLOCK_DIVIDER - 1;
signal COUNT : integer range 0 to MAX_VAL - 1 := 0;
signal PWM_VAL : integer range 0 to MAX_VAL := 0;
begin
DATA_ACK <= '1';
process
begin
wait until rising_edge(CLK);
if DATA_STB = '1' then
PWM_VAL <= to_integer(unsigned(DATA));
end if;
if TIMER = 0 then
if COUNT = MAX_VAL - 1 then
COUNT <= 0;
else
COUNT <= COUNT + 1;
end if;
TIMER <= CLOCK_DIVIDER-1;
else
TIMER <= TIMER-1;
end if;
if COUNT >= PWM_VAL then
OUT_BIT <= '0';
else
OUT_BIT <= '1';
end if;
end process;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/audio_output/pwm.vhd
|
15
|
1069
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity PWM is
generic(
MAX_VAL : integer := 256;
CLOCK_DIVIDER : integer := 256
);
port(
CLK : in std_logic;
DATA : in std_logic_vector(31 downto 0);
DATA_STB : in std_logic;
DATA_ACK : out std_logic;
OUT_BIT : out std_logic
);
end entity PWM;
architecture RTL of PWM is
signal TIMER : integer range 0 to CLOCK_DIVIDER - 1 := CLOCK_DIVIDER - 1;
signal COUNT : integer range 0 to MAX_VAL - 1 := 0;
signal PWM_VAL : integer range 0 to MAX_VAL := 0;
begin
DATA_ACK <= '1';
process
begin
wait until rising_edge(CLK);
if DATA_STB = '1' then
PWM_VAL <= to_integer(unsigned(DATA));
end if;
if TIMER = 0 then
if COUNT = MAX_VAL - 1 then
COUNT <= 0;
else
COUNT <= COUNT + 1;
end if;
TIMER <= CLOCK_DIVIDER-1;
else
TIMER <= TIMER-1;
end if;
if COUNT >= PWM_VAL then
OUT_BIT <= '0';
else
OUT_BIT <= '1';
end if;
end process;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/image_processor/bsp.vhd
|
15
|
24719
|
-------------------------------------------------------------------------------
---
--- CHIPS - 2.0 Simple Web App Demo
---
--- :Author: Jonathan P Dawson
--- :Date: 04/04/2014
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2014
---
--------------------------------------------------------------------------------
---
--- +--------------+
--- | CLOCK TREE |
--- +--------------+
--- | >-- CLK1 (50MHz) ---> CLK
--- CLK_IN >--> |
--- | >-- CLK2 (100MHz)
--- | | +-------+
--- | +-- CLK3 (125MHz) ->+ ODDR2 +-->[GTXCLK]
--- | | | |
--- | +-- CLK3_N (125MHZ) ->+ |
--- | | +-------+
--- RST >-----> >-- CLK4 (200MHz)
--- | |
--- | |
--- | | CLK >--+--------+
--- | | | |
--- | | +--v-+ +--v-+
--- | | | | | |
--- | LOCKED >------> >---> >-------> INTERNAL_RESET
--- | | | | | |
--- +--------------+ +----+ +----+
---
--- +-------------+
--- | USER DESIGN |
--- +-------------+
--- | |
--- | <-------< SWITCHES
--- | |
--- | >-------> LEDS
--- | |
--- | <-------< BUTTONS
--- | |
--- | >-------> SEVEN_SEGMENT_CATHODE
--- | |
--- | >-------> SEVEN_SEGMENT_ANNODE
--- | |
--- | | +--------------+
--- | | | UART |
--- | | +--------------+
--- | >-----> >-----> RS232-TX
--- | | | |
--- | | | <-------< RS232-RX
--- +---v-----^---+ +--------------+
--- | |
--- | |
--- +---v-----^---+
--- | ETHERNET |
--- | MAC |
--- +-------------+
--- | +------> [PHY_RESET]
--- | |
---[RXCLK] ----->+ +------> [TXCLK]
--- | |
--- | |
--- | |
--- [RXD] ----->+ +------> [TXD]
--- | |
--- [RXDV] ----->+ +------> [TXEN]
--- | |
--- [RXER] ----->+ +------> [TXER]
--- | |
--- | |
--- +-------------+
---
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity BSP is
port(
CLK_IN : in std_logic;
RST : in std_logic;
--PHY INTERFACE
ETH_CLK : out std_logic;
PHY_RESET_N : out std_logic;
RXDV : in std_logic;
RXER : in std_logic;
RXD : in std_logic_vector(1 downto 0);
TXD : out std_logic_vector(1 downto 0);
TXEN : out std_logic;
JC : inout std_logic_vector(7 downto 0);
--I2C
SDA : inout std_logic;
SCL : inout std_logic;
--PS2 keyboard interface
KD : in std_logic;
KC : in std_logic;
--AUDIO interface
AUDIO : out std_logic;
AUDIO_EN : out std_logic;
--VGA interface
VGA_R : out Std_logic_vector(3 downto 0);
VGA_G : out Std_logic_vector(3 downto 0);
VGA_B : out Std_logic_vector(3 downto 0);
HSYNCH : out Std_logic;
VSYNCH : out Std_logic;
--LEDS
GPIO_LEDS : out std_logic_vector(15 downto 0);
GPIO_SWITCHES : in std_logic_vector(15 downto 0);
GPIO_BUTTONS : in std_logic_vector(4 downto 0);
--RGB LED
LED_R_PWM : out std_logic;
LED_G_PWM : out std_logic;
LED_B_PWM : out std_logic;
SEVEN_SEGMENT_CATHODE : out std_logic_vector(6 downto 0);
SEVEN_SEGMENT_ANNODE : out std_logic_vector(7 downto 0);
--RS232 INTERFACE
RS232_RX : in std_logic;
RS232_TX : out std_logic
);
end entity BSP;
architecture RTL of BSP is
component rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
PHY_RESET : out std_logic;
--MII IF
TXD : out std_logic_vector(1 downto 0);
TXER : out std_logic;
TXEN : out std_logic;
RXD : in std_logic_vector(1 downto 0);
RXER : in std_logic;
RXDV : in std_logic;
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end component rmii_ethernet;
component CHARSVGA is
port (
CLK : in Std_logic;
DATA : in Std_logic_vector(31 downto 0);
DATA_ACK : out Std_logic;
DATA_STB : in Std_logic;
--VGA interface
VGACLK : in Std_logic;
RST : in Std_logic;
R : out Std_logic;
G : out Std_logic;
B : out Std_logic;
HSYNCH : out Std_logic;
VSYNCH : out Std_logic
);
end component CHARSVGA;
component PWM is
generic(
MAX_VAL : integer := 256;
CLOCK_DIVIDER : integer := 256
);
port(
CLK : in std_logic;
DATA : in std_logic_vector(31 downto 0);
DATA_STB : in std_logic;
DATA_ACK : out std_logic;
OUT_BIT : out std_logic
);
end component PWM;
component KEYBOARD is
port (
CLK : in Std_logic;
RST : in Std_logic;
DATA_STB : out Std_logic;
DATA_ACK : in Std_logic;
DATA : out Std_logic_vector (31 downto 0);
KD : in Std_logic;
KC : in Std_logic
);
end component KEYBOARD;
component I2C is
generic(
CLOCKS_PER_SECOND : integer := 50000000;
SPEED : integer := 100000
);
port(
CLK : in std_logic;
RST : in std_logic;
SDA : inout std_logic;
SCL : inout std_logic;
I2C_IN : in std_logic_vector(31 downto 0);
I2C_IN_STB : in std_logic;
I2C_IN_ACK : out std_logic;
I2C_OUT : out std_logic_vector(31 downto 0);
I2C_OUT_STB : out std_logic;
I2C_OUT_ACK : in std_logic
);
end component I2C;
component USER_DESIGN is
port(
CLK : in std_logic;
RST : in std_logic;
OUTPUT_LEDS : out std_logic_vector(31 downto 0);
OUTPUT_LEDS_STB : out std_logic;
OUTPUT_LEDS_ACK : in std_logic;
INPUT_SWITCHES : in std_logic_vector(31 downto 0);
INPUT_SWITCHES_STB : in std_logic;
INPUT_SWITCHES_ACK : out std_logic;
INPUT_BUTTONS : in std_logic_vector(31 downto 0);
INPUT_BUTTONS_STB : in std_logic;
INPUT_BUTTONS_ACK : out std_logic;
OUTPUT_VGA : out Std_logic_vector(31 downto 0);
OUTPUT_VGA_ACK : in Std_logic;
OUTPUT_VGA_STB : out Std_logic;
OUTPUT_AUDIO : out std_logic_vector(31 downto 0);
OUTPUT_AUDIO_STB : out std_logic;
OUTPUT_AUDIO_ACK : in std_logic;
OUTPUT_LED_R : out std_logic_vector(31 downto 0);
OUTPUT_LED_R_STB : out std_logic;
OUTPUT_LED_R_ACK : in std_logic;
OUTPUT_LED_G : out std_logic_vector(31 downto 0);
OUTPUT_LED_G_STB : out std_logic;
OUTPUT_LED_G_ACK : in std_logic;
OUTPUT_LED_B : out std_logic_vector(31 downto 0);
OUTPUT_LED_B_STB : out std_logic;
OUTPUT_LED_B_ACK : in std_logic;
OUTPUT_SEVEN_SEGMENT_CATHODE : out std_logic_vector(31 downto 0);
OUTPUT_SEVEN_SEGMENT_CATHODE_STB : out std_logic;
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK : in std_logic;
OUTPUT_SEVEN_SEGMENT_ANNODE : out std_logic_vector(31 downto 0);
OUTPUT_SEVEN_SEGMENT_ANNODE_STB : out std_logic;
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK : in std_logic;
INPUT_PS2 : in std_logic_vector(31 downto 0);
INPUT_PS2_STB : in std_logic;
INPUT_PS2_ACK : out std_logic;
INPUT_I2C : in std_logic_vector(31 downto 0);
INPUT_I2C_STB : in std_logic;
INPUT_I2C_ACK : out std_logic;
OUTPUT_I2C : out std_logic_vector(31 downto 0);
OUTPUT_I2C_STB : out std_logic;
OUTPUT_I2C_ACK : in std_logic;
--ETH RX STREAM
INPUT_ETH_RX : in std_logic_vector(31 downto 0);
INPUT_ETH_RX_STB : in std_logic;
INPUT_ETH_RX_ACK : out std_logic;
--ETH TX STREAM
OUTPUT_ETH_TX : out std_logic_vector(31 downto 0);
OUTPUT_ETH_TX_STB : out std_logic;
OUTPUT_ETH_TX_ACK : in std_logic;
--RS232 RX STREAM
INPUT_RS232_RX : in std_logic_vector(31 downto 0);
INPUT_RS232_RX_STB : in std_logic;
INPUT_RS232_RX_ACK : out std_logic;
--RS232 TX STREAM
OUTPUT_RS232_TX : out std_logic_vector(31 downto 0);
OUTPUT_RS232_TX_STB : out std_logic;
OUTPUT_RS232_TX_ACK : in std_logic
);
end component;
component SERIAL_INPUT is
generic(
CLOCK_FREQUENCY : integer;
BAUD_RATE : integer
);
port(
CLK : in std_logic;
RST : in std_logic;
RX : in std_logic;
OUT1 : out std_logic_vector(7 downto 0);
OUT1_STB : out std_logic;
OUT1_ACK : in std_logic
);
end component SERIAL_INPUT;
component SERIAL_OUTPUT is
generic(
CLOCK_FREQUENCY : integer;
BAUD_RATE : integer
);
port(
CLK : in std_logic;
RST : in std_logic;
TX : out std_logic;
IN1 : in std_logic_vector(7 downto 0);
IN1_STB : in std_logic;
IN1_ACK : out std_logic
);
end component serial_output;
component pwm_audio is
generic(
CLOCK_FREQUENCY : integer := 50000000;
SAMPLE_RATE : integer := 44100;
AUDIO_BITS : integer := 8
);
port(
CLK : in std_logic;
RST : in std_logic;
DATA_IN : in std_logic_vector(31 downto 0);
DATA_IN_STB : in std_logic;
DATA_IN_ACK : out std_logic;
AUDIO : out std_logic
);
end component pwm_audio;
--chips signals
signal CLK : std_logic;
--clock tree signals
signal clkin1 : std_logic;
-- Output clock buffering
signal clkfb : std_logic;
signal clk0 : std_logic;
signal clk2x : std_logic;
signal clkfx : std_logic;
signal clkfx180 : std_logic;
signal clkdv : std_logic;
signal clkfbout : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(7 downto 0);
signal CLK_OUT1 : std_logic;
signal CLK_OUT2 : std_logic;
signal CLK_OUT3 : std_logic;
signal CLK_OUT3_N : std_logic;
signal CLK_OUT4 : std_logic;
signal NOT_LOCKED : std_logic;
signal RST_INV : std_logic;
signal INTERNAL_RST : std_logic;
--GPIO signals
signal OUTPUT_LEDS : std_logic_vector(31 downto 0);
signal OUTPUT_LEDS_STB : std_logic;
signal OUTPUT_LEDS_ACK : std_logic;
signal INPUT_SWITCHES : std_logic_vector(31 downto 0);
signal INPUT_SWITCHES_STB : std_logic;
signal INPUT_SWITCHES_ACK : std_logic;
signal GPIO_SWITCHES_D : std_logic_vector(15 downto 0);
signal INPUT_BUTTONS : std_logic_vector(31 downto 0);
signal INPUT_BUTTONS_STB : std_logic;
signal INPUT_BUTTONS_ACK : std_logic;
signal GPIO_BUTTONS_D : std_logic_vector(4 downto 0);
--SEVEN SEGMENT DISPLAY STREAM
signal OUTPUT_SEVEN_SEGMENT_CATHODE : std_logic_vector(31 downto 0);
signal OUTPUT_SEVEN_SEGMENT_CATHODE_STB : std_logic;
signal OUTPUT_SEVEN_SEGMENT_CATHODE_ACK : std_logic;
signal OUTPUT_SEVEN_SEGMENT_ANNODE : std_logic_vector(31 downto 0);
signal OUTPUT_SEVEN_SEGMENT_ANNODE_STB : std_logic;
signal OUTPUT_SEVEN_SEGMENT_ANNODE_ACK : std_logic;
--AUDIO
signal OUTPUT_AUDIO : std_logic_vector(31 downto 0);
signal OUTPUT_AUDIO_STB : std_logic;
signal OUTPUT_AUDIO_ACK : std_logic;
--Interface for SVGA
signal VGACLK : std_logic;
signal VGA_RR : std_logic;
signal VGA_GG : std_logic;
signal VGA_BB : std_logic;
signal OUTPUT_VGA : std_logic_vector(31 downto 0);
signal OUTPUT_VGA_ACK : std_logic;
signal OUTPUT_VGA_STB : std_logic;
--PS2 interface for kb/mouse
signal PS2_STB : std_logic;
signal PS2_ACK : std_logic;
signal PS2 : std_logic_vector (31 downto 0);
--I2C interface for temperature monitor
signal INPUT_I2C : std_logic_vector(31 downto 0);
signal INPUT_I2C_STB : std_logic;
signal INPUT_I2C_ACK : std_logic;
signal OUTPUT_I2C : std_logic_vector(31 downto 0);
signal OUTPUT_I2C_STB : std_logic;
signal OUTPUT_I2C_ACK : std_logic;
--ETH TX STREAM
signal ETH_TX : std_logic_vector(31 downto 0);
signal ETH_TX_STB : std_logic;
signal ETH_TX_ACK : std_logic;
--ETH RX STREAM
signal ETH_RX : std_logic_vector(31 downto 0);
signal ETH_RX_STB : std_logic;
signal ETH_RX_ACK : std_logic;
--RS232 RX STREAM
signal INPUT_RS232_RX : std_logic_vector(31 downto 0);
signal INPUT_RS232_RX_STB : std_logic;
signal INPUT_RS232_RX_ACK : std_logic;
--RS232 TX STREAM
signal OUTPUT_RS232_TX : std_logic_vector(31 downto 0);
signal OUTPUT_RS232_TX_STB : std_logic;
signal OUTPUT_RS232_TX_ACK : std_logic;
--tri color LED signals
signal LED_R : std_logic_vector(31 downto 0);
signal LED_R_STB : std_logic;
signal LED_R_ACK : std_logic;
signal LED_G : std_logic_vector(31 downto 0);
signal LED_G_STB : std_logic;
signal LED_G_ACK : std_logic;
signal LED_B : std_logic_vector(31 downto 0);
signal LED_B_STB : std_logic;
signal LED_B_ACK : std_logic;
begin
ethernet_inst_1 : rmii_ethernet port map(
CLK => CLK,
RST => INTERNAL_RST,
--GMII IF
ETH_CLK => CLK_OUT1,
TXD => TXD,
TXER => open,
TXEN => TXEN,
PHY_RESET => PHY_RESET_N,
RXD => RXD,
RXER => RXER,
RXDV => RXDV,
--RX STREAM
TX => ETH_TX(15 downto 0),
TX_STB => ETH_TX_STB,
TX_ACK => ETH_TX_ACK,
--RX STREAM
RX => ETH_RX(15 downto 0),
RX_STB => ETH_RX_STB,
RX_ACK => ETH_RX_ACK
);
CHARSVGA_INST_1 : CHARSVGA port map(
CLK => CLK,
DATA => OUTPUT_VGA,
DATA_ACK => OUTPUT_VGA_ACK,
DATA_STB => OUTPUT_VGA_STB,
--VGA interface
VGACLK => VGACLK,
RST => INTERNAL_RST,
R => VGA_RR,
G => VGA_GG,
B => VGA_BB,
HSYNCH => HSYNCH,
VSYNCH => VSYNCH
);
generate_vga : for I in 0 to 3 generate
VGA_R(I) <= VGA_RR;
VGA_G(I) <= VGA_GG;
VGA_B(I) <= VGA_BB;
end generate;
pwm_audio_inst_1 : pwm_audio
generic map(
CLOCK_FREQUENCY => 50000000,
SAMPLE_RATE => 44100,
AUDIO_BITS => 8
) port map (
CLK => CLK,
RST => INTERNAL_RST,
DATA_IN => OUTPUT_AUDIO,
DATA_IN_STB => OUTPUT_AUDIO_STB,
DATA_IN_ACK => OUTPUT_AUDIO_ACK,
AUDIO => AUDIO
);
AUDIO_EN <= '1';
JC(0) <= OUTPUT_AUDIO_STB;
JC(1) <= OUTPUT_AUDIO_ACK;
USER_DESIGN_INST_1 : USER_DESIGN port map(
CLK => CLK,
RST => INTERNAL_RST,
--GPIO interfaces
OUTPUT_LEDS => OUTPUT_LEDS,
OUTPUT_LEDS_STB => OUTPUT_LEDS_STB,
OUTPUT_LEDS_ACK => OUTPUT_LEDS_ACK,
INPUT_SWITCHES => INPUT_SWITCHES,
INPUT_SWITCHES_STB => INPUT_SWITCHES_STB,
INPUT_SWITCHES_ACK => INPUT_SWITCHES_ACK,
INPUT_BUTTONS => INPUT_BUTTONS,
INPUT_BUTTONS_STB => INPUT_BUTTONS_STB,
INPUT_BUTTONS_ACK => INPUT_BUTTONS_ACK,
--VGA interfave
OUTPUT_VGA => OUTPUT_VGA,
OUTPUT_VGA_ACK => OUTPUT_VGA_ACK,
OUTPUT_VGA_STB => OUTPUT_VGA_STB,
--TRI color LED interface
OUTPUT_LED_R => LED_R,
OUTPUT_LED_R_STB => LED_R_STB,
OUTPUT_LED_R_ACK => LED_R_ACK,
OUTPUT_LED_G => LED_G,
OUTPUT_LED_G_STB => LED_G_STB,
OUTPUT_LED_G_ACK => LED_G_ACK,
OUTPUT_LED_B => LED_B,
OUTPUT_LED_B_STB => LED_B_STB,
OUTPUT_LED_B_ACK => LED_B_ACK,
--RS232 RX STREAM
INPUT_RS232_RX => INPUT_RS232_RX,
INPUT_RS232_RX_STB => INPUT_RS232_RX_STB,
INPUT_RS232_RX_ACK => INPUT_RS232_RX_ACK,
--RS232 TX STREAM
OUTPUT_RS232_TX => OUTPUT_RS232_TX,
OUTPUT_RS232_TX_STB => OUTPUT_RS232_TX_STB,
OUTPUT_RS232_TX_ACK => OUTPUT_RS232_TX_ACK,
--AUDIO OUT
OUTPUT_AUDIO => OUTPUT_AUDIO,
OUTPUT_AUDIO_STB => OUTPUT_AUDIO_STB,
OUTPUT_AUDIO_ACK => OUTPUT_AUDIO_ACK,
--SEVEN SEGMENT DISPLAY INTERFACE
OUTPUT_SEVEN_SEGMENT_CATHODE => OUTPUT_SEVEN_SEGMENT_CATHODE,
OUTPUT_SEVEN_SEGMENT_CATHODE_STB => OUTPUT_SEVEN_SEGMENT_CATHODE_STB,
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK => OUTPUT_SEVEN_SEGMENT_CATHODE_ACK,
OUTPUT_SEVEN_SEGMENT_ANNODE => OUTPUT_SEVEN_SEGMENT_ANNODE,
OUTPUT_SEVEN_SEGMENT_ANNODE_STB => OUTPUT_SEVEN_SEGMENT_ANNODE_STB,
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK => OUTPUT_SEVEN_SEGMENT_ANNODE_ACK,
--PS2 KEYBOAD INTERFACE
INPUT_PS2_STB => PS2_STB,
INPUT_PS2_ACK => PS2_ACK,
INPUT_PS2 => PS2,
--I2C interface for temperature monitor
INPUT_I2C => OUTPUT_I2C,
INPUT_I2C_STB => OUTPUT_I2C_STB,
INPUT_I2C_ACK => OUTPUT_I2C_ACK,
OUTPUT_I2C => INPUT_I2C,
OUTPUT_I2C_STB => INPUT_I2C_STB,
OUTPUT_I2C_ACK => INPUT_I2C_ACK,
--ETH RX STREAM
INPUT_ETH_RX => ETH_RX,
INPUT_ETH_RX_STB => ETH_RX_STB,
INPUT_ETH_RX_ACK => ETH_RX_ACK,
--ETH TX STREAM
OUTPUT_ETH_TX => ETH_TX,
OUTPUT_ETH_TX_STB => ETH_TX_STB,
OUTPUT_ETH_TX_ACK => ETH_TX_ACK
);
SERIAL_OUTPUT_INST_1 : SERIAL_OUTPUT generic map(
CLOCK_FREQUENCY => 50000000,
BAUD_RATE => 115200
)port map(
CLK => CLK,
RST => INTERNAL_RST,
TX => RS232_TX,
IN1 => OUTPUT_RS232_TX(7 downto 0),
IN1_STB => OUTPUT_RS232_TX_STB,
IN1_ACK => OUTPUT_RS232_TX_ACK
);
SERIAL_INPUT_INST_1 : SERIAL_INPUT generic map(
CLOCK_FREQUENCY => 50000000,
BAUD_RATE => 115200
) port map (
CLK => CLK,
RST => INTERNAL_RST,
RX => RS232_RX,
OUT1 => INPUT_RS232_RX(7 downto 0),
OUT1_STB => INPUT_RS232_RX_STB,
OUT1_ACK => INPUT_RS232_RX_ACK
);
INPUT_RS232_RX(15 downto 8) <= (others => '0');
I2C_INST_1 : I2C generic map(
CLOCKS_PER_SECOND => 50000000,
SPEED => 10000
) port map (
CLK => CLK,
RST => INTERNAL_RST,
SDA => SDA,
SCL => SCL,
I2C_IN => INPUT_I2C,
I2C_IN_STB => INPUT_I2C_STB,
I2C_IN_ACK =>INPUT_I2C_ACK,
I2C_OUT => OUTPUT_I2C,
I2C_OUT_STB => OUTPUT_I2C_STB,
I2C_OUT_ACK => OUTPUT_I2C_ACK
);
PWM_INST_1 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_R,
DATA_STB => LED_R_STB,
DATA_ACK => LED_R_ACK,
OUT_BIT => LED_R_PWM
);
PWM_INST_2 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_G,
DATA_STB => LED_G_STB,
DATA_ACK => LED_G_ACK,
OUT_BIT => LED_G_PWM
);
PWM_INST_3 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_B,
DATA_STB => LED_B_STB,
DATA_ACK => LED_B_ACK,
OUT_BIT => LED_B_PWM
);
KEYBOARD_INST1 : KEYBOARD port map(
CLK => CLK,
RST => INTERNAL_RST,
DATA_STB => PS2_STB,
DATA_ACK => PS2_ACK,
DATA => PS2,
KD => KD,
KC => KC
);
process
begin
wait until rising_edge(CLK);
NOT_LOCKED <= not LOCKED_INTERNAL;
INTERNAL_RST <= NOT_LOCKED;
if OUTPUT_LEDS_STB = '1' then
GPIO_LEDS <= OUTPUT_LEDS(15 downto 0);
end if;
OUTPUT_LEDS_ACK <= '1';
if OUTPUT_SEVEN_SEGMENT_ANNODE_STB = '1' then
SEVEN_SEGMENT_ANNODE <= not OUTPUT_SEVEN_SEGMENT_ANNODE(7 downto 0);
end if;
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK <= '1';
if OUTPUT_SEVEN_SEGMENT_CATHODE_STB = '1' then
SEVEN_SEGMENT_CATHODE <= not OUTPUT_SEVEN_SEGMENT_CATHODE(6 downto 0);
end if;
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK <= '1';
INPUT_SWITCHES_STB <= '1';
GPIO_SWITCHES_D <= GPIO_SWITCHES;
INPUT_SWITCHES <= (others => '0');
INPUT_SWITCHES(15 downto 0) <= GPIO_SWITCHES_D;
INPUT_BUTTONS_STB <= '1';
GPIO_BUTTONS_D <= GPIO_BUTTONS;
INPUT_BUTTONS <= (others => '0');
INPUT_BUTTONS(4 downto 0) <= GPIO_BUTTONS_D;
end process;
-------------------------
-- Output Output
-- Clock Freq (MHz)
-------------------------
-- CLK_OUT1 50.000
-- CLK_OUT2 100.000
-- CLK_OUT3 125.000
-- CLK_OUT4 200.000
----------------------------------
-- Input Clock Input Freq (MHz)
----------------------------------
-- primary 100.000
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK_IN);
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_sp_inst: DCM_SP
generic map
(CLKDV_DIVIDE => 2.000,
CLKFX_DIVIDE => 4,
CLKFX_MULTIPLY => 5,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 10.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE)
port map
-- Input clock
(CLKIN => clkin1,
CLKFB => clkfb,
-- Output clocks
CLK0 => clk0,
CLK90 => open,
CLK180 => open,
CLK270 => open,
CLK2X => clk2x,
CLK2X180 => open,
CLKFX => clkfx,
CLKFX180 => clkfx180,
CLKDV => clkdv,
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => LOCKED_INTERNAL,
STATUS => status_internal,
RST => RST_INV,
-- Unused pin, tie low
DSSEN => '0');
-- Output buffering
-------------------------------------
clkfb <= CLK_OUT2;
BUFG_INST1 : BUFG
port map
(O => CLK_OUT1,
I => clkdv);
BUFG_INST2 : BUFG
port map
(O => CLK_OUT2,
I => clk0);
BUFG_INST3 : BUFG
port map
(O => CLK_OUT3,
I => clkfx);
BUFG_INST4 : BUFG
port map
(O => CLK_OUT3_N,
I => clkfx180);
BUFG_INST5 : BUFG
port map
(O => CLK_OUT4,
I => clk2x);
RST_INV <= not RST;
ETH_CLK <= CLK_OUT1;
VGACLK <= CLK_OUT1;
-- Chips CLK frequency selection
-------------------------------------
CLK <= CLK_OUT1; --50 MHz
--CLK <= CLK_OUT2; --100 MHz
--CLK <= CLK_OUT3; --125 MHz
--CLK <= CLK_OUT4; --200 MHz
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/audio_output/bsp.vhd
|
15
|
24719
|
-------------------------------------------------------------------------------
---
--- CHIPS - 2.0 Simple Web App Demo
---
--- :Author: Jonathan P Dawson
--- :Date: 04/04/2014
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2014
---
--------------------------------------------------------------------------------
---
--- +--------------+
--- | CLOCK TREE |
--- +--------------+
--- | >-- CLK1 (50MHz) ---> CLK
--- CLK_IN >--> |
--- | >-- CLK2 (100MHz)
--- | | +-------+
--- | +-- CLK3 (125MHz) ->+ ODDR2 +-->[GTXCLK]
--- | | | |
--- | +-- CLK3_N (125MHZ) ->+ |
--- | | +-------+
--- RST >-----> >-- CLK4 (200MHz)
--- | |
--- | |
--- | | CLK >--+--------+
--- | | | |
--- | | +--v-+ +--v-+
--- | | | | | |
--- | LOCKED >------> >---> >-------> INTERNAL_RESET
--- | | | | | |
--- +--------------+ +----+ +----+
---
--- +-------------+
--- | USER DESIGN |
--- +-------------+
--- | |
--- | <-------< SWITCHES
--- | |
--- | >-------> LEDS
--- | |
--- | <-------< BUTTONS
--- | |
--- | >-------> SEVEN_SEGMENT_CATHODE
--- | |
--- | >-------> SEVEN_SEGMENT_ANNODE
--- | |
--- | | +--------------+
--- | | | UART |
--- | | +--------------+
--- | >-----> >-----> RS232-TX
--- | | | |
--- | | | <-------< RS232-RX
--- +---v-----^---+ +--------------+
--- | |
--- | |
--- +---v-----^---+
--- | ETHERNET |
--- | MAC |
--- +-------------+
--- | +------> [PHY_RESET]
--- | |
---[RXCLK] ----->+ +------> [TXCLK]
--- | |
--- | |
--- | |
--- [RXD] ----->+ +------> [TXD]
--- | |
--- [RXDV] ----->+ +------> [TXEN]
--- | |
--- [RXER] ----->+ +------> [TXER]
--- | |
--- | |
--- +-------------+
---
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity BSP is
port(
CLK_IN : in std_logic;
RST : in std_logic;
--PHY INTERFACE
ETH_CLK : out std_logic;
PHY_RESET_N : out std_logic;
RXDV : in std_logic;
RXER : in std_logic;
RXD : in std_logic_vector(1 downto 0);
TXD : out std_logic_vector(1 downto 0);
TXEN : out std_logic;
JC : inout std_logic_vector(7 downto 0);
--I2C
SDA : inout std_logic;
SCL : inout std_logic;
--PS2 keyboard interface
KD : in std_logic;
KC : in std_logic;
--AUDIO interface
AUDIO : out std_logic;
AUDIO_EN : out std_logic;
--VGA interface
VGA_R : out Std_logic_vector(3 downto 0);
VGA_G : out Std_logic_vector(3 downto 0);
VGA_B : out Std_logic_vector(3 downto 0);
HSYNCH : out Std_logic;
VSYNCH : out Std_logic;
--LEDS
GPIO_LEDS : out std_logic_vector(15 downto 0);
GPIO_SWITCHES : in std_logic_vector(15 downto 0);
GPIO_BUTTONS : in std_logic_vector(4 downto 0);
--RGB LED
LED_R_PWM : out std_logic;
LED_G_PWM : out std_logic;
LED_B_PWM : out std_logic;
SEVEN_SEGMENT_CATHODE : out std_logic_vector(6 downto 0);
SEVEN_SEGMENT_ANNODE : out std_logic_vector(7 downto 0);
--RS232 INTERFACE
RS232_RX : in std_logic;
RS232_TX : out std_logic
);
end entity BSP;
architecture RTL of BSP is
component rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
PHY_RESET : out std_logic;
--MII IF
TXD : out std_logic_vector(1 downto 0);
TXER : out std_logic;
TXEN : out std_logic;
RXD : in std_logic_vector(1 downto 0);
RXER : in std_logic;
RXDV : in std_logic;
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end component rmii_ethernet;
component CHARSVGA is
port (
CLK : in Std_logic;
DATA : in Std_logic_vector(31 downto 0);
DATA_ACK : out Std_logic;
DATA_STB : in Std_logic;
--VGA interface
VGACLK : in Std_logic;
RST : in Std_logic;
R : out Std_logic;
G : out Std_logic;
B : out Std_logic;
HSYNCH : out Std_logic;
VSYNCH : out Std_logic
);
end component CHARSVGA;
component PWM is
generic(
MAX_VAL : integer := 256;
CLOCK_DIVIDER : integer := 256
);
port(
CLK : in std_logic;
DATA : in std_logic_vector(31 downto 0);
DATA_STB : in std_logic;
DATA_ACK : out std_logic;
OUT_BIT : out std_logic
);
end component PWM;
component KEYBOARD is
port (
CLK : in Std_logic;
RST : in Std_logic;
DATA_STB : out Std_logic;
DATA_ACK : in Std_logic;
DATA : out Std_logic_vector (31 downto 0);
KD : in Std_logic;
KC : in Std_logic
);
end component KEYBOARD;
component I2C is
generic(
CLOCKS_PER_SECOND : integer := 50000000;
SPEED : integer := 100000
);
port(
CLK : in std_logic;
RST : in std_logic;
SDA : inout std_logic;
SCL : inout std_logic;
I2C_IN : in std_logic_vector(31 downto 0);
I2C_IN_STB : in std_logic;
I2C_IN_ACK : out std_logic;
I2C_OUT : out std_logic_vector(31 downto 0);
I2C_OUT_STB : out std_logic;
I2C_OUT_ACK : in std_logic
);
end component I2C;
component USER_DESIGN is
port(
CLK : in std_logic;
RST : in std_logic;
OUTPUT_LEDS : out std_logic_vector(31 downto 0);
OUTPUT_LEDS_STB : out std_logic;
OUTPUT_LEDS_ACK : in std_logic;
INPUT_SWITCHES : in std_logic_vector(31 downto 0);
INPUT_SWITCHES_STB : in std_logic;
INPUT_SWITCHES_ACK : out std_logic;
INPUT_BUTTONS : in std_logic_vector(31 downto 0);
INPUT_BUTTONS_STB : in std_logic;
INPUT_BUTTONS_ACK : out std_logic;
OUTPUT_VGA : out Std_logic_vector(31 downto 0);
OUTPUT_VGA_ACK : in Std_logic;
OUTPUT_VGA_STB : out Std_logic;
OUTPUT_AUDIO : out std_logic_vector(31 downto 0);
OUTPUT_AUDIO_STB : out std_logic;
OUTPUT_AUDIO_ACK : in std_logic;
OUTPUT_LED_R : out std_logic_vector(31 downto 0);
OUTPUT_LED_R_STB : out std_logic;
OUTPUT_LED_R_ACK : in std_logic;
OUTPUT_LED_G : out std_logic_vector(31 downto 0);
OUTPUT_LED_G_STB : out std_logic;
OUTPUT_LED_G_ACK : in std_logic;
OUTPUT_LED_B : out std_logic_vector(31 downto 0);
OUTPUT_LED_B_STB : out std_logic;
OUTPUT_LED_B_ACK : in std_logic;
OUTPUT_SEVEN_SEGMENT_CATHODE : out std_logic_vector(31 downto 0);
OUTPUT_SEVEN_SEGMENT_CATHODE_STB : out std_logic;
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK : in std_logic;
OUTPUT_SEVEN_SEGMENT_ANNODE : out std_logic_vector(31 downto 0);
OUTPUT_SEVEN_SEGMENT_ANNODE_STB : out std_logic;
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK : in std_logic;
INPUT_PS2 : in std_logic_vector(31 downto 0);
INPUT_PS2_STB : in std_logic;
INPUT_PS2_ACK : out std_logic;
INPUT_I2C : in std_logic_vector(31 downto 0);
INPUT_I2C_STB : in std_logic;
INPUT_I2C_ACK : out std_logic;
OUTPUT_I2C : out std_logic_vector(31 downto 0);
OUTPUT_I2C_STB : out std_logic;
OUTPUT_I2C_ACK : in std_logic;
--ETH RX STREAM
INPUT_ETH_RX : in std_logic_vector(31 downto 0);
INPUT_ETH_RX_STB : in std_logic;
INPUT_ETH_RX_ACK : out std_logic;
--ETH TX STREAM
OUTPUT_ETH_TX : out std_logic_vector(31 downto 0);
OUTPUT_ETH_TX_STB : out std_logic;
OUTPUT_ETH_TX_ACK : in std_logic;
--RS232 RX STREAM
INPUT_RS232_RX : in std_logic_vector(31 downto 0);
INPUT_RS232_RX_STB : in std_logic;
INPUT_RS232_RX_ACK : out std_logic;
--RS232 TX STREAM
OUTPUT_RS232_TX : out std_logic_vector(31 downto 0);
OUTPUT_RS232_TX_STB : out std_logic;
OUTPUT_RS232_TX_ACK : in std_logic
);
end component;
component SERIAL_INPUT is
generic(
CLOCK_FREQUENCY : integer;
BAUD_RATE : integer
);
port(
CLK : in std_logic;
RST : in std_logic;
RX : in std_logic;
OUT1 : out std_logic_vector(7 downto 0);
OUT1_STB : out std_logic;
OUT1_ACK : in std_logic
);
end component SERIAL_INPUT;
component SERIAL_OUTPUT is
generic(
CLOCK_FREQUENCY : integer;
BAUD_RATE : integer
);
port(
CLK : in std_logic;
RST : in std_logic;
TX : out std_logic;
IN1 : in std_logic_vector(7 downto 0);
IN1_STB : in std_logic;
IN1_ACK : out std_logic
);
end component serial_output;
component pwm_audio is
generic(
CLOCK_FREQUENCY : integer := 50000000;
SAMPLE_RATE : integer := 44100;
AUDIO_BITS : integer := 8
);
port(
CLK : in std_logic;
RST : in std_logic;
DATA_IN : in std_logic_vector(31 downto 0);
DATA_IN_STB : in std_logic;
DATA_IN_ACK : out std_logic;
AUDIO : out std_logic
);
end component pwm_audio;
--chips signals
signal CLK : std_logic;
--clock tree signals
signal clkin1 : std_logic;
-- Output clock buffering
signal clkfb : std_logic;
signal clk0 : std_logic;
signal clk2x : std_logic;
signal clkfx : std_logic;
signal clkfx180 : std_logic;
signal clkdv : std_logic;
signal clkfbout : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(7 downto 0);
signal CLK_OUT1 : std_logic;
signal CLK_OUT2 : std_logic;
signal CLK_OUT3 : std_logic;
signal CLK_OUT3_N : std_logic;
signal CLK_OUT4 : std_logic;
signal NOT_LOCKED : std_logic;
signal RST_INV : std_logic;
signal INTERNAL_RST : std_logic;
--GPIO signals
signal OUTPUT_LEDS : std_logic_vector(31 downto 0);
signal OUTPUT_LEDS_STB : std_logic;
signal OUTPUT_LEDS_ACK : std_logic;
signal INPUT_SWITCHES : std_logic_vector(31 downto 0);
signal INPUT_SWITCHES_STB : std_logic;
signal INPUT_SWITCHES_ACK : std_logic;
signal GPIO_SWITCHES_D : std_logic_vector(15 downto 0);
signal INPUT_BUTTONS : std_logic_vector(31 downto 0);
signal INPUT_BUTTONS_STB : std_logic;
signal INPUT_BUTTONS_ACK : std_logic;
signal GPIO_BUTTONS_D : std_logic_vector(4 downto 0);
--SEVEN SEGMENT DISPLAY STREAM
signal OUTPUT_SEVEN_SEGMENT_CATHODE : std_logic_vector(31 downto 0);
signal OUTPUT_SEVEN_SEGMENT_CATHODE_STB : std_logic;
signal OUTPUT_SEVEN_SEGMENT_CATHODE_ACK : std_logic;
signal OUTPUT_SEVEN_SEGMENT_ANNODE : std_logic_vector(31 downto 0);
signal OUTPUT_SEVEN_SEGMENT_ANNODE_STB : std_logic;
signal OUTPUT_SEVEN_SEGMENT_ANNODE_ACK : std_logic;
--AUDIO
signal OUTPUT_AUDIO : std_logic_vector(31 downto 0);
signal OUTPUT_AUDIO_STB : std_logic;
signal OUTPUT_AUDIO_ACK : std_logic;
--Interface for SVGA
signal VGACLK : std_logic;
signal VGA_RR : std_logic;
signal VGA_GG : std_logic;
signal VGA_BB : std_logic;
signal OUTPUT_VGA : std_logic_vector(31 downto 0);
signal OUTPUT_VGA_ACK : std_logic;
signal OUTPUT_VGA_STB : std_logic;
--PS2 interface for kb/mouse
signal PS2_STB : std_logic;
signal PS2_ACK : std_logic;
signal PS2 : std_logic_vector (31 downto 0);
--I2C interface for temperature monitor
signal INPUT_I2C : std_logic_vector(31 downto 0);
signal INPUT_I2C_STB : std_logic;
signal INPUT_I2C_ACK : std_logic;
signal OUTPUT_I2C : std_logic_vector(31 downto 0);
signal OUTPUT_I2C_STB : std_logic;
signal OUTPUT_I2C_ACK : std_logic;
--ETH TX STREAM
signal ETH_TX : std_logic_vector(31 downto 0);
signal ETH_TX_STB : std_logic;
signal ETH_TX_ACK : std_logic;
--ETH RX STREAM
signal ETH_RX : std_logic_vector(31 downto 0);
signal ETH_RX_STB : std_logic;
signal ETH_RX_ACK : std_logic;
--RS232 RX STREAM
signal INPUT_RS232_RX : std_logic_vector(31 downto 0);
signal INPUT_RS232_RX_STB : std_logic;
signal INPUT_RS232_RX_ACK : std_logic;
--RS232 TX STREAM
signal OUTPUT_RS232_TX : std_logic_vector(31 downto 0);
signal OUTPUT_RS232_TX_STB : std_logic;
signal OUTPUT_RS232_TX_ACK : std_logic;
--tri color LED signals
signal LED_R : std_logic_vector(31 downto 0);
signal LED_R_STB : std_logic;
signal LED_R_ACK : std_logic;
signal LED_G : std_logic_vector(31 downto 0);
signal LED_G_STB : std_logic;
signal LED_G_ACK : std_logic;
signal LED_B : std_logic_vector(31 downto 0);
signal LED_B_STB : std_logic;
signal LED_B_ACK : std_logic;
begin
ethernet_inst_1 : rmii_ethernet port map(
CLK => CLK,
RST => INTERNAL_RST,
--GMII IF
ETH_CLK => CLK_OUT1,
TXD => TXD,
TXER => open,
TXEN => TXEN,
PHY_RESET => PHY_RESET_N,
RXD => RXD,
RXER => RXER,
RXDV => RXDV,
--RX STREAM
TX => ETH_TX(15 downto 0),
TX_STB => ETH_TX_STB,
TX_ACK => ETH_TX_ACK,
--RX STREAM
RX => ETH_RX(15 downto 0),
RX_STB => ETH_RX_STB,
RX_ACK => ETH_RX_ACK
);
CHARSVGA_INST_1 : CHARSVGA port map(
CLK => CLK,
DATA => OUTPUT_VGA,
DATA_ACK => OUTPUT_VGA_ACK,
DATA_STB => OUTPUT_VGA_STB,
--VGA interface
VGACLK => VGACLK,
RST => INTERNAL_RST,
R => VGA_RR,
G => VGA_GG,
B => VGA_BB,
HSYNCH => HSYNCH,
VSYNCH => VSYNCH
);
generate_vga : for I in 0 to 3 generate
VGA_R(I) <= VGA_RR;
VGA_G(I) <= VGA_GG;
VGA_B(I) <= VGA_BB;
end generate;
pwm_audio_inst_1 : pwm_audio
generic map(
CLOCK_FREQUENCY => 50000000,
SAMPLE_RATE => 44100,
AUDIO_BITS => 8
) port map (
CLK => CLK,
RST => INTERNAL_RST,
DATA_IN => OUTPUT_AUDIO,
DATA_IN_STB => OUTPUT_AUDIO_STB,
DATA_IN_ACK => OUTPUT_AUDIO_ACK,
AUDIO => AUDIO
);
AUDIO_EN <= '1';
JC(0) <= OUTPUT_AUDIO_STB;
JC(1) <= OUTPUT_AUDIO_ACK;
USER_DESIGN_INST_1 : USER_DESIGN port map(
CLK => CLK,
RST => INTERNAL_RST,
--GPIO interfaces
OUTPUT_LEDS => OUTPUT_LEDS,
OUTPUT_LEDS_STB => OUTPUT_LEDS_STB,
OUTPUT_LEDS_ACK => OUTPUT_LEDS_ACK,
INPUT_SWITCHES => INPUT_SWITCHES,
INPUT_SWITCHES_STB => INPUT_SWITCHES_STB,
INPUT_SWITCHES_ACK => INPUT_SWITCHES_ACK,
INPUT_BUTTONS => INPUT_BUTTONS,
INPUT_BUTTONS_STB => INPUT_BUTTONS_STB,
INPUT_BUTTONS_ACK => INPUT_BUTTONS_ACK,
--VGA interfave
OUTPUT_VGA => OUTPUT_VGA,
OUTPUT_VGA_ACK => OUTPUT_VGA_ACK,
OUTPUT_VGA_STB => OUTPUT_VGA_STB,
--TRI color LED interface
OUTPUT_LED_R => LED_R,
OUTPUT_LED_R_STB => LED_R_STB,
OUTPUT_LED_R_ACK => LED_R_ACK,
OUTPUT_LED_G => LED_G,
OUTPUT_LED_G_STB => LED_G_STB,
OUTPUT_LED_G_ACK => LED_G_ACK,
OUTPUT_LED_B => LED_B,
OUTPUT_LED_B_STB => LED_B_STB,
OUTPUT_LED_B_ACK => LED_B_ACK,
--RS232 RX STREAM
INPUT_RS232_RX => INPUT_RS232_RX,
INPUT_RS232_RX_STB => INPUT_RS232_RX_STB,
INPUT_RS232_RX_ACK => INPUT_RS232_RX_ACK,
--RS232 TX STREAM
OUTPUT_RS232_TX => OUTPUT_RS232_TX,
OUTPUT_RS232_TX_STB => OUTPUT_RS232_TX_STB,
OUTPUT_RS232_TX_ACK => OUTPUT_RS232_TX_ACK,
--AUDIO OUT
OUTPUT_AUDIO => OUTPUT_AUDIO,
OUTPUT_AUDIO_STB => OUTPUT_AUDIO_STB,
OUTPUT_AUDIO_ACK => OUTPUT_AUDIO_ACK,
--SEVEN SEGMENT DISPLAY INTERFACE
OUTPUT_SEVEN_SEGMENT_CATHODE => OUTPUT_SEVEN_SEGMENT_CATHODE,
OUTPUT_SEVEN_SEGMENT_CATHODE_STB => OUTPUT_SEVEN_SEGMENT_CATHODE_STB,
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK => OUTPUT_SEVEN_SEGMENT_CATHODE_ACK,
OUTPUT_SEVEN_SEGMENT_ANNODE => OUTPUT_SEVEN_SEGMENT_ANNODE,
OUTPUT_SEVEN_SEGMENT_ANNODE_STB => OUTPUT_SEVEN_SEGMENT_ANNODE_STB,
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK => OUTPUT_SEVEN_SEGMENT_ANNODE_ACK,
--PS2 KEYBOAD INTERFACE
INPUT_PS2_STB => PS2_STB,
INPUT_PS2_ACK => PS2_ACK,
INPUT_PS2 => PS2,
--I2C interface for temperature monitor
INPUT_I2C => OUTPUT_I2C,
INPUT_I2C_STB => OUTPUT_I2C_STB,
INPUT_I2C_ACK => OUTPUT_I2C_ACK,
OUTPUT_I2C => INPUT_I2C,
OUTPUT_I2C_STB => INPUT_I2C_STB,
OUTPUT_I2C_ACK => INPUT_I2C_ACK,
--ETH RX STREAM
INPUT_ETH_RX => ETH_RX,
INPUT_ETH_RX_STB => ETH_RX_STB,
INPUT_ETH_RX_ACK => ETH_RX_ACK,
--ETH TX STREAM
OUTPUT_ETH_TX => ETH_TX,
OUTPUT_ETH_TX_STB => ETH_TX_STB,
OUTPUT_ETH_TX_ACK => ETH_TX_ACK
);
SERIAL_OUTPUT_INST_1 : SERIAL_OUTPUT generic map(
CLOCK_FREQUENCY => 50000000,
BAUD_RATE => 115200
)port map(
CLK => CLK,
RST => INTERNAL_RST,
TX => RS232_TX,
IN1 => OUTPUT_RS232_TX(7 downto 0),
IN1_STB => OUTPUT_RS232_TX_STB,
IN1_ACK => OUTPUT_RS232_TX_ACK
);
SERIAL_INPUT_INST_1 : SERIAL_INPUT generic map(
CLOCK_FREQUENCY => 50000000,
BAUD_RATE => 115200
) port map (
CLK => CLK,
RST => INTERNAL_RST,
RX => RS232_RX,
OUT1 => INPUT_RS232_RX(7 downto 0),
OUT1_STB => INPUT_RS232_RX_STB,
OUT1_ACK => INPUT_RS232_RX_ACK
);
INPUT_RS232_RX(15 downto 8) <= (others => '0');
I2C_INST_1 : I2C generic map(
CLOCKS_PER_SECOND => 50000000,
SPEED => 10000
) port map (
CLK => CLK,
RST => INTERNAL_RST,
SDA => SDA,
SCL => SCL,
I2C_IN => INPUT_I2C,
I2C_IN_STB => INPUT_I2C_STB,
I2C_IN_ACK =>INPUT_I2C_ACK,
I2C_OUT => OUTPUT_I2C,
I2C_OUT_STB => OUTPUT_I2C_STB,
I2C_OUT_ACK => OUTPUT_I2C_ACK
);
PWM_INST_1 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_R,
DATA_STB => LED_R_STB,
DATA_ACK => LED_R_ACK,
OUT_BIT => LED_R_PWM
);
PWM_INST_2 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_G,
DATA_STB => LED_G_STB,
DATA_ACK => LED_G_ACK,
OUT_BIT => LED_G_PWM
);
PWM_INST_3 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 1000
) port map (
CLK => CLK,
DATA => LED_B,
DATA_STB => LED_B_STB,
DATA_ACK => LED_B_ACK,
OUT_BIT => LED_B_PWM
);
KEYBOARD_INST1 : KEYBOARD port map(
CLK => CLK,
RST => INTERNAL_RST,
DATA_STB => PS2_STB,
DATA_ACK => PS2_ACK,
DATA => PS2,
KD => KD,
KC => KC
);
process
begin
wait until rising_edge(CLK);
NOT_LOCKED <= not LOCKED_INTERNAL;
INTERNAL_RST <= NOT_LOCKED;
if OUTPUT_LEDS_STB = '1' then
GPIO_LEDS <= OUTPUT_LEDS(15 downto 0);
end if;
OUTPUT_LEDS_ACK <= '1';
if OUTPUT_SEVEN_SEGMENT_ANNODE_STB = '1' then
SEVEN_SEGMENT_ANNODE <= not OUTPUT_SEVEN_SEGMENT_ANNODE(7 downto 0);
end if;
OUTPUT_SEVEN_SEGMENT_ANNODE_ACK <= '1';
if OUTPUT_SEVEN_SEGMENT_CATHODE_STB = '1' then
SEVEN_SEGMENT_CATHODE <= not OUTPUT_SEVEN_SEGMENT_CATHODE(6 downto 0);
end if;
OUTPUT_SEVEN_SEGMENT_CATHODE_ACK <= '1';
INPUT_SWITCHES_STB <= '1';
GPIO_SWITCHES_D <= GPIO_SWITCHES;
INPUT_SWITCHES <= (others => '0');
INPUT_SWITCHES(15 downto 0) <= GPIO_SWITCHES_D;
INPUT_BUTTONS_STB <= '1';
GPIO_BUTTONS_D <= GPIO_BUTTONS;
INPUT_BUTTONS <= (others => '0');
INPUT_BUTTONS(4 downto 0) <= GPIO_BUTTONS_D;
end process;
-------------------------
-- Output Output
-- Clock Freq (MHz)
-------------------------
-- CLK_OUT1 50.000
-- CLK_OUT2 100.000
-- CLK_OUT3 125.000
-- CLK_OUT4 200.000
----------------------------------
-- Input Clock Input Freq (MHz)
----------------------------------
-- primary 100.000
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK_IN);
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_sp_inst: DCM_SP
generic map
(CLKDV_DIVIDE => 2.000,
CLKFX_DIVIDE => 4,
CLKFX_MULTIPLY => 5,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 10.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE)
port map
-- Input clock
(CLKIN => clkin1,
CLKFB => clkfb,
-- Output clocks
CLK0 => clk0,
CLK90 => open,
CLK180 => open,
CLK270 => open,
CLK2X => clk2x,
CLK2X180 => open,
CLKFX => clkfx,
CLKFX180 => clkfx180,
CLKDV => clkdv,
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => LOCKED_INTERNAL,
STATUS => status_internal,
RST => RST_INV,
-- Unused pin, tie low
DSSEN => '0');
-- Output buffering
-------------------------------------
clkfb <= CLK_OUT2;
BUFG_INST1 : BUFG
port map
(O => CLK_OUT1,
I => clkdv);
BUFG_INST2 : BUFG
port map
(O => CLK_OUT2,
I => clk0);
BUFG_INST3 : BUFG
port map
(O => CLK_OUT3,
I => clkfx);
BUFG_INST4 : BUFG
port map
(O => CLK_OUT3_N,
I => clkfx180);
BUFG_INST5 : BUFG
port map
(O => CLK_OUT4,
I => clk2x);
RST_INV <= not RST;
ETH_CLK <= CLK_OUT1;
VGACLK <= CLK_OUT1;
-- Chips CLK frequency selection
-------------------------------------
CLK <= CLK_OUT1; --50 MHz
--CLK <= CLK_OUT2; --100 MHz
--CLK <= CLK_OUT3; --125 MHz
--CLK <= CLK_OUT4; --200 MHz
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
demo/bsp_components/test_bench/pwm_tb.vhd
|
1
|
1653
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity PWM_TB is
end entity;
architecture RTL of PWM_TB is
component PWM is
generic(
MAX_VAL : integer := 256;
CLOCK_DIVIDER : integer := 256
);
port(
CLK : in std_logic;
DATA : in std_logic_vector(31 downto 0);
DATA_STB : in std_logic;
DATA_ACK : out std_logic;
OUT_BIT : out std_logic
);
end component PWM;
signal CLK : std_logic;
signal DATA : std_logic_vector(31 downto 0);
signal DATA_STB : std_logic;
signal DATA_ACK : std_logic;
signal OUT_BIT : std_logic;
begin
process
begin
while True loop
CLK <= '0';
wait for 10.0 ns;
CLK <= '1';
wait for 10.0 ns;
end loop;
wait;
end process;
PWM_INST_1 : PWM generic map(
MAX_VAL => 255,
CLOCK_DIVIDER => 10
) port map(
CLK => CLK,
DATA => DATA,
DATA_STB => DATA_STB,
DATA_ACK => DATA_ACK,
OUT_BIT => OUT_BIT
);
process
begin
wait for 200 ns;
wait until rising_edge(CLK);
DATA <= X"000000FF";
DATA_STB <= '1';
wait until rising_edge(CLK);
DATA_STB <= '0';
wait for 200 us;
wait until rising_edge(CLK);
DATA <= X"0000007F";
DATA_STB <= '1';
wait until rising_edge(CLK);
DATA_STB <= '0';
wait for 200 us;
wait until rising_edge(CLK);
DATA <= X"0000003F";
DATA_STB <= '1';
wait until rising_edge(CLK);
DATA_STB <= '0';
wait for 200 us;
wait until rising_edge(CLK);
DATA <= X"00000000";
DATA_STB <= '1';
wait until rising_edge(CLK);
DATA_STB <= '0';
wait;
end process;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/benchmark/svga_package.vhd
|
15
|
25646
|
-- ****************************************************************************
-- Filename :svga_package.vhd
-- Project :Wishbone VGA Core
-- Version :0.1
-- Author :Jonathan P Dawson
-- Created Date :2005-12-18
-- ****************************************************************************
-- Description :A package defining the carachter glyphs.
-- ****************************************************************************
-- Dependencies :Standard Libraries
-- ****************************************************************************
-- Revision History :
--
-- Date :2005-12-18
-- Author :Jonathan P Dawson
-- Modification: Created File
--
-- ****************************************************************************
-- Copyright (C) Jonathan P Dawson 2005
-- ****************************************************************************
library IEEE;
use Ieee.std_logic_1164.all;
package PIXPACKAGE is
type PIX_ARRAY_TYPE is array (0 to 2047) of Std_logic_vector(7 downto 0);
constant PIXARRAY : PIX_ARRAY_TYPE := (
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --0d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --1d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --2d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --3d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --4d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --5d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --6d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --7d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --8d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --9d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --10d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --11d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --12d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --13d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --14d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --15d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --16d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --17d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --18d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --19d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --20d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --21d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --22d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --23d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --24d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --25d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --26d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --27d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --28d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --29d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --30d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --31d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --space
"00001000","00001000","00001000","00001000","00000000","00001000","00000000","00000000", --!
"00010100","00010100","00000000","00000000","00000000","00000000","00000000","00000000", --"
"00000000","00010100","00111110","00010100","00111110","00010100","00000000","00000000", --#
"00001000","00111100","00001010","00011100","00101000","00011110","00001000","00000000", --$
"10001110","01001010","00101110","00010000","11101000","10100100","11100010","00000000", --%
"00001100","00010010","00010010","00001100","00110010","00010010","01101100","00000000", ----'
"00001000","00001000","00000000","00000000","00000000","00000000","00000000","00000000", --(
"00001000","00000100","00000010","00000010","00000010","00000100","00001000","00000000", --)
"00001000","00010000","00100000","00100000","00100000","00010000","00001000","00000000", --*
"00001000","00111110","00010100","00100010","00000000","00000000","00000000","00000000", --+
"00010000","00010000","00010000","11111110","00010000","00010000","00010000","00000000", --,
"00000000","00000000","00000000","00000000","00000000","00001000","00001000","00000000", ---
"00000000","00000000","00000000","00111110","00000000","00000000","00000000","00000000", --.
"00000000","00000000","00000000","00000000","00000000","00001000","00000000","00000000", --/
"01000000","00100000","00010000","00001000","00000100","00000010","00000000","00000000", --0
"00011100","00110010","00101010","00101010","00100110","00011100","00000000","00000000", --1
"00001000","00001100","00001000","00001000","00001000","00111110","00000000","00000000", --2
"00011100","00100010","00010000","00001000","00000100","00111110","00000000","00000000", --3
"00011100","00100010","00010000","00100000","00100010","00011100","00000000","00000000", --4
"00011000","00010100","00010010","00111110","00010000","00111100","00000000","00000000", --5
"00111110","00000010","00111110","00100000","00100010","00011100","00000000","00000000", --6
"00011100","00100010","00000010","00011110","00100010","00011100","00000000","00000000", --7
"00111110","00100010","00100000","00010000","00001000","00001000","00000000","00000000", --8
"00011100","00100010","00011100","00100010","00100010","00011100","00000000","00000000", --9
"00011100","00100010","00111100","00100000","00100010","00011100","00000000","00000000", --:
"00000000","00001000","00000000","00000000","00000000","00001000","00000000","00000000", --;
"00000000","00001000","00000000","00000000","00000000","00001000","00001000","00000000", --<
"00010000","00001000","00000100","00000010","00000100","00001000","00010000","00000000", --=
"00000000","00000000","00111110","00000000","00000000","00111110","00000000","00000000", -->
"00000010","00000100","00001000","00010000","00001000","00000100","00000010","00000000", --?
"00011100","00100010","00011000","00001000","00000000","00001000","00000000","00000000", --@
"01111100","10000010","10111010","10101010","10111010","10100010","01101100","00000000", --A
"00011100","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --B
"00011110","00100010","00011110","00100010","00100010","00011110","00000000","00000000", --C
"00011100","00100010","00000010","00000010","00100010","00011100","00000000","00000000", --D
"00011110","00100010","00100010","00100010","00100010","00011110","00000000","00000000", --E
"00111110","00000010","00011110","00000010","00000010","00111110","00000000","00000000", --F
"00111110","00000010","00011110","00000010","00000010","00000010","00000000","00000000", --G
"00011100","00100010","00000010","00111010","00100010","00011100","00000000","00000000", --H
"00100010","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --I
"00111110","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --J
"00111000","00010000","00010000","00010000","00010010","00001100","00000000","00000000", --K
"00010010","00001010","00000110","00001010","00010010","00100010","00000000","00000000", --L
"00000010","00000010","00000010","00000010","00000010","00111110","00000000","00000000", --M
"00100010","00110110","00101010","00101010","00100010","00100010","00000000","00000000", --N
"00100010","00100010","00100110","00101010","00110010","00100010","00000000","00000000", --O
"00011100","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --P
"00011110","00100010","00100010","00011110","00000010","00000010","00000000","00000000", --Q
"00011100","00100010","00100010","00100010","00100010","00011100","01101000","00000000", --R
"00011110","00100010","00011110","00001010","00010010","00100010","00000000","00000000", --S
"00111100","00000010","00011100","00100000","00100000","00011110","00000000","00000000", --T
"00111110","00001000","00001000","00001000","00001000","00001000","00000000","00000000", --U
"00100010","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --V
"00100010","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --W
"00100010","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --X
"00100010","00010100","00001000","00001000","00010100","00100010","00000000","00000000", --Y
"00100010","00100010","00011100","00001000","00001000","00001000","00000000","00000000", --Z
"00111110","00010000","00001000","00000100","00000010","00111110","00000000","00000000", --[
"00011000","00001000","00001000","00001000","00001000","00001000","00011000","00000000", --\
"00000010","00000100","00001000","00010000","00100000","01000000","00000000","00000000", --]
"00011000","00010000","00010000","00010000","00010000","00010000","00011000","00000000", --^
"00001000","00010100","00100010","00000000","00000000","00000000","00000000","00000000", --_
"00000000","00000000","00000000","00000000","00000000","00000000","11111111","00000000", --`
"00001000","00010000","00000000","00000000","00000000","00000000","00000000","00000000", --a
"00000000","00011100","00100000","00111100","00100010","01011100","00000000","00000000", --b
"00000010","00000010","00011010","00100110","00100010","00011110","00000000","00000000", --c
"00000000","00011100","00000010","00000010","00100010","00011100","00000000","00000000", --d
"00100000","00100000","00101100","00110010","00100010","00111100","00000000","00000000", --e
"00000000","00011100","00100010","00111110","00000010","00011100","00000000","00000000", --f
"00011100","00100010","00000010","00001110","00000010","00000010","00000000","00000000", --g
"00000000","00111100","00100010","00100010","00111100","00100000","00011100","00000000", --h
"00000010","00000010","00011010","00100110","00100010","00100010","00000000","00000000", --i
"00001000","00000000","00001100","00001000","00001000","00011100","00000000","00000000", --j
"00010000","00000000","00011000","00010000","00010000","00010010","00001100","00000000", --k
"00000010","00010010","00001010","00001110","00010010","00100010","00000000","00000000", --l
"00001100","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --m
"00000000","00010110","00101010","00101010","00101010","00101010","00000000","00000000", --n
"00000000","00011010","00100100","00100100","00100100","00100100","00000000","00000000", --o
"00000000","00011100","00100010","00100010","00100010","00011100","00000000","00000000", --p
"00000000","00011110","00100010","00100010","00011110","00000010","00000010","00000000", --q
"00000000","00101100","00110010","00100010","00111100","00100000","00100000","00000000", --r
"00000000","00011010","00100100","00000100","00000100","00000100","00000000","00000000", --s
"00000000","00111100","00000010","00011100","00100000","00011110","00000000","00000000", --t
"00000010","00000010","00001110","00000010","00100010","00011100","00000000","00000000", --u
"00000000","00010010","00010010","00010010","00010010","00101100","00000000","00000000", --v
"00000000","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --w
"00000000","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --x
"00000000","00100010","00010100","00001000","00010100","00100010","00000000","00000000", --y
"00000000","00100010","00100010","00100010","00111100","00100000","00011100","00000000", --z
"00000000","00111110","00010000","00001000","00000100","00111110","00000000","00000000", --{
"00010000","00001000","00001000","00000100","00001000","00001000","00010000","00000000", --|
"00001000","00001000","00001000","00001000","00001000","00001000","00001000","00000000", --}
"00000100","00001000","00001000","00010000","00001000","00001000","00000100","00000000", --~
"00000000","00000000","00001100","10010010","01100000","00000000","00000000","00000000", --del
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --0d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --1d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --2d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --3d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --4d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --5d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --6d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --7d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --8d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --9d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --10d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --11d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --12d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --13d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --14d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --15d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --16d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --17d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --18d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --19d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --20d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --21d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --22d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --23d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --24d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --25d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --26d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --27d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --28d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --29d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --30d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --31d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --space
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --!
"00001000","00001000","00001000","00001000","00000000","00001000","00000000","00000000", --"
"00010100","00010100","00000000","00000000","00000000","00000000","00000000","00000000", --#
"00000000","00010100","00111110","00010100","00111110","00010100","00000000","00000000", --$
"00001000","00111100","00001010","00011100","00101000","00011110","00001000","00000000", --%
"10001110","01001010","00101110","00010000","11101000","10100100","11100010","00000000", ----'
"00001100","00010010","00010010","00001100","00110010","00010010","01101100","00000000", --(
"00001000","00001000","00000000","00000000","00000000","00000000","00000000","00000000", --)
"00001000","00000100","00000010","00000010","00000010","00000100","00001000","00000000", --*
"00001000","00010000","00100000","00100000","00100000","00010000","00001000","00000000", --+
"00001000","00111110","00010100","00100010","00000000","00000000","00000000","00000000", --,
"00010000","00010000","00010000","11111110","00010000","00010000","00010000","00000000", ---
"00000000","00000000","00000000","00000000","00000000","00001000","00001000","00000000", --.
"00000000","00000000","00000000","00111110","00000000","00000000","00000000","00000000", --/
"00000000","00000000","00000000","00000000","00000000","00001000","00000000","00000000", --0
"01000000","00100000","00010000","00001000","00000100","00000010","00000000","00000000", --1
"00011100","00110010","00101010","00101010","00100110","00011100","00000000","00000000", --2
"00001000","00001100","00001000","00001000","00001000","00111110","00000000","00000000", --3
"00011100","00100010","00010000","00001000","00000100","00111110","00000000","00000000", --4
"00011100","00100010","00010000","00100000","00100010","00011100","00000000","00000000", --5
"00011000","00010100","00010010","00111110","00010000","00111100","00000000","00000000", --6
"00111110","00000010","00111110","00100000","00100010","00011100","00000000","00000000", --7
"00011100","00100010","00000010","00011110","00100010","00011100","00000000","00000000", --8
"00111110","00100010","00100000","00010000","00001000","00001000","00000000","00000000", --9
"00011100","00100010","00011100","00100010","00100010","00011100","00000000","00000000", --:
"00011100","00100010","00111100","00100000","00100010","00011100","00000000","00000000", --;
"00000000","00001000","00000000","00000000","00000000","00001000","00000000","00000000", --<
"00000000","00001000","00000000","00000000","00000000","00001000","00001000","00000000", --=
"00010000","00001000","00000100","00000010","00000100","00001000","00010000","00000000", -->
"00000000","00000000","00111110","00000000","00000000","00111110","00000000","00000000", --?
"00000010","00000100","00001000","00010000","00001000","00000100","00000010","00000000", --@
"00011100","00100010","00011000","00001000","00000000","00001000","00000000","00000000", --A
"01111100","10000010","10111010","10101010","10111010","10100010","01101100","00000000", --B
"00011100","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --C
"00011110","00100010","00011110","00100010","00100010","00011110","00000000","00000000", --D
"00011100","00100010","00000010","00000010","00100010","00011100","00000000","00000000", --E
"00011110","00100010","00100010","00100010","00100010","00011110","00000000","00000000", --F
"00111110","00000010","00011110","00000010","00000010","00111110","00000000","00000000", --G
"00111110","00000010","00011110","00000010","00000010","00000010","00000000","00000000", --H
"00011100","00100010","00000010","00111010","00100010","00011100","00000000","00000000", --I
"00100010","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --J
"00111110","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --K
"00111000","00010000","00010000","00010000","00010010","00001100","00000000","00000000", --L
"00010010","00001010","00000110","00001010","00010010","00100010","00000000","00000000", --M
"00000010","00000010","00000010","00000010","00000010","00111110","00000000","00000000", --N
"00100010","00110110","00101010","00101010","00100010","00100010","00000000","00000000", --O
"00100010","00100010","00100110","00101010","00110010","00100010","00000000","00000000", --P
"00011100","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --Q
"00011110","00100010","00100010","00011110","00000010","00000010","00000000","00000000", --R
"00011100","00100010","00100010","00100010","00100010","00011100","01101000","00000000", --S
"00011110","00100010","00011110","00001010","00010010","00100010","00000000","00000000", --T
"00111100","00000010","00011100","00100000","00100000","00011110","00000000","00000000", --U
"00111110","00001000","00001000","00001000","00001000","00001000","00000000","00000000", --V
"00100010","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --W
"00100010","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --X
"00100010","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --Y
"00100010","00010100","00001000","00001000","00010100","00100010","00000000","00000000", --Z
"00100010","00100010","00011100","00001000","00001000","00001000","00000000","00000000", --[
"00111110","00010000","00001000","00000100","00000010","00111110","00000000","00000000", --\
"00011000","00001000","00001000","00001000","00001000","00001000","00011000","00000000", --]
"00000010","00000100","00001000","00010000","00100000","01000000","00000000","00000000", --^
"00011000","00010000","00010000","00010000","00010000","00010000","00011000","00000000", --_
"00001000","00010100","00100010","00000000","00000000","00000000","00000000","00000000", --`
"00000000","00000000","00000000","00000000","00000000","00000000","11111111","00000000", --a
"00001000","00010000","00000000","00000000","00000000","00000000","00000000","00000000", --b
"00000000","00011100","00100000","00111100","00100010","01011100","00000000","00000000", --c
"00000010","00000010","00011010","00100110","00100010","00011110","00000000","00000000", --d
"00000000","00011100","00000010","00000010","00100010","00011100","00000000","00000000", --e
"00100000","00100000","00101100","00110010","00100010","00111100","00000000","00000000", --f
"00000000","00011100","00100010","00111110","00000010","00011100","00000000","00000000", --g
"00011100","00100010","00000010","00001110","00000010","00000010","00000000","00000000", --h
"00000000","00111100","00100010","00100010","00111100","00100000","00011100","00000000", --i
"00000010","00000010","00011010","00100110","00100010","00100010","00000000","00000000", --j
"00001000","00000000","00001100","00001000","00001000","00011100","00000000","00000000", --k
"00010000","00000000","00011000","00010000","00010000","00010010","00001100","00000000", --l
"00000010","00010010","00001010","00001110","00010010","00100010","00000000","00000000", --m
"00001100","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --n
"00000000","00010110","00101010","00101010","00101010","00101010","00000000","00000000", --o
"00000000","00011010","00100100","00100100","00100100","00100100","00000000","00000000", --p
"00000000","00011100","00100010","00100010","00100010","00011100","00000000","00000000", --q
"00000000","00011110","00100010","00100010","00011110","00000010","00000010","00000000", --r
"00000000","00101100","00110010","00100010","00111100","00100000","00100000","00000000", --s
"00000000","00011010","00100100","00000100","00000100","00000100","00000000","00000000", --t
"00000000","00111100","00000010","00011100","00100000","00011110","00000000","00000000", --u
"00000010","00000010","00001110","00000010","00100010","00011100","00000000","00000000", --v
"00000000","00010010","00010010","00010010","00010010","00101100","00000000","00000000", --w
"00000000","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --x
"00000000","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --y
"00000000","00100010","00010100","00001000","00010100","00100010","00000000","00000000", --z
"00000000","00100010","00100010","00100010","00111100","00100000","00011100","00000000", --{
"00000000","00111110","00010000","00001000","00000100","00111110","00000000","00000000", --|
"00010000","00001000","00001000","00000100","00001000","00001000","00010000","00000000", --}
"00001000","00001000","00001000","00001000","00001000","00001000","00001000","00000000", --~
"00000100","00001000","00001000","00010000","00001000","00001000","00000100","00000000", --del
"00000000","00000000","00001100","10010010","01100000","00000000","00000000","00000000",
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000");
end PIXPACKAGE;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/image_processor/svga_package.vhd
|
15
|
25646
|
-- ****************************************************************************
-- Filename :svga_package.vhd
-- Project :Wishbone VGA Core
-- Version :0.1
-- Author :Jonathan P Dawson
-- Created Date :2005-12-18
-- ****************************************************************************
-- Description :A package defining the carachter glyphs.
-- ****************************************************************************
-- Dependencies :Standard Libraries
-- ****************************************************************************
-- Revision History :
--
-- Date :2005-12-18
-- Author :Jonathan P Dawson
-- Modification: Created File
--
-- ****************************************************************************
-- Copyright (C) Jonathan P Dawson 2005
-- ****************************************************************************
library IEEE;
use Ieee.std_logic_1164.all;
package PIXPACKAGE is
type PIX_ARRAY_TYPE is array (0 to 2047) of Std_logic_vector(7 downto 0);
constant PIXARRAY : PIX_ARRAY_TYPE := (
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --0d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --1d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --2d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --3d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --4d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --5d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --6d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --7d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --8d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --9d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --10d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --11d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --12d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --13d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --14d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --15d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --16d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --17d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --18d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --19d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --20d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --21d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --22d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --23d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --24d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --25d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --26d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --27d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --28d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --29d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --30d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --31d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --space
"00001000","00001000","00001000","00001000","00000000","00001000","00000000","00000000", --!
"00010100","00010100","00000000","00000000","00000000","00000000","00000000","00000000", --"
"00000000","00010100","00111110","00010100","00111110","00010100","00000000","00000000", --#
"00001000","00111100","00001010","00011100","00101000","00011110","00001000","00000000", --$
"10001110","01001010","00101110","00010000","11101000","10100100","11100010","00000000", --%
"00001100","00010010","00010010","00001100","00110010","00010010","01101100","00000000", ----'
"00001000","00001000","00000000","00000000","00000000","00000000","00000000","00000000", --(
"00001000","00000100","00000010","00000010","00000010","00000100","00001000","00000000", --)
"00001000","00010000","00100000","00100000","00100000","00010000","00001000","00000000", --*
"00001000","00111110","00010100","00100010","00000000","00000000","00000000","00000000", --+
"00010000","00010000","00010000","11111110","00010000","00010000","00010000","00000000", --,
"00000000","00000000","00000000","00000000","00000000","00001000","00001000","00000000", ---
"00000000","00000000","00000000","00111110","00000000","00000000","00000000","00000000", --.
"00000000","00000000","00000000","00000000","00000000","00001000","00000000","00000000", --/
"01000000","00100000","00010000","00001000","00000100","00000010","00000000","00000000", --0
"00011100","00110010","00101010","00101010","00100110","00011100","00000000","00000000", --1
"00001000","00001100","00001000","00001000","00001000","00111110","00000000","00000000", --2
"00011100","00100010","00010000","00001000","00000100","00111110","00000000","00000000", --3
"00011100","00100010","00010000","00100000","00100010","00011100","00000000","00000000", --4
"00011000","00010100","00010010","00111110","00010000","00111100","00000000","00000000", --5
"00111110","00000010","00111110","00100000","00100010","00011100","00000000","00000000", --6
"00011100","00100010","00000010","00011110","00100010","00011100","00000000","00000000", --7
"00111110","00100010","00100000","00010000","00001000","00001000","00000000","00000000", --8
"00011100","00100010","00011100","00100010","00100010","00011100","00000000","00000000", --9
"00011100","00100010","00111100","00100000","00100010","00011100","00000000","00000000", --:
"00000000","00001000","00000000","00000000","00000000","00001000","00000000","00000000", --;
"00000000","00001000","00000000","00000000","00000000","00001000","00001000","00000000", --<
"00010000","00001000","00000100","00000010","00000100","00001000","00010000","00000000", --=
"00000000","00000000","00111110","00000000","00000000","00111110","00000000","00000000", -->
"00000010","00000100","00001000","00010000","00001000","00000100","00000010","00000000", --?
"00011100","00100010","00011000","00001000","00000000","00001000","00000000","00000000", --@
"01111100","10000010","10111010","10101010","10111010","10100010","01101100","00000000", --A
"00011100","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --B
"00011110","00100010","00011110","00100010","00100010","00011110","00000000","00000000", --C
"00011100","00100010","00000010","00000010","00100010","00011100","00000000","00000000", --D
"00011110","00100010","00100010","00100010","00100010","00011110","00000000","00000000", --E
"00111110","00000010","00011110","00000010","00000010","00111110","00000000","00000000", --F
"00111110","00000010","00011110","00000010","00000010","00000010","00000000","00000000", --G
"00011100","00100010","00000010","00111010","00100010","00011100","00000000","00000000", --H
"00100010","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --I
"00111110","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --J
"00111000","00010000","00010000","00010000","00010010","00001100","00000000","00000000", --K
"00010010","00001010","00000110","00001010","00010010","00100010","00000000","00000000", --L
"00000010","00000010","00000010","00000010","00000010","00111110","00000000","00000000", --M
"00100010","00110110","00101010","00101010","00100010","00100010","00000000","00000000", --N
"00100010","00100010","00100110","00101010","00110010","00100010","00000000","00000000", --O
"00011100","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --P
"00011110","00100010","00100010","00011110","00000010","00000010","00000000","00000000", --Q
"00011100","00100010","00100010","00100010","00100010","00011100","01101000","00000000", --R
"00011110","00100010","00011110","00001010","00010010","00100010","00000000","00000000", --S
"00111100","00000010","00011100","00100000","00100000","00011110","00000000","00000000", --T
"00111110","00001000","00001000","00001000","00001000","00001000","00000000","00000000", --U
"00100010","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --V
"00100010","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --W
"00100010","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --X
"00100010","00010100","00001000","00001000","00010100","00100010","00000000","00000000", --Y
"00100010","00100010","00011100","00001000","00001000","00001000","00000000","00000000", --Z
"00111110","00010000","00001000","00000100","00000010","00111110","00000000","00000000", --[
"00011000","00001000","00001000","00001000","00001000","00001000","00011000","00000000", --\
"00000010","00000100","00001000","00010000","00100000","01000000","00000000","00000000", --]
"00011000","00010000","00010000","00010000","00010000","00010000","00011000","00000000", --^
"00001000","00010100","00100010","00000000","00000000","00000000","00000000","00000000", --_
"00000000","00000000","00000000","00000000","00000000","00000000","11111111","00000000", --`
"00001000","00010000","00000000","00000000","00000000","00000000","00000000","00000000", --a
"00000000","00011100","00100000","00111100","00100010","01011100","00000000","00000000", --b
"00000010","00000010","00011010","00100110","00100010","00011110","00000000","00000000", --c
"00000000","00011100","00000010","00000010","00100010","00011100","00000000","00000000", --d
"00100000","00100000","00101100","00110010","00100010","00111100","00000000","00000000", --e
"00000000","00011100","00100010","00111110","00000010","00011100","00000000","00000000", --f
"00011100","00100010","00000010","00001110","00000010","00000010","00000000","00000000", --g
"00000000","00111100","00100010","00100010","00111100","00100000","00011100","00000000", --h
"00000010","00000010","00011010","00100110","00100010","00100010","00000000","00000000", --i
"00001000","00000000","00001100","00001000","00001000","00011100","00000000","00000000", --j
"00010000","00000000","00011000","00010000","00010000","00010010","00001100","00000000", --k
"00000010","00010010","00001010","00001110","00010010","00100010","00000000","00000000", --l
"00001100","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --m
"00000000","00010110","00101010","00101010","00101010","00101010","00000000","00000000", --n
"00000000","00011010","00100100","00100100","00100100","00100100","00000000","00000000", --o
"00000000","00011100","00100010","00100010","00100010","00011100","00000000","00000000", --p
"00000000","00011110","00100010","00100010","00011110","00000010","00000010","00000000", --q
"00000000","00101100","00110010","00100010","00111100","00100000","00100000","00000000", --r
"00000000","00011010","00100100","00000100","00000100","00000100","00000000","00000000", --s
"00000000","00111100","00000010","00011100","00100000","00011110","00000000","00000000", --t
"00000010","00000010","00001110","00000010","00100010","00011100","00000000","00000000", --u
"00000000","00010010","00010010","00010010","00010010","00101100","00000000","00000000", --v
"00000000","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --w
"00000000","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --x
"00000000","00100010","00010100","00001000","00010100","00100010","00000000","00000000", --y
"00000000","00100010","00100010","00100010","00111100","00100000","00011100","00000000", --z
"00000000","00111110","00010000","00001000","00000100","00111110","00000000","00000000", --{
"00010000","00001000","00001000","00000100","00001000","00001000","00010000","00000000", --|
"00001000","00001000","00001000","00001000","00001000","00001000","00001000","00000000", --}
"00000100","00001000","00001000","00010000","00001000","00001000","00000100","00000000", --~
"00000000","00000000","00001100","10010010","01100000","00000000","00000000","00000000", --del
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --0d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --1d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --2d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --3d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --4d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --5d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --6d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --7d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --8d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --9d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --10d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --11d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --12d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --13d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --14d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --15d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --16d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --17d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --18d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --19d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --20d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --21d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --22d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --23d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --24d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --25d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --26d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --27d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --28d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --29d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --30d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --31d
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --space
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000", --!
"00001000","00001000","00001000","00001000","00000000","00001000","00000000","00000000", --"
"00010100","00010100","00000000","00000000","00000000","00000000","00000000","00000000", --#
"00000000","00010100","00111110","00010100","00111110","00010100","00000000","00000000", --$
"00001000","00111100","00001010","00011100","00101000","00011110","00001000","00000000", --%
"10001110","01001010","00101110","00010000","11101000","10100100","11100010","00000000", ----'
"00001100","00010010","00010010","00001100","00110010","00010010","01101100","00000000", --(
"00001000","00001000","00000000","00000000","00000000","00000000","00000000","00000000", --)
"00001000","00000100","00000010","00000010","00000010","00000100","00001000","00000000", --*
"00001000","00010000","00100000","00100000","00100000","00010000","00001000","00000000", --+
"00001000","00111110","00010100","00100010","00000000","00000000","00000000","00000000", --,
"00010000","00010000","00010000","11111110","00010000","00010000","00010000","00000000", ---
"00000000","00000000","00000000","00000000","00000000","00001000","00001000","00000000", --.
"00000000","00000000","00000000","00111110","00000000","00000000","00000000","00000000", --/
"00000000","00000000","00000000","00000000","00000000","00001000","00000000","00000000", --0
"01000000","00100000","00010000","00001000","00000100","00000010","00000000","00000000", --1
"00011100","00110010","00101010","00101010","00100110","00011100","00000000","00000000", --2
"00001000","00001100","00001000","00001000","00001000","00111110","00000000","00000000", --3
"00011100","00100010","00010000","00001000","00000100","00111110","00000000","00000000", --4
"00011100","00100010","00010000","00100000","00100010","00011100","00000000","00000000", --5
"00011000","00010100","00010010","00111110","00010000","00111100","00000000","00000000", --6
"00111110","00000010","00111110","00100000","00100010","00011100","00000000","00000000", --7
"00011100","00100010","00000010","00011110","00100010","00011100","00000000","00000000", --8
"00111110","00100010","00100000","00010000","00001000","00001000","00000000","00000000", --9
"00011100","00100010","00011100","00100010","00100010","00011100","00000000","00000000", --:
"00011100","00100010","00111100","00100000","00100010","00011100","00000000","00000000", --;
"00000000","00001000","00000000","00000000","00000000","00001000","00000000","00000000", --<
"00000000","00001000","00000000","00000000","00000000","00001000","00001000","00000000", --=
"00010000","00001000","00000100","00000010","00000100","00001000","00010000","00000000", -->
"00000000","00000000","00111110","00000000","00000000","00111110","00000000","00000000", --?
"00000010","00000100","00001000","00010000","00001000","00000100","00000010","00000000", --@
"00011100","00100010","00011000","00001000","00000000","00001000","00000000","00000000", --A
"01111100","10000010","10111010","10101010","10111010","10100010","01101100","00000000", --B
"00011100","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --C
"00011110","00100010","00011110","00100010","00100010","00011110","00000000","00000000", --D
"00011100","00100010","00000010","00000010","00100010","00011100","00000000","00000000", --E
"00011110","00100010","00100010","00100010","00100010","00011110","00000000","00000000", --F
"00111110","00000010","00011110","00000010","00000010","00111110","00000000","00000000", --G
"00111110","00000010","00011110","00000010","00000010","00000010","00000000","00000000", --H
"00011100","00100010","00000010","00111010","00100010","00011100","00000000","00000000", --I
"00100010","00100010","00111110","00100010","00100010","00100010","00000000","00000000", --J
"00111110","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --K
"00111000","00010000","00010000","00010000","00010010","00001100","00000000","00000000", --L
"00010010","00001010","00000110","00001010","00010010","00100010","00000000","00000000", --M
"00000010","00000010","00000010","00000010","00000010","00111110","00000000","00000000", --N
"00100010","00110110","00101010","00101010","00100010","00100010","00000000","00000000", --O
"00100010","00100010","00100110","00101010","00110010","00100010","00000000","00000000", --P
"00011100","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --Q
"00011110","00100010","00100010","00011110","00000010","00000010","00000000","00000000", --R
"00011100","00100010","00100010","00100010","00100010","00011100","01101000","00000000", --S
"00011110","00100010","00011110","00001010","00010010","00100010","00000000","00000000", --T
"00111100","00000010","00011100","00100000","00100000","00011110","00000000","00000000", --U
"00111110","00001000","00001000","00001000","00001000","00001000","00000000","00000000", --V
"00100010","00100010","00100010","00100010","00100010","00011100","00000000","00000000", --W
"00100010","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --X
"00100010","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --Y
"00100010","00010100","00001000","00001000","00010100","00100010","00000000","00000000", --Z
"00100010","00100010","00011100","00001000","00001000","00001000","00000000","00000000", --[
"00111110","00010000","00001000","00000100","00000010","00111110","00000000","00000000", --\
"00011000","00001000","00001000","00001000","00001000","00001000","00011000","00000000", --]
"00000010","00000100","00001000","00010000","00100000","01000000","00000000","00000000", --^
"00011000","00010000","00010000","00010000","00010000","00010000","00011000","00000000", --_
"00001000","00010100","00100010","00000000","00000000","00000000","00000000","00000000", --`
"00000000","00000000","00000000","00000000","00000000","00000000","11111111","00000000", --a
"00001000","00010000","00000000","00000000","00000000","00000000","00000000","00000000", --b
"00000000","00011100","00100000","00111100","00100010","01011100","00000000","00000000", --c
"00000010","00000010","00011010","00100110","00100010","00011110","00000000","00000000", --d
"00000000","00011100","00000010","00000010","00100010","00011100","00000000","00000000", --e
"00100000","00100000","00101100","00110010","00100010","00111100","00000000","00000000", --f
"00000000","00011100","00100010","00111110","00000010","00011100","00000000","00000000", --g
"00011100","00100010","00000010","00001110","00000010","00000010","00000000","00000000", --h
"00000000","00111100","00100010","00100010","00111100","00100000","00011100","00000000", --i
"00000010","00000010","00011010","00100110","00100010","00100010","00000000","00000000", --j
"00001000","00000000","00001100","00001000","00001000","00011100","00000000","00000000", --k
"00010000","00000000","00011000","00010000","00010000","00010010","00001100","00000000", --l
"00000010","00010010","00001010","00001110","00010010","00100010","00000000","00000000", --m
"00001100","00001000","00001000","00001000","00001000","00111110","00000000","00000000", --n
"00000000","00010110","00101010","00101010","00101010","00101010","00000000","00000000", --o
"00000000","00011010","00100100","00100100","00100100","00100100","00000000","00000000", --p
"00000000","00011100","00100010","00100010","00100010","00011100","00000000","00000000", --q
"00000000","00011110","00100010","00100010","00011110","00000010","00000010","00000000", --r
"00000000","00101100","00110010","00100010","00111100","00100000","00100000","00000000", --s
"00000000","00011010","00100100","00000100","00000100","00000100","00000000","00000000", --t
"00000000","00111100","00000010","00011100","00100000","00011110","00000000","00000000", --u
"00000010","00000010","00001110","00000010","00100010","00011100","00000000","00000000", --v
"00000000","00010010","00010010","00010010","00010010","00101100","00000000","00000000", --w
"00000000","00100010","00100010","00010100","00010100","00001000","00000000","00000000", --x
"00000000","00100010","00100010","00101010","00101010","00010100","00000000","00000000", --y
"00000000","00100010","00010100","00001000","00010100","00100010","00000000","00000000", --z
"00000000","00100010","00100010","00100010","00111100","00100000","00011100","00000000", --{
"00000000","00111110","00010000","00001000","00000100","00111110","00000000","00000000", --|
"00010000","00001000","00001000","00000100","00001000","00001000","00010000","00000000", --}
"00001000","00001000","00001000","00001000","00001000","00001000","00001000","00000000", --~
"00000100","00001000","00001000","00010000","00001000","00001000","00000100","00000000", --del
"00000000","00000000","00001100","10010010","01100000","00000000","00000000","00000000",
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000");
end PIXPACKAGE;
|
mit
|
onkelthomas/HW_SW_LU_Gr3_2015
|
work/work/vhdl/textmode_controller/font_rom.vhd
|
2
|
1768
|
----------------------------------------------------------------------------------
-- Company: TU Wien - ECS Group --
-- Engineer: Thomas Polzer --
-- --
-- Create Date: 21.09.2010 --
-- Design Name: DIDELU --
-- Module Name: font_rom --
-- Project Name: DIDELU --
-- Description: Font ROM - Entity --
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- LIBRARIES --
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.font_pkg.all;
use work.math_pkg.all;
----------------------------------------------------------------------------------
-- ENTITY --
----------------------------------------------------------------------------------
entity font_rom is
port
(
vga_clk : in std_logic;
char : in std_logic_vector(log2c(CHAR_COUNT) - 1 downto 0);
char_height_pixel : in std_logic_vector(log2c(CHAR_HEIGHT) - 1 downto 0);
decoded_char : out std_logic_vector(0 to CHAR_WIDTH - 1)
);
end entity font_rom;
--- EOF ---
|
mit
|
onkelthomas/HW_SW_LU_Gr3_2015
|
template/template/vhdl/textmode_controller/font_rom.vhd
|
2
|
1768
|
----------------------------------------------------------------------------------
-- Company: TU Wien - ECS Group --
-- Engineer: Thomas Polzer --
-- --
-- Create Date: 21.09.2010 --
-- Design Name: DIDELU --
-- Module Name: font_rom --
-- Project Name: DIDELU --
-- Description: Font ROM - Entity --
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- LIBRARIES --
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.font_pkg.all;
use work.math_pkg.all;
----------------------------------------------------------------------------------
-- ENTITY --
----------------------------------------------------------------------------------
entity font_rom is
port
(
vga_clk : in std_logic;
char : in std_logic_vector(log2c(CHAR_COUNT) - 1 downto 0);
char_height_pixel : in std_logic_vector(log2c(CHAR_HEIGHT) - 1 downto 0);
decoded_char : out std_logic_vector(0 to CHAR_WIDTH - 1)
);
end entity font_rom;
--- EOF ---
|
mit
|
onkelthomas/HW_SW_LU_Gr3_2015
|
template/template/vhdl/textmode_controller/cursor_controller_pkg.vhd
|
2
|
1512
|
----------------------------------------------------------------------------------
-- LIBRARIES --
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.math_pkg.all;
----------------------------------------------------------------------------------
-- PACKAGE --
----------------------------------------------------------------------------------
package cursor_controller_pkg is
component cursor_controller is
generic
(
CLK_FREQ : integer;
BLINK_PERIOD : time range 1 ms to 2000 ms;
ROW_COUNT : integer;
COLUM_COUNT : integer;
COLOR_WIDTH : integer
);
port
(
clk : in std_logic;
res_n : in std_logic;
cursor_state : in std_logic_vector(1 downto 0);
cursor_color : in std_logic_vector(COLOR_WIDTH-1 downto 0);
position_row : in std_logic_vector(log2c(ROW_COUNT)-1 downto 0);
position_colum : in std_logic_vector(log2c(COLUM_COUNT)-1 downto 0);
vram_addr_row : in std_logic_vector(log2c(ROW_COUNT)-1 downto 0);
vram_addr_colum : in std_logic_vector(log2c(COLUM_COUNT)-1 downto 0);
vram_rd : in std_logic;
vram_data_color_in : in std_logic_vector(COLOR_WIDTH-1 downto 0);
vram_data_color_out : out std_logic_vector(COLOR_WIDTH-1 downto 0)
);
end component cursor_controller;
end package cursor_controller_pkg;
|
mit
|
kuasha/traviscitest
|
samplejsapp/app/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
dawsonjon/Chips-Demo
|
demo/bsp/atlys/serial_in.vhd
|
20
|
4970
|
--------------------------------------------------------------------------------
---
--- SERIAL INPUT
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A Serial Input Component
---
--------------------------------------------------------------------------------
---
---Serial Input
---============
---
---Read a stream of data from a serial UART
---
---Outputs
-----------
---
--- + OUT1 : Serial data stream
---
---Generics
-----------
---
--- + baud_rate
--- + clock frequency
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity SERIAL_INPUT is
generic(
CLOCK_FREQUENCY : integer;
BAUD_RATE : integer
);
port(
CLK : in std_logic;
RST : in std_logic;
RX : in std_logic;
OUT1 : out std_logic_vector(7 downto 0);
OUT1_STB : out std_logic;
OUT1_ACK : in std_logic
);
end entity SERIAL_INPUT;
architecture RTL of SERIAL_INPUT is
type SERIAL_IN_STATE_TYPE is (IDLE, START, RX0, RX1, RX2, RX3, RX4, RX5, RX6, RX7, STOP, OUTPUT_DATA);
signal STATE : SERIAL_IN_STATE_TYPE;
signal STREAM : std_logic_vector(7 downto 0);
signal STREAM_STB : std_logic;
signal STREAM_ACK : std_logic;
signal COUNT : integer Range 0 to 3;
signal BIT_SPACING : integer Range 0 to 15;
signal INT_SERIAL : std_logic;
signal SERIAL_DEGLITCH : std_logic_Vector(1 downto 0);
constant CLOCK_DIVIDER : unsigned(11 downto 0) := To_unsigned(CLOCK_FREQUENCY/(BAUD_RATE * 16), 12);
signal BAUD_COUNT : unsigned(11 downto 0);
signal X16CLK_EN : std_logic;
begin
process
begin
wait until rising_edge(CLK);
if BAUD_COUNT = CLOCK_DIVIDER then
BAUD_COUNT <= (others => '0');
X16CLK_EN <= '1';
else
BAUD_COUNT <= BAUD_COUNT + 1;
X16CLK_EN <= '0';
end if;
if RST = '1' then
BAUD_COUNT <= (others => '0');
X16CLK_EN <= '0';
end if;
end process;
process
begin
wait until rising_edge(CLK);
SERIAL_DEGLITCH <= SERIAL_DEGLITCH(0) & RX;
if X16CLK_EN = '1' then
if SERIAL_DEGLITCH(1) = '0' then
if COUNT = 0 then
INT_SERIAL <= '0';
else
COUNT <= COUNT - 1;
end if;
else
if COUNT = 3 then
INT_SERIAL <= '1';
else
COUNT <= COUNT + 1;
end if;
end if;
end if;
if RST = '1' then
SERIAL_DEGLITCH <= "11";
end if;
end process;
process
begin
wait until rising_edge(CLK);
if X16CLK_EN = '1' then
if BIT_SPACING = 15 then
BIT_SPACING <= 0;
else
BIT_SPACING <= BIT_SPACING + 1;
end if;
end if;
case STATE is
when IDLE =>
BIT_SPACING <= 0;
if X16CLK_EN = '1' and INT_SERIAL = '0' then
STATE <= START;
end if;
when START =>
if X16CLK_EN = '1' and BIT_SPACING = 7 then
BIT_SPACING <= 0;
STATE <= RX0;
end if;
when RX0 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(0) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX1;
end if;
when RX1 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(1) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX2;
end if;
when RX2 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(2) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX3;
end if;
when RX3 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(3) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX4;
end if;
when RX4 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(4) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX5;
end if;
when RX5 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(5) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX6;
end if;
when RX6 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(6) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= RX7;
end if;
when RX7 =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
OUT1(7) <= INT_SERIAL;
BIT_SPACING <= 0;
STATE <= STOP;
end if;
when STOP =>
if X16CLK_EN = '1' and BIT_SPACING = 15 then
BIT_SPACING <= 0;
STATE <= OUTPUT_DATA;
OUT1_STB <= '1';
end if;
when OUTPUT_DATA =>
if OUT1_ACK = '1' then
OUT1_STB <= '0';
STATE <= IDLE;
end if;
when others =>
STATE <= IDLE;
end case;
if RST = '1' then
STATE <= IDLE;
OUT1_STB <= '0';
end if;
end process;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/audio_output/svga_timing_gen.vhd
|
15
|
5488
|
-- ****************************************************************************
-- Filename :svga_timing_gen.vhd
-- Project :Wishbone VGA Core
-- Version :0.1
-- Author :Jonathan P Dawson
-- Created Date :2005-12-18
-- ****************************************************************************
-- Description :Generates video timings for a caracter maped video
-- display. Generates sync signals, the address of a
-- character within the screen and the address of a pixel
-- within a character.
-- ****************************************************************************
-- Dependencies :Standard Libraries
-- ****************************************************************************
-- Revision History :
--
-- Date :2005-12-18
-- Author :Jonathan P Dawson
-- Modification: Created File
--
-- ****************************************************************************
-- Copyright (C) Jonathan P Dawson 2005
-- ****************************************************************************
library IEEE;
use Ieee.std_logic_1164.all;
use Ieee.numeric_std.all;
entity VIDEO_TIME_GEN is
port (
CLK : in Std_logic;
RST : in Std_logic;
CHARADDR : out Std_logic_vector(12 downto 0);
PIXROW : out Std_logic_vector(2 downto 0);
PIXCOL : out Std_logic_vector(2 downto 0);
HSYNCH : out Std_logic;
VSYNCH : out Std_logic;
BLANK : out Std_logic);
end VIDEO_TIME_GEN;
architecture RTL of VIDEO_TIME_GEN is
signal PIX_ROW_ADDRESS : Unsigned(2 downto 0);
signal PIX_COL_ADDRESS : Unsigned(2 downto 0);
signal ROW_ADDRESS : Unsigned(12 downto 0);
signal COL_ADDRESS : Unsigned(6 downto 0);
signal VTIMER : Unsigned(9 downto 0);
signal HTIMER : Unsigned(10 downto 0);
signal VTIMER_EN : Std_logic;
signal VBLANK : Std_logic;
signal HBLANK : Std_logic;
signal INTVSYNCH : Std_logic;
signal INTHSYNCH : Std_logic;
constant HSYNCHTIME : Integer := 120;
constant HACTIVETIME : Integer := 800;
constant FPORCHTIME : Integer := 64;
constant BPORCHTIME : Integer := 56;
constant VSYNCHTIME : Integer := 6;
constant VACTIVETIME : Integer := 600;
constant VFPORCHTIME : Integer := 35;
constant VBPORCHTIME : Integer := 21;
begin
process
begin
wait until rising_edge(CLK);
if VBLANK = '0' and HBLANK = '0' then
if PIX_COL_ADDRESS = To_unsigned(7, 3) then
PIX_COL_ADDRESS <= (others => '0');
if COL_ADDRESS = To_unsigned(99, 7) then
COL_ADDRESS <= (others => '0');
if PIX_ROW_ADDRESS = To_unsigned(7, 3) then
PIX_ROW_ADDRESS <= (others => '0');
if ROW_ADDRESS = To_unsigned(7400, 13) then
ROW_ADDRESS <= (others => '0');
else
ROW_ADDRESS <= ROW_ADDRESS + 100;
end if;
else
PIX_ROW_ADDRESS <= PIX_ROW_ADDRESS + 1;
end if;
else
COL_ADDRESS <= COL_ADDRESS + 1;
end if;
else
PIX_COL_ADDRESS <= PIX_COL_ADDRESS +1;
end if;
end if;
if RST = '1' then
PIX_COL_ADDRESS <= (others => '0');
PIX_ROW_ADDRESS <= (others => '0');
COL_ADDRESS <= (others => '0');
ROW_ADDRESS <= (others => '0');
end if;
end process;
process
begin
wait until rising_edge(CLK);
if VTIMER_EN = '1' then
VTIMER <= VTIMER + 1;
if VTIMER = To_unsigned(VSYNCHTIME, 10) then
INTVSYNCH <= '1';
end if;
if VTIMER = To_unsigned(VSYNCHTIME
+ VFPORCHTIME, 10) then
VBLANK <= '0';
end if;
if VTIMER = To_unsigned(VSYNCHTIME
+ VFPORCHTIME
+ VACTIVETIME, 10) then
VBLANK <= '1';
end if;
if VTIMER = To_unsigned(VSYNCHTIME
+ VFPORCHTIME
+ VACTIVETIME
+ VBPORCHTIME, 10) then
INTVSYNCH <= '0';
VTIMER <= (others => '0');
end if;
end if;
if RST = '1' then
VTIMER <= (others => '0');
INTVSYNCH <= '0';
VBLANK <= '1';
end if;
end process;
process
begin
wait until Rising_edge(CLK);
HTIMER <= HTIMER + 1;
VTIMER_EN <= '0';
if HTIMER = To_unsigned(HSYNCHTIME, 11) then
INTHSYNCH <= '1';
end if;
if HTIMER = To_unsigned(HSYNCHTIME
+ FPORCHTIME, 11) then
HBLANK <= '0';
end if;
if HTIMER = To_unsigned(HSYNCHTIME
+ FPORCHTIME
+ HACTIVETIME, 11) then
HBLANK <= '1';
end if;
if HTIMER = To_unsigned(HSYNCHTIME
+ FPORCHTIME
+ HACTIVETIME
+ BPORCHTIME, 11) then
INTHSYNCH <= '0';
VTIMER_EN <= '1';
HTIMER <= (others => '0');
end if;
if RST = '1' then
HTIMER <= (others => '0');
INTHSYNCH <= '0';
HBLANK <= '1';
VTIMER_EN <= '1';
end if;
end process;
HSYNCH <= INTHSYNCH;
VSYNCH <= INTVSYNCH;
BLANK <= HBLANK or VBLANK;
CHARADDR <= Std_logic_vector(ROW_ADDRESS + COL_ADDRESS);
PIXCOL <= Std_logic_vector(PIX_COL_ADDRESS);
PIXROW <= Std_logic_vector(PIX_ROW_ADDRESS);
end RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/hello_world/pwm_audio.vhd
|
15
|
1817
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pwm_audio is
generic(
CLOCK_FREQUENCY : integer := 50000000;
SAMPLE_RATE : integer := 44000;
AUDIO_BITS : integer := 8
);
port(
CLK : in std_logic;
RST : in std_logic;
DATA_IN : in std_logic_vector(31 downto 0);
DATA_IN_STB : in std_logic;
DATA_IN_ACK : out std_logic;
AUDIO : out std_logic
);
end entity pwm_audio;
architecture RTL of pwm_audio is
constant MAX_COUNT : integer := (clock_frequency/sample_rate)-1;
type state_type is (GET_SAMPLE, PLAY_SAMPLE);
signal STATE : STATE_TYPE;
signal S_DATA_IN_ACK : std_logic;
signal COUNT : integer range 0 to MAX_COUNT;
signal SAMPLE : unsigned (audio_bits-1 downto 0);
signal SIGMA : unsigned (audio_bits downto 0);
signal DELTA : unsigned (audio_bits downto 0);
signal COMPARATOR : unsigned (audio_bits downto 0);
begin
process
begin
wait until rising_edge(CLK);
case STATE is
when GET_SAMPLE =>
S_DATA_IN_ACK <= '1';
if S_DATA_IN_ACK = '1' and DATA_IN_STB = '1' then
S_DATA_IN_ACK <= '0';
SAMPLE <= unsigned(DATA_IN(AUDIO_BITS-1 downto 0));
STATE <= PLAY_SAMPLE;
COUNT <= 0;
end if;
when PLAY_SAMPLE =>
if COUNT = MAX_COUNT then
STATE <= GET_SAMPLE;
else
COUNT <= COUNT + 1;
end if;
end case;
SIGMA <= SIGMA + DELTA;
if RST = '1' then
STATE <= GET_SAMPLE;
SIGMA <= (others => '0');
SAMPLE <= (others => '0');
S_DATA_IN_ACK <= '0';
end if;
end process;
DELTA <= SAMPLE - COMPARATOR;
COMPARATOR <= SIGMA(AUDIO_BITS) & to_unsigned(0, audio_bits);
AUDIO <= SIGMA(AUDIO_BITS);
DATA_IN_ACK <= S_DATA_IN_ACK;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/hello_world/rmii_ethernet.vhd
|
15
|
25834
|
--------------------------------------------------------------------------------
---
--- Ethernet MAC
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A ethernet MAC
---
--------------------------------------------------------------------------------
---
---Gigabit Ethernet
---================
---
---Send and receive Ethernet packets. Using a Ethernet Physical Interface.
---
---Features:
---
---+ Supports 100/10 ethernet only via a mii interface.
---+ Supports full duplex mode only.
---
---Interface
------------
---:input: TX - Data to send (16 bits).
---:output: RX - Data to send (16 bits).
---
---Ethernet Packet Structure
----------------------------
---
---+-------------+-------------+--------+--------+---------+---------+-----+
---| Description | destination | source | length | payload | padding | FSC |
---+=============+=============+========+========+=========+=========+=====+
---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 |
---+-------------+-------------+--------+--------+---------+---------+-----+
---
---Notes:
---
---+ The *length* field is the length of the ethernet payload.
---+ The *Ethernet Output* block will automatically append the FSC to
--- outgoing packets.
---+ The *FSC* of incoming packets will be checked, and bad packets will
--- be discarded. The *FSC* will be stripped from incoming packets.
---+ The length of the *payload* + *padding* must be 46-1500 bytes.
---+ Incoming packets of incorrect *length* will be discarded.
---
---Usage
--------
---
---Transmit
---~~~~~~~~
---The first 16 bit word on the TX input is interpreted as the length of the
---packet in bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the TX input are interpreted as the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will be ignored.
---The FSC will be appended for you, but you need to supply the destination,
---source and length fields.
---
---Receive
---~~~~~~~~
---The first 16 bit word on the RX output will be the length of the packet in
---bytes (including the MAC address, length and payload, but not the
---preamble or FSC). Subsequent words on the RX output will be the
---content of the packet. If length is an odd number of bytes, then the least
---significant byte of the last word will not contain usefull data.
---The FSC will be stripped from incoming packets, but the destination,
---source and length fields will be included.
---
---Hardware details
-------------------
---This component uses three clocks, the local clock used to transfer data
---between components, the TX, and RX clocks which come from the PHY
---
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity rmii_ethernet is
port(
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end entity rmii_ethernet;
architecture RTL of rmii_ethernet is
-- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32)
-- data width: 8
-- convention: the first serial bit is D[0]
function NEXTCRC32_D8
(DATA: std_logic_vector(7 downto 0);
CRC: std_logic_vector(31 downto 0))
return std_logic_vector is
variable D: std_logic_vector(7 downto 0);
variable C: std_logic_vector(31 downto 0);
variable NEWCRC: std_logic_vector(31 downto 0);
begin
D := DATA;
C := CRC;
NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7);
NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1)
xor D(7);
NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0)
xor D(6);
NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24)
xor C(30) xor D(1) xor D(7);
NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25)
xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26)
xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26)
xor D(5) xor C(24) xor D(7);
NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6);
NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5)
xor C(24) xor D(7);
NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6)
xor C(24) xor D(7);
NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5)
xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4)
xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3)
xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3)
xor C(27) xor D(4);
NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7);
NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6);
NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5);
NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4);
NewCRC(20):=C(12) xor C(28) xor D(3);
NewCRC(21):=C(13) xor C(29) xor D(2);
NewCRC(22):=C(14) xor C(24) xor D(7);
NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7);
NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6);
NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5);
NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30)
xor D(1) xor D(7);
NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31)
xor D(0) xor D(6);
NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5);
NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4);
NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3);
NewCRC(31):=C(23) xor C(29) xor D(2);
return NEWCRC;
end NEXTCRC32_D8;
-- Reverse the input vector.
function REVERSED(slv: std_logic_vector) return std_logic_vector is
variable result: std_logic_vector(slv'reverse_range);
begin
for i in slv'range loop
result(i) := slv(i);
end loop;
return result;
end REVERSED;
--constants
constant ADDRESS_BITS : integer := 11;
constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1;
--memories
type TX_MEMORY_TYPE is array (0 to 1024) of
std_logic_vector(15 downto 0);
shared variable TX_MEMORY : TX_MEMORY_TYPE;
type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of
std_logic_vector(15 downto 0);
shared variable RX_MEMORY : RX_MEMORY_TYPE;
type ADDRESS_ARRAY is array (0 to 31) of
unsigned(ADDRESS_BITS - 1 downto 0);
--state variables
type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE,
SFD_0, SFD_1, SFD_2, SFD_3, SEND_DATA_0, SEND_DATA_1, SEND_DATA_2, SEND_DATA_3,
SEND_DATA_4, SEND_DATA_5, SEND_DATA_6, SEND_DATA_7, SEND_CRC_15,
SEND_CRC_14, SEND_CRC_13, SEND_CRC_12, SEND_CRC_11, SEND_CRC_10,
SEND_CRC_9, SEND_CRC_8, SEND_CRC_7, SEND_CRC_6,
SEND_CRC_5, SEND_CRC_4, SEND_CRC_3,
SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE);
signal TX_PHY_STATE : TX_PHY_STATE_TYPE;
type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET,
WAIT_NOT_DONE);
signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE;
type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE,
DATA_0, DATA_1, DATA_2, DATA_3, DATA_4,
DATA_5, DATA_6, DATA_7, END_OF_FRAME, NOTIFY_NEW_PACKET);
signal RX_PHY_STATE : RX_PHY_STATE_TYPE;
type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA,
PREFETCH0, PREFETCH1, SEND_LENGTH);
signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE;
--TX signals
signal TX_WRITE : std_logic;
signal TX_WRITE_DATA : std_logic_vector(15 downto 0);
signal TX_READ_DATA : std_logic_vector(15 downto 0);
signal TX_WRITE_ADDRESS : integer range 0 to 1024;
signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1024;
signal TX_READ_ADDRESS : integer range 0 to 1024;
signal TX_CRC : std_logic_vector(31 downto 0);
signal TX_IN_COUNT : integer range 0 to 1024;
signal TX_OUT_COUNT : integer range 0 to 1024;
signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0);
signal GO, GO_DEL, GO_SYNC : std_logic;
signal DONE, DONE_DEL, DONE_SYNC : std_logic;
signal S_TX_ACK : std_logic;
signal PREAMBLE_COUNT : integer range 0 to 27;
--RX signals
signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY;
signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY;
signal RX_WRITE_BUFFER : integer range 0 to 31;
signal RX_READ_BUFFER : integer range 0 to 31;
signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0);
signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0);
signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0);
signal RX_WRITE_DATA : std_logic_vector(15 downto 0);
signal RX_WRITE_ENABLE : std_logic;
signal RX_ERROR : std_logic;
signal RX_CRC : std_logic_vector(31 downto 0);
signal RXD_D : std_logic_vector(1 downto 0);
signal LOW_NIBBLE : std_logic_vector(5 downto 0);
signal RXDV_D : std_logic;
signal RXER_D : std_logic;
begin
--This process is in the local clock domain.
--It gets data and puts it into a RAM.
--Once a packets worth of data has been stored it is
--sent to the packet sending state machine.
TX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
TX_WRITE <= '0';
case TX_PACKET_STATE is
when GET_LENGTH =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
S_TX_ACK <= '0';
TX_PACKET_LENGTH <= TX;
TX_IN_COUNT <= 2;
TX_PACKET_STATE <= GET_DATA;
end if;
when GET_DATA =>
S_TX_ACK <= '1';
if S_TX_ACK = '1' and TX_STB = '1' then
TX_WRITE_DATA <= TX;
TX_WRITE <= '1';
if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then
TX_PACKET_STATE <= SEND_PACKET;
S_TX_ACK <= '0';
else
TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1;
TX_IN_COUNT <= TX_IN_COUNT + 2;
end if;
end if;
when SEND_PACKET =>
GO <= '1';
TX_WRITE_ADDRESS <= 0;
if DONE_SYNC = '1' then
GO <= '0';
TX_PACKET_STATE <= WAIT_NOT_DONE;
end if;
when WAIT_NOT_DONE =>
if DONE_SYNC = '0' then
TX_PACKET_STATE <= GET_LENGTH;
end if;
end case;
if RST = '1' then
TX_PACKET_STATE <= GET_LENGTH;
TX_WRITE_ADDRESS <= 0;
S_TX_ACK <= '0';
GO <= '0';
end if;
end process TX_PACKET_FSM;
TX_ACK <= S_TX_ACK;
--This process writes data into a dual port RAM
WRITE_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(CLK);
TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS;
if TX_WRITE = '1' then
TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA;
end if;
end process;
--This process read data from a dual port RAM
READ_DUAL_PORT_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS);
end process;
--This process synchronises ethernet signals
--to the TX clock domain
LOCAL_TO_TXCLK : process
begin
wait until rising_edge(ETH_CLK);
GO_DEL <= GO; GO_SYNC <= GO_DEL;
end process;
--This process synchronises local signals to the ethernet clock domain
TXCLK_TO_LOCAL : process
begin
wait until rising_edge(CLK);
DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL;
end process;
--Transmit the stored packet via the phy.
TX_PHY_FSM : process
variable CRC : std_logic_vector(7 downto 0);
begin
wait until rising_edge(ETH_CLK);
case TX_PHY_STATE is
when WAIT_NEW_PACKET =>
if GO_SYNC = '1' then
TX_PHY_STATE <= PREAMBLE;
TX_READ_ADDRESS <= 0;
TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1);
PREAMBLE_COUNT <= 27;
end if;
when PREAMBLE =>
TXD <= "01";
TXEN <= '1';
if PREAMBLE_COUNT = 0 then
TX_PHY_STATE <= SFD_0;
else
PREAMBLE_COUNT <= PREAMBLE_COUNT - 1;
end if;
when SFD_0 =>
TXD <= "01";
TX_PHY_STATE <= SFD_1;
when SFD_1 =>
TXD <= "01";
TX_PHY_STATE <= SFD_2;
when SFD_2 =>
TXD <= "01";
TX_PHY_STATE <= SFD_3;
when SFD_3 =>
TXD <= "11";
TX_PHY_STATE <= SEND_DATA_0;
TX_CRC <= X"FFFFFFFF";
when SEND_DATA_0 =>
TXD <= TX_READ_DATA(9 downto 8);
TX_PHY_STATE <= SEND_DATA_1;
when SEND_DATA_1 =>
TXD <= TX_READ_DATA(11 downto 10);
TX_PHY_STATE <= SEND_DATA_2;
when SEND_DATA_2 =>
TXD <= TX_READ_DATA(13 downto 12);
TX_PHY_STATE <= SEND_DATA_3;
when SEND_DATA_3 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC);
TXD <= TX_READ_DATA(15 downto 14);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_4;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_DATA_4 =>
TXD <= TX_READ_DATA(1 downto 0);
TX_PHY_STATE <= SEND_DATA_5;
when SEND_DATA_5 =>
TXD <= TX_READ_DATA(3 downto 2);
TX_PHY_STATE <= SEND_DATA_6;
when SEND_DATA_6 =>
TXD <= TX_READ_DATA(5 downto 4);
TX_PHY_STATE <= SEND_DATA_7;
TX_READ_ADDRESS <= TX_READ_ADDRESS + 1;
when SEND_DATA_7 =>
TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC);
TXD <= TX_READ_DATA(7 downto 6);
If TX_OUT_COUNT = 0 then
TX_PHY_STATE <= SEND_CRC_15;
else
TX_PHY_STATE <= SEND_DATA_0;
TX_OUT_COUNT <= TX_OUT_COUNT - 1;
end if;
when SEND_CRC_15 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_14;
when SEND_CRC_14 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_13;
when SEND_CRC_13 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_12;
when SEND_CRC_12 =>
CRC := not REVERSED(TX_CRC(31 downto 24));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_11;
when SEND_CRC_11 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_10;
when SEND_CRC_10 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_9;
when SEND_CRC_9 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_8;
when SEND_CRC_8 =>
CRC := not REVERSED(TX_CRC(23 downto 16));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_7;
when SEND_CRC_7 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_6;
when SEND_CRC_6 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_5;
when SEND_CRC_5 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_4;
when SEND_CRC_4 =>
CRC := not REVERSED(TX_CRC(15 downto 8));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= SEND_CRC_3;
when SEND_CRC_3 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(1 downto 0);
TX_PHY_STATE <= SEND_CRC_2;
when SEND_CRC_2 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(3 downto 2);
TX_PHY_STATE <= SEND_CRC_1;
when SEND_CRC_1 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(5 downto 4);
TX_PHY_STATE <= SEND_CRC_0;
when SEND_CRC_0 =>
CRC := not REVERSED(TX_CRC(7 downto 0));
TXD <= CRC(7 downto 6);
TX_PHY_STATE <= DONE_STATE;
when DONE_STATE =>
TXEN <= '0';
DONE <= '1';
if GO_SYNC = '0' then
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
end if;
end case;
if RST = '1' then
TXEN <= '0';
TX_PHY_STATE <= WAIT_NEW_PACKET;
DONE <= '0';
TXD <= (others => '0');
end if;
end process TX_PHY_FSM;
TXER <= '0';
--This process reads data out of the phy and puts it into a buffer.
--There are many buffers on the RX side to cope with data arriving at
--a high rate. If a very large packet is received, followed by many small
--packets, a large number of packets need to be stored.
RX_PHY_FSM : process
begin
wait until rising_edge(ETH_CLK);
RX_WRITE_ENABLE <= '0';
RXDV_D <= RXDV;
RXER_D <= RXER;
RXD_D <= RXD;
case RX_PHY_STATE is
when WAIT_START =>
if RXDV_D = '1' and RXD_D = "01" then
RX_PHY_STATE <= PREAMBLE;
RX_ERROR <= '0';
end if;
when PREAMBLE =>
if RXD_D = "11" then
RX_PHY_STATE <= DATA_0;
RX_START_ADDRESS <= RX_WRITE_ADDRESS;
RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS);
RX_CRC <= X"ffffffff";
elsif RXD_D /= "11" then
RX_PHY_STATE <= WAIT_START;
end if;
when DATA_0 =>
RX_WRITE_DATA(9 downto 8) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_1;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_1 =>
RX_WRITE_DATA(11 downto 10) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_2;
when DATA_2 =>
RX_WRITE_DATA(13 downto 12) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_3;
when DATA_3 =>
RX_WRITE_DATA(15 downto 14) <= RXD_D;
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_4;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when DATA_4 =>
RX_WRITE_DATA(1 downto 0) <= RXD_D;
LOW_NIBBLE(1 downto 0) <= RXD_D;
if RXDV_D = '1' then
RX_PHY_STATE <= DATA_5;
else
RX_PHY_STATE <= END_OF_FRAME;
end if;
when DATA_5 =>
RX_WRITE_DATA(3 downto 2) <= RXD_D;
LOW_NIBBLE(3 downto 2) <= RXD_D;
RX_PHY_STATE <= DATA_6;
when DATA_6 =>
RX_WRITE_DATA(5 downto 4) <= RXD_D;
LOW_NIBBLE(5 downto 4) <= RXD_D;
RX_PHY_STATE <= DATA_7;
when DATA_7 =>
RX_WRITE_DATA(7 downto 6) <= RXD_D;
RX_WRITE_ENABLE <= '1';
RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1;
RX_PHY_STATE <= DATA_0;
RX_CRC <= nextCRC32_D8(RXD_D & LOW_NIBBLE, RX_CRC);
when END_OF_FRAME =>
if RX_ERROR = '1' then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH < 64 then
RX_PHY_STATE <= WAIT_START;
elsif RX_PACKET_LENGTH > 1518 then
RX_PHY_STATE <= WAIT_START;
elsif RX_CRC /= X"C704dd7B" then
RX_PHY_STATE <= WAIT_START;
else
RX_PHY_STATE <= NOTIFY_NEW_PACKET;
end if;
when NOTIFY_NEW_PACKET =>
RX_PHY_STATE <= WAIT_START;
RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS;
RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH;
if RX_WRITE_BUFFER = 31 then
RX_WRITE_BUFFER <= 0;
else
RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1;
end if;
end case;
if RXER_D = '1' then
RX_ERROR <= '1';
end if;
if RST = '1' then
RX_PHY_STATE <= WAIT_START;
end if;
end process RX_PHY_FSM;
--generate a signal for each buffer to indicate that is is being used.
GENERATE_BUFFER_BUSY : process
begin
wait until rising_edge(ETH_CLK);
for I in 0 to 31 loop
if I = RX_WRITE_BUFFER then
RX_BUFFER_BUSY(I) <= '1';
else
RX_BUFFER_BUSY(I) <= '0';
end if;
end loop;
end process GENERATE_BUFFER_BUSY;
--This is the memory that implements the RX buffers
WRITE_RX_MEMORY : process
begin
wait until rising_edge(ETH_CLK);
if RX_WRITE_ENABLE = '1' then
RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA;
RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1;
end if;
if RST = '1' then
RX_WRITE_ADDRESS <= (others => '0');
end if;
end process WRITE_RX_MEMORY;
SYNCHRONISE_BUFFER_BUSY : process
begin
wait until rising_edge(CLK);
RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY;
RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL;
end process SYNCHRONISE_BUFFER_BUSY;
--CLK __/""\__/" _/" "\__/""\
--RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________
--RX_BUFFER_BUSY_SYNC[1] ________/" "\__________
--RX_BUFFER_BUSY_SYNC[2] __________ _______/""""
-- ^
-- Start to read packet 0 here.
-- Note: since RX_BUFFER_BUSY originates in a different clock domain,
-- it is possible that a clock cycle or so could elapse between
-- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming
-- high. We are relying on the delay through the state machine to be
-- long enough that we don't try to read BUFFER1 during this period.
RX_PACKET_FSM : process
begin
wait until rising_edge(CLK);
case RX_PACKET_STATE is
when WAIT_INITIALISE =>
if RX_BUFFER_BUSY_SYNC(0) = '1' then
RX_PACKET_STATE <= WAIT_NEW_PACKET;
RX_READ_BUFFER <= 0;
end if;
when WAIT_NEW_PACKET =>
if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then
RX_PACKET_STATE <= SEND_LENGTH;
RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER);
RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER);
RX <=
std_logic_vector(
resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16));
RX_STB <= '1';
end if;
when SEND_LENGTH =>
if RX_ACK = '1' then
RX_PACKET_STATE <= PREFETCH0;
RX_STB <= '0';
end if;
when PREFETCH0 =>
RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC;
RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2;
RX_PACKET_STATE <= PREFETCH1;
when PREFETCH1 =>
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
RX_STB <= '1';
RX_PACKET_STATE <= SEND_DATA;
when SEND_DATA =>
if RX_ACK = '1' then
RX_READ_ADDRESS <= RX_READ_ADDRESS + 1;
RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS));
if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_NEW_PACKET;
if RX_READ_BUFFER = 31 then
RX_READ_BUFFER <= 0;
else
RX_READ_BUFFER <= RX_READ_BUFFER + 1;
end if;
end if;
end if;
end case;
if RST = '1' then
RX_STB <= '0';
RX_PACKET_STATE <= WAIT_INITIALISE;
end if;
end process RX_PACKET_FSM;
----------------------------------------------------------------------
-- RESET PHY CHIP
----------------------------------------------------------------------
PHY_RESET <= not RST;
end architecture RTL;
|
mit
|
HSCD-SS16/HSCD-SS16
|
VHDL/testbench/timer_tb.vhd
|
1
|
1324
|
ENTITY timer_tb IS
-- empty
END timer_tb;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
ARCHITECTURE verhalten OF timer_tb IS
CONSTANT RSTDEF: std_ulogic := '0';
CONSTANT FRQMAX: natural := natural(50.0e6);
CONSTANT tpd: time := 1 sec / FRQMAX;
CONSTANT dly: time := 1 sec / 307.2e3;
COMPONENT timer
GENERIC(RSTDEF: std_logic);
PORT(rst: IN std_logic; -- reset, RSTDEF active
clk: IN std_logic; -- clock, rising edge active
irq: OUT std_logic; -- interrupt request, high active
iack: IN std_logic); -- interrupt acknowledge, high active
END COMPONENT;
SIGNAL rst: std_logic := RSTDEF;
SIGNAL clk: std_logic := '0';
SIGNAL hlt: std_logic := '0';
SIGNAL irq: std_logic := '0';
SIGNAL iack: std_logic := '0';
BEGIN
rst <= RSTDEF, NOT RSTDEF AFTER 5*tpd;
clk <= not(clk) AFTER tpd/2 WHEN hlt='0' ELSE clk;
hlt <= '0', '1' AFTER 10*dly;
iack <= irq AFTER 3*tpd;
-- -----------------------------------------------------------------------------------------
u1: timer
GENERIC MAP(RSTDEF => RSTDEF)
PORT MAP(rst => rst,
clk => clk,
irq => irq,
iack => iack);
END verhalten;
|
mit
|
onkelthomas/HW_SW_LU_Gr3_2015
|
work/work/vhdl/common/synchronizer/sync_pkg.vhd
|
2
|
359
|
library ieee;
use ieee.std_logic_1164.all;
package sync_pkg is
component sync is
generic
(
SYNC_STAGES : integer range 2 to integer'high;
RESET_VALUE : std_logic
);
port
(
sys_clk : in std_logic;
sys_res_n : in std_logic;
data_in : in std_logic;
data_out : out std_logic
);
end component sync;
end package sync_pkg;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/seven_segment/keyboard.vhd
|
16
|
2713
|
-- ****************************************************************************
-- Filename :keyboard.vhd
-- Project :Wishbone SOC
-- Version :0.1
-- Author :Jonathan P Dawson
-- Created Date :2006-04-14
-- ****************************************************************************
-- Description :PS/2 keyboard decoder
-- ****************************************************************************
-- Dependencies :Standard Libraries
-- ****************************************************************************
-- Revision History :
--
-- Date :2006-04-14
-- Author :Jonathan P Dawson
-- Modification: Created File
--
-- ****************************************************************************
-- Copyright (C) Jonathan P Dawson 2005
-- ****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
entity KEYBOARD is
port (
CLK : in Std_logic;
RST : in Std_logic;
DATA_STB : out Std_logic;
DATA_ACK : in Std_logic;
DATA : out Std_logic_vector (31 downto 0);
KD : in Std_logic;
KC : in Std_logic
);
end KEYBOARD;
architecture RTL of KEYBOARD is
type STATETYPE is (
INITIALISE,
SEND_DATA,
GET_DATA);
signal STATE : STATETYPE;
signal LAST_KC, INT_KC, INT_KD, KC_DEL, KD_DEL, S_DATA_STB : std_logic;
signal INT_DATA : std_logic_vector(10 downto 0);
signal BIT_COUNT : integer range 0 to 10;
signal TIMEOUT : integer range 0 to 50000000;
begin
process
begin
wait until rising_edge(CLK);
KD_DEL <= KD;
KC_DEL <= KC;
INT_KD <= KD_DEL;
INT_KC <= KC_DEL;
end process;
process
begin
wait until rising_edge(CLK);
LAST_KC <= INT_KC;
case STATE is
when INITIALISE =>
STATE <= GET_DATA;
BIT_COUNT <= 0;
when GET_DATA =>
if TIMEOUT = 0 then
STATE <= INITIALISE;
TIMEOUT <= 50000000;
else
TIMEOUT <= TIMEOUT - 1;
end if;
if LAST_KC = '1' and INT_KC = '0' then
INT_DATA(BIT_COUNT) <= INT_KD;
if BIT_COUNT = 10 then
BIT_COUNT <= 0;
STATE <= SEND_DATA;
else
BIT_COUNT <= BIT_COUNT + 1;
end if;
end if;
when SEND_DATA =>
S_DATA_STB <= '1';
DATA(7 downto 0) <= INT_DATA(8 downto 1);
if S_DATA_STB = '1' and DATA_ACK = '1' then
S_DATA_STB <= '0';
STATE <= GET_DATA;
end if;
end case;
if RST = '1' then
STATE <= INITIALISE;
S_DATA_STB <= '0';
end if;
end process;
DATA_STB <= S_DATA_STB;
end RTL;
|
mit
|
dawsonjon/Chips-Demo
|
demo/bsp_components/test_bench/ethernet_tb.vhd
|
1
|
3513
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity ethernet_tb is
end entity ethernet_tb;
architecture RTL of ethernet_tb is
component ethernet is
port(
OBSERVE : out std_logic_vector(31 downto 0);
CLK : in std_logic;
RST : in std_logic;
ETH_CLK : in std_logic;
--GMII IF
TXER : out std_logic;
TXEN : out std_logic;
TXD : out std_logic_vector(1 downto 0);
PHY_RESET : out std_logic;
RXER : in std_logic;
RXDV : in std_logic;
RXD : in std_logic_vector(1 downto 0);
--RX STREAM
TX : in std_logic_vector(15 downto 0);
TX_STB : in std_logic;
TX_ACK : out std_logic;
--RX STREAM
RX : out std_logic_vector(15 downto 0);
RX_STB : out std_logic;
RX_ACK : in std_logic
);
end component ethernet;
type PACKET_TYPE is array(0 to 1024) of std_logic_vector(15 downto 0);
signal PACKET : PACKET_TYPE := (
0=>X"0100", --length
others => X"AAAA"
);
signal I : integer := 0;
signal J : integer := 0;
signal GO : boolean := True;
signal OBSERVE : std_logic_vector(31 downto 0);
signal CLK : std_logic;
signal RST : std_logic;
signal ETH_CLK : std_logic;
--GMII IF
signal TXER : std_logic;
signal TXEN : std_logic;
signal TXD : std_logic_vector(1 downto 0);
signal PHY_RESET : std_logic;
signal RXER : std_logic;
signal RXDV : std_logic;
signal RXD : std_logic_vector(1 downto 0);
--RX STREAM
signal TX : std_logic_vector(15 downto 0);
signal TX_STB : std_logic;
signal TX_ACK : std_logic;
--RX STREAM
signal RX : std_logic_vector(15 downto 0);
signal RX_STB : std_logic;
signal RX_ACK : std_logic;
begin
process
begin
while True loop
CLK <= '1';
wait for 5 ns;
CLK <= '0';
wait for 5 ns;
end loop;
wait;
end process;
process
begin
while True loop
ETH_CLK <= '1';
wait for 10 ns;
ETH_CLK <= '0';
wait for 10 ns;
end loop;
wait;
end process;
process
begin
RST <= '1';
wait for 100 ns;
RST <= '0';
wait;
end process;
ethernet_inst1 : ethernet port map(
OBSERVE => OBSERVE,
CLK => CLK,
RST => RST,
ETH_CLK => ETH_CLK,
PHY_RESET => PHY_RESET,
--GMII IF
TXER => TXER,
TXEN => TXEN,
TXD => TXD,
RXER => TXER,
RXDV => TXEN,
RXD => TXD,
--RX STREAM
TX => TX,
TX_STB => TX_STB,
TX_ACK => TX_ACK,
--RX STREAM
RX => RX,
RX_STB => RX_STB,
RX_ACK => RX_ACK
);
process
begin
wait until rising_edge(CLK);
if GO then
TX <= PACKET(I);
TX_STB <= '1';
if TX_STB = '1' and TX_ACK = '1' then
if I = 129 then
TX_STB <= '0';
GO <= FALSE;
else
I <= I+1;
end if;
end if;
end if;
end process;
process
begin
wait until rising_edge(CLK);
RX_ACK <= '1';
if RX_STB = '1' and RX_ACK = '1' then
if J = 128 then
report "TEST HAS PASSED" severity failure;
end if;
if RX /= PACKET(J) then
report "INCORRECT DATA RECEIVED" severity failure;
end if;
J <= J+1;
end if;
end process;
end architecture RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/raw_ethernet/bram.vhd
|
15
|
847
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity BRAM is
generic(
DEPTH : integer := 7500;
WIDTH : integer := 8
);
port(
CLK_IN : in std_logic;
CLK_OUT : in std_logic;
WE : in std_logic;
DIN : in std_logic_vector;
AIN : in std_logic_vector;
DOUT : out std_logic_vector;
AOUT : in std_logic_vector
);
end entity BRAM;
architecture RTL of BRAM is
type MEMORY_TYPE is array (0 to DEPTH - 1) of Std_logic_vector(WIDTH-1 downto 0);
shared variable MEMORY : MEMORY_TYPE;
begin
process
begin
wait until rising_edge(CLK_IN);
if WE = '1' then
MEMORY(to_integer(unsigned(AIN))) := DIN;
end if;
end process;
process
begin
wait until rising_edge(CLK_OUT);
DOUT <= MEMORY(to_integer(unsigned(AOUT)));
end process;
end architecture RTL;
|
mit
|
HSCD-SS16/HSCD-SS16
|
Aufgabe1/VHDL/system.vhd
|
1
|
6587
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY system IS
PORT(rst: IN std_logic; -- system reset, high active
clk: IN std_logic; -- system clock, 50 MHz, rising edge active
btn0: IN std_logic; -- push button, low active
rxd: IN std_logic; -- receiver signal
txd: OUT std_logic; -- transmitter signal
evn1: OUT std_logic; -- event1, interrupt, high active
evn2: OUT std_logic; -- event2, RETURNI, high active
led0: OUT std_logic; -- led, low active
led1: OUT std_logic); -- led, low active
END system;
library unisim;
use unisim.vcomponents.all;
ARCHITECTURE structure OF system IS
CONSTANT RSTDEF: std_ulogic := '1'; -- high active
COMPONENT FDSx2
PORT(D : IN std_ulogic; -- data input
Q : OUT std_ulogic; -- data output
S : IN std_ulogic; -- preset, high active
C : IN std_ulogic); -- clock, rising edge active
END COMPONENT;
COMPONENT timer
GENERIC(RSTDEF: std_logic);
PORT(rst: IN std_logic; -- reset, RSTDEF active
clk: IN std_logic; -- clock, rising edge active
irq: OUT std_logic; -- interrupt request, high active
iack: IN std_logic); -- interrupt acknowledge, high active
END COMPONENT;
COMPONENT kcpsm3
Port ( address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic);
end COMPONENT;
COMPONENT programm
PORT ( address : in std_logic_vector(9 downto 0);
instruction : out std_logic_vector(17 downto 0);
clk : in std_logic);
END COMPONENT;
SIGNAL reset : std_logic; -- high active
SIGNAL sbtn0 : std_logic;
SIGNAL srxd : std_logic;
SIGNAL enable : std_logic_vector(8 DOWNTO 0);
SIGNAL led : std_logic_vector(1 DOWNTO 0);
SIGNAL en0 : std_logic;
SIGNAL en1 : std_logic;
SIGNAL address : std_logic_vector( 9 downto 0);
SIGNAL instruction : std_logic_vector(17 downto 0);
SIGNAL port_id : std_logic_vector( 7 downto 0);
SIGNAL write_strobe : std_logic; -- high active
SIGNAL out_port : std_logic_vector( 7 downto 0);
SIGNAL read_strobe : std_logic; -- high active
SIGNAL in_port : std_logic_vector( 7 downto 0);
SIGNAL interrupt : std_logic; -- high active
SIGNAL interrupt_ack : std_logic; -- high active
BEGIN
-- -----------------------------------------------------------------------------
evn1 <= interrupt;
evn2 <= '1' WHEN instruction(17 DOWNTO 14)="1110" ELSE '0';
-- Resetgenarator und Synchronisation des Resetsignals mit dem System
sync_rst: FDSx2
PORT MAP(D => '0',
Q => reset,
S => rst,
C => clk);
-- -----------------------------------------------------------------------------
-- Synchronisation des Eingangssignals RXD mit dem System
sync_rxd: FDSx2
PORT MAP(D => rxd,
Q => srxd,
S => reset,
C => clk);
-- -----------------------------------------------------------------------------
-- Synchronisation des Eingangssignals BTN0 mit dem System
sync_btn0: FDSx2
PORT MAP(D => btn0,
Q => sbtn0,
S => reset,
C => clk);
-- -----------------------------------------------------------------------------
en1 <= enable(1) AND write_strobe;
-- Flipflop fuer das serielle Datenausgangssignal TXD
txd_ff: FDSE
--synthesis translate_off
generic map (INIT => '1')
--synthesis translate_on
PORT MAP(D => out_port(0),
Q => txd,
CE => en1,
S => reset,
C => clk);
en0 <= enable(0) AND write_strobe;
-- Flipflop fuer das Steuerungssignals der LED0
led0_ff: FDSE
--synthesis translate_off
generic map (INIT => '1')
--synthesis translate_on
PORT MAP(D => out_port(0),
Q => led(0),
CE => en0,
S => reset,
C => clk);
-- Flipflop fuer das Steuerungssignals der LED1
led1_ff: FDSE
--synthesis translate_off
generic map (INIT => '1')
--synthesis translate_on
PORT MAP(D => out_port(1),
Q => led(1),
CE => en0,
S => reset,
C => clk);
led0 <= led(0);
led1 <= led(1);
-- -----------------------------------------------------------------------------
-- Timer
t1: timer
GENERIC MAP(RSTDEF => RSTDEF)
PORT MAP(rst => reset,
clk => clk,
irq => interrupt,
iack => interrupt_ack);
-- -----------------------------------------------------------------------------
-- Dekoder
WITH port_id(3 DOWNTO 0) SELECT
enable <= "000000001" WHEN "0000",
"000000010" WHEN "0001",
"000000100" WHEN "0010",
"000001000" WHEN "0011",
"000010000" WHEN "0100",
"000100000" WHEN "0101",
"001000000" WHEN "0110",
"010000000" WHEN "0111",
"100000000" WHEN "1000",
"000000000" WHEN OTHERS;
WITH port_id(3 DOWNTO 0) SELECT
in_port <= "00000" & sbtn0 & led WHEN "0000",
"0000000" & srxd WHEN "0001",
"00000000" WHEN OTHERS;
-- -----------------------------------------------------------------------------
cpu: kcpsm3
PORT MAP(reset => reset,
clk => clk,
address => address,
instruction => instruction,
port_id => port_id,
write_strobe => write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => interrupt,
interrupt_ack => interrupt_ack);
-- -----------------------------------------------------------------------------
-- programm memory
rom: programm
PORT MAP(clk => clk,
address => address,
instruction => instruction);
END structure;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/hello_world/i2c.vhd
|
15
|
8318
|
--- COMMAND
--- =======
---
--- Bits (7:0)
--- ----------
--- (For write byte only) data payload byte
---
--- Bit (8)
--- -------
--- 1 = read byte
--- 0 = write byte
---
--- Bit (9)
--- -------
--- 1 = SEND_START
---
--- Bit (10)
--- --------
--- 1 = SEND_STOP
---
--- Bit (11)
--- --------
--- (For read byte only) 1 = SEND_ACK
---
--- RESPONSE
--- ========
---
--- Bits (7:0)
--- ----------
--- (For read byte only) data payload byte
---
--- Bit (0)
--- -------
--- (For write byte only) 1 = NACK, 0 = ACK
---
library ieee;
use ieee.std_logic_1164.all;
entity I2C is
generic(
CLOCKS_PER_SECOND : integer := 50000000;
SPEED : integer := 100000
);
port(
CLK : in std_logic;
RST : in std_logic;
SDA : inout std_logic;
SCL : inout std_logic;
I2C_IN : in std_logic_vector(31 downto 0);
I2C_IN_STB : in std_logic;
I2C_IN_ACK : out std_logic;
I2C_OUT : out std_logic_vector(31 downto 0);
I2C_OUT_STB : out std_logic;
I2C_OUT_ACK : in std_logic
);
end entity I2C;
architecture RTL of I2C is
constant I2C_DELAY : integer := CLOCKS_PER_SECOND / (2*SPEED);
type STATE_TYPE is (
MAIN_0, MAIN_1, MAIN_2, MAIN_3, GET_BYTE_0, GET_BYTE_1, GET_BYTE_2,
GET_BYTE_3, SEND_BYTE_0, SEND_BYTE_1, SEND_BYTE_2, SEND_BYTE_3, SEND_BYTE_4,
SEND_BIT_0, SEND_BIT_1, SEND_BIT_2, GET_BIT_0, GET_BIT_1, GET_BIT_2,
SEND_START_0, SEND_START_1, SEND_START_2, SEND_START_3, SEND_START_4,
SEND_STOP_0, SEND_STOP_1, SEND_STOP_2, SEND_STOP_3, SEND_STOP_4
);
signal STATE, GET_BYTE_RETURN, SEND_BYTE_RETURN, SEND_BIT_RETURN, GET_BIT_RETURN,
SEND_STOP_RETURN, SEND_START_RETURN : STATE_TYPE;
signal TIMER : integer range 0 to I2C_DELAY;
signal COUNT : integer range 0 to 7;
signal COMMAND : std_logic_vector(31 downto 0);
signal RESPONSE : std_logic_vector(31 downto 0);
signal STARTED : std_logic;
signal S_I2C_IN_ACK : std_logic;
signal S_I2C_OUT_STB : std_logic;
signal SDA_I_D, SDA_I_SYNCH, SDA_I, SDA_O : std_logic;
signal SCL_I_D, SCL_I_SYNCH, SCL_I, SCL_O : std_logic;
signal BIT : std_logic;
begin
SDA <= 'Z' when SDA_O = '1' else '0';
SDA_I <= '1' when SDA /= '0' else '0';
SCL <= 'Z' when SCL_O = '1' else '0';
SCL_I <= '1' when SCL /= '0' else '0';
process
begin
wait until rising_edge(CLK);
SDA_I_D <= SDA_I;
SDA_I_SYNCH <= SDA_I_D;
SCL_I_D <= SCL_I;
SCL_I_SYNCH <= SCL_I_D;
case STATE is
--MAIN SUBROUTINE
when MAIN_0 =>
COUNT <= 7;
TIMER <= I2C_DELAY;
STATE <= MAIN_1;
STARTED <= '0';
when MAIN_1 =>
S_I2C_IN_ACK <= '1';
if I2C_IN_STB = '1' and S_I2C_IN_ACK = '1' then
S_I2C_IN_ACK <= '0';
COMMAND <= I2C_IN;
STATE <= MAIN_2;
end if;
when MAIN_2 =>
if COMMAND(8) = '1' then
RESPONSE <= (others => '0');
STATE <= GET_BYTE_0;
GET_BYTE_RETURN <= MAIN_3;
else
RESPONSE <= (others => '0');
STATE <= SEND_BYTE_0;
SEND_BYTE_RETURN <= MAIN_3;
end if;
when MAIN_3 =>
S_I2C_OUT_STB <= '1';
I2C_OUT <= RESPONSE;
if I2C_OUT_ACK = '1' and S_I2C_OUT_STB = '1' then
S_I2C_OUT_STB <= '0';
STATE <= MAIN_1;
end if;
--GET BYTE SUBROUTINE
when GET_BYTE_0 =>
STATE <= GET_BIT_0;
GET_BIT_RETURN <= GET_BYTE_1;
when GET_BYTE_1 =>
RESPONSE(COUNT) <= BIT;
if COUNT = 0 then
COUNT <= 7;
STATE <= GET_BYTE_2;
else
COUNT <= COUNT - 1;
STATE <= GET_BYTE_0;
end if;
when GET_BYTE_2 =>
--SEND NACK ACK = 0 NACK = 1
BIT <= COMMAND(11);
STATE <= SEND_BIT_0;
SEND_BIT_RETURN <= GET_BYTE_3;
when GET_BYTE_3 =>
if COMMAND(10) = '1' then
STATE <= SEND_STOP_0;
SEND_STOP_RETURN <= GET_BYTE_RETURN;
else
STATE <= GET_BYTE_RETURN;
end if;
--SEND BYTE SUBROUTINE
when SEND_BYTE_0 =>
if COMMAND(9) = '1' then
STATE <= SEND_START_0;
SEND_START_RETURN <= SEND_BYTE_1;
else
STATE <= SEND_BYTE_1;
end if;
when SEND_BYTE_1 =>
BIT <= COMMAND(COUNT);
STATE <= SEND_BIT_0;
SEND_BIT_RETURN <= SEND_BYTE_2;
when SEND_BYTE_2 =>
if COUNT = 0 then
COUNT <= 7;
STATE <= SEND_BYTE_3;
else
COUNT <= COUNT - 1;
STATE <= SEND_BYTE_1;
end if;
when SEND_BYTE_3 =>
--GET ACK
STATE <= GET_BIT_0;
GET_BIT_RETURN <= SEND_BYTE_4;
when SEND_BYTE_4 =>
--1 = NACK, 0 = ACK
RESPONSE(0) <= BIT;
if COMMAND(10) = '1' then
STATE <= SEND_STOP_0;
SEND_START_RETURN <= SEND_BYTE_RETURN;
else
STATE <= SEND_BYTE_RETURN;
end if;
--SEND START SUBROUTINE
when SEND_START_0 =>
if STARTED = '0' then
STATE <= SEND_START_1;
else
STATE <= SEND_START_4;
end if;
when SEND_START_1 =>
SDA_O <= '1';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_2;
else
TIMER <= TIMER - 1;
end if;
when SEND_START_2 =>
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_START_3;
end if;
when SEND_START_3 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_4;
else
TIMER <= TIMER - 1;
end if;
when SEND_START_4 =>
SDA_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_RETURN;
SCL_O <= '0';
STARTED <= '1';
else
TIMER <= TIMER - 1;
end if;
--SEND STOP SUBROUTINE
when SEND_STOP_0 =>
SDA_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_1;
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_1 =>
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_STOP_2;
end if;
when SEND_STOP_2 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_3;
SDA_O <= '1';
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_3 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_4;
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_4 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_RETURN;
STARTED <= '0';
else
TIMER <= TIMER - 1;
end if;
--SEND BIT SUBROUTINE
when SEND_BIT_0 =>
SDA_O <= BIT;
SCL_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_BIT_1;
else
TIMER <= TIMER - 1;
end if;
when SEND_BIT_1 =>
--CLOCK STRETCHING
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_BIT_2;
end if;
when SEND_BIT_2 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
SCL_O <= '0';
STATE <= SEND_BIT_RETURN;
else
TIMER <= TIMER - 1;
end if;
--GET BIT SUBROUTINE
when GET_BIT_0 =>
SDA_O <= '1';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= GET_BIT_1;
else
TIMER <= TIMER - 1;
end if;
when GET_BIT_1 =>
--CLOCK STRETCHING
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= GET_BIT_2;
end if;
when GET_BIT_2 =>
BIT <= SDA_I_SYNCH;
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= GET_BIT_RETURN;
SCL_O <= '0';
else
TIMER <= TIMER - 1;
end if;
end case;
if RST = '1' then
STATE <= MAIN_0;
S_I2C_OUT_STB <= '0';
SDA_O <= '1';
SCL_O <= '1';
end if;
end process;
I2C_OUT_STB <= S_I2C_OUT_STB;
I2C_IN_ACK <= S_I2C_IN_ACK;
end RTL;
|
mit
|
dawsonjon/Chips-Demo
|
synthesis/nexys_4/clock/i2c.vhd
|
15
|
8318
|
--- COMMAND
--- =======
---
--- Bits (7:0)
--- ----------
--- (For write byte only) data payload byte
---
--- Bit (8)
--- -------
--- 1 = read byte
--- 0 = write byte
---
--- Bit (9)
--- -------
--- 1 = SEND_START
---
--- Bit (10)
--- --------
--- 1 = SEND_STOP
---
--- Bit (11)
--- --------
--- (For read byte only) 1 = SEND_ACK
---
--- RESPONSE
--- ========
---
--- Bits (7:0)
--- ----------
--- (For read byte only) data payload byte
---
--- Bit (0)
--- -------
--- (For write byte only) 1 = NACK, 0 = ACK
---
library ieee;
use ieee.std_logic_1164.all;
entity I2C is
generic(
CLOCKS_PER_SECOND : integer := 50000000;
SPEED : integer := 100000
);
port(
CLK : in std_logic;
RST : in std_logic;
SDA : inout std_logic;
SCL : inout std_logic;
I2C_IN : in std_logic_vector(31 downto 0);
I2C_IN_STB : in std_logic;
I2C_IN_ACK : out std_logic;
I2C_OUT : out std_logic_vector(31 downto 0);
I2C_OUT_STB : out std_logic;
I2C_OUT_ACK : in std_logic
);
end entity I2C;
architecture RTL of I2C is
constant I2C_DELAY : integer := CLOCKS_PER_SECOND / (2*SPEED);
type STATE_TYPE is (
MAIN_0, MAIN_1, MAIN_2, MAIN_3, GET_BYTE_0, GET_BYTE_1, GET_BYTE_2,
GET_BYTE_3, SEND_BYTE_0, SEND_BYTE_1, SEND_BYTE_2, SEND_BYTE_3, SEND_BYTE_4,
SEND_BIT_0, SEND_BIT_1, SEND_BIT_2, GET_BIT_0, GET_BIT_1, GET_BIT_2,
SEND_START_0, SEND_START_1, SEND_START_2, SEND_START_3, SEND_START_4,
SEND_STOP_0, SEND_STOP_1, SEND_STOP_2, SEND_STOP_3, SEND_STOP_4
);
signal STATE, GET_BYTE_RETURN, SEND_BYTE_RETURN, SEND_BIT_RETURN, GET_BIT_RETURN,
SEND_STOP_RETURN, SEND_START_RETURN : STATE_TYPE;
signal TIMER : integer range 0 to I2C_DELAY;
signal COUNT : integer range 0 to 7;
signal COMMAND : std_logic_vector(31 downto 0);
signal RESPONSE : std_logic_vector(31 downto 0);
signal STARTED : std_logic;
signal S_I2C_IN_ACK : std_logic;
signal S_I2C_OUT_STB : std_logic;
signal SDA_I_D, SDA_I_SYNCH, SDA_I, SDA_O : std_logic;
signal SCL_I_D, SCL_I_SYNCH, SCL_I, SCL_O : std_logic;
signal BIT : std_logic;
begin
SDA <= 'Z' when SDA_O = '1' else '0';
SDA_I <= '1' when SDA /= '0' else '0';
SCL <= 'Z' when SCL_O = '1' else '0';
SCL_I <= '1' when SCL /= '0' else '0';
process
begin
wait until rising_edge(CLK);
SDA_I_D <= SDA_I;
SDA_I_SYNCH <= SDA_I_D;
SCL_I_D <= SCL_I;
SCL_I_SYNCH <= SCL_I_D;
case STATE is
--MAIN SUBROUTINE
when MAIN_0 =>
COUNT <= 7;
TIMER <= I2C_DELAY;
STATE <= MAIN_1;
STARTED <= '0';
when MAIN_1 =>
S_I2C_IN_ACK <= '1';
if I2C_IN_STB = '1' and S_I2C_IN_ACK = '1' then
S_I2C_IN_ACK <= '0';
COMMAND <= I2C_IN;
STATE <= MAIN_2;
end if;
when MAIN_2 =>
if COMMAND(8) = '1' then
RESPONSE <= (others => '0');
STATE <= GET_BYTE_0;
GET_BYTE_RETURN <= MAIN_3;
else
RESPONSE <= (others => '0');
STATE <= SEND_BYTE_0;
SEND_BYTE_RETURN <= MAIN_3;
end if;
when MAIN_3 =>
S_I2C_OUT_STB <= '1';
I2C_OUT <= RESPONSE;
if I2C_OUT_ACK = '1' and S_I2C_OUT_STB = '1' then
S_I2C_OUT_STB <= '0';
STATE <= MAIN_1;
end if;
--GET BYTE SUBROUTINE
when GET_BYTE_0 =>
STATE <= GET_BIT_0;
GET_BIT_RETURN <= GET_BYTE_1;
when GET_BYTE_1 =>
RESPONSE(COUNT) <= BIT;
if COUNT = 0 then
COUNT <= 7;
STATE <= GET_BYTE_2;
else
COUNT <= COUNT - 1;
STATE <= GET_BYTE_0;
end if;
when GET_BYTE_2 =>
--SEND NACK ACK = 0 NACK = 1
BIT <= COMMAND(11);
STATE <= SEND_BIT_0;
SEND_BIT_RETURN <= GET_BYTE_3;
when GET_BYTE_3 =>
if COMMAND(10) = '1' then
STATE <= SEND_STOP_0;
SEND_STOP_RETURN <= GET_BYTE_RETURN;
else
STATE <= GET_BYTE_RETURN;
end if;
--SEND BYTE SUBROUTINE
when SEND_BYTE_0 =>
if COMMAND(9) = '1' then
STATE <= SEND_START_0;
SEND_START_RETURN <= SEND_BYTE_1;
else
STATE <= SEND_BYTE_1;
end if;
when SEND_BYTE_1 =>
BIT <= COMMAND(COUNT);
STATE <= SEND_BIT_0;
SEND_BIT_RETURN <= SEND_BYTE_2;
when SEND_BYTE_2 =>
if COUNT = 0 then
COUNT <= 7;
STATE <= SEND_BYTE_3;
else
COUNT <= COUNT - 1;
STATE <= SEND_BYTE_1;
end if;
when SEND_BYTE_3 =>
--GET ACK
STATE <= GET_BIT_0;
GET_BIT_RETURN <= SEND_BYTE_4;
when SEND_BYTE_4 =>
--1 = NACK, 0 = ACK
RESPONSE(0) <= BIT;
if COMMAND(10) = '1' then
STATE <= SEND_STOP_0;
SEND_START_RETURN <= SEND_BYTE_RETURN;
else
STATE <= SEND_BYTE_RETURN;
end if;
--SEND START SUBROUTINE
when SEND_START_0 =>
if STARTED = '0' then
STATE <= SEND_START_1;
else
STATE <= SEND_START_4;
end if;
when SEND_START_1 =>
SDA_O <= '1';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_2;
else
TIMER <= TIMER - 1;
end if;
when SEND_START_2 =>
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_START_3;
end if;
when SEND_START_3 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_4;
else
TIMER <= TIMER - 1;
end if;
when SEND_START_4 =>
SDA_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_START_RETURN;
SCL_O <= '0';
STARTED <= '1';
else
TIMER <= TIMER - 1;
end if;
--SEND STOP SUBROUTINE
when SEND_STOP_0 =>
SDA_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_1;
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_1 =>
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_STOP_2;
end if;
when SEND_STOP_2 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_3;
SDA_O <= '1';
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_3 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_4;
else
TIMER <= TIMER - 1;
end if;
when SEND_STOP_4 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_STOP_RETURN;
STARTED <= '0';
else
TIMER <= TIMER - 1;
end if;
--SEND BIT SUBROUTINE
when SEND_BIT_0 =>
SDA_O <= BIT;
SCL_O <= '0';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= SEND_BIT_1;
else
TIMER <= TIMER - 1;
end if;
when SEND_BIT_1 =>
--CLOCK STRETCHING
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= SEND_BIT_2;
end if;
when SEND_BIT_2 =>
if TIMER = 0 then
TIMER <= I2C_DELAY;
SCL_O <= '0';
STATE <= SEND_BIT_RETURN;
else
TIMER <= TIMER - 1;
end if;
--GET BIT SUBROUTINE
when GET_BIT_0 =>
SDA_O <= '1';
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= GET_BIT_1;
else
TIMER <= TIMER - 1;
end if;
when GET_BIT_1 =>
--CLOCK STRETCHING
SCL_O <= '1';
if SCL_I_SYNCH = '1' then
STATE <= GET_BIT_2;
end if;
when GET_BIT_2 =>
BIT <= SDA_I_SYNCH;
if TIMER = 0 then
TIMER <= I2C_DELAY;
STATE <= GET_BIT_RETURN;
SCL_O <= '0';
else
TIMER <= TIMER - 1;
end if;
end case;
if RST = '1' then
STATE <= MAIN_0;
S_I2C_OUT_STB <= '0';
SDA_O <= '1';
SCL_O <= '1';
end if;
end process;
I2C_OUT_STB <= S_I2C_OUT_STB;
I2C_IN_ACK <= S_I2C_IN_ACK;
end RTL;
|
mit
|
16-bit-risc/16-bit-risc
|
vhdl/inctwo.vhd
|
4
|
302
|
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity inctwo is
port (DIN : in std_logic_vector(15 downto 0);
DOUT : out std_logic_vector(15 downto 0));
end inctwo;
architecture Logic of inctwo is
begin
ADD_COMP : add16 port map(DIN,"0000000000000010",DOUT);
end Logic;
|
mit
|
16-bit-risc/16-bit-risc
|
vhdl/add16.vhd
|
4
|
453
|
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity add16 is
port (X,Y : in std_logic_vector(15 downto 0);
Z : out std_logic_vector(15 downto 0));
end add16;
architecture Logic of add16 is
signal C : std_logic_vector(4 downto 0);
begin
C(0)<='0';
adx : for i in 0 to 3 generate
ADD_COMP : add4 port map(X((i*4)+3 downto i*4),Y((i*4)+3 downto i*4),C(i),Z((i*4)+3 downto i*4),C(i+1));
end generate adx;
end Logic;
|
mit
|
mikeek/FIT
|
INP/proj_1/fpga/ledc8x8.vhd
|
1
|
1805
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity ledc8x8 is
port(
SMCLK: in std_logic;
RESET: in std_logic;
ROW: out std_logic_vector(7 downto 0);
LED: out std_logic_vector(7 downto 0)
);
end entity ledc8x8;
architecture main of ledc8x8 is
signal ce: std_logic := '1';
signal switch: std_logic := '0';
signal ctrl_cnt: std_logic_vector(21 downto 0) := (others => '0');
signal row_cnt: std_logic_vector(7 downto 0) := "10000000";
signal led_state: std_logic_vector(7 downto 0) := "01110111";
begin
ctrl_proc: process(SMCLK, RESET)
begin
if (RESET = '1') then
ctrl_cnt <= (others => '0');
elsif ((SMCLK'event) and (SMCLK = '1')) then
ctrl_cnt <= ctrl_cnt + 1;
if (ctrl_cnt(7 downto 0) = "11111111") then
ce <= '1';
else
ce <= '0';
end if;
end if;
switch <= ctrl_cnt(21);
end process;
row_proc: process(SMCLK, RESET)
begin
if (RESET = '1') then
row_cnt <= "10000000";
elsif ((SMCLK'event) and (SMCLK = '1') and (ce = '1')) then
row_cnt <= row_cnt(0) & row_cnt(7 downto 1);
end if;
ROW <= row_cnt;
end process;
dec_proc: process(SMCLK)
begin
case row_cnt is
when "10000000" => led_state <= "01110111";
when "01000000" => led_state <= "00100111";
when "00100000" => led_state <= "01010111";
when "00010000" => led_state <= "01110110";
when "00001000" => led_state <= "01110101";
when "00000100" => led_state <= "11110011";
when "00000010" => led_state <= "11110101";
when "00000001" => led_state <= "11110110";
when others => led_state <= (others => '1');
end case;
end process;
blink_proc: process(SMCLK)
begin
if (switch = '0') then
LED <= led_state;
else
LED <= (others => '1');
end if;
end process;
end architecture main;
|
mit
|
mikeek/FIT
|
IVH/proj_1/fpga/top.vhd
|
1
|
24944
|
-- VHDL projekt - Hodiny + budík na VGA výstup pomocí zaøízení FitKit 2.0
-- Aplikace po nahrání do FitKitu zobrazuje na VGA výstupu hodiny.
-- Ty na zaèátku poèítají od 00:00:00. Hodiny lze nastavit pomocí klávesnice na FitKitu.
-- Aplikace té umí funkci budíku (pouze vizuální). Po nastavení èasu pro budík kontroluje,
-- zda se ji shoduje aktuální èas s tím budíkovým. Pokud ano, hodiny blikají s periodou 0.5 s.
-- Ovládání:
-- # - vstup do nastavení hodin, potvrzení nastavení hodin, potvrzení nastavení budíku
-- * - vstup do nastavení budíku
-- A - zapnutí/vypnutí budíku (indikace teèkou nalevo od hodin) + vypnutí blikání budíku
-- 0-9 - nastavení konkrétní hodnoty na zvýraznìné pozici pøi editaci
-- Aplikace byla vytvoøena jako semestrální projekt do pøedmìtu IVH na FIT VUT, 2012/2013.
-- Náleí k ní i dokumentace s podrobnìjími informacemi.
-- Datum vytvoøení: 1.5.2013
-- Datum poslední editace: 26.5.2013
-- Vytvoøili:
-- Michal Kozubík, xkozub03, student 1BIA FIT VUT Brno, [email protected]
-- Marek Hurta, xhurta01, student 1BIA FIT VUT Brno, [email protected]
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.vga_controller_cfg.all;
use work.clkgen_cfg.all;
entity fsm is
port(
CLK : in std_logic;
RESET : in std_logic;
KEY : in std_logic_vector(15 downto 0);
value : out integer range 0 to 15;
CNTS : out std_logic_vector(13 downto 0)
);
end entity fsm;
architecture main of tlv_pc_ifc is
-- perioda pro èítaè, který pøi pouitém CLK generuje signál s periodou 1 sekunda
constant period: integer := 7372800;
-- vektor pro data z klávesnice
signal kb_data_out: std_logic_vector(15 downto 0);
-- hodnoty jednotlivých èíslic hodin a budíku
subtype small is integer range 0 to 10;
signal cnt: integer := 0;
signal cnt_sj: small := 0;
signal cnt_mj: small := 0;
signal cnt_hj: small := 0;
signal cnt_sd: small := 0;
signal cnt_md: small := 0;
signal cnt_hd: small := 0;
signal al_sj: small := 0;
signal al_sd: small := 0;
signal al_mj: small := 0;
signal al_md: small := 0;
signal al_hj: small := 0;
signal al_hd: small := 0;
-- signaly znaèící vygenerování sekundy, minuty, hodiny
signal sec : std_logic := '0';
signal min: std_logic := '0';
signal hour: std_logic := '0';
-- hodnota zmáèknuté klávesy pøijata z koneèného automatu
signal value: integer range 0 to 15 := 0;
-- signály pro identifikaci práce koneèného automatu (ve které fázi se nachází)
-- CNTS(0) = '1' => zápis do desítkové pozice hodin (cnt_hd nebo al_hd)
-- CNTS(1) = '1' => zápis do jednotkové pozice hodin (cnt_hj nebo al_hj)
-- CNTS(2) = '1' => zápis do desítkové pozice minut (cnt_md nebo al_md)
-- CNTS(3) = '1' => zápis do jednotkové pozice minut (cnt_mj nebo al_mj)
-- CNTS(4) = '1' => zápis do desítkové pozice sekund (cnt_sd nebo al_sd)
-- CNTS(5) = '1' => zápis do jednotkové pozice sekund (cnt_sj nebo al_sj)
-- CNTS(6) = '1' => èekání na zápis na desítkovou pozici hodin (zvýraznìna první pozice na VGA výstupu)
-- CNTS(7) = '1' => èekání na zápis na jednotkovou pozici hodin (zvýraznìna druhá pozice na VGA výstupu)
-- CNTS(8) = '1' => èekání na zápis na desítkovou pozici minut (zvýraznìna tøetí pozice na VGA výstupu)
-- CNTS(9) = '1' => èekání na zápis na jednotkovou pozici minut (zvýraznìna ètvrtá pozice na VGA výstupu)
-- CNTS(10) = '1' => èekání na zápis na desítkovou pozici sekund (zvýraznìna pátá pozice na VGA výstupu)
-- CNTS(11) = '1' => èekání na zápis na jednotkovou pozici sekund (zvýraznìna está pozice na VGA výstupu)
-- CNTS(12) => '0' => probíhá nastavování hodin, '1' => probíhá nastavování budíku
-- CNTS(13) => '0' => budík je neaktivní, '1' => budík je aktivován
signal CNTS: std_logic_vector(13 downto 0) := (others => '0');
-- BLINK(0) => blikání hodin ('0' viditelné na výstupu, '1' výstup prázdný)
-- BLINK(1) = '1' => blikání hodin zapnuto
signal BLINK: std_logic_vector(1 downto 0) := (others => '1');
-- signály potøebné pro práci s VGA výstupem
signal vga_mode: std_logic_vector (60 downto 0);
signal red: std_logic_vector (2 downto 0);
signal green: std_logic_vector (2 downto 0);
signal blue: std_logic_vector (2 downto 0);
signal rgb_sj: std_logic_vector (8 downto 0);
signal rgb_mj: std_logic_vector (8 downto 0);
signal rgb_hj: std_logic_vector (8 downto 0);
signal rgb_sd: std_logic_vector (8 downto 0);
signal rgb_md: std_logic_vector (8 downto 0);
signal rgb_hd: std_logic_vector (8 downto 0);
signal rgbf: std_logic_vector (8 downto 0);
signal vga_row: std_logic_vector (11 downto 0);
signal vga_col: std_logic_vector (11 downto 0);
signal rom_col: integer range 0 to 8;
signal sec_wrj: std_logic := '0';
signal min_wrj: std_logic := '0';
signal hr_wrj: std_logic := '0';
signal sec_wrd: std_logic := '0';
signal min_wrd: std_logic := '0';
signal hr_wrd: std_logic := '0';
-- ROM pamì s uloenými èíslicemi 0 - 9
type pamet is array(0 to 8*10-1) of std_logic_vector (0 to 7);
signal rom_digit: pamet := ("00000000", -- 0
"00111100",
"00100100",
"00100100",
"00100100",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 1
"00000100",
"00000100",
"00000100",
"00000100",
"00000100",
"00000000",
(others => '0'),
"00000000", -- 2
"00111100",
"00000100",
"00111100",
"00100000",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 3
"00111100",
"00000100",
"00111100",
"00000100",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 4
"00100100",
"00100100",
"00111100",
"00000100",
"00000100",
"00000000",
(others => '0'),
"00000000", -- 5
"00111100",
"00100000",
"00111100",
"00000100",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 6
"00111100",
"00100000",
"00111100",
"00100100",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 7
"00111100",
"00000100",
"00000100",
"00000100",
"00000100",
"00000000",
(others => '0'),
"00000000", -- 8
"00111100",
"00100100",
"00111100",
"00100100",
"00111100",
"00000000",
(others => '0'),
"00000000", -- 9
"00111100",
"00100100",
"00111100",
"00000100",
"00111100",
"00000000",
(others => '0'));
begin
-- namapování entity pro práci s klávesnicí na FitKitu
kbc_u : entity work.keyboard_controller_high
-- pragma translate off
generic map(
READ_INTERVAL => 32
)
-- pragma translate on
port map(
CLK => SMCLK,
RST => RESET,
DATA_OUT => kb_data_out,
KB_KIN => KIN,
KB_KOUT => KOUT
);
-- namapování entity pro práci s koneèným automatem
fistma: entity fsm
port map(
CLK => SMCLK,
RESET => RESET,
KEY => kb_data_out,
value => value,
CNTS => CNTS
);
-- namapování entity pro práci s VGA výstupem
vga: entity work.vga_controller(arch_vga_controller)
port map(
CLK => CLK,
RST => RESET,
ENABLE => '1',
MODE => vga_mode,
DATA_RED => red,
DATA_GREEN => green,
DATA_BLUE => blue,
ADDR_COLUMN => vga_col,
ADDR_ROW => vga_row,
VGA_RED => RED_V,
VGA_GREEN => GREEN_V,
VGA_BLUE => BLUE_V,
VGA_HSYNC => HSYNC_V,
VGA_VSYNC => VSYNC_V
);
setmode(r640x480x60, vga_mode);
rom_col <= conv_integer(vga_col(5 downto 3));
-- zjitìní pro kadý counter jestli se pro nìj v pamìtí nachází '1' nebo '0'
-- také se rozhoduje, jestli se zobrazují èíslice hodin nebo budíku (pøo jeho nastavování)
sec_wrj <= rom_digit(al_sj*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_sj*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
sec_wrd <= rom_digit(al_sd*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_sd*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
min_wrj <= rom_digit(al_mj*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_mj*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
min_wrd <= rom_digit(al_md*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_md*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
hr_wrj <= rom_digit(al_hj*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_hj*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
hr_wrd <= rom_digit(al_hd*8 + conv_integer(vga_row(5 downto 3)))(rom_col) when (CNTS(12) = '1') else
rom_digit(cnt_hd*8 + conv_integer(vga_row(5 downto 3)))(rom_col);
-- nastavení barev pro vechny zjitìné hodnoty
-- aktuálnì nastavovaná èíslice je zvýraznìna modøe
-- klasické hodinové èíslice jsou zelené a èíslice budíku fialové
rgb_sj <= "000"&"101"&"000" when (sec_wrj = '1') and (CNTS(11) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (sec_wrj = '1') and (CNTS(11) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (sec_wrj = '1') and (CNTS(11) = '1') else
"000"&"000"&"000";
rgb_sd <= "000"&"101"&"000" when (sec_wrd = '1') and (CNTS(10) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (sec_wrd = '1') and (CNTS(10) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (sec_wrd = '1') and (CNTS(10) = '1') else
"000"&"000"&"000";
rgb_mj <= "000"&"101"&"000" when (min_wrj = '1') and (CNTS(9) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (min_wrj = '1') and (CNTS(9) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (min_wrj = '1') and (CNTS(9) = '1') else
"000"&"000"&"000";
rgb_md <= "000"&"101"&"000" when (min_wrd = '1') and (CNTS(8) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (min_wrd = '1') and (CNTS(8) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (min_wrd = '1') and (CNTS(8) = '1') else
"000"&"000"&"000";
rgb_hj <= "000"&"101"&"000" when (hr_wrj = '1') and (CNTS(7) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (hr_wrj = '1') and (CNTS(7) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (hr_wrj = '1') and (CNTS(7) = '1') else
"000"&"000"&"000";
rgb_hd <= "000"&"101"&"000" when (hr_wrd = '1') and (CNTS(6) = '0') and (CNTS(12) = '0') else
"101"&"000"&"101" when (hr_wrd = '1') and (CNTS(6) = '0') and (CNTS(12) = '1') else
"000"&"000"&"101" when (hr_wrd = '1') and (CNTS(6) = '1') else
"000"&"000"&"000";
-- pøi aktivním probliknutí se nezobrazí nic
rgbf <= "000"&"000"&"000" when BLINK(0) = '1' else
-- Tecka indikujici nastaveni alarmu:
"000"&"101"&"101" when (vga_row(11 downto 2) = "0000111011") and ((vga_col(9 downto 2)= "00011111")) and (CNTS(13) = '1') else
-- Dvojtecka mezi minutami a sekundami:
"101"&"000"&"000" when (vga_row(11 downto 3) = "000011101") and ((vga_col(8 downto 2) = "1011111")) else
"101"&"000"&"000" when (vga_row(11 downto 3) = "000011001") and ((vga_col(8 downto 2) = "1011111")) else
-- Dvojtecka mezi Hodinami a minutami:
"101"&"000"&"000" when (vga_row(11 downto 3) = "000011101") and ((vga_col(8 downto 2) = "0111111")) else
"101"&"000"&"000" when (vga_row(11 downto 3) = "000011001") and ((vga_col(8 downto 2) = "0111111")) else
-- Samotne cislice dle pozice na výstupu:
rgb_sj when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "111")) else
rgb_sd when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "110")) else
rgb_mj when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "101")) else
rgb_md when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "100")) else
rgb_hj when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "011")) else
rgb_hd when (vga_row(11 downto 6) = "000011") and ((vga_col(8 downto 6) = "010")) else
"000"&"000"&"000";
red <= rgbf(8 downto 6);
green <= rgbf(5 downto 3);
blue <= rgbf(2 downto 0);
-- GENERATOR SEKUNDOVEHO SIGNALU
process (SMCLK)
begin
if (SMCLK'event) and (SMCLK = '1') then
sec <= '0';
-- nastavení blikání pøi aktivovaném budíku a pøi shodì hodin a minut
-- hodiny blikají minutu a nebo do zmáèknutí '#', '*' nebo 'A'
if ((cnt = period -1) or (cnt = period / 2)) then
if ((CNTS(13) = '1') and (cnt_hd = al_hd) and (cnt_hj = al_hj) and (cnt_md = al_md) and (cnt_mj = al_mj)) then
BLINK(1) <= '1';
else
BLINK(1) <= '0';
end if;
if (CNTS(6) = '1') then
BLINK(1) <= '0';
BLINK(0) <= '0';
elsif (BLINK(1) = '1') then
BLINK(0) <= not BLINK(0);
else
BLINK(0) <= '0';
end if;
end if;
if (cnt = period -1) then
cnt <= 0;
sec <= '1';
else
cnt <= cnt + 1;
end if;
end if;
end process;
-- GENERATOR MINUTOVEHO SIGNALU + nastaveni sekundovych pozic
process (SMCLK)
begin
if (SMCLK'event) and (SMCLK = '1') then
min <= '0';
if (CNTS(4) = '1') then
if (CNTS(12) = '1') then
al_sd <= value;
else
cnt_sd <= value;
end if;
elsif (CNTS(5) = '1') then
if (CNTS(12) = '1') then
al_sj <= value;
else
cnt_sj <= value;
end if;
end if;
if (sec = '1') then
if (cnt_sj = 9) and (cnt_sd = 5) then
cnt_sj <= 0;
cnt_sd <= 0;
min <= '1';
else
cnt_sj <= cnt_sj +1;
if (cnt_sj = 9) then
cnt_sj <= 0;
cnt_sd <= cnt_sd + 1;
end if;
end if;
end if;
end if;
end process;
-- GENERATOR HODINOVEHO SIGNALU + nastaveni minutových pozic
process (SMCLK)
begin
if (SMCLK'event) and (SMCLK = '1') then
hour <= '0';
if (CNTS(2) = '1') then
if (CNTS(12) = '1') then
al_md <= value;
else
cnt_md <= value;
end if;
elsif (CNTS(3) = '1') then
if (CNTS(12) = '1') then
al_mj <= value;
else
cnt_mj <= value;
end if;
end if;
if (min = '1') then
if (cnt_mj = 9) and (cnt_md = 5) then
cnt_mj <= 0;
cnt_md <= 0;
hour <= '1';
else
cnt_mj <= cnt_mj + 1;
if (cnt_mj = 9) then
cnt_mj <= 0;
cnt_md <= cnt_md + 1;
end if;
end if;
end if;
end if;
end process;
-- POCITADLO HODIN + nastaveni hodinových pozic
process (SMCLK)
begin
if (SMCLK'event) and (SMCLK = '1') then
if (CNTS(0) = '1') then
if (CNTS(12) = '1') then
al_hd <= value;
else
cnt_hd <= value;
end if;
elsif (CNTS(1) = '1') then
if (CNTS(12) = '1') then
al_hj <= value;
else
cnt_hj <= value;
end if;
end if;
if (hour = '1') then
if (cnt_hj = 3) and (cnt_hd = 2) then
cnt_hj <= 0;
cnt_hd <= 0;
else
cnt_hj <= cnt_hj + 1;
if (cnt_hj = 9) then
cnt_hj <= 0;
cnt_hd <= cnt_hd + 1;
end if;
end if;
end if;
end if;
end process;
end main;
-- KONEÈNÝ AUTOMAT
-- pùvodní verze neobsahovala stavy AL_..., nastavování budíku probíhalo pøes stejné stavy jako u hodin,
-- jen se pøedem nastavil CNTS(12) na '1' + pomocný signál alarm takté na '1', ale bohue se oba tyto signály
-- ihned nulovaly a nebylo moné identifikovat nastavování alarmu (nepøili jsme na pùvod tohoto chování).
-- Proto je pouíto více stavù ne by bylo potøeba + po pøechodu do nastavení hodin se budík automaticky
-- vypne a je nutno jej znovu zapnout po nastavení hodin.
-- Testování na hodnotu signálu alarm jsme zachovali pro pøedstavu pùvodního návrhu.
architecture behavioral of fsm is
-- funkce pro pøevod hodnoty vektoru klávesnice na hodnotu zmáèklé klávesy
function log2(val : integer) return natural is
variable result : natural;
begin
for i in 0 to 31 loop
if (val <= (2 ** i)) then
result := i;
exit;
end if;
end loop;
return result;
end function;
type t_state is (Hour2, Hour11, Hour12, Min2, Min1, Sec2, Sec1, IDLE, IDLE2,
AL_Hour2, AL_Hour11, AL_Hour12, AL_Min2, AL_Min1, AL_Sec2, AL_Sec1);
signal present_state, next_state: t_state;
signal tmp: integer;
signal alarm: std_logic;
begin
sync_logic : process(CLK)
begin
if (RESET = '1') then
present_state <= IDLE;
elsif (CLK'event AND CLK = '1') then
present_state <= next_state;
end if;
end process sync_logic;
next_state_logic : process(present_state, KEY)
begin
case (present_state) is
---------------------------------------------
when Hour2 =>
next_state <= Hour2;
if (KEY(1 downto 0) /= "00") then
next_state <= Hour11;
elsif (KEY(2) = '1') then
next_state <= Hour12;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Hour11 =>
next_state <= Hour11;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= Min2;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Hour12 =>
next_state <= Hour12;
if (KEY(3 downto 0) /= "0000") then
next_state <= Min2;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Min2 =>
next_state <= Min2;
if (KEY(5 downto 0) /= "000000") then
next_state <= Min1;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Min1 =>
next_state <= Min1;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= Sec2;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Sec2 =>
next_state <= Sec2;
if (KEY(5 downto 0) /= "000000") then
next_state <= Sec1;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when Sec1 =>
next_state <= Sec1;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= Hour2;
elsif (KEY(15) = '1') then
if (alarm = '1') then
next_state <= IDLE2;
else
next_state <= IDLE;
end if;
end if;
---------------------------------------------
when AL_Hour2 =>
next_state <= AL_Hour2;
if (KEY(1 downto 0) /= "00") then
next_state <= AL_Hour11;
elsif (KEY(2) = '1') then
next_state <= AL_Hour12;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Hour11 =>
next_state <= AL_Hour11;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= AL_Min2;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Hour12 =>
next_state <= AL_Hour12;
if (KEY(3 downto 0) /= "0000") then
next_state <= AL_Min2;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Min2 =>
next_state <= AL_Min2;
if (KEY(5 downto 0) /= "000000") then
next_state <= AL_Min1;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Min1 =>
next_state <= AL_Min1;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= AL_Sec2;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Sec2 =>
next_state <= AL_Sec2;
if (KEY(5 downto 0) /= "000000") then
next_state <= AL_Sec1;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when AL_Sec1 =>
next_state <= AL_Sec1;
if (KEY(9 downto 0) /= "0000000000") then
next_state <= AL_Hour2;
elsif (KEY(15) = '1') then
next_state <= IDLE2;
end if;
---------------------------------------------
when IDLE =>
next_state <= IDLE;
if (KEY(15) = '1') then
next_state <= Hour2;
elsif (KEY(10) = '1') then
next_state <= IDLE2;
elsif (KEY(14) = '1') then
next_state <= AL_Hour2;
end if;
---------------------------------------------
when IDLE2 =>
next_state <= IDLE2;
if (KEY(15) = '1') then
next_state <= Hour2;
elsif (KEY(10) = '1') then
next_state <= IDLE;
elsif (KEY(14) = '1') then
next_state <= AL_Hour2;
end if;
---------------------------------------------
when others =>
end case;
end process next_state_logic;
output_logic : process(present_state, KEY)
begin
tmp <= log2(conv_integer(KEY(9 downto 0)));
case (present_state) is
---------------------------------------------
when Hour2 | AL_Hour2 =>
if (present_state = AL_Hour2) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(6) <= '1';
if (KEY(2 downto 0) /= "000") then
CNTS(6) <= '0';
CNTS(5) <= '0';
CNTS(0) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Hour11 | AL_Hour11 =>
if (present_state = AL_Hour11) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(7) <= '1';
if (KEY(9 downto 0) /= "0000000000") then
CNTS(7) <= '0';
CNTS(0) <= '0';
CNTS(1) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Hour12 | AL_Hour12 =>
if (present_state = AL_Hour12) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(7) <= '1';
if (KEY(3 downto 0) /= "0000") then
CNTS(7) <= '0';
CNTS(0) <= '0';
CNTS(1) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Min2 | AL_Min2 =>
if (present_state = AL_Min2) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(8) <= '1';
if (KEY(5 downto 0) /= "000000") then
CNTS(8) <= '0';
CNTS(1) <= '0';
CNTS(2) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Min1 | AL_Min1 =>
if (present_state = AL_Min1) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(9) <= '1';
if (KEY(9 downto 0) /= "0000000000") then
CNTS(9) <= '0';
CNTS(2) <= '0';
CNTS(3) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Sec2 | AL_Sec2 =>
if (present_state = AL_Sec2) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(10) <= '1';
if (KEY(5 downto 0) /= "000000") then
CNTS(10) <= '0';
CNTS(3) <= '0';
CNTS(4) <= '1';
value <= tmp;
end if;
---------------------------------------------
when Sec1 | AL_Sec1 =>
if (present_state = AL_Sec1) then
CNTS(13) <= '1';
CNTS(12) <= '1';
alarm <= '1';
end if;
CNTS(11) <= '1';
if (KEY(9 downto 0) /= "0000000000") then
CNTS(11) <= '0';
CNTS(4) <= '0';
CNTS(5) <= '1';
value <= tmp;
end if;
---------------------------------------------
when IDLE =>
CNTS(13 downto 0) <= "00000000000000";
alarm <= '0';
if (KEY(14) = '1') then
CNTS(12) <= '1';
CNTS(13) <= '1';
alarm <= '1';
end if;
---------------------------------------------
when IDLE2 =>
CNTS(13 downto 0) <= "10000000000000";
alarm <= '1';
if (KEY(14) = '1') then
CNTS(12) <= '1';
end if;
---------------------------------------------
when others =>
end case;
end process output_logic;
end architecture behavioral;
|
mit
|
danielfpedro/mock-bs
|
js/vendor/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
agural/AVR-Processor
|
src/AVR/ALUCommands.vhd
|
1
|
3973
|
-----------------------------------------------------------------------------
--
-- AVR opcode package
--
-- This package defines opcode constants for the complete AVR instruction
-- set. Not all variants of the AVR implement all instructions.
--
-- Revision History
-- 4/27/98 Glen George initial revision
-- 4/14/00 Glen George updated comments
-- 4/22/02 Glen George added new instructions
-- 4/22/02 Glen George updated comments
-- 5/16/02 Glen George fixed LPM instruction constant
--
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package ALUCommands is
-- ALU Blocks for ALUBlockSel
constant ALUAddBlock : std_logic_vector(1 downto 0) := "00";
constant ALUFBlock : std_logic_vector(1 downto 0) := "01";
constant ALUShiftBlock : std_logic_vector(1 downto 0) := "10";
constant ALUMulBlock : std_logic_vector(1 downto 0) := "11";
-- ALU Block Instructions for BlockInstructionSel
--F Block
constant FBlockZERO : std_logic_vector(3 downto 0) := "0000";
constant FBlockNOR : std_logic_vector(3 downto 0) := "0001";
constant FBlockNOTA : std_logic_vector(3 downto 0) := "0011";
constant FBlockNOTB : std_logic_vector(3 downto 0) := "0101";
constant FBlockXOR : std_logic_vector(3 downto 0) := "0110";
constant FBlockNAND : std_logic_vector(3 downto 0) := "0111";
constant FBlockAND : std_logic_vector(3 downto 0) := "1000";
constant FBlockXNOR : std_logic_vector(3 downto 0) := "1001";
constant FBlockOR : std_logic_vector(3 downto 0) := "1110";
constant FBlockONE : std_logic_vector(3 downto 0) := "1111";
--Shift Block
--bit 2 - shift 1 vs shift 4
--bit 1:0 - high bit source: 00 => 0, 01 => A0, 10 => An
constant ShiftBlockArith : std_logic_vector(3 downto 0) := "0010";
constant ShiftBlockLog : std_logic_vector(3 downto 0) := "0000";
constant ShiftBlockRot : std_logic_vector(3 downto 0) := "0001";
constant ShiftBlockSwap : std_logic_vector(3 downto 0) := "0100";
--Add Block
constant AddBlockAdd : std_logic_vector(3 downto 0) := "0000";
constant AddBlockSub : std_logic_vector(3 downto 0) := "0010";
constant AddBlockAddCarry : std_logic_vector(3 downto 0) := "0001";
constant AddBlockSubCarry : std_logic_vector(3 downto 0) := "0011";
constant AddBlockNeg : std_logic_vector(3 downto 0) := "0100";
--Multiply Block
constant MulBlockLowByte : std_logic_vector(3 downto 0) := "0000";
constant MulBlockHighByte : std_logic_vector(3 downto 0) := "0001";
-- ALU Flags
constant flag_C : integer := 0;
constant flag_Z : integer := 1;
constant flag_N : integer := 2;
constant flag_V : integer := 3;
constant flag_S : integer := 4;
constant flag_H : integer := 5;
constant flag_T : integer := 6;
constant flag_I : integer := 7;
-- ALU Flag Masks ITHSVNZC
constant flag_mask_ZCNVSH : std_logic_vector(7 downto 0) := "00111111";
constant flag_mask_ZCNVS : std_logic_vector(7 downto 0) := "00011111";
constant flag_mask_ZNVS : std_logic_vector(7 downto 0) := "00011110";
constant flag_mask_T : std_logic_vector(7 downto 0) := "01000000";
constant flag_mask_ZC : std_logic_vector(7 downto 0) := "00000011";
constant flag_mask_KEEPALL: std_logic_vector(7 downto 0) := "00000000";
-- ALU Operand 2 Select (ALUOp2Sel) Values
constant RegOp2 : std_logic := '0'; --Operand 2 is from registers
constant ImmedOp2 : std_logic := '1'; --Operand 2 is immediate
constant StatusBitClear : std_logic := '0';
constant StatusBitSet : std_logic := '1';
end package;
|
mit
|
agural/AVR-Processor
|
src/AVR/PMAUnit.vhd
|
1
|
3041
|
----------------------------------------------------------------------------------
-- Company: Caltech EE 119B
-- Engineer: Albert Gural and Bryan He
--
-- Design Name: AVR-Processor
-- Module Name: PMAUnit - DataFlow
-- Project Name: AVR-Processor
-- Target Devices: Xilinx Spartan III XC3S1200EFGG3204C
-- Tool versions: Xilinx ISE 14.7
-- Description: Keeps an updated program counter (PC) and is responsible for
-- fetching new instructions.
--
-- Revision: 1.0
-- For file history, see https://github.com/agural/AVR-Processor
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity PMAUnit is
port (
ProgAB : out std_logic_vector(15 downto 0); -- address for program memory
ProgDB : in std_logic_vector(15 downto 0); -- data from program memory
PCUpdateSel : in std_logic_vector( 1 downto 0); -- source of next program counter
NextPC : out std_logic_vector(15 downto 0); -- next program counter
PCOffset : in std_logic_vector(11 downto 0); -- increment for program counter
NewIns : in std_logic; -- indicates new instruction should be loaded
IR : out std_logic_vector(15 downto 0); -- instruction register
NewPCZ : in std_logic_vector(15 downto 0); -- from Z register
NewPCS : in std_logic_vector(15 downto 0); -- from stack
Reset : in std_logic; -- reset
Clk : in std_logic -- system clock
);
end PMAUnit;
architecture DataFlow of PMAUnit is
signal PC : std_logic_vector(15 downto 0);
signal IncrementedPC : std_logic_vector(15 downto 0);
constant ResetValue : std_logic_vector(15 downto 0) := (others => '0');
begin
ProgAB <= PC;
IncrementedPC <= std_logic_vector(signed(PC) + signed(PCOffset));
NextPC <= IncrementedPC;
process (Clk)
begin
if (rising_edge(Clk)) then
if (NewIns = '1') then
IR <= ProgDB;
end if;
if (PCUpdateSel = "00") then
PC <= IncrementedPC;
elsif (PCUpdateSel = "01") then
PC <= ProgDB;
elsif (PCUpdateSel = "10") then
PC <= NewPCZ;
elsif (PCUpdateSel = "11") then
PC <= NewPCS;
else
PC <= (others => 'X');
end if;
if (Reset = '0') then
PC <= ResetValue;
end if;
end if;
end process;
end DataFlow;
|
mit
|
elainemielas/CVUT_BI-PNO
|
project2/receiver.vhd
|
1
|
8066
|
library IEEE;
use IEEE.std_logic_1164.all;
entity RECEIVER is
port (
PS2_DATA : in std_logic; -- serial PS2 input
PS2_CLK : in std_logic; -- serial PS2 clock
CLK : in std_logic; -- standard 50MHz clock
RESET : in std_logic;
SCAN_CODE : out std_logic_vector ( 7 downto 0 );
NEW_SC : out std_logic
);
end RECEIVER;
architecture RECEIVER_BODY of RECEIVER is
type T_STATE is ( W_START, R_START, W_0, R_0, W_1, R_1, W_2, R_2, W_3, R_3, W_4, R_4, W_5, R_5, W_6, R_6, W_7, R_7, W_PAR, R_PAR, W_END, R_END, VALID );
signal STATE, NEXT_STATE : T_STATE;
signal PAR, PS2_PAR, PS2_END : std_logic;
signal SC : std_logic_vector ( 7 downto 0 );
signal SC_LOAD : std_logic;
signal SC_RESET : std_logic;
signal PAR_LOAD : std_logic;
signal END_LOAD : std_logic;
signal SC_OUT : std_logic;
begin
SCPR : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' then
SC <= "00000000";
elsif SC_LOAD = '1' then
SC <= PS2_DATA & SC ( 7 downto 1 ) ;
end if;
end if;
end process;
PARPR : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' or SC_RESET = '1' then
PAR <= '1';
elsif SC_LOAD = '1' then
if PS2_DATA = '1' then
PAR <= not PAR;
else
PAR <= PAR;
end if;
end if;
end if;
end process;
PS2_PARPR : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' or SC_RESET = '1' then
PS2_PAR <= '0';
elsif PAR_LOAD = '1' then
PS2_PAR <= PS2_DATA;
else
PS2_PAR <= PS2_PAR;
end if;
end if;
end process;
ENDPR : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' or SC_RESET = '1' then
PS2_END <= '0';
elsif END_LOAD = '1' then
PS2_END <= PS2_DATA;
else
PS2_END <= PS2_END;
end if;
end if;
end process;
SC_OUT_PR : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' then
SCAN_CODE <= "00000000";
elsif SC_OUT = '1' then
SCAN_CODE <= SC;
end if;
end if;
end process;
TRANP : process ( STATE, PS2_DATA, PS2_CLK, PAR, PS2_PAR, PS2_END )
begin
case STATE is
when W_START => if PS2_CLK = '1' then
NEXT_STATE <= W_START;
else
NEXT_STATE <= R_START;
end if;
when R_START => if PS2_CLK = '0' then
NEXT_STATE <= R_START;
else
NEXT_STATE <= W_0;
end if;
when W_0 => if PS2_CLK = '1' then
NEXT_STATE <= W_0;
else
NEXT_STATE <= R_0;
end if;
when R_0 => if PS2_CLK = '0' then
NEXT_STATE <= R_0;
else
NEXT_STATE <= W_1;
end if;
when W_1 => if PS2_CLK = '1' then
NEXT_STATE <= W_1;
else
NEXT_STATE <= R_1;
end if;
when R_1 => if PS2_CLK = '0' then
NEXT_STATE <= R_1;
else
NEXT_STATE <= W_2;
end if;
when W_2 => if PS2_CLK = '1' then
NEXT_STATE <= W_2;
else
NEXT_STATE <= R_2;
end if;
when R_2 => if PS2_CLK = '0' then
NEXT_STATE <= R_2;
else
NEXT_STATE <= W_3;
end if;
when W_3 => if PS2_CLK = '1' then
NEXT_STATE <= W_3;
else
NEXT_STATE <= R_3;
end if;
when R_3 => if PS2_CLK = '0' then
NEXT_STATE <= R_3;
else
NEXT_STATE <= W_4;
end if;
when W_4 => if PS2_CLK = '1' then
NEXT_STATE <= W_4;
else
NEXT_STATE <= R_4;
end if;
when R_4 => if PS2_CLK = '0' then
NEXT_STATE <= R_4;
else
NEXT_STATE <= W_5;
end if;
when W_5 => if PS2_CLK = '1' then
NEXT_STATE <= W_5;
else
NEXT_STATE <= R_5;
end if;
when R_5 => if PS2_CLK = '0' then
NEXT_STATE <= R_5;
else
NEXT_STATE <= W_6;
end if;
when W_6 => if PS2_CLK = '1' then
NEXT_STATE <= W_6;
else
NEXT_STATE <= R_6;
end if;
when R_6 => if PS2_CLK = '0' then
NEXT_STATE <= R_6;
else
NEXT_STATE <= W_7;
end if;
when W_7 => if PS2_CLK = '1' then
NEXT_STATE <= W_7;
else
NEXT_STATE <= R_7;
end if;
when R_7 => if PS2_CLK = '0' then
NEXT_STATE <= R_7;
else
NEXT_STATE <= W_PAR;
end if;
when W_PAR => if PS2_CLK = '1' then
NEXT_STATE <= W_PAR;
else
NEXT_STATE <= R_PAR;
end if;
when R_PAR => if PS2_CLK = '0' then
NEXT_STATE <= R_PAR;
else
NEXT_STATE <= W_END;
end if;
when W_END => if PS2_CLK = '1' then
NEXT_STATE <= W_END;
else
NEXT_STATE <= R_END;
end if;
when R_END => if PS2_CLK = '0' then
NEXT_STATE <= R_END;
else
if PAR = PS2_PAR and PS2_END = '1' then
NEXT_STATE <= VALID;
else
NEXT_STATE <= W_START;
end if;
end if;
when VALID => NEXT_STATE <= W_START;
end case;
end process;
STATEP : process ( CLK )
begin
if CLK = '1' and CLK'event then
if RESET = '1' then
STATE <= W_START;
else
STATE <= NEXT_STATE;
end if;
end if;
end process;
OUTP : process ( STATE, PS2_DATA, PS2_CLK, PAR, PS2_PAR, PS2_END, SC )
begin
case STATE is
when W_START => NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
when R_START => NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '1';
PAR_LOAD <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
when W_0 | W_1 | W_2 | W_3 | W_4 | W_5 | W_6 | W_7 => if PS2_CLK = '0' then
SC_LOAD <= '1';
else
SC_LOAD <= '0';
end if;
NEW_SC <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
when W_PAR => if PS2_CLK = '0' then
PAR_LOAD <= '1';
else
PAR_LOAD <= '0';
end if;
NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
when W_END => if PS2_CLK = '0' then
END_LOAD <= '1';
else
END_LOAD <= '0';
end if;
NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
SC_OUT <= '0';
when R_END => if PS2_CLK = '1' and PAR = PS2_PAR and PS2_END = '1' then
SC_OUT <= '1';
else
SC_OUT <= '0';
end if;
NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
END_LOAD <= '0';
when VALID => NEW_SC <= '1';
SC_LOAD <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
when others => NEW_SC <= '0';
SC_LOAD <= '0';
SC_RESET <= '0';
PAR_LOAD <= '0';
END_LOAD <= '0';
SC_OUT <= '0';
end case;
end process;
end RECEIVER_BODY;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_vip_uart/src/uart_vvc.vhd
|
3
|
3798
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
use work.uart_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
--=================================================================================================
entity uart_vvc is
generic (
GC_DATA_WIDTH : natural range 1 to C_VVC_CMD_DATA_MAX_LENGTH := 8;
GC_INSTANCE_IDX : natural := 1;
GC_UART_CONFIG : t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
uart_vvc_rx : in std_logic;
uart_vvc_tx : inout std_logic
);
end entity uart_vvc;
--=================================================================================================
--=================================================================================================
architecture struct of uart_vvc is
begin
-- UART RX VVC
i1_uart_rx: entity work.uart_rx_vvc
generic map(
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => RX,
GC_UART_CONFIG => GC_UART_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
uart_vvc_rx => uart_vvc_rx
);
-- UART TX VVC
i1_uart_tx: entity work.uart_tx_vvc
generic map(
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => TX,
GC_UART_CONFIG => GC_UART_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
uart_vvc_tx => uart_vvc_tx
);
end struct;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_vip_axilite/src/axilite_bfm_pkg.vhd
|
1
|
28141
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
--=================================================================================================
package axilite_bfm_pkg is
--===============================================================================================
-- Types and constants for AXILITE BFMs
--===============================================================================================
constant C_SCOPE : string := "AXILITE BFM";
type t_axilite_response_status is (OKAY, SLVERR, DECERR, EXOKAY); -- EXOKAY not supported for AXI-Lite, will raise TB_FAILURE
type t_axilite_protection is(
UNPRIVILIGED_UNSECURE_DATA,
UNPRIVILIGED_UNSECURE_INSTRUCTION,
UNPRIVILIGED_SECURE_DATA,
UNPRIVILIGED_SECURE_INSTRUCTION,
PRIVILIGED_UNSECURE_DATA,
PRIVILIGED_UNSECURE_INSTRUCTION,
PRIVILIGED_SECURE_DATA,
PRIVILIGED_SECURE_INSTRUCTION
);
-- Configuration record to be assigned in the test harness.
type t_axilite_bfm_config is
record
max_wait_cycles : natural; -- Used for setting the maximum cycles to wait before an alert is issued when waiting for ready and valid signals from the DUT.
max_wait_cycles_severity : t_alert_level; -- The above timeout will have this severity
clock_period : time; -- Period of the clock signal.
expected_response : t_axilite_response_status; -- Sets the expected response for both read and write transactions.
expected_response_severity : t_alert_level; -- A response mismatch will have this severity.
protection_setting : t_axilite_protection; -- Sets the AXI access permissions (e.g. write to data/instruction, privileged and secure access).
num_aw_pipe_stages : natural; -- Write Address Channel pipeline steps.
num_w_pipe_stages : natural; -- Write Data Channel pipeline steps.
num_ar_pipe_stages : natural; -- Read Address Channel pipeline steps.
num_r_pipe_stages : natural; -- Read Data Channel pipeline steps.
num_b_pipe_stages : natural; -- Response Channel pipeline steps.
id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the AXI-Lite BFM
id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the AXI-Lite BFM
id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the AXI-Lite BFM
end record;
constant C_AXILITE_BFM_CONFIG_DEFAULT : t_axilite_bfm_config := (
max_wait_cycles => 10,
max_wait_cycles_severity => TB_FAILURE,
clock_period => 10 ns,
expected_response => OKAY,
expected_response_severity => TB_FAILURE,
protection_setting => UNPRIVILIGED_UNSECURE_DATA,
num_aw_pipe_stages => 1,
num_w_pipe_stages => 1,
num_ar_pipe_stages => 1,
num_r_pipe_stages => 1,
num_b_pipe_stages => 1,
id_for_bfm => ID_BFM,
id_for_bfm_wait => ID_BFM_WAIT,
id_for_bfm_poll => ID_BFM_POLL
);
-- AXI-Lite Interface signals
type t_axilite_write_address_channel is record
--DUT inputs
awaddr : std_logic_vector;
awvalid : std_logic;
awprot : std_logic_vector(2 downto 0); -- [0: '0' - unpriviliged access, '1' - priviliged access; 1: '0' - secure access, '1' - non-secure access, 2: '0' - Data access, '1' - Instruction accesss]
--DUT outputs
awready : std_logic;
end record;
type t_axilite_write_data_channel is record
--DUT inputs
wdata : std_logic_vector;
wstrb : std_logic_vector;
wvalid : std_logic;
--DUT outputs
wready : std_logic;
end record;
type t_axilite_write_response_channel is record
--DUT inputs
bready : std_logic;
--DUT outputs
bresp : std_logic_vector(1 downto 0);
bvalid : std_logic;
end record;
type t_axilite_read_address_channel is record
--DUT inputs
araddr : std_logic_vector;
arvalid : std_logic;
arprot : std_logic_vector(2 downto 0); -- [0: '0' - unpriviliged access, '1' - priviliged access; 1: '0' - secure access, '1' - non-secure access, 2: '0' - Data access, '1' - Instruction accesss]
--DUT outputs
arready : std_logic;
end record;
type t_axilite_read_data_channel is record
--DUT inputs
rready : std_logic;
--DUT outputs
rdata : std_logic_vector;
rresp : std_logic_vector(1 downto 0);
rvalid : std_logic;
end record;
type t_axilite_if is record
write_address_channel : t_axilite_write_address_channel;
write_data_channel : t_axilite_write_data_channel;
write_response_channel : t_axilite_write_response_channel;
read_address_channel : t_axilite_read_address_channel;
read_data_channel : t_axilite_read_data_channel;
end record;
--===============================================================================================
-- BFM procedures
--===============================================================================================
------------------------------------------
-- init_axilite_if_signals
------------------------------------------
-- - This function returns an AXILITE interface with initialized signals.
-- - All AXILITE input signals are initialized to 0
-- - All AXILITE output signals are initialized to Z
-- - awprot and arprot are initialized to UNPRIVILIGED_UNSECURE_DATA
function init_axilite_if_signals(
addr_width : natural;
data_width : natural
) return t_axilite_if;
------------------------------------------
-- axilite_write
------------------------------------------
-- This procedure writes data to the AXILITE interface specified in axilite_if
-- - The protection setting is set to UNPRIVILIGED_UNSECURE_DATA in this procedure
-- - The byte enable input is set to 1 for all bytes in this procedure
-- - When the write is completed, a log message is issued with log ID id_for_bfm
procedure axilite_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
);
------------------------------------------
-- axilite_write
------------------------------------------
-- This procedure writes data to the AXILITE interface specified in axilite_if
-- - When the write is completed, a log message is issued with log ID id_for_bfm
procedure axilite_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant byte_enable : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
);
------------------------------------------
-- axilite_read
------------------------------------------
-- This procedure reads data from the AXILITE interface specified in axilite_if,
-- and returns the read data in data_value.
procedure axilite_read (
constant addr_value : in unsigned;
variable data_value : out std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like axilite_check
);
------------------------------------------
-- axilite_check
------------------------------------------
-- This procedure reads data from the AXILITE interface specified in axilite_if,
-- and compares it to the data in data_exp.
-- - If the received data inconsistent with data_exp, an alert with severity
-- alert_level is issued.
-- - If the received data was correct, a log message with ID id_for_bfm is issued.
procedure axilite_check (
constant addr_value : in unsigned;
constant data_exp : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
);
end package axilite_bfm_pkg;
--=================================================================================================
--=================================================================================================
package body axilite_bfm_pkg is
----------------------------------------------------
-- Support procedures
----------------------------------------------------
function to_slv(
protection : t_axilite_protection
) return std_logic_vector is
variable v_prot_slv : std_logic_vector(2 downto 0);
begin
case protection is
when UNPRIVILIGED_UNSECURE_DATA =>
v_prot_slv := "010";
when UNPRIVILIGED_UNSECURE_INSTRUCTION =>
v_prot_slv := "011";
when UNPRIVILIGED_SECURE_DATA =>
v_prot_slv := "000";
when UNPRIVILIGED_SECURE_INSTRUCTION =>
v_prot_slv := "001";
when PRIVILIGED_UNSECURE_DATA =>
v_prot_slv := "110";
when PRIVILIGED_UNSECURE_INSTRUCTION =>
v_prot_slv := "111";
when PRIVILIGED_SECURE_DATA =>
v_prot_slv := "100";
when PRIVILIGED_SECURE_INSTRUCTION =>
v_prot_slv := "101";
end case;
return v_prot_slv;
end function;
function to_slv(
axilite_response_status : t_axilite_response_status;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
) return std_logic_vector is
variable v_axilite_response_status_slv : std_logic_vector(1 downto 0);
begin
check_value(axilite_response_status /= EXOKAY, TB_FAILURE, "EXOKAY response status is not supported in AXI-Lite", scope, ID_NEVER, msg_id_panel);
case axilite_response_status is
when OKAY =>
v_axilite_response_status_slv := "00";
when SLVERR =>
v_axilite_response_status_slv := "10";
when DECERR =>
v_axilite_response_status_slv := "11";
when EXOKAY =>
v_axilite_response_status_slv := "01";
end case;
return v_axilite_response_status_slv;
end function;
function to_axilite_response_status(
resp : std_logic_vector(1 downto 0);
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
) return t_axilite_response_status is
begin
check_value(resp /= "01", TB_FAILURE, "EXOKAY response status is not supported in AXI-Lite", scope, ID_NEVER, msg_id_panel);
case resp is
when "00" =>
return OKAY;
when "10" =>
return SLVERR;
when "11" =>
return DECERR;
when others =>
return EXOKAY;
end case;
end function;
----------------------------------------------------
-- BFM procedures
----------------------------------------------------
function init_axilite_if_signals(
addr_width : natural;
data_width : natural
) return t_axilite_if is
variable init_if : t_axilite_if( write_address_channel( awaddr( addr_width -1 downto 0)),
write_data_channel( wdata( data_width -1 downto 0),
wstrb(( data_width/8) -1 downto 0)),
read_address_channel( araddr( addr_width -1 downto 0)),
read_data_channel( rdata( data_width -1 downto 0)));
begin
-- Write Address Channel
init_if.write_address_channel.awaddr := (init_if.write_address_channel.awaddr'range => '0');
init_if.write_address_channel.awvalid := '0';
init_if.write_address_channel.awprot := to_slv(UNPRIVILIGED_UNSECURE_DATA); --"010"
init_if.write_address_channel.awready := 'Z';
-- Write Data Channel
init_if.write_data_channel.wdata := (init_if.write_data_channel.wdata'range => '0');
init_if.write_data_channel.wstrb := (init_if.write_data_channel.wstrb'range => '0');
init_if.write_data_channel.wvalid := '0';
init_if.write_data_channel.wready := 'Z';
-- Write Response Channel
init_if.write_response_channel.bready := '0';
init_if.write_response_channel.bresp := (init_if.write_response_channel.bresp'range => 'Z');
init_if.write_response_channel.bvalid := 'Z';
-- Read Address Channel
init_if.read_address_channel.araddr := (init_if.read_address_channel.araddr'range => '0');
init_if.read_address_channel.arvalid := '0';
init_if.read_address_channel.arprot := to_slv(UNPRIVILIGED_UNSECURE_DATA); --"010"
init_if.read_address_channel.arready := 'Z';
-- Read Data Channel
init_if.read_data_channel.rready := '0';
init_if.read_data_channel.rdata := (init_if.read_data_channel.rdata'range => 'Z');
init_if.read_data_channel.rresp := (init_if.read_data_channel.rresp'range => 'Z');
init_if.read_data_channel.rvalid := 'Z';
return init_if;
end function;
procedure axilite_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
) is
constant C_BYTE_ENABLE : std_logic_vector(axilite_if.write_data_channel.wstrb'length-1 downto 0) := (others => '1');
begin
axilite_write(addr_value, data_value, C_BYTE_ENABLE, msg, clk, axilite_if, scope, msg_id_panel, config);
end procedure axilite_write;
procedure axilite_write (
constant addr_value : in unsigned;
constant data_value : in std_logic_vector;
constant byte_enable : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "axilite_write";
constant proc_call : string := "axilite_write(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) &
", " & to_string(data_value, HEX, AS_IS, INCL_RADIX) & ")";
constant max_pipe_stages : integer := maximum(config.num_w_pipe_stages, config.num_aw_pipe_stages);
variable v_await_awready : boolean := true;
variable v_await_wready : boolean := true;
variable v_await_bvalid : boolean := true;
-- Normalize to the DUT addr/data widths
variable v_normalized_addr : std_logic_vector(axilite_if.write_address_channel.awaddr'length-1 downto 0) :=
normalize_and_check(std_logic_vector(addr_value), axilite_if.write_address_channel.awaddr, ALLOW_NARROWER, "addr", "axilite_if.write_address_channel.awaddr", msg);
variable v_normalized_data : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) :=
normalize_and_check(data_value, axilite_if.write_data_channel.wdata, ALLOW_NARROWER, "data", "axilite_if.write_data_channel.wdata", msg);
begin
check_value(v_normalized_data'length = 32 or v_normalized_data'length = 64, TB_ERROR, "AXI-lite data width must be either 32 or 64!", scope, ID_NEVER, msg_id_panel);
for cycle in 0 to config.max_wait_cycles loop
wait_until_given_time_before_rising_edge(clk, config.clock_period/4, config.clock_period);
if cycle = config.num_w_pipe_stages then
axilite_if.write_data_channel.wdata <= v_normalized_data;
axilite_if.write_data_channel.wstrb <= byte_enable;
axilite_if.write_data_channel.wvalid <= '1';
end if;
if cycle = config.num_aw_pipe_stages then
axilite_if.write_address_channel.awaddr <= v_normalized_addr;
axilite_if.write_address_channel.awvalid <= '1';
axilite_if.write_address_channel.awprot <= to_slv(config.protection_setting);
end if;
wait until rising_edge(clk);
if axilite_if.write_data_channel.wready = '1' and cycle >= config.num_w_pipe_stages then
axilite_if.write_data_channel.wvalid <= '0' after config.clock_period/4;
v_await_wready := false;
end if;
if axilite_if.write_address_channel.awready = '1' and cycle >= config.num_aw_pipe_stages then
axilite_if.write_address_channel.awvalid <= '0' after config.clock_period/4;
v_await_awready := false;
end if;
if not v_await_awready and not v_await_wready then
exit;
end if;
end loop;
check_value(not v_await_wready, config.max_wait_cycles_severity, ": Timeout waiting for WREADY", scope, ID_NEVER, msg_id_panel, proc_call);
check_value(not v_await_awready, config.max_wait_cycles_severity, ": Timeout waiting for AWREADY", scope, ID_NEVER, msg_id_panel, proc_call);
wait_until_given_time_before_rising_edge(clk, config.clock_period/4, config.clock_period);
axilite_if.write_response_channel.bready <= '1';
for cycle in 0 to config.max_wait_cycles loop
wait until rising_edge(clk);
if axilite_if.write_response_channel.bvalid = '1' then
check_value(axilite_if.write_response_channel.bresp, to_slv(config.expected_response), config.expected_response_severity, ": BRESP detected", scope, BIN, AS_IS, ID_NEVER, msg_id_panel, proc_call);
wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
axilite_if.write_response_channel.bready <= '0';
v_await_bvalid := false;
end if;
if not v_await_bvalid then
exit;
end if;
end loop;
check_value(not v_await_bvalid, config.max_wait_cycles_severity, ": Timeout waiting for BVALID", scope, ID_NEVER, msg_id_panel, proc_call);
axilite_if.write_address_channel.awaddr(axilite_if.write_address_channel.awaddr'length-1 downto 0) <= (others => '0');
axilite_if.write_address_channel.awvalid <= '0';
axilite_if.write_data_channel.wdata(axilite_if.write_data_channel.wdata'length-1 downto 0) <= (others => '0');
axilite_if.write_data_channel.wstrb(axilite_if.write_data_channel.wstrb'length-1 downto 0) <= (others => '1');
axilite_if.write_data_channel.wvalid <= '0';
log(config.id_for_bfm, proc_call & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel);
end procedure axilite_write;
procedure axilite_read (
constant addr_value : in unsigned;
variable data_value : out std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT;
constant ext_proc_call : in string := "" -- External proc_call; overwrite if called from other BFM procedure like axilite_check
) is
constant local_proc_name : string := "axilite_read"; -- Local proc_name; used if called from sequncer or VVC
constant local_proc_call : string := local_proc_name & "(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ")"; -- Local proc_call; used if called from sequncer or VVC
-- Normalize to the DUT addr/data widths
variable v_normalized_addr : std_logic_vector(axilite_if.read_address_channel.araddr'length-1 downto 0) :=
normalize_and_check(std_logic_vector(addr_value), axilite_if.read_address_channel.araddr, ALLOW_NARROWER, "addr", "axilite_if.read_address_channel.araddr", msg);
variable v_proc_call : line;
variable v_await_arready : boolean := true;
variable v_await_rvalid : boolean := true;
variable v_data_value : std_logic_vector(axilite_if.read_data_channel.rdata'length-1 downto 0);
begin
-- If called from sequencer/VVC, show 'axilite_read...' in log
if ext_proc_call = "" then
write(v_proc_call, local_proc_call);
else
-- If called from other BFM procedure like axilite_expect, log 'axilite_check(..) while executing axilite_read..'
write(v_proc_call, ext_proc_call & " while executing " & local_proc_name);
end if;
check_value(v_data_value'length = 32 or v_data_value'length = 64, TB_ERROR, "AXI-lite data width must be either 32 or 64!" & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel);
wait_until_given_time_before_rising_edge(clk, config.clock_period/4, config.clock_period);
axilite_if.read_address_channel.araddr <= v_normalized_addr;
axilite_if.read_address_channel.arvalid <= '1';
for cycle in 0 to config.max_wait_cycles loop
if axilite_if.read_address_channel.arready = '1' and cycle > 0 then
axilite_if.read_address_channel.arvalid <= '0';
axilite_if.read_address_channel.araddr(axilite_if.read_address_channel.araddr'length-1 downto 0) <= (others => '0');
axilite_if.read_address_channel.arprot <= to_slv(config.protection_setting);
v_await_arready := false;
end if;
if v_await_arready then
wait until rising_edge(clk);
else
exit;
end if;
end loop;
check_value(not v_await_arready, config.max_wait_cycles_severity, ": Timeout waiting for ARREADY", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
wait_until_given_time_before_rising_edge(clk, config.clock_period/4, config.clock_period);
axilite_if.read_data_channel.rready <= '1';
for cycle in 0 to config.max_wait_cycles loop
if axilite_if.read_data_channel.rvalid = '1' and cycle > 0 then
v_await_rvalid := false;
check_value(axilite_if.read_data_channel.rresp, to_slv(config.expected_response), config.expected_response_severity, ": RRESP detected", scope, BIN, AS_IS, ID_NEVER, msg_id_panel, v_proc_call.all);
v_data_value := axilite_if.read_data_channel.rdata;
wait_until_given_time_after_rising_edge(clk, config.clock_period/4);
axilite_if.read_data_channel.rready <= '0';
end if;
if v_await_rvalid then
wait until rising_edge(clk);
else
exit;
end if;
end loop;
check_value(not v_await_rvalid, config.max_wait_cycles_severity, ": Timeout waiting for RVALID", scope, ID_NEVER, msg_id_panel, v_proc_call.all);
data_value := v_data_value;
if ext_proc_call = "" then -- proc_name = "axilite_read" then
log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(v_data_value, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
else
end if;
end procedure axilite_read;
procedure axilite_check (
constant addr_value : in unsigned;
constant data_exp : in std_logic_vector;
constant msg : in string;
signal clk : in std_logic;
signal axilite_if : inout t_axilite_if;
constant alert_level : in t_alert_level := error;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT
) is
constant proc_name : string := "axilite_check";
constant proc_call : string := "axilite_check(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")";
variable v_data_value : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) := (others => '0');
variable v_check_ok : boolean;
-- Normalize to the DUT addr/data widths
variable v_normalized_data : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) :=
normalize_and_check(data_exp, axilite_if.write_data_channel.wdata, ALLOW_NARROWER, "data", "axilite_if.write_data_channel.wdata", msg);
begin
axilite_read(addr_value, v_data_value, msg, clk, axilite_if, scope, msg_id_panel, config, proc_call);
v_check_ok := true;
for i in 0 to v_normalized_data'length-1 loop
if v_normalized_data(i) = '-' or v_normalized_data(i) = v_data_value(i) then
v_check_ok := true;
else
v_check_ok := false;
exit;
end if;
end loop;
if not v_check_ok then
alert(alert_level, proc_call & "=> Failed. slv Was " & to_string(v_data_value, HEX, AS_IS, INCL_RADIX) & ". Expected " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope);
else
log(config.id_for_bfm, proc_call & "=> OK, received data = " & to_string(v_normalized_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
end if;
end procedure axilite_check;
end package body axilite_bfm_pkg;
|
mit
|
kiwih/subleq-vhdl
|
core.vhd
|
1
|
2690
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_signed.all;
--A single-cycle core for running a one-instruction computer
--Instructions are 24 bits
--[00000000] [00000000] [00000000]
-- A B C
--Executes
----(1) [B] = [B] - [A]
----(2) if [B] - [A] <= 0 GOTO C
entity core is
generic(
ADDR_SIZE : integer := 8;
INSTRUCTION_SIZE : integer := 24; --should be 3x ADDR_SIZE
DATA_SIZE: integer := 16
);
port(
CLK : in std_logic;
RESET : in std_logic;
INSTRUCTION_MEMORY_ADDR : out std_logic_vector(ADDR_SIZE - 1 downto 0);
INSTRUCTION_MEMORY_DATA_OUT: in std_logic_vector(INSTRUCTION_SIZE - 1 downto 0);
DATA_MEMORY_ADDR_A : out std_logic_vector(ADDR_SIZE - 1 downto 0);
DATA_MEMORY_DATA_OUT_A : in std_logic_vector(DATA_SIZE - 1 downto 0);
DATA_MEMORY_ADDR_B : out std_logic_vector(ADDR_SIZE - 1 downto 0);
DATA_MEMORY_DATA_OUT_B : in std_logic_vector(DATA_SIZE - 1 downto 0);
DATA_MEMORY_DATA_IN_B : out std_logic_vector(DATA_SIZE - 1 downto 0);
DATA_MEMORY_WRITE_EN_B : out std_logic
);
end entity core;
architecture beh of core is
signal pc : std_logic_vector(ADDR_SIZE - 1 downto 0) := (others => '0');
signal current_instruction : std_logic_vector(INSTRUCTION_SIZE - 1 downto 0);
signal op_a : std_logic_vector(INSTRUCTION_SIZE/3 - 1 downto 0);
signal op_b : std_logic_vector(INSTRUCTION_SIZE/3 - 1 downto 0);
signal op_c : std_logic_vector(INSTRUCTION_SIZE/3 - 1 downto 0);
signal data_a : std_logic_vector(DATA_SIZE - 1 downto 0);
signal data_b : std_logic_vector(DATA_SIZE - 1 downto 0);
signal data_out : std_logic_vector(DATA_SIZE - 1 downto 0);
begin
INSTRUCTION_MEMORY_ADDR <= pc;
current_instruction <= INSTRUCTION_MEMORY_DATA_OUT;
op_c <= current_instruction(1 * INSTRUCTION_SIZE/3 - 1 downto 0 * INSTRUCTION_SIZE/3);
op_b <= current_instruction(2 * INSTRUCTION_SIZE/3 - 1 downto 1 * INSTRUCTION_SIZE/3);
op_a <= current_instruction(3 * INSTRUCTION_SIZE/3 - 1 downto 2 * INSTRUCTION_SIZE/3);
-- op_c <= current_instruction(7 downto 0);
-- op_b <= current_instruction(15 downto 8);
-- op_a <= current_instruction(23 downto 16);
DATA_MEMORY_ADDR_A <= op_a;
DATA_MEMORY_ADDR_B <= op_b;
DATA_MEMORY_WRITE_EN_B <= '1';
DATA_MEMORY_DATA_IN_B <= data_out;
data_a <= DATA_MEMORY_DATA_OUT_A;
data_b <= DATA_MEMORY_DATA_OUT_B;
data_out <= data_b - data_a;
process(CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
pc <= (others => '0');
elsif (data_b - data_a) <= 0 then
pc <= op_c;
else
pc <= pc + 1;
end if;
end if;
end process;
end architecture;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_irqc/src/irqc_pif_pkg.vhd
|
3
|
3063
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- VHDL unit : Bitvis IRQC Library : irqc_pif_pkg
--
-- Description : See dedicated powerpoint presentation and README-file(s)
------------------------------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package irqc_pif_pkg is
-- Change this to a generic when generic in packages is allowed (VHDL 2008)
constant C_NUM_SOURCES : integer := 6; -- 1 <= C_NUM_SOURCES <= Data width
-- Notation for regs: (Included in constant name as info to SW)
-- - RW: Readable and writable reg.
-- - RO: Read only reg. (output from IP)
-- - WO: Write only reg. (typically single cycle strobe to IP)
-- Notation for signals (or fields in record) going between PIF and core:
-- Same notations as for register-constants above, but
-- a preceeding 'a' (e.g. awo) means the register is auxiliary to the PIF.
-- This means no flop in the PIF, but in the core. (Or just a dummy-register with no flop)
constant C_ADDR_IRR : integer := 0;
constant C_ADDR_IER : integer := 1;
constant C_ADDR_ITR : integer := 2;
constant C_ADDR_ICR : integer := 3;
constant C_ADDR_IPR : integer := 4;
constant C_ADDR_IRQ2CPU_ENA : integer := 5;
constant C_ADDR_IRQ2CPU_DISABLE : integer := 6;
constant C_ADDR_IRQ2CPU_ALLOWED : integer := 7;
-- Signals from pif to core
type t_p2c is record
rw_ier : std_logic_vector(C_NUM_SOURCES-1 downto 0);
awt_itr : std_logic_vector(C_NUM_SOURCES-1 downto 0);
awt_icr : std_logic_vector(C_NUM_SOURCES-1 downto 0);
awt_irq2cpu_ena : std_logic;
awt_irq2cpu_disable : std_logic;
end record t_p2c;
-- Signals from core to PIF
type t_c2p is record
aro_irr : std_logic_vector(C_NUM_SOURCES-1 downto 0);
aro_ipr : std_logic_vector(C_NUM_SOURCES-1 downto 0);
aro_irq2cpu_allowed : std_logic;
end record t_c2p;
end package irqc_pif_pkg;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_irqc/src/irqc_pif.vhd
|
3
|
4203
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- VHDL unit : Bitvis IRQC Library : irqc_pif
--
-- Description : See dedicated powerpoint presentation and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.irqc_pif_pkg.all;
entity irqc_pif is
port(
arst : in std_logic;
clk : in std_logic;
-- CPU interface
cs : in std_logic;
addr : in unsigned;
wr : in std_logic;
rd : in std_logic;
din : in std_logic_vector(7 downto 0);
dout : out std_logic_vector(7 downto 0) := (others => '0');
--
p2c : out t_p2c;
c2p : in t_c2p
);
end irqc_pif;
architecture rtl of irqc_pif is
signal p2c_i : t_p2c; -- internal version of output
signal dout_i : std_logic_vector(7 downto 0) := (others => '0');
begin
-- Assigning internally used signals to outputs
p2c <= p2c_i;
p_read_reg : process(cs, addr, rd, c2p, p2c_i)
begin
-- default values
dout_i <= (others => '0');
if cs = '1' and rd = '1' then
case to_integer(addr) is
when C_ADDR_IRR =>
dout_i(C_NUM_SOURCES-1 downto 0) <= c2p.aro_irr;
when C_ADDR_IER =>
dout_i(C_NUM_SOURCES-1 downto 0) <= p2c_i.rw_ier;
when C_ADDR_IPR =>
dout_i(C_NUM_SOURCES-1 downto 0) <= c2p.aro_ipr;
when C_ADDR_IRQ2CPU_ALLOWED =>
dout_i(0) <= c2p.aro_irq2cpu_allowed;
when others =>
null;
end case;
end if;
end process p_read_reg;
dout <= dout_i;
-- Writing to registers that are not functionally manipulated
p_write_reg : process(clk, arst)
begin
if arst = '1' then
p2c_i.rw_ier <= (others => '0');
elsif rising_edge(clk) then
if cs = '1' and wr = '1' then
case to_integer(addr) is
when C_ADDR_IER =>
p2c_i.rw_ier <= din(C_NUM_SOURCES-1 downto 0);
-- Auxiliary write (below)
when others =>
null;
end case;
end if;
end if;
end process p_write_reg;
-- Writing to registers that are functionally manipulated and/or located outside PIF (or dummy registers)
p_aux : process(wr, addr, din)
begin
-- Note that arst is not considered here, but must be considered in any clocked process in the core
-- Default - always to return to these values
p2c_i.awt_icr(C_NUM_SOURCES-1 downto 0) <= (others => '0');
p2c_i.awt_itr(C_NUM_SOURCES-1 downto 0) <= (others => '0');
p2c_i.awt_irq2cpu_ena <= '0';
p2c_i.awt_irq2cpu_disable <= '0';
if (cs = '1' and wr = '1') then
case to_integer(addr) is
when C_ADDR_ITR =>
p2c_i.awt_itr <= din(C_NUM_SOURCES-1 downto 0);
when C_ADDR_ICR =>
p2c_i.awt_icr <= din(C_NUM_SOURCES-1 downto 0);
when C_ADDR_IRQ2CPU_ENA =>
p2c_i.awt_irq2cpu_ena <= din(0);
when C_ADDR_IRQ2CPU_DISABLE =>
p2c_i.awt_irq2cpu_disable <= din(0);
when others =>
null;
end case;
end if;
end process p_aux;
end rtl;
|
mit
|
FlatTargetInk/Spartan-HW
|
led_increment.vhd
|
1
|
1483
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:06:33 04/28/2017
-- Design Name:
-- Module Name: led_increment - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity led_increment is
generic(count_width : INTEGER := 8);
Port( INPUT : in STD_LOGIC;
EN : in STD_LOGIC;
RST : in STD_LOGIC;
LEDS : out STD_LOGIC_VECTOR);
end led_increment;
architecture Behavioral of led_increment is
signal COUNTER : STD_LOGIC_VECTOR(count_width-1 downto 0) := (OTHERS => '0');
signal ZEROS : STD_LOGIC_VECTOR(count_width-1 downto 0) := (OTHERS => '0');
begin
LEDS <= COUNTER;
incrementer: process(RST,EN,INPUT)
begin
if (RST = '1') then
COUNTER <= ZEROS;
elsif (INPUT'event and INPUT = '1' and EN = '1') then
COUNTER <= COUNTER + '1';
end if;
end process;
end Behavioral;
|
mit
|
FlatTargetInk/Spartan-HW
|
button_debounce.vhd
|
1
|
1680
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:16:23 04/28/2017
-- Design Name:
-- Module Name: button_debounce - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity button_debounce is
Port( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
BTN : in STD_LOGIC_VECTOR (3 downto 0);
DBTN : out STD_LOGIC_VECTOR (3 downto 0));
end button_debounce;
architecture Structural of button_debounce is
signal DEBOUNCED : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
begin
DBTN <= DEBOUNCED;
BTN_0: entity work.debounce
port map(CLK => CLK,
EN => EN,
INPUT => BTN(0),
OUTPUT => DEBOUNCED(0));
BTN_1: entity work.debounce
port map(CLK => CLK,
EN => EN,
INPUT => BTN(1),
OUTPUT => DEBOUNCED(1));
BTN_2: entity work.debounce
port map(CLK => CLK,
EN => EN,
INPUT => BTN(2),
OUTPUT => DEBOUNCED(2));
BTN_3: entity work.debounce
port map(CLK => CLK,
EN => EN,
INPUT => BTN(3),
OUTPUT => DEBOUNCED(3));
end Structural;
-- vim:set ts=3 sw=3 noexpandtab:
|
mit
|
AndyMcC0/UVVM_All
|
uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd
|
1
|
14794
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.vvc_cmd_pkg.all;
package td_target_support_pkg is
signal global_vvc_ack : std_logic; -- ACK on global triggers
signal global_vvc_busy : std_logic := 'L'; -- ACK on global triggers
shared variable protected_multicast_semaphore : t_protected_semaphore;
shared variable protected_acknowledge_index : t_protected_acknowledge_cmd_idx;
type t_vvc_target_record_unresolved is record -- VVC dedicated to assure signature differences between equal common methods
trigger : std_logic;
vvc_name : string(1 to C_LOG_SCOPE_WIDTH-2); -- as scope is vvc_name & ',' and number
vvc_instance_idx : integer;
vvc_channel : t_channel;
end record;
constant C_VVC_TARGET_RECORD_DEFAULT : t_vvc_target_record_unresolved := (
trigger => 'L',
vvc_name => (others => '?'),
vvc_instance_idx => -1,
vvc_channel => NA
); --
type t_vvc_target_record_drivers is array (natural range <> ) of t_vvc_target_record_unresolved;
function resolved ( input_vector : t_vvc_target_record_drivers) return t_vvc_target_record_unresolved;
subtype t_vvc_target_record is resolved t_vvc_target_record_unresolved;
-------------------------------------------
-- to_string
-------------------------------------------
-- to_string method for VVC name, instance and channel
-- - If channel is set to NA, it will not be included in the string
function to_string(
value : t_vvc_target_record;
vvc_instance : integer := -1;
vvc_channel : t_channel := NA
) return string;
-------------------------------------------
-- format_command_idx
-------------------------------------------
-- Returns an encapsulated command index as string
impure function format_command_idx(
command : t_vvc_cmd_record -- VVC dedicated
) return string;
-------------------------------------------
-- send_command_to_vvc
-------------------------------------------
-- Sends command to VVC and waits for ACK or timeout
-- - Logs with ID_UVVM_SEND_CMD when sending to VVC
-- - Logs with ID_UVVM_CMD_ACK when ACK or timeout occurs
procedure send_command_to_vvc( -- VVC dedicated shared command used shared_vvc_cmd
signal vvc_target : inout t_vvc_target_record;
constant timeout : in time := std.env.resolution_limit
);
-------------------------------------------
-- set_vvc_target_defaults
-------------------------------------------
-- Returns a vvc target record with vvc_name and values specified in C_VVC_TARGET_RECORD_DEFAULT
function set_vvc_target_defaults (
constant vvc_name : in string
) return t_vvc_target_record;
-------------------------------------------
-- set_general_target_and_command_fields
-------------------------------------------
-- Sets target index and channel, and updates shared_vvc_cmd
procedure set_general_target_and_command_fields ( -- VVC dedicated shared command used shared_vvc_cmd
signal target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant proc_call : in string;
constant msg : in string;
constant command_type : in t_immediate_or_queued;
constant operation : in t_operation
);
-------------------------------------------
-- set_general_target_and_command_fields
-------------------------------------------
-- Sets target index and channel, and updates shared_vvc_cmd
procedure set_general_target_and_command_fields ( -- VVC dedicated shared command used shared_vvc_cmd
signal target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant proc_call : in string;
constant msg : in string;
constant command_type : in t_immediate_or_queued;
constant operation : in t_operation
);
-------------------------------------------
-- acknowledge_cmd
-------------------------------------------
-- Drives global_vvc_ack signal (to '1') for 1 delta cycle, then sets it back to 'Z'.
procedure acknowledge_cmd (
signal vvc_ack : inout std_logic;
constant command_idx : in natural
);
end package td_target_support_pkg;
package body td_target_support_pkg is
function resolved ( input_vector : t_vvc_target_record_drivers) return t_vvc_target_record_unresolved is
-- if none of the drives want to drive the target return value of first driver (which we need to drive at least the target name)
constant C_LINE_LENGTH_MAX : natural := 100; -- VVC idx list string length
variable v_result : t_vvc_target_record_unresolved := input_vector(input_vector'low);
variable v_cnt : integer := 0;
variable v_instance_string : string(1 to C_LINE_LENGTH_MAX) := (others => NUL);
variable v_line : line;
variable v_width : integer := 0;
begin
if input_vector'length = 1 then
return input_vector(input_vector'low);
else
for i in input_vector'range loop
-- The VVC is used if instance_idx is not -1 (which is the default value)
if input_vector(i).vvc_instance_idx /= -1 then
-- count the number of sequencer trying to access the VVC
v_cnt := v_cnt + 1;
v_result := input_vector(i);
-- generating string with all instance_idx for report in case of failure
write(v_line, string'(" "));
write(v_line, input_vector(i).vvc_instance_idx);
-- Ensure there is room for the last item and dots
v_width := v_line'length;
if v_width > (C_LINE_LENGTH_MAX-15) then
write(v_line, string'("..."));
exit;
end if;
end if;
end loop;
if v_width > 0 then
v_instance_string(1 to v_width) := v_line.all;
end if;
deallocate(v_line);
check_value(v_cnt < 2, TB_FAILURE, "Arbitration mechanism failed. Check VVC " & to_string(v_result.vvc_name) & " implementation and semaphore handling. Crashing instances with numbers " & v_instance_string(1 to v_width), C_SCOPE, ID_NEVER);
return v_result;
end if;
end resolved;
function to_string(
value : t_vvc_target_record;
vvc_instance : integer := -1;
vvc_channel : t_channel:= NA
) return string is
variable v_instance : integer;
variable v_channel : t_channel;
begin
if vvc_instance = -1 then
v_instance := value.vvc_instance_idx;
else
v_instance := vvc_instance;
end if;
if vvc_channel = NA then
v_channel := value.vvc_channel;
else
v_channel := vvc_channel;
end if;
if v_channel = NA then
return to_string(value.vvc_name) & "," & to_string(v_instance);
else
return to_string(value.vvc_name) & "," & to_string(v_instance) & "," & to_string(v_channel);
end if;
end;
function set_vvc_target_defaults (
constant vvc_name : in string
) return t_vvc_target_record is
variable v_rec : t_vvc_target_record := C_VVC_TARGET_RECORD_DEFAULT;
begin
v_rec.vvc_name := (others => NUL);
v_rec.vvc_name(1 to vvc_name'length) := vvc_name;
return v_rec;
end function;
procedure set_general_target_and_command_fields (
signal target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant proc_call : in string;
constant msg : in string;
constant command_type : in t_immediate_or_queued;
constant operation : in t_operation
) is
begin
-- As shared_vvc_cmd is a shared variable we have to get exclusive access to it. Therefor we have to lock the protected_semaphore here.
-- It is unlocked again in await_cmd_from_sequencer after it is copied localy or in send_command_to_vvc if no VVC acknowledges the command.
-- It is guaranteed that no time delay occurs, only delta cycle delay.
await_semaphore_in_delta_cycles(protected_semaphore);
shared_vvc_cmd := C_VVC_CMD_DEFAULT;
target.vvc_instance_idx <= vvc_instance_idx;
target.vvc_channel <= vvc_channel;
shared_vvc_cmd.proc_call := pad_string(proc_call, NUL, shared_vvc_cmd.proc_call'length);
shared_vvc_cmd.msg := (others => NUL); -- default empty
shared_vvc_cmd.msg(1 to msg'length) := msg;
shared_vvc_cmd.command_type := command_type;
shared_vvc_cmd.operation := operation;
end procedure;
procedure set_general_target_and_command_fields (
signal target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant proc_call : in string;
constant msg : in string;
constant command_type : in t_immediate_or_queued;
constant operation : in t_operation
) is
begin
set_general_target_and_command_fields(target, vvc_instance_idx, NA, proc_call, msg, command_type, operation);
end procedure;
impure function format_command_idx(
command : t_vvc_cmd_record
) return string is
begin
return format_command_idx(command.cmd_idx);
end;
procedure send_command_to_vvc(
signal vvc_target : inout t_vvc_target_record;
constant timeout : in time := std.env.resolution_limit
) is
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT & "(uvvm)";
constant C_CMD_INFO : string := "uvvm cmd " & format_command_idx(shared_cmd_idx+1) & ": ";
variable v_ack_cmd_idx : integer := -1;
variable v_start_time : time;
variable v_local_vvc_cmd : t_vvc_cmd_record;
variable v_local_cmd_idx : integer;
variable v_was_multicast : boolean := false;
begin
check_value((shared_uvvm_state /= IDLE), TB_FAILURE, "UVVM will not work without uvvm_vvc_framework.ti_uvvm_engine instantiated in the test harness", C_SCOPE, ID_NEVER);
-- increment shared_cmd_inx. It is protected by the protected_semaphore and only one sequencer can access the variable at a time.
shared_cmd_idx := shared_cmd_idx + 1;
shared_vvc_cmd.cmd_idx := shared_cmd_idx;
if global_show_msg_for_uvvm_cmd then
log(ID_UVVM_SEND_CMD, to_string(shared_vvc_cmd.proc_call) & ": " & add_msg_delimiter(to_string(shared_vvc_cmd.msg)) & "."
& format_command_idx(shared_cmd_idx), C_SCOPE);
else
log(ID_UVVM_SEND_CMD, to_string(shared_vvc_cmd.proc_call)
& format_command_idx(shared_cmd_idx), C_SCOPE);
end if;
wait for 0 ns;
if (vvc_target.vvc_instance_idx = C_VVCT_ALL_INSTANCES) then
await_semaphore_in_delta_cycles(protected_multicast_semaphore);
if global_vvc_busy /= 'L' then
wait until global_vvc_busy = 'L';
end if;
v_was_multicast := true;
end if;
v_start_time := now;
-- semaphore "protected_semaphore" gets released after "wait for 0 ns" in await_cmd_from_sequencer
-- Before the semaphore is released copy shared_vvc_cmd to local variable, so that the shared_vvc_cmd can be used by other VVCs.
v_local_vvc_cmd := shared_vvc_cmd;
-- copy the shared_cmd_idx as it can be changed during this function after the semaphore is released
v_local_cmd_idx := shared_cmd_idx;
-- trigger the target -> vvc continues in await_cmd_from_sequencer
vvc_target.trigger <= '1';
wait for 0 ns;
-- the default value of vvc_target drives trigger to 'L' again
vvc_target <= set_vvc_target_defaults(vvc_target.vvc_name);
while v_ack_cmd_idx /= v_local_cmd_idx loop
wait until global_vvc_ack = '1' for ((v_start_time + timeout) - now);
v_ack_cmd_idx := protected_acknowledge_index.get_index;
if not (global_vvc_ack'event) then
tb_error("Time out for " & C_CMD_INFO & " '" & to_string(v_local_vvc_cmd.proc_call) & "' while waiting for acknowledge from VVC", C_SCOPE);
-- lock the sequencer for 5 delta cycles as it can take so long to get every VVC in normal mode again
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
-- release the semaphore as no VVC can do this
release_semaphore(protected_semaphore);
return;
end if;
end loop;
if (v_was_multicast = true) then
release_semaphore(protected_multicast_semaphore);
end if;
log(ID_UVVM_CMD_ACK, "ACK received. " & format_command_idx(v_local_cmd_idx), C_SCOPE);
-- clean up and prepare for next
wait for 0 ns; -- wait for executor to stop driving global_vvc_ack
end procedure;
procedure acknowledge_cmd (
signal vvc_ack : inout std_logic;
constant command_idx : in natural
) is
begin
-- Drive ack signal for 1 delta cycle only one command index can be acknowledged simultaneously.
while(protected_acknowledge_index.set_index(command_idx) = false) loop
-- if it can't set the acknowledge_index wait for one delta cycle and try again
wait for 0 ns;
end loop;
vvc_ack <= '1';
wait until vvc_ack = '1';
vvc_ack <= 'Z';
wait for 0 ns;
protected_acknowledge_index.release_index;
end procedure;
end package body td_target_support_pkg;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_vip_axistream/src/axistream_vvc.vhd
|
1
|
21985
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.axistream_bfm_pkg.all;
use work.vvc_methods_pkg.all; -- shared_axistream_vvc_config
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
--========================================================================================================================
entity axistream_vvc is
generic (
-- When true: This VVC is an AXI4 Stream master. Data is output from BFM.
-- When false: This VVC is an AXI4 Stream slave. Data is input to BFM.
GC_VVC_IS_MASTER : boolean;
GC_DATA_WIDTH : integer;
GC_USER_WIDTH : integer := 1;
-- (Note: STRB_WIDTH = DATA_WIDTH/8)
GC_ID_WIDTH : integer := 1;
GC_DEST_WIDTH : integer := 1;
GC_INSTANCE_IDX : natural;
GC_PACKETINFO_QUEUE_COUNT_MAX : natural := 1; -- Number of PacketInfo Queues, normally one per source VVC
GC_AXISTREAM_BFM_CONFIG : t_axistream_bfm_config := C_AXISTREAM_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
clk : in std_logic;
axistream_vvc_if : inout t_axistream_if := init_axistream_if_signals(GC_VVC_IS_MASTER, GC_DATA_WIDTH, GC_USER_WIDTH, GC_ID_WIDTH, GC_DEST_WIDTH)
);
begin
-- Check the interface widths to assure that the interface was correctly set up
assert (axistream_vvc_if.tdata'length = GC_DATA_WIDTH) report "axistream_vvc_if.data'length =/ GC_DATA_WIDTH" severity failure;
end entity axistream_vvc;
--========================================================================================================================
--========================================================================================================================
architecture behave of axistream_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_axistream_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_axistream_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_axistream_transaction_info(GC_INSTANCE_IDX);
begin
--========================================================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--========================================================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_AXISTREAM_BFM_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--========================================================================================================================
--========================================================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--========================================================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after running or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--========================================================================================================================
--========================================================================================================================
-- Command executor
-- - Fetch and execute the commands
--========================================================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_result : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean;
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
loop
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- Reset the transaction info for waveview
--transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Check if command is a BFM access
if v_cmd.operation = TRANSMIT or v_cmd.operation = RECEIVE or v_cmd.operation = EXPECT then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_command_is_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
log(ID_BFM, "Running : " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd) & ".", C_SCOPE, vvc_config.msg_id_panel);
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when TRANSMIT =>
check_value(GC_VVC_IS_MASTER, true, TB_ERROR, "Sanity check: Method call only makes sense for master (source) VVC", C_SCOPE, ID_NEVER);
-- Put in queue so that the monitor VVC knows what to expect
-- Needed when the sink is in Monitor Mode, as an alternative to calling lbusExpect() for each packet
transaction_info.numPacketsSent := transaction_info.numPacketsSent + 1;
-- Call the corresponding procedure in the BFM package.
axistream_transmit(
data_array => v_cmd.data_array(0 to v_cmd.data_array_length-1),
user_array => v_cmd.user_array(0 to v_cmd.user_array_length-1),
strb_array => v_cmd.strb_array(0 to v_cmd.strb_array_length-1),
id_array => v_cmd.id_array(0 to v_cmd.id_array_length-1),
dest_array => v_cmd.dest_array(0 to v_cmd.dest_array_length-1),
msg => format_msg(v_cmd),
clk => clk,
-- Using the non-record version to avoid fatal error in Modelsim: (SIGSEGV) Bad handle or reference
axistream_if_tdata => axistream_vvc_if.tdata,
axistream_if_tkeep => axistream_vvc_if.tkeep,
axistream_if_tuser => axistream_vvc_if.tuser,
axistream_if_tstrb => axistream_vvc_if.tstrb,
axistream_if_tid => axistream_vvc_if.tid,
axistream_if_tdest => axistream_vvc_if.tdest,
axistream_if_tvalid => axistream_vvc_if.tvalid,
axistream_if_tlast => axistream_vvc_if.tlast,
axistream_if_tready => axistream_vvc_if.tready,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
when RECEIVE =>
axistream_receive(data_array => v_result.data_array,
data_length => v_result.data_length,
user_array => v_result.user_array,
strb_array => v_result.strb_array,
id_array => v_result.id_array,
dest_array => v_result.dest_array,
msg => format_msg(v_cmd),
clk => clk,
-- Using the non-record version to avoid fatal error in Questa: (SIGSEGV) Bad handle or reference
axistream_if_tdata => axistream_vvc_if.tdata,
axistream_if_tkeep => axistream_vvc_if.tkeep,
axistream_if_tuser => axistream_vvc_if.tuser,
axistream_if_tstrb => axistream_vvc_if.tstrb,
axistream_if_tid => axistream_vvc_if.tid,
axistream_if_tdest => axistream_vvc_if.tdest,
axistream_if_tvalid => axistream_vvc_if.tvalid,
axistream_if_tlast => axistream_vvc_if.tlast,
axistream_if_tready => axistream_vvc_if.tready,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result );
when EXPECT =>
-- Call the corresponding procedure in the BFM package.
axistream_expect(
exp_data_array => v_cmd.data_array(0 to v_cmd.data_array_length-1),
exp_user_array => v_cmd.user_array(0 to v_cmd.user_array_length-1),
exp_strb_array => v_cmd.strb_array(0 to v_cmd.strb_array_length-1),
exp_id_array => v_cmd.id_array(0 to v_cmd.id_array_length-1),
exp_dest_array => v_cmd.dest_array(0 to v_cmd.dest_array_length-1),
msg => format_msg(v_cmd),
clk => clk,
-- Using the non-record version to avoid fatal error in Questa: (SIGSEGV) Bad handle or reference
axistream_if_tdata => axistream_vvc_if.tdata,
axistream_if_tkeep => axistream_vvc_if.tkeep,
axistream_if_tuser => axistream_vvc_if.tuser,
axistream_if_tstrb => axistream_vvc_if.tstrb,
axistream_if_tid => axistream_vvc_if.tid,
axistream_if_tdest => axistream_vvc_if.tdest,
axistream_if_tvalid => axistream_vvc_if.tvalid,
axistream_if_tlast => axistream_vvc_if.tlast,
axistream_if_tready => axistream_vvc_if.tready,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, vvc_config.msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
end loop;
end process;
--========================================================================================================================
--========================================================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--========================================================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--========================================================================================================================
end behave;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_vip_spi/src/spi_vvc.vhd
|
1
|
27075
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.spi_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_vvc_framework_common_methods_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
--=================================================================================================
entity spi_vvc is
generic (
GC_DATA_WIDTH : integer := 8;
GC_INSTANCE_IDX : natural := 1; -- Instance index for this SPI_VVCT instance
GC_MASTER_MODE : boolean := true;
GC_SPI_CONFIG : t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; -- Behavior specification for BFM
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
spi_vvc_if : inout t_spi_if := init_spi_if_signals(GC_SPI_CONFIG, GC_MASTER_MODE)
);
end entity spi_vvc;
--=================================================================================================
--=================================================================================================
architecture behave of spi_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_spi_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_spi_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_spi_transaction_info(GC_INSTANCE_IDX);
begin
--===============================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--===============================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_SPI_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--===============================================================================================
--===============================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--===============================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
--uvvm_vvc_framework.ti_vvc_framework_support_pkg.acknowledge_cmd(global_vvc_ack);
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command executor
-- - Fetch and execute the commands
--===============================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_result : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean;
variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0');
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
loop
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- Set the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Check if command is a BFM access
if v_cmd.operation = MASTER_TRANSMIT_AND_RECEIVE or
v_cmd.operation = MASTER_TRANSMIT_AND_CHECK or
v_cmd.operation = MASTER_TRANSMIT_ONLY or
v_cmd.operation = MASTER_RECEIVE_ONLY or
v_cmd.operation = MASTER_CHECK_ONLY or
v_cmd.operation = SLAVE_TRANSMIT_AND_RECEIVE or
v_cmd.operation = SLAVE_TRANSMIT_AND_CHECK or
v_cmd.operation = SLAVE_TRANSMIT_ONLY or
v_cmd.operation = SLAVE_RECEIVE_ONLY or
v_cmd.operation = SLAVE_CHECK_ONLY
then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_command_is_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
log(ID_BFM, "Running : " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd) & ".", C_SCOPE, vvc_config.msg_id_panel);
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when MASTER_TRANSMIT_AND_RECEIVE =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit_and_receive() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then
spi_master_transmit_and_receive(tx_data => v_normalised_data,
rx_data => v_result,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result );
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_AND_CHECK =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit_and_check() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then
spi_master_transmit_and_check(tx_data => v_normalised_data,
data_exp => v_cmd.data_exp(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master transmit and receive when in slave mode
alert(error, "Master transmit and check called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_TRANSMIT_ONLY =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_master_transmit() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then -- master transmit
-- Call the corresponding procedure in the BFM package.
spi_master_transmit(tx_data => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master transmit when in slave mode
alert(error, "Master transmit called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_RECEIVE_ONLY =>
if GC_MASTER_MODE then -- master receive
-- Call the corresponding procedure in the BFM package.
spi_master_receive(rx_data => v_result(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result);
else -- attempted master receive when in slave mode
alert(error, "Master receive called when VVC is in slave mode.", C_SCOPE);
end if;
when MASTER_CHECK_ONLY =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "spi_master_check() called with to wide data. " & v_cmd.msg);
if GC_MASTER_MODE then -- master check
-- Call the corresponding procedure in the BFM package.
spi_master_check(data_exp => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted master check when in slave mode
alert(error, "Master check called when VVC is in slave mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_RECEIVE =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit_and_receive() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then
spi_slave_transmit_and_receive(tx_data => v_normalised_data,
rx_data => v_result,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result );
else -- attempted slave transmit when in master mode
alert(note, "Slave transmit and receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_AND_CHECK =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit_and_check() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then
spi_slave_transmit_and_check(tx_data => v_normalised_data,
data_exp => v_cmd.data_exp(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit and check called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_TRANSMIT_ONLY =>
transaction_info.tx_data(GC_DATA_WIDTH-1 downto 0) := v_cmd.data(GC_DATA_WIDTH-1 downto 0);
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "spi_slave_transmit() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then -- slave transmit
-- Call the corresponding procedure in the BFM package.
spi_slave_transmit(tx_data => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave transmit when in master mode
alert(error, "Slave transmit called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_RECEIVE_ONLY =>
if not GC_MASTER_MODE then -- slave receive
-- Call the corresponding procedure in the BFM package.
spi_slave_receive(rx_data => v_result(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result);
else -- attempted slave receive when in master mode
alert(error, "Slave receive called when VVC is in master mode.", C_SCOPE);
end if;
when SLAVE_CHECK_ONLY =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "spi_slave_check() called with to wide data. " & v_cmd.msg);
if not GC_MASTER_MODE then -- slave check
-- Call the corresponding procedure in the BFM package.
spi_slave_check(data_exp => v_normalised_data,
msg => format_msg(v_cmd),
spi_if => spi_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
else -- attempted slave check when in master mode
alert(error, "Slave check called when VVC is in master mode.", C_SCOPE);
end if;
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait for v_cmd.delay;
else
-- Delay specified using integer
wait for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.spi_bit_time;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, vvc_config.msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
end loop;
end process;
--========================================================================================================================
--===============================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--===============================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--===============================================================================================
end behave;
|
mit
|
AndyMcC0/UVVM_All
|
bitvis_uart/src/uart_pkg.vhd
|
3
|
3191
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean;
function f_log2 (x : positive)
return natural;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic;
end package uart_pkg;
package body uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer is
variable hitcount : natural := 0;
begin
for i in 0 to vector'length-1 loop
if (vector(i) = pattern) then
hitcount := hitcount+1;
end if;
end loop;
return hitcount;
end function;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic is
begin
if (find_num_hits(vector,'1') >
find_num_hits(vector,'0')) then
return '1';
else
return '0';
end if;
end function;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean is
begin
if ((find_num_hits(vector,'1') < limit) and
(find_num_hits(vector,'0') < limit)) then
return true;
else
return false;
end if;
end function;
function f_log2 (x : positive)
return natural is
variable i : natural;
begin
i := 0;
while (2**i < x) and i < 31 loop
i := i + 1;
end loop;
return i;
end function;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic is
variable odd : std_logic;
begin
odd := '1';
for i in data'range loop
odd := odd xor data(i);
end loop;
return odd;
end odd_parity;
end package body uart_pkg;
|
mit
|
Wynjones1/gbvhdl
|
synth/clock_gen.vhd
|
4
|
1024
|
library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
entity clk_gen is
generic( CLOCK_SPEED : integer := 50_000_000;
REQUIRED_HZ : integer := 1);
port( clk : in std_logic;
reset : in std_logic;
clk_out : out std_logic);
end;
architecture rtl of clk_gen is
constant COUNT_MAX : integer := CLOCK_SPEED / (REQUIRED_HZ * 2);
signal count : integer range 0 to COUNT_MAX - 1 := 0;
signal clk_s : std_logic;
begin
process(clk, reset)
begin
if reset = '1' then
count <= 0;
clk_s <= '0';
elsif rising_edge(clk) then
if count = COUNT_MAX - 1 then
count <= 0;
if clk_s = '1' then
clk_s <= '0';
else
clk_s <= '1';
end if;
else
count <= count + 1;
end if;
end if;
end process;
clk_out <= clk_s;
end architecture;
|
mit
|
elainemielas/CVUT_THESIS
|
Spartan-3E/switch_controller.vhd
|
1
|
1507
|
----------------------------------------------------------------------------------
-- Company: FIT CTU
-- Engineer: Elena Filipenkova
--
-- Create Date: 12:57:00 05/08/2015
-- Design Name: FPGA deska rizena procesorem
-- Module Name: switch_controller - Behavioral
-- Target Devices: Spartan-3E Starter Kit
-- Revision 0.01 - File Created
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity switch_controller is
generic(
rf_addr_w : integer := 5;
reg_width : integer := 32
);
port(
clk : in std_logic;
reset : in std_logic;
sw0 : in std_logic;
sw1 : in std_logic;
sw2 : in std_logic;
sw3 : in std_logic;
rf_data : in std_logic_vector(reg_width - 1 downto 0);
rf_addr : out std_logic_vector(rf_addr_w - 1 downto 0);
led : out std_logic_vector(3 downto 0)
);
end switch_controller;
architecture Behavioral of switch_controller is
begin
rf_addr <= "01010";
process(clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
led(3 downto 0) <= "0000";
else
if rf_data(3) = '1' then
led(0) <= sw0;
else
led(0) <= '0';
end if;
if rf_data(7) = '1' then
led(1) <= sw1;
else
led(1) <= '0';
end if;
if rf_data(11) = '1' then
led(2) <= sw2;
else
led(2) <= '0';
end if;
if rf_data(15) = '1' then
led(3) <= sw3;
else
led(3) <= '0';
end if;
end if;
end if;
end process;
end Behavioral;
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_fd_receiver.vhd
|
1
|
14438
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_fd_receiver |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_fd_receiver.vhd |
-- |
-- Description The unit groups the main actions that regard FIELDRIVE data reception. |
-- It instantiates the units: |
-- |
-- o wf_rx_deserializer: for the formation of bytes of data to be provided to the: |
-- o wf_engine_control unit, for the contents of ID_DAT frames |
-- o wf_cons_bytes_processor unit, for the contents of consumed|
-- RP_DAT frames |
-- |
-- o wf_rx_osc : for the clock recovery |
-- |
-- o wf_rx_deglitcher : for the filtering of the input FD_RXD |
-- |
-- |
-- _________________________ _________________________ |
-- | | | | |
-- | wf_Consumption | | wf_engine_control | |
-- |_________________________| |_________________________| |
-- /\ /\ |
-- ___________________________________________________________ |
-- | wf_fd_revceiver | |
-- | _________ | |
-- | _______________________________________ | | | |
-- | | | | | | |
-- | | wf_rx_deserializer | | wf_rx | | |
-- | | | < | _osc | | |
-- | |_______________________________________| | | | |
-- | /\ |_________| | |
-- | _______________________________________ | |
-- | | | | |
-- | | wf_rx_deglitcher | | |
-- | |_______________________________________| | |
-- | | |
-- |___________________________________________________________| |
-- /\ |
-- ___________________________________________________________________ |
-- 0_____________________________FIELDBUS______________________________O |
-- |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 15/02/2011 |
-- Version v0.01 |
-- Depends on wf_reset_unit |
-- wf_engine_control |
---------------- |
-- Last changes |
-- 02/2011 v0.01 EG First version |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_fd_receiver
--=================================================================================================
entity wf_fd_receiver is port(
-- INPUTS
-- nanoFIP User Interface, General signals
uclk_i : in std_logic; -- 40 MHZ clock
-- nanoFIP WorldFIP Settings
rate_i : in std_logic_vector (1 downto 0); -- WorldFIP bit rate
-- nanoFIP FIELDRIVE
fd_rxd_a_i : in std_logic; -- receiver data
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- Signal from the wf_engine_control unit
rx_rst_i : in std_logic; -- reset during production or
-- reset pulse when during reception a frame is rejected
-- by the engine_control (example: ID_DAT > 8 bytes,
-- RP_DAT > 133 bytes, wrong ID_DAT CTRL/ VAR/ SUBS bytes)
-- OUTPUTS
-- Signals to the wf_engine_control and wf_consumption
rx_byte_o : out std_logic_vector (7 downto 0); -- retrieved data byte
rx_byte_ready_p_o : out std_logic; -- pulse indicating a new retrieved data byte
rx_fss_crc_fes_ok_p_o : out std_logic; -- indication of a frame (ID_DAT or RP_DAT) with
-- correct FSS, FES & CRC; pulse upon FES detection
rx_crc_wrong_p_o : out std_logic; -- indication of a frame (ID_DAT or RP_DAT) with
-- wrong CRC; pulse upon FES detection
-- Signals to the wf_engine_control
rx_fss_received_p_o : out std_logic);-- pulse upon FSS detection (ID/ RP_DAT)
end entity wf_fd_receiver;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture struc of wf_fd_receiver is
signal s_rx_osc_rst, s_adjac_bits_window, s_signif_edge_window : std_logic;
signal s_sample_bit_p, s_sample_manch_bit_p : std_logic;
signal s_fd_rxd_filt, s_rxd_filt_edge_p : std_logic;
signal s_fd_rxd_filt_f_edge_p, s_fd_rxd_filt_r_edge_p : std_logic;
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- Deglitcher --
---------------------------------------------------------------------------------------------------
FIELDRIVE_Receiver_Deglitcher: wf_rx_deglitcher
port map(
uclk_i => uclk_i,
nfip_rst_i => nfip_rst_i,
fd_rxd_a_i => fd_rxd_a_i,
-----------------------------------------------------------------
fd_rxd_filt_o => s_fd_rxd_filt,
fd_rxd_filt_edge_p_o => s_rxd_filt_edge_p,
fd_rxd_filt_f_edge_p_o => s_fd_rxd_filt_f_edge_p);
-----------------------------------------------------------------
s_fd_rxd_filt_r_edge_p <= s_rxd_filt_edge_p and (not s_fd_rxd_filt_f_edge_p);
---------------------------------------------------------------------------------------------------
-- Oscillator --
---------------------------------------------------------------------------------------------------
FIELDRIVE_Receiver_Oscillator: wf_rx_osc
port map(
uclk_i => uclk_i,
rate_i => rate_i,
nfip_rst_i => nfip_rst_i,
fd_rxd_edge_p_i => s_rxd_filt_edge_p,
rx_osc_rst_i => s_rx_osc_rst,
-----------------------------------------------------------------
rx_manch_clk_p_o => s_sample_manch_bit_p,
rx_bit_clk_p_o => s_sample_bit_p,
rx_signif_edge_window_o => s_signif_edge_window,
rx_adjac_bits_window_o => s_adjac_bits_window);
-----------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- Deserializer --
---------------------------------------------------------------------------------------------------
FIELDRIVE_Receiver_Deserializer: wf_rx_deserializer
port map(
uclk_i => uclk_i,
nfip_rst_i => nfip_rst_i,
rx_rst_i => rx_rst_i,
sample_bit_p_i => s_sample_bit_p,
sample_manch_bit_p_i => s_sample_manch_bit_p,
signif_edge_window_i => s_signif_edge_window,
adjac_bits_window_i => s_adjac_bits_window,
fd_rxd_f_edge_p_i => s_fd_rxd_filt_f_edge_p,
fd_rxd_r_edge_p_i => s_fd_rxd_filt_r_edge_p,
fd_rxd_i => s_fd_rxd_filt,
-----------------------------------------------------------------
byte_ready_p_o => rx_byte_ready_p_o,
byte_o => rx_byte_o,
fss_crc_fes_ok_p_o => rx_fss_crc_fes_ok_p_o,
rx_osc_rst_o => s_rx_osc_rst,
fss_received_p_o => rx_fss_received_p_o,
crc_wrong_p_o => rx_crc_wrong_p_o);
-----------------------------------------------------------------
end architecture struc;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_tx_osc.vhd
|
1
|
12737
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_tx_osc |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_tx_osc.vhd |
-- |
-- Description Generation of the clock signals needed for the FIELDRIVE transmission. |
-- |
-- The unit generates the nanoFIP FIELDRIVE output FD_TXCK (line driver half bit |
-- clock) and the nanoFIP internal signal tx_sched_p_buff: |
-- |
-- uclk : _|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-|_|-| |
-- FD_TXCK : _____|--------...--------|________...________|--------...--- |
-- tx_sched_p_buff(3): 0 0 0 1 0 0 0 1 |
-- tx_sched_p_buff(2): 0 0 1 0 0 0 1 0 |
-- tx_sched_p_buff(1): 0 1 0 0 0 1 0 0 |
-- tx_sched_p_buff(0): 1 0 0 0 1 0 0 0 |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 14/02/2011 |
-- Version v0.04 |
-- Depends on wf_reset_unit |
---------------- |
-- Last changes |
-- 08/2009 v0.01 PS Entity Ports added, start of architecture content |
-- 07/2010 v0.02 EG tx counter changed from 20 bits signed, to 11 bits unsigned; |
-- c_TX_SCHED_BUFF_LGTH got 1 bit more |
-- 12/2010 v0.03 EG code cleaned-up |
-- 01/2011 v0.04 EG wf_tx_osc as different unit; use of wf_incr_counter;added tx_osc_rst_p_i
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_tx_osc
--=================================================================================================
entity wf_tx_osc is
port (
-- INPUTS
-- nanoFIP User Interface, General signals
uclk_i : in std_logic; -- 40 MHz clock
rate_i : in std_logic_vector (1 downto 0); -- WorldFIP bit rate
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- Signals from the wf_engine_control
tx_osc_rst_p_i : in std_logic; -- transmitter timeout
-- OUTPUTS
-- nanoFIP FIELDRIVE output
tx_clk_o : out std_logic; -- line driver half bit clock
-- Signal to the wf_tx_serializer unit
tx_sched_p_buff_o : out std_logic_vector (c_TX_SCHED_BUFF_LGTH -1 downto 0));
-- buffer of pulses used for the scheduling
-- of the actions of the wf_tx_serializer
end entity wf_tx_osc;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of wf_tx_osc is
-- transmission periods counter
signal s_period_c, s_period : unsigned (c_PERIODS_COUNTER_LGTH -1 downto 0);
signal s_one_forth_period : unsigned (c_PERIODS_COUNTER_LGTH -1 downto 0);
signal s_period_c_is_full, s_period_c_reinit : std_logic;
-- clocks
signal s_tx_clk_d1, s_tx_clk, s_tx_clk_p : std_logic;
signal s_tx_sched_p_buff : std_logic_vector (c_TX_SCHED_BUFF_LGTH-1 downto 0);
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- Periods Counter --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
s_period <= c_BIT_RATE_UCLK_TICKS(to_integer(unsigned(rate_i)));-- # uclk ticks for a
-- transmission period
s_one_forth_period <= s_period srl 2; -- 1/4 s_period
s_period_c_is_full <= '1' when s_period_c = s_period -1 else '0'; -- counter full
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Instantiation of a wf_incr_counter counting transmission periods.
tx_periods_count: wf_incr_counter
generic map(g_counter_lgth => c_PERIODS_COUNTER_LGTH)
port map(
uclk_i => uclk_i,
counter_reinit_i => s_period_c_reinit,
counter_incr_i => '1',
counter_is_full_o => open,
------------------------------------------
counter_o => s_period_c);
------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- counter reinitialized : if the nfip_rst_i is active or
-- if the tx_osc_rst_p_i is active or
-- if it fills up
s_period_c_reinit <= nfip_rst_i or tx_osc_rst_p_i or s_period_c_is_full;
---------------------------------------------------------------------------------------------------
-- Clocks Construction --
---------------------------------------------------------------------------------------------------
-- Concurrent signals assignments and a synchronous process that use
-- the s_period_c to construct the tx_clk_o clock and the buffer of pulses tx_sched_p_buff_o.
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Creation of the clock for the transmitter with period: 1/2 transmission period
s_tx_clk <= '1' when ((s_period_c < s_one_forth_period) or
((s_period_c > (2*s_one_forth_period)-1) and
(s_period_c < 3*s_one_forth_period)))
else '0';
-- transm. period : _|-----------|___________|--
-- tx_counter : 0 1/4 1/2 3/4 1
-- s_tx_clk : _|-----|_____|-----|_____|--
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Edge detector for s_tx_clk
s_tx_clk_p <= s_tx_clk and (not s_tx_clk_d1);
-- s_tx_clk : _|-----|_____|-----|_____
-- tx_clk_o/ s_tx_clk_d1: ___|-----|_____|-----|___
-- not s_tx_clk_d1 : ---|_____|-----|_____|---
-- s_tx_clk_p : _|-|___|-|___|-|___|-|___
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
clk_Signals_Construction: process (uclk_i)
begin
if rising_edge (uclk_i) then
if (nfip_rst_i = '1') or (tx_osc_rst_p_i = '1') then
s_tx_sched_p_buff <= (others => '0');
s_tx_clk_d1 <= '0';
else
s_tx_clk_d1 <= s_tx_clk;
s_tx_sched_p_buff <= s_tx_sched_p_buff (s_tx_sched_p_buff'left-1 downto 0) & s_tx_clk_p;
-- buffering of the s_tx_clk_p pulses
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Output signals
tx_clk_o <= s_tx_clk_d1;
tx_sched_p_buff_o <= s_tx_sched_p_buff;
end architecture rtl;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
wltr/cern-fgclite
|
critical_fpga/src/rtl/cf/xf.vhd
|
1
|
8861
|
-------------------------------------------------------------------------------
--! @file xf.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright CERN TE-EPC-CCE
--! @date 2014-07-08
--! @brief Auxiliary FPGA communication.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.xf_pkg.all;
use work.nf_pkg.all;
--! @brief Entity declaration of xf
--! @details
--! This component handles the NanoFIP communication and provides a
--! synchronization mechanism with the field-bus cycle.
entity xf is
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Asynchronous active-low reset
rst_asy_n_i : in std_ulogic;
--! Synchronous active-high reset
rst_syn_i : in std_ulogic;
--! @}
--! @name Auxiliary FPGA interface
--! @{
--! Inputs
xf_i : in xf_in_t;
--! Outputs
xf_o : out xf_out_t;
--! @}
--! @name Internal interface
--! @{
--! Millisecond strobe indicating start of cycle
ms_0_strobe_i : in std_ulogic;
--! Millisecond strobe indicating start of second millisecond
ms_1_strobe_i : in std_ulogic;
--! Commands
command_i : in nf_command_t;
--! @}
--! @name Auxiliary FPGA data
--! @{
--! DIM analogue data
dim_o : out std_ulogic_vector(19 downto 0);
--! DIM analogue data enable
dim_en_o : out std_ulogic;
--! DIM trigger number
dim_trig_num_o : out std_ulogic_vector(3 downto 0);
--! DIM latched trigger
dim_trig_lat_o : out std_ulogic;
--! DIM unlatched trigger
dim_trig_unl_o : out std_ulogic;
--! Backplane type
backplane_type_o : out std_ulogic_vector(7 downto 0);
--! Backplane type enable
backplane_type_en_o : out std_ulogic;
--! XF and PF versions
version_xfpf_o : out std_ulogic_vector(7 downto 0);
--! XF and PF versions enable
version_xfpf_en_o : out std_ulogic;
--! Single-event upset (SEU) count
seu_count_o : out std_ulogic_vector(7 downto 0);
--! Single-event upset (SEU) count enable
seu_count_en_o : out std_ulogic;
--! 1-wire scan busy
ow_scan_busy_o : out std_ulogic;
--! @}
--! @name DIM data
--! @{
--! Address
dim_addr_i : in std_ulogic_vector(6 downto 0);
--! Read enable
dim_rd_en_i : in std_ulogic;
--! Data output
dim_data_o : out std_ulogic_vector(15 downto 0);
--! Data output enable
dim_data_en_o : out std_ulogic;
--! @}
--! @name One-wire data
--! @{
--! Address
ow_addr_i : in std_ulogic_vector(5 downto 0);
--! Read enable
ow_rd_en_i : in std_ulogic;
--! Data output
ow_data_o : out std_ulogic_vector(79 downto 0);
--! Data output enable
ow_data_en_o : out std_ulogic);
--! @}
end entity xf;
--! RTL implementation of xf
architecture rtl of xf is
---------------------------------------------------------------------------
--! @name Internal Registers
---------------------------------------------------------------------------
--! @{
signal ow_scan_busy : std_ulogic;
signal dim_trigger : std_ulogic;
signal dim_reset : std_ulogic;
signal ow_scan : std_ulogic;
signal ow_bus_select : std_ulogic_vector(2 downto 0);
--! @}
---------------------------------------------------------------------------
--! @name Internal Wires
---------------------------------------------------------------------------
--! @{
signal xf_rx_data_0 : std_ulogic_vector(41 downto 0);
signal xf_rx_data_en_0 : std_ulogic;
signal xf_rx_error_0 : std_ulogic;
signal xf_rx_data_1 : std_ulogic_vector(83 downto 0);
signal xf_rx_data_en_1 : std_ulogic;
signal xf_rx_error_1 : std_ulogic;
signal dim_addr : std_ulogic_vector(6 downto 0);
signal ow_addr : std_ulogic_vector(5 downto 0);
--! @}
begin -- architecture rtl
---------------------------------------------------------------------------
-- Outputs
---------------------------------------------------------------------------
xf_o.dim_trig <= dim_trigger;
xf_o.dim_rst <= dim_reset;
xf_o.ow_trig <= ow_scan;
xf_o.ow_bus_select <= ow_bus_select;
backplane_type_o <= "00" & xf_rx_data_0(13 downto 8);
backplane_type_en_o <= xf_rx_data_en_0;
version_xfpf_o <= xf_rx_data_0(7 downto 0);
version_xfpf_en_o <= xf_rx_data_en_0;
seu_count_o <= xf_rx_data_0(21 downto 14);
seu_count_en_o <= xf_rx_data_en_0 when dim_addr = "0000000" else '0';
ow_scan_busy_o <= ow_scan_busy;
dim_o <= xf_rx_data_0(41 downto 22);
dim_en_o <= xf_rx_data_en_0 and xf_rx_data_0(36); -- only save analogue values
dim_trig_num_o <= xf_rx_data_0(41 downto 38);
dim_trig_lat_o <= xf_rx_data_en_0 when (xf_rx_data_0(37) = '1' and xf_rx_data_0(36 downto 34) = "010") else '0';
dim_trig_unl_o <= xf_rx_data_en_0 when (xf_rx_data_0(37) = '1' and xf_rx_data_0(36) = '1') else '0';
---------------------------------------------------------------------------
-- Signal Assignments
---------------------------------------------------------------------------
dim_addr <= xf_rx_data_0(41 downto 38) & xf_rx_data_0(36 downto 34);
ow_addr <= "00" & xf_rx_data_1(83 downto 80);
---------------------------------------------------------------------------
-- Instances
---------------------------------------------------------------------------
--! 1st 3-wire serial receiver from XF
xf_rx_inst_0 : entity work.serial_3wire_rx
generic map (
data_width_g => 42)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
rx_frame_i => xf_i.rx_frame(0),
rx_bit_en_i => xf_i.rx_bit_en(0),
rx_i => xf_i.rx(0),
data_o => xf_rx_data_0,
data_en_o => xf_rx_data_en_0,
error_o => xf_rx_error_0);
--! 2nd 3-wire serial receiver from XF
xf_rx_inst_1 : entity work.serial_3wire_rx
generic map (
data_width_g => 84)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
rx_frame_i => xf_i.rx_frame(1),
rx_bit_en_i => xf_i.rx_bit_en(1),
rx_i => xf_i.rx(1),
data_o => xf_rx_data_1,
data_en_o => xf_rx_data_en_1,
error_o => xf_rx_error_1);
--! DIM pages
dim_page_inst : entity work.two_port_ram_tmr
generic map (
depth_g => 128,
width_g => 16)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
wr_addr_i => dim_addr,
wr_en_i => xf_rx_data_en_0,
wr_data_i => xf_rx_data_0(37 downto 22),
wr_done_o => open,
wr_busy_o => open,
rd_addr_i => dim_addr_i,
rd_en_i => dim_rd_en_i,
rd_data_o => dim_data_o,
rd_data_en_o => dim_data_en_o,
rd_busy_o => open);
--! One-wire pages
ow_page_inst : entity work.two_port_ram_tmr
generic map (
depth_g => 64,
width_g => 80)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_asy_n_i,
rst_syn_i => rst_syn_i,
wr_addr_i => ow_addr,
wr_en_i => xf_rx_data_en_1,
wr_data_i => xf_rx_data_1(79 downto 0),
wr_done_o => open,
wr_busy_o => open,
rd_addr_i => ow_addr_i,
rd_en_i => ow_rd_en_i,
rd_data_o => ow_data_o,
rd_data_en_o => ow_data_en_o,
rd_busy_o => open);
---------------------------------------------------------------------------
-- Registers
---------------------------------------------------------------------------
regs : process (clk_i, rst_asy_n_i) is
procedure reset is
begin
dim_trigger <= '0';
ow_scan_busy <= '0';
dim_reset <= '0';
ow_scan <= '0';
ow_bus_select <= (others => '0');
end procedure reset;
begin -- process regs
if rst_asy_n_i = '0' then
reset;
elsif rising_edge(clk_i) then
if rst_syn_i = '1' then
reset;
else
if ms_0_strobe_i = '1' then
dim_reset <= command_i.dim_reset;
ow_scan <= command_i.ow_scan;
ow_bus_select <= command_i.ow_bus_select;
end if;
if ms_0_strobe_i = '1' then
dim_trigger <= '1';
elsif ms_1_strobe_i = '1' then
dim_trigger <= '0';
end if;
if command_i.ow_scan = '1' then
ow_scan_busy <= '1';
elsif xf_rx_data_en_1 = '1' then
ow_scan_busy <= '0';
end if;
end if;
end if;
end process regs;
end architecture rtl;
|
mit
|
dtysky/LD3320_AXI
|
src/VOICE_ROM_INIT/blk_mem_gen_v8_2/hdl/blk_mem_gen_generic_cstr.vhd
|
2
|
136312
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EIETZ7UK7lfplDojE4n5WPNAryn8z5Wc+1BTkcg69ivZMCRGBEfqKjKHJvs30LurLDIebMZGGHcU
tEjXTQIrgw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bliHcf+gORUPPdEOE6rjelOdtaoTDhkNBJUOhkpslrhO6f6fDW1wZaGnqTS0dbTm/QdTTbbE5bRZ
Bh003AVXLhtg1Wx0P0E38fmm4P53hLTvBhsXMnqRhLWlqadGEo+Q6ndqz8kqtCsLYBxFN+eu7pH0
XyqyfpvTp809sOH7/fo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
D+VuRj9jaC8AiPi8Sd3qOIoBoxKORaT/umCd8xcQOycWfsefjCwX5SQ8i5fZsX7DgbP3xdolpmVS
VL4DZcI9u3CiI2zdMklVsa5DJCFZ7qEp4BzinYK27TFKWhO3Ed/Mw6mLx0ow9Pat5PUsSvHPcuc2
0++ZeMNNGt4Z4LxtOOrZxi8Ay3Tr4Or4H7KKXUgXbJ+DHGxFK9khj7f8gqevodil7OXSE8xKreRh
XooweCViPOysSBFiprn6H2dGOkRr7Qnf2tjbfc7+oSsyDIyE0/XIsshTyEYLDUdNn92IyH6fZjoI
kmMp+LQPKsoVzpL2FPZU9j9Bb/9fbIUFzHUHPg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TO0GJA6Kj0jscSfgpS0eKy0wVhSYrOg2z8wAbCKOH2UXjmBtYOiqhC5Q11FVWEzGrk51O3tKndHm
fz1egOTPQEdtz++uURrMdc5m3K84dbif7qqEr8v4htZP4/SzFOP5LQwcz4GURvHDKgoDJ0oxSYhI
DOsMiv6o+6JUcp6rxdU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3nCjmvjKXhHEv1EgYNA28jt1eEpJAP7AVVkTb9WWB7z8f4/W/SF0tyvejkOWZ8eLD7jOqzqp5ay
ZocThw3RZuF9PCAnUmwjFI21TC2bVbXrHDGpV21k42IGkY/lo9nKXkKMycFQ6kW8rc6zkJJugJ6U
8afgCfujFv8gA2HTilb/pcSDLTBbtqdDLFtB0TDYyLKZ7VCn/kFoE8iKENZGA5LDL1PfSsSDFycV
5o4Cp6sw9VFg2z66UY8caZ9v+kirY6+mK0wcEgD9pexDObZIJrQMhFbzmFIlYqUeRRJEbGJcwZyo
1ZUuD8/TyG3bAbtWpB+5E/sdTGmNaBTpq4pBQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168)
`protect data_block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`protect end_protected
|
mit
|
dtysky/LD3320_AXI
|
src/VOICE_ROM_INIT/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd
|
2
|
20893
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cgw3GuE4OtC0IUw7+yMxDTN+4pNVdM8tAj8kSKcbsNleCUPqVHXpjBFwe4VrchpyKU86Gdy4uDW/
kzhQRRQ6qA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iiCeUnr4B989JXGojk4b1VpHQmYbCPhpYXkCZ2KA6WP4Gjbc4ANKW3V1KGoKZmskwy/dV+pASPVM
lne2WbtMXbobjQ3oQvWYDTMvnFOW7QrLP1ddPNwPdSw220PmA00r5U0N4fuYc5tIMDPiAifsDJxh
PawTp8BEfvzW33HhKcU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T/TRcsuoNriz40oyUS9kgh/jnanfbp8x0m+HnCN6fx2ZbO6AxukBPpD5CfqR/GdOlUBnv4lx5406
ugj/r0FYFS1WV+9m1JcEeq7KieJC1WNHKe0Q0ZsB+F1jKH38JgdzVqJYhGGq4qyBH5HDPrdJ4dDH
I7wDVYAVYd1wnbH472CpC0MLwQ12bwr6jwRpVKuJSTh2e5WQUKkPo2J7iR8e8uCc3pGZC/HxvjSP
VhnTBn0Xrov3KfnBYQZWjd54LAGrAoc80sp2SC7+oumgXJ4tujSIA0ztFiXrCz+DgrjF3u3WSPGU
lJvOFZVTncgTurXBJaGhrc+iFX15ubfbOYp3kw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kXpGxhM3q4K7zqELQ9SObxjj1wGRQ3iXws/lHPiYG51OzWIbZPCmJRy56DZC220ICfLV0Wyctb+E
XDVtgugXMyc7gPtIxjjB4sruy8UTUgYwPzlWUn4jBsIUMxMReQiJHGp8FSDoihvKiq/mYku4U18L
RUIMMNkseLAo+emDRak=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WX6DyP4kE1c4FlpGcQgQ5lexlCnZzJyGnfy9qw7D/ZHsHkxJlHdveg0K5igWqyMGX7FdJXRsE6jm
My2uUGjEpJoYgEysbjhu4pCZNk3H75B0R/1mmqpVuKFE3/a2pZSvXeFrAp/qmEJiem9fvU4cfAqi
kzp2vN8Y9viUYGKxz+8JYESfC86GST/di35HeR1zb9HTQxf7obJTNmpTWnhuabba//U0cdjX7iMZ
inatYqcOk/BRZCGjFLS3seTfMETE6Q1I+ZSCb9Sp0MDNovB42wDek+ZSqOM+cJI12WkkJFAezie8
QoeBrNjQxe5sbiwt6FBwwd+Yc7s82xfeN9H50w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
mit
|
dtysky/LD3320_AXI
|
src/LIST/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd
|
2
|
20893
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cgw3GuE4OtC0IUw7+yMxDTN+4pNVdM8tAj8kSKcbsNleCUPqVHXpjBFwe4VrchpyKU86Gdy4uDW/
kzhQRRQ6qA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iiCeUnr4B989JXGojk4b1VpHQmYbCPhpYXkCZ2KA6WP4Gjbc4ANKW3V1KGoKZmskwy/dV+pASPVM
lne2WbtMXbobjQ3oQvWYDTMvnFOW7QrLP1ddPNwPdSw220PmA00r5U0N4fuYc5tIMDPiAifsDJxh
PawTp8BEfvzW33HhKcU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
T/TRcsuoNriz40oyUS9kgh/jnanfbp8x0m+HnCN6fx2ZbO6AxukBPpD5CfqR/GdOlUBnv4lx5406
ugj/r0FYFS1WV+9m1JcEeq7KieJC1WNHKe0Q0ZsB+F1jKH38JgdzVqJYhGGq4qyBH5HDPrdJ4dDH
I7wDVYAVYd1wnbH472CpC0MLwQ12bwr6jwRpVKuJSTh2e5WQUKkPo2J7iR8e8uCc3pGZC/HxvjSP
VhnTBn0Xrov3KfnBYQZWjd54LAGrAoc80sp2SC7+oumgXJ4tujSIA0ztFiXrCz+DgrjF3u3WSPGU
lJvOFZVTncgTurXBJaGhrc+iFX15ubfbOYp3kw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kXpGxhM3q4K7zqELQ9SObxjj1wGRQ3iXws/lHPiYG51OzWIbZPCmJRy56DZC220ICfLV0Wyctb+E
XDVtgugXMyc7gPtIxjjB4sruy8UTUgYwPzlWUn4jBsIUMxMReQiJHGp8FSDoihvKiq/mYku4U18L
RUIMMNkseLAo+emDRak=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WX6DyP4kE1c4FlpGcQgQ5lexlCnZzJyGnfy9qw7D/ZHsHkxJlHdveg0K5igWqyMGX7FdJXRsE6jm
My2uUGjEpJoYgEysbjhu4pCZNk3H75B0R/1mmqpVuKFE3/a2pZSvXeFrAp/qmEJiem9fvU4cfAqi
kzp2vN8Y9viUYGKxz+8JYESfC86GST/di35HeR1zb9HTQxf7obJTNmpTWnhuabba//U0cdjX7iMZ
inatYqcOk/BRZCGjFLS3seTfMETE6Q1I+ZSCb9Sp0MDNovB42wDek+ZSqOM+cJI12WkkJFAezie8
QoeBrNjQxe5sbiwt6FBwwd+Yc7s82xfeN9H50w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
mit
|
dtysky/LD3320_AXI
|
src/LIST/LIST_funcsim.vhdl
|
1
|
47409
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.2 (win64) Build 928826 Thu Jun 5 18:21:07 MDT 2014
-- Date : Wed Sep 10 03:38:08 2014
-- Host : Dtysky running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- d:/spira_heaven/0-myworks/ld3320_axi/ld3320_axi_1.0/src/LIST/LIST_funcsim.vhdl
-- Design : LIST
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST_blk_mem_gen_prim_wrapper is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of LIST_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end LIST_blk_mem_gen_prim_wrapper;
architecture STRUCTURE of LIST_blk_mem_gen_prim_wrapper is
signal \n_0_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_10_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_11_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_12_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_13_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_16_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_17_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_18_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_19_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_1_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_20_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_21_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_24_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_25_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_26_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_27_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_28_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_29_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_2_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_32_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_33_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_34_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_35_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_3_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_4_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_5_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_8_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
signal \n_9_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : STD_LOGIC;
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "SDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 36,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 0,
WRITE_WIDTH_B => 36
)
port map (
ADDRARDADDR(13) => '0',
ADDRARDADDR(12 downto 5) => addrb(7 downto 0),
ADDRARDADDR(4) => '0',
ADDRARDADDR(3) => '0',
ADDRARDADDR(2) => '0',
ADDRARDADDR(1) => '0',
ADDRARDADDR(0) => '0',
ADDRBWRADDR(13) => '0',
ADDRBWRADDR(12 downto 5) => addra(7 downto 0),
ADDRBWRADDR(4) => '0',
ADDRBWRADDR(3) => '0',
ADDRBWRADDR(2) => '0',
ADDRBWRADDR(1) => '0',
ADDRBWRADDR(0) => '0',
CLKARDCLK => clkb,
CLKBWRCLK => clka,
DIADI(15) => '0',
DIADI(14) => '0',
DIADI(13) => '0',
DIADI(12) => '0',
DIADI(11) => '0',
DIADI(10) => '0',
DIADI(9 downto 8) => dina(3 downto 2),
DIADI(7) => '0',
DIADI(6) => '0',
DIADI(5) => '0',
DIADI(4) => '0',
DIADI(3) => '0',
DIADI(2) => '0',
DIADI(1 downto 0) => dina(1 downto 0),
DIBDI(15) => '0',
DIBDI(14) => '0',
DIBDI(13) => '0',
DIBDI(12) => '0',
DIBDI(11) => '0',
DIBDI(10) => '0',
DIBDI(9 downto 8) => dina(7 downto 6),
DIBDI(7) => '0',
DIBDI(6) => '0',
DIBDI(5) => '0',
DIBDI(4) => '0',
DIBDI(3) => '0',
DIBDI(2) => '0',
DIBDI(1 downto 0) => dina(5 downto 4),
DIPADIP(1) => '0',
DIPADIP(0) => '0',
DIPBDIP(1) => '0',
DIPBDIP(0) => '0',
DOADO(15) => \n_0_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(14) => \n_1_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(13) => \n_2_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(12) => \n_3_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(11) => \n_4_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(10) => \n_5_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(9 downto 8) => doutb(3 downto 2),
DOADO(7) => \n_8_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(6) => \n_9_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(5) => \n_10_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(4) => \n_11_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(3) => \n_12_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(2) => \n_13_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOADO(1 downto 0) => doutb(1 downto 0),
DOBDO(15) => \n_16_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(14) => \n_17_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(13) => \n_18_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(12) => \n_19_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(11) => \n_20_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(10) => \n_21_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(9 downto 8) => doutb(7 downto 6),
DOBDO(7) => \n_24_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(6) => \n_25_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(5) => \n_26_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(4) => \n_27_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(3) => \n_28_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(2) => \n_29_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOBDO(1 downto 0) => doutb(5 downto 4),
DOPADOP(1) => \n_32_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOPADOP(0) => \n_33_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOPBDOP(1) => \n_34_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
DOPBDOP(0) => \n_35_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\,
ENARDEN => '1',
ENBWREN => wea(0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => '0',
WEA(0) => '0',
WEBWE(3) => '1',
WEBWE(2) => '1',
WEBWE(1) => '1',
WEBWE(0) => '1'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST_blk_mem_gen_prim_width is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of LIST_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end LIST_blk_mem_gen_prim_width;
architecture STRUCTURE of LIST_blk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.LIST_blk_mem_gen_prim_wrapper
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST_blk_mem_gen_generic_cstr is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of LIST_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end LIST_blk_mem_gen_generic_cstr;
architecture STRUCTURE of LIST_blk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.LIST_blk_mem_gen_prim_width
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST_blk_mem_gen_top is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of LIST_blk_mem_gen_top : entity is "blk_mem_gen_top";
end LIST_blk_mem_gen_top;
architecture STRUCTURE of LIST_blk_mem_gen_top is
begin
\valid.cstr\: entity work.LIST_blk_mem_gen_generic_cstr
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST_blk_mem_gen_v8_2_synth is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of LIST_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth";
end LIST_blk_mem_gen_v8_2_synth;
architecture STRUCTURE of LIST_blk_mem_gen_v8_2_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.LIST_blk_mem_gen_top
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \LIST_blk_mem_gen_v8_2__parameterized0\ is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 7 downto 0 );
sleep : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_v8_2";
attribute C_FAMILY : string;
attribute C_FAMILY of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "zynq";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "zynq";
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "./";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "NONE";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 4;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 9;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "no_coe_file_loaded";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "LIST.mem";
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "CE";
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 256;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 256;
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "CE";
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 256;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 256;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 8;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "ALL";
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "1";
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "Estimated Power for IP : 2.68455 mW";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \LIST_blk_mem_gen_v8_2__parameterized0\ : entity is "yes";
end \LIST_blk_mem_gen_v8_2__parameterized0\;
architecture STRUCTURE of \LIST_blk_mem_gen_v8_2__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
douta(7) <= \<const0>\;
douta(6) <= \<const0>\;
douta(5) <= \<const0>\;
douta(4) <= \<const0>\;
douta(3) <= \<const0>\;
douta(2) <= \<const0>\;
douta(1) <= \<const0>\;
douta(0) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.LIST_blk_mem_gen_v8_2_synth
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity LIST is
port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 7 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of LIST : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of LIST : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of LIST : entity is "blk_mem_gen_v8_2,Vivado 2014.2";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of LIST : entity is "LIST,blk_mem_gen_v8_2,{}";
attribute core_generation_info : string;
attribute core_generation_info of LIST : entity is "LIST,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=1,x_ipLanguage=VERILOG,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=LIST.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=256,C_READ_DEPTH_A=256,C_ADDRA_WIDTH=8,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=256,C_READ_DEPTH_B=256,C_ADDRB_WIDTH=8,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=0,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.68455 mW}";
end LIST;
architecture STRUCTURE of LIST is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 8;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 8;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "0";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 2.68455 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "LIST.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 256;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 256;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 8;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 256;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 256;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 8;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 8;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\LIST_blk_mem_gen_v8_2__parameterized0\
port map (
addra(7 downto 0) => addra(7 downto 0),
addrb(7 downto 0) => addrb(7 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
dina(7 downto 0) => dina(7 downto 0),
dinb(7) => '0',
dinb(6) => '0',
dinb(5) => '0',
dinb(4) => '0',
dinb(3) => '0',
dinb(2) => '0',
dinb(1) => '0',
dinb(0) => '0',
douta(7 downto 0) => NLW_U0_douta_UNCONNECTED(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
eccpipece => '0',
ena => '0',
enb => '0',
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(7 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(7 downto 0),
regcea => '0',
regceb => '0',
rsta => '0',
rstb => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arid(3) => '0',
s_axi_arid(2) => '0',
s_axi_arid(1) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awid(3) => '0',
s_axi_awid(2) => '0',
s_axi_awid(1) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(7 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(7 downto 0),
s_axi_rdata(7 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(7 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => '0',
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
wea(0) => wea(0),
web(0) => '0'
);
end STRUCTURE;
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_dualram_512x8_clka_rd_clkb_wr.vhd
|
1
|
8330
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_dualram_512x8_clka_rd_clkb_wr |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_dualram_512x8_clka_rd_clkb_wr.vhd |
-- |
-- Description The unit adds a layer over the dual port 512x8 memory, by disabling writing from |
-- one side and reading from the other. Finally from port A only reading is possible |
-- and from port B only writing. |
-- Commented in the unit is the memory triplication. Precision RadTol makes the |
-- triplication automatically; in Synplify the comments have to be removed. With the |
-- triplication each incoming byte is written at the same position in the three |
-- memories, whereas each outgoing one is the outcome of a majority voter. |
-- |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 10/12/2010 |
-- Version v0.02 |
-- Depends on dualram_512x8.vhd |
---------------- |
-- Last changes |
-- 12/2010 v0.02 EG code cleaned-up+commented |
-- 11/2011 v0.03 EG removed generics! addr+data lgth already defined at the |
-- dualram_512x8 |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_dualram_512x8_clka_rd_clkb_wr
--=================================================================================================
entity wf_dualram_512x8_clka_rd_clkb_wr is port(
-- INPUTS
-- Inputs concerning port A
clk_porta_i : in std_logic;
addr_porta_i : in std_logic_vector (8 downto 0);
-- Inputs concerning port B
clk_portb_i : in std_logic;
addr_portb_i : in std_logic_vector (8 downto 0);
data_portb_i : in std_logic_vector (7 downto 0);
write_en_portb_i : in std_logic;
-- OUTPUT
-- Output concerning port A
data_porta_o : out std_logic_vector (7 downto 0));
end wf_dualram_512x8_clka_rd_clkb_wr;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture syn of wf_dualram_512x8_clka_rd_clkb_wr is
signal s_one, s_rwB : std_logic;
signal s_zeros : std_logic_vector (7 downto 0);
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
s_one <= '1';
s_zeros <= (others => '0');
s_rwB <= not write_en_portb_i;
---------------------------------------------------------------------------------------------------
-- Port A used for reading only, port B for writing only.
-- for triplication: G_memory_triplication: for I in 0 to 2 generate
DualRam : dualram_512x8
port map(
DINA => s_zeros,
ADDRA => addr_porta_i,
RWA => s_one,
CLKA => clk_porta_i,
DINB => data_portb_i,
ADDRB => addr_portb_i,
RWB => s_rwB,
CLKB => clk_portb_i,
RESETn => s_one,
DOUTA => data_porta_o, -- for triplication: s_data_o_A_array(I)
DOUTB => open);
-- end generate;
---------------------------------------------------------------------------------------------------
-- for triplication: Combinatorial Majority_Voter
-- for triplication: Majority_Voter: data_porta_o <= (s_data_o_A_array(0) and s_data_o_A_array(1)) or
-- (s_data_o_A_array(1) and s_data_o_A_array(2)) or
-- (s_data_o_A_array(2) and s_data_o_A_array(0));
end syn;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_jtag_controller.vhd
|
1
|
26343
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_jtag_controller |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_jtag_controller.vhd |
-- |
-- Description After the reception and validation of a consumed var_4 RP_DAT frame, the unit |
-- is responsible for driving the "nanoFIP, User Interface, JTAG Controller" signals |
-- JC_TCK, JC_TMS, JC_TDI and for sampling the JC_TDO input. |
-- |
-- o JC_TCK is a 5 MHz clock generated by the 40 MHz uclk; a cycle is created for |
-- every JC_TMS/ JC_TDI pair. |
-- |
-- o JC_TMS and JC_TDI are being retreived from the JC_consumed memory and are |
-- put to the corresponding outputs on each falling edge of the JC_TCK. |
-- |
-- o The first and second data bytes of the JC_consumed memory do not contain |
-- JC_TMS/ JC_TDI bits, but are used to indicate, in big endian order, the |
-- amount of JC_TMS and JC_TDI bits that have to be output. |
-- |
-- o the JC_TDO input is sampled on the rising edge of JC_TCK; only the last |
-- sampled JC_TDO bit is significant. It is registered and sent to the |
-- wf_production unit for it to be delivered in the next produced var_5 frame. |
-- |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 09/2011 |
-- Version v0.02 |
-- Depends on wf_reset_unit |
-- wf_consumption |
---------------- |
-- Last changes |
-- 07/07/2011 v0.01 EG First version |
-- 09/2011 v0.02 EG added counter for counting the outgoing TMS/TDI bits; combinatorial |
-- was too heavy; changed a bit state machine to include counter |
-- put session_timedout in the synchronous FSM process |
-- 11/2011 v0.021 EG timeout counter has different size (constant added) |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_jtag_controller
--=================================================================================================
entity wf_jtag_controller is port(
-- INPUTS
-- nanoFIP User Interface, General signal
uclk_i : in std_logic; -- 40 MHz clock
-- nanoFIP User Interface, JTAG Controller signal
jc_tdo_i : in std_logic; -- JTAG TDO input
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- Signals from the wf_consumption unit
jc_start_p_i : in std_logic; -- pulse upon validation of a var_4 RP_DAT frame
jc_mem_data_i : in std_logic_vector (7 downto 0); -- byte retreived from the JC_consumed memory
-- OUTPUTS
-- nanoFIP User Interface, JTAG Controller signals
jc_tms_o : out std_logic; -- JTAG TMS output
jc_tdi_o : out std_logic; -- JTAG TDI output
jc_tck_o : out std_logic; -- JTAG TCK output
-- Signal to the wf_production unit
jc_tdo_byte_o : out std_logic_vector (7 downto 0); -- byte containing the TDO sample for the next var_5
-- Signal to the wf_consumption unit
jc_mem_adr_rd_o : out std_logic_vector (8 downto 0));-- address of byte to be retreived from the JC_cons memory
end entity wf_jtag_controller;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of wf_jtag_controller is
-- FSM
type jc_st_t is (IDLE, GET_BYTE, PLAY_BYTE, SET_ADDR);
signal jc_st, nx_jc_st : jc_st_t;
signal s_idle, s_play_byte, s_set_addr : std_logic;
signal s_not_play_byte : std_logic;
signal s_session_timedout : std_logic;
-- bytes counter
signal s_bytes_c, s_bytes_c_d1 : unsigned (6 downto 0);
-- retrieval of the number of TMS/ TDI bits that have to be delivered
signal s_frame_bits_lsb, s_frame_bits_msb : std_logic_vector (7 downto 0);
signal s_frame_bits : unsigned (15 downto 0);
-- number of TMS/ TDI bits delivered so far
signal s_bits_so_far : unsigned (15 downto 0);
-- TCK generation
signal s_tck, s_tck_c_is_full : std_logic;
signal s_tck_r_edge_p, s_tck_f_edge_p : std_logic;
signal s_tck_c, s_tck_period, s_tck_four_periods : unsigned (c_FOUR_JC_TCK_C_LGTH-1 downto 0);
signal s_tck_half_period, s_tck_quarter_period : unsigned (c_FOUR_JC_TCK_C_LGTH-1 downto 0);
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- FSM --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- JTAG Controller FSM: the state machine is divided in three parts (a clocked process
-- to store the current state, a combinatorial process to manage state transitions and finally a
-- combinatorial process to manage the output signals), which are the three processes that follow.
-- After the reception of a var_4 RP_DAT frame the FSM starts retrieving one by one bytes from
-- the JC_consumed memory. The first two bytes concatenated in big endian encoding indicate the
-- total amount of TMS/ TDI bits that have to be retrieved and output.
-- The rest of the bytes contain the TMS/ TDI bits.
-- The FSM goes back to IDLE if the counter that counts the amount the bits that have been output
-- reaches the total amount.
-- To add a robust layer of protection to the FSM, we have implemented a counter, dependent only on
-- the system clock, that from any state can bring the FSM back to IDLE. A frame with the maximum
-- number of TMS/ TDI bits needs: 122 bytes * ((4 * JC_TCK) + 2 uclk) seconds to be treated.
-- For a 5 MHz JC_TCK clock this is 103.7 us. We use a counter of c_JC_TIMEOUT_C_LGTH = 13 bits
-- which means that the FSM is reset if 204.8 us have passed since it has left the IDLE state.
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Synchronous process JC_FSM_Sync: storage of the current state of the FSM
JC_FSM_Sync: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' or s_session_timedout = '1' then
jc_st <= IDLE;
else
jc_st <= nx_jc_st;
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Combinatorial process JC_FSM_Comb_State_Transitions: Definition of the state
-- transitions of the FSM.
JC_FSM_Comb_State_Transitions: process (jc_st, s_bytes_c, s_frame_bits,s_bits_so_far, jc_start_p_i,
s_tck_c_is_full, s_tck_r_edge_p, s_tck_f_edge_p)
begin
case jc_st is
when IDLE =>
if jc_start_p_i = '1' then -- consumed var_4 frame validated
nx_jc_st <= SET_ADDR;
else
nx_jc_st <= IDLE;
end if;
when SET_ADDR =>
nx_jc_st <= GET_BYTE; -- 1 uclk cycle for the setting of the memory
-- address; byte available at the next cycle
when GET_BYTE =>
if s_bytes_c < 2 then -- 2 first bytes: amount of JC_TMS & JC_TDI bits
nx_jc_st <= SET_ADDR;
else -- the rest of the bytes have to be "played"
nx_jc_st <= PLAY_BYTE;
end if;
when PLAY_BYTE =>
if s_frame_bits <= 0 or s_frame_bits > c_MAX_FRAME_BITS then
nx_jc_st <= IDLE; -- outside expected limits
elsif s_frame_bits > s_bits_so_far then -- still available bits to go..
if s_tck_c_is_full = '1' then-- byte completed; a new one has
nx_jc_st <= SET_ADDR; -- to be retrieved
else -- byte being output
nx_jc_st <= PLAY_BYTE;
end if;
else -- last bit
if s_tck_r_edge_p = '1' or s_tck_f_edge_p = '1' then
nx_jc_st <= IDLE; -- wait until the completion of a JC_TCK cycle
else
nx_jc_st <= PLAY_BYTE;
end if;
end if;
when OTHERS =>
nx_jc_st <= IDLE;
end case;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Combinatorial process JC_FSM_Comb_Output_Signals: Definition of the output signals of the FSM.
JC_FSM_Comb_Output_Signals: process (jc_st)
begin
case jc_st is
when IDLE =>
-----------------------------
s_idle <= '1';
-----------------------------
s_set_addr <= '0';
s_play_byte <= '0';
when SET_ADDR =>
s_idle <= '0';
-----------------------------
s_set_addr <= '1';
-----------------------------
s_play_byte <= '0';
when GET_BYTE =>
s_idle <= '0';
s_set_addr <= '0';
s_play_byte <= '0';
when PLAY_BYTE =>
s_idle <= '0';
s_set_addr <= '0';
-----------------------------
s_play_byte <= '1';
-----------------------------
when OTHERS =>
-----------------------------
s_idle <= '1';
-----------------------------
s_set_addr <= '0';
s_play_byte <= '0';
end case;
end process;
---------------------------------------------------------------------------------------------------
-- JC_TCK generation --
---------------------------------------------------------------------------------------------------
-- Instantiation of a wf_incr_counter used for the generation of the JC_TCK output clock.
-- The counter is filled up after having counted 4 JC_TCK periods; this corresponds to the amount
-- of periods needed for outputting a full JC_TMS/ JC_TDI byte.
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
JC_TCK_periods_counter: wf_incr_counter
generic map(g_counter_lgth => c_FOUR_JC_TCK_C_LGTH)
port map(
uclk_i => uclk_i,
counter_reinit_i => s_not_play_byte,
counter_incr_i => s_play_byte,
counter_is_full_o => s_tck_c_is_full,
------------------------------------------
counter_o => s_tck_c);
------------------------------------------
s_not_play_byte <= not s_play_byte;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
JC_TCK_Construction: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_tck <= '1';
else
if s_tck_f_edge_p = '1' or s_tck_r_edge_p = '1' then
s_tck <= not s_tck;
end if;
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
s_tck_four_periods <= (others => '1'); -- # uclk ticks for 4 JC_TCK periods i.e delivery of 1 byte
s_tck_period <= (s_tck_four_periods srl 2)+1; -- # uclk ticks for 1 JC_TCK period
s_tck_half_period <= (s_tck_four_periods srl 3)+1; -- # uclk ticks for 1/2 JC_TCK period
s_tck_quarter_period <= (s_tck_four_periods srl 4)+1; -- # uclk ticks for 1/4 JC_TCK period
-- s_tck_four_periods : >------------------------<
-- s_tck_period : >-----<
-- s_tck_half_period : >--<
-- s_tck_quarter_period: >-<
-- s_tck : -|__|--|__|--|__|--|__|-
s_tck_f_edge_p <= '1' when (s_tck_c = s_tck_quarter_period) or
(s_tck_c = (2*s_tck_half_period) +s_tck_quarter_period) or
(s_tck_c = (4*s_tck_half_period) +s_tck_quarter_period) or
(s_tck_c = (6*s_tck_half_period) +s_tck_quarter_period) else '0';
s_tck_r_edge_p <= '1' when (s_tck_c = s_tck_half_period+s_tck_quarter_period) or
(s_tck_c = (3*s_tck_half_period) +s_tck_quarter_period) or
(s_tck_c = (5*s_tck_half_period) +s_tck_quarter_period) or
(s_tck_c = (7*s_tck_half_period) +s_tck_quarter_period) else '0';
jc_tck_o <= s_tck;
---------------------------------------------------------------------------------------------------
-- Bytes counter --
---------------------------------------------------------------------------------------------------
-- Instantiation of a wf_incr_counter for the counting of the bytes that are being retreived from
-- the JC_cons memory.
JC_bytes_counter: wf_incr_counter
generic map(g_counter_lgth => 7)
port map(
uclk_i => uclk_i,
counter_reinit_i => s_idle,
counter_incr_i => s_set_addr,
counter_is_full_o => open,
------------------------------------------
counter_o => s_bytes_c);
------------------------------------------
jc_mem_adr_rd_o <= std_logic_vector (resize((s_bytes_c + 2), jc_mem_adr_rd_o'length));
-- "+2" is bc the first 2 bytes in the memory (PDU_TYPE and LGTH) are not read
---------------------------------------------------------------------------------------------------
-- Delivered bits counter --
---------------------------------------------------------------------------------------------------
-- Creation of a counter counting the number of TMS and TDI bits that have been output.
-- The output of this counter, s_bits_so_far, could have been derived from the s_bytes_c with some
-- combinatorial logic, but then the timing performance was prohibiting.
JC_bits_counter: process (uclk_i)
begin
if rising_edge (uclk_i) then
if s_idle = '1' then
s_bits_so_far <= (others => '0');
elsif s_tck_f_edge_p = '1' then
s_bits_so_far <= s_bits_so_far + 2; -- 1 TMS + 1 TDI bits
end if;
end if;
end process;
---------------------------------------------------------------------------------------------------
-- Frame bits retrieval --
---------------------------------------------------------------------------------------------------
-- Construction of the 16 bits word that indicates the amount of TMS/ TDI bits that have to be
-- played from this frame. The word is the result of the big endian concatenation of the 1st and
-- 2nd data bytes from the memory.
Bits_Number_retrieval: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_bytes_c_d1 <= (others => '0');
s_frame_bits_msb <= (others => '0');
s_frame_bits_lsb <= (others => '0');
else
s_bytes_c_d1 <= s_bytes_c;
if s_set_addr = '1' and s_bytes_c_d1 = 0 then
s_frame_bits_msb <= jc_mem_data_i;
end if;
if s_set_addr = '1' and s_bytes_c_d1 = 1 then
s_frame_bits_lsb <= jc_mem_data_i;
end if;
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
s_frame_bits <= unsigned (s_frame_bits_msb) & unsigned (s_frame_bits_lsb);
---------------------------------------------------------------------------------------------------
-- TMS and TDI player --
---------------------------------------------------------------------------------------------------
-- Delivery of the jc_tms_o and jc_tdi_o bits on the falling edge of the jc_tck_o clock.
-- At the "PLAY_BYTE" state of the FSM the incoming jc_mem_data_i byte is decomposed to 4 TMS and
-- 4 TDI bits; a pair of TMS/ TDI bits is output on every TCK falling edge.
JC_TMS_TDI_player: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
jc_tms_o <= '0';
jc_tdi_o <= '0';
elsif s_tck_f_edge_p = '1' then
if s_tck_c < (s_tck_period) then -- 1st JC_TMS/ JC_TDI pair
jc_tms_o <= jc_mem_data_i(7);
jc_tdi_o <= jc_mem_data_i(6);
elsif s_tck_c < (s_tck_period sll 1) then -- 2nd JC_TMS/ JC_TDI pair
jc_tms_o <= jc_mem_data_i(5);
jc_tdi_o <= jc_mem_data_i(4);
elsif s_tck_c < ((s_tck_period sll 1)+s_tck_period) then -- 3rd JC_TMS/ JC_TDI pair
jc_tms_o <= jc_mem_data_i(3);
jc_tdi_o <= jc_mem_data_i(2);
else
jc_tms_o <= jc_mem_data_i(1); -- 4th JC_TMS/ JC_TDI pair
jc_tdi_o <= jc_mem_data_i(0);
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------------------------------
-- TDO sampler --
---------------------------------------------------------------------------------------------------
-- Sampling of the jc_tdo_i input on the rising edge of the jc_tck_o clock. Only the last sampled
-- bit is significant and is delivered.
-- Note: on the side of the target TAP, the jc_tdo should be provided on the falling edge of jc_tck;
-- a falling jc_tck edge comes many uclk cycles before a rising one, which is nanoFIP's sampling
-- moment for jc_tdo; therefore on the rising edges, jc_tdo is not expected to be metastable.
-- That is why we have decided not to synchronize the jc_tdo input.
JC_TDO_sampling: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
jc_tdo_byte_o <= (others => '0');
elsif s_tck_r_edge_p = '1' then
jc_tdo_byte_o <= "0000000" & jc_tdo_i;
end if;
end if;
end process;
---------------------------------------------------------------------------------------------------
-- Independent Timeout Counter --
---------------------------------------------------------------------------------------------------
-- Instantiation of a wf_decr_counter relying only on the system clock, as an additional
-- way to go back to IDLE state, in case any other logic is being stuck. The timeout is 204.8 us.
Session_Timeout_Counter: wf_decr_counter
generic map(g_counter_lgth => c_JC_TIMEOUT_C_LGTH)
port map(
uclk_i => uclk_i,
counter_rst_i => nfip_rst_i,
counter_top_i => (others => '1'),
counter_load_i => s_idle,
counter_decr_i => '1', -- on each uclk tick
counter_o => open,
---------------------------------------------------
counter_is_zero_o => s_session_timedout);
---------------------------------------------------
end architecture rtl;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
dtysky/LD3320_AXI
|
hdl/LD3320_AXI_v1_0_S00_AXI.vhd
|
1
|
22043
|
----¼Ä´æÆ÷0£ºÖ¸Áî----
----¼Ä´æÆ÷1£º·µ»ØÖµ=ʶ±ðÍê³É·ñ+ʶ±ð½á¹û----
----¼Ä´æÆ÷2£º³õʼ»¯RAMдÈëÓÃ----
----¼Ä´æÆ÷3£º·µ»ØRAMдÈë״̬----
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
entity LD3320_AXI_v1_0_S00_AXI is
generic (
-- Users to add parameters here
constant Cmd_Start:std_logic_vector(31 downto 0):=x"00000001";
constant Cmd_Rqu:std_logic_vector(31 downto 0):=x"00000002";
constant Re_Success:std_logic_vector(7 downto 0):=x"01";
constant Re_Fail:std_logic_vector(7 downto 0):=x"02";
constant Re_Wait:std_logic_vector(7 downto 0):=x"03";
constant Ram_Init:std_logic_vector(7 downto 0):=x"01";
constant Ram_List:std_logic_vector(7 downto 0):=x"02";
constant Ram_Stop:std_logic_vector(7 downto 0):=x"03";
constant Ram_Re_Yes:std_logic_vector(7 downto 0):=x"01";
constant Ram_Re_No:std_logic_vector(7 downto 0):=x"02";
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Width of S_AXI data bus
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of S_AXI address bus
C_S_AXI_ADDR_WIDTH : integer := 4
);
port (
-- Users to add ports here
inclk,inclk_n:in std_logic;
clk_voice:out std_logic;
n_wr,n_cs,n_rd,n_rst:out std_logic:='1';
n_int:in std_logic:='0';
add_en:out std_logic:='0';
data_voice:inout std_logic_vector(7 downto 0);
-- User ports ends
-- Do not modify the ports beyond this line
-- Global Clock Signal
S_AXI_ACLK : in std_logic;
-- Global Reset Signal. This Signal is Active LOW
S_AXI_ARESETN : in std_logic;
-- Write address (issued by master, acceped by Slave)
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
-- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWVALID : in std_logic;
-- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_AWREADY : out std_logic;
-- Write data (issued by master, acceped by Slave)
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
-- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WVALID : in std_logic;
-- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_WREADY : out std_logic;
-- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BRESP : out std_logic_vector(1 downto 0);
-- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BVALID : out std_logic;
-- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_BREADY : in std_logic;
-- Read address (issued by master, acceped by Slave)
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
-- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARVALID : in std_logic;
-- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_ARREADY : out std_logic;
-- Read data (issued by slave)
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RRESP : out std_logic_vector(1 downto 0);
-- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RVALID : out std_logic;
-- Read ready. This signal indicates that the master can
-- accept the read data and response information.
S_AXI_RREADY : in std_logic
);
end LD3320_AXI_v1_0_S00_AXI;
architecture arch_imp of LD3320_AXI_v1_0_S00_AXI is
component VOICE is
port
(
start:in std_logic;
inclk,inclk_n:in std_logic;
init_clk:in std_logic;
init_wea:in std_logic_vector(0 downto 0);
init_addr:in std_logic_vector(5 downto 0);
init_din:in std_logic_vector(15 downto 0);
list_clk:in std_logic;
list_wea:in std_logic_vector(0 downto 0);
list_addr:in std_logic_vector(7 downto 0);
list_din:in std_logic_vector(7 downto 0);
clk_voice:out std_logic;
n_wr,n_cs,n_rd,n_rst:out std_logic:='1';
n_int:in std_logic:='0';
add_en:out std_logic:='0';
data_voice:inout std_logic_vector(7 downto 0);
voice_result:out std_logic_vector(7 downto 0):=x"00";
reco_rqu:in std_logic:='0';
reco_fin:out std_logic:='0';
voice_state:out std_logic_vector(7 downto 0):=x"00";
voice_ram:out std_logic_vector(15 downto 0):=x"0000"
);
end component;
signal inclk_s,inclk_n_s:std_logic;
signal voice_start:std_logic:='0';
signal voice_result:std_logic_vector(7 downto 0);
signal voice_rqu:std_logic;
signal voice_fin:std_logic;
signal init_clk:std_logic;
signal init_wea:std_logic_vector(0 downto 0);
signal init_addr:std_logic_vector(5 downto 0);
signal init_din:std_logic_vector(15 downto 0);
signal list_clk:std_logic;
signal list_wea:std_logic_vector(0 downto 0);
signal list_addr:std_logic_vector(7 downto 0);
signal list_din:std_logic_vector(7 downto 0);
signal voice_state:std_logic_vector(7 downto 0):=x"00";
signal voice_ram:std_logic_vector(15 downto 0):=x"0000";
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 1;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
---- Number of Slave Registers 4
signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg0_last :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg2_last :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal byte_index : integer;
begin
-- I/O Connections assignments
inclk_s<=inclk;
inclk_n_s<=inclk_n;
list_clk<=inclk_n_s;
init_clk<=inclk_n_s;
VOICEX:VOICE
port map
(
start=>voice_start,
inclk=>inclk_s,
inclk_n=>inclk_n_s,
clk_voice=>clk_voice,
n_wr=>n_wr,
n_cs=>n_cs,
n_rd=>n_rd,
n_rst=>n_rst,
n_int=>n_int,
add_en=>add_en,
data_voice=>data_voice,
init_clk=>init_clk,
init_wea=>init_wea,
init_addr=>init_addr,
init_din=>init_din,
list_clk=>list_clk,
list_wea=>list_wea,
list_addr=>list_addr,
list_din=>list_din,
voice_result=>voice_result,
reco_rqu=>voice_rqu,
reco_fin=>voice_fin,
voice_state=>voice_state,
voice_ram=>voice_ram
);
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
process (S_AXI_ACLK)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
slv_reg0 <= (others => '0');
slv_reg2 <= (others => '0');
else
loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
if (slv_reg_wren = '1') then
case loc_addr is
when b"00" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 0
slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"10" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 2
slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others =>
slv_reg0 <= slv_reg0;
slv_reg2 <= slv_reg2;
end case;
end if;
end if;
end if;
end process;
-- Implement write response logic generation
-- The write response and response valid signals are asserted by the slave
-- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
-- This marks the acceptance of address and indicates the status of
-- write transaction.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, axi_araddr, S_AXI_ARESETN, slv_reg_rden)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if S_AXI_ARESETN = '0' then
reg_data_out <= (others => '1');
else
-- Address decoding for reading registers
loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
case loc_addr is
when b"00" =>
reg_data_out <= slv_reg0;
when b"01" =>
reg_data_out <= slv_reg1;
when b"10" =>
reg_data_out <= slv_reg2;
when b"11" =>
reg_data_out <= slv_reg3;
when others =>
reg_data_out <= (others => '0');
end case;
end if;
end process;
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
ram_wr:process(inclk_s)
variable con_wr:integer range 0 to 3:=0;
begin
if rising_edge(inclk_s) then
slv_reg2_last<=slv_reg2;
if slv_reg2 /= slv_reg2_last then
case slv_reg2(31 downto 24) is
when Ram_Init=>
init_wea<="1";
init_addr<=slv_reg2(21 downto 16);
init_din<=slv_reg2(15 downto 0);
con_wr:=0;
when Ram_List=>
list_wea<="1";
list_addr<=slv_reg2(23 downto 16);
list_din<=slv_reg2(7 downto 0);
con_wr:=0;
when Ram_Stop=>
init_wea<="0";
list_wea<="0";
con_wr:=0;
when others=>
init_wea<=init_wea;
init_addr<=init_addr;
init_din<=init_din;
list_wea<=list_wea;
list_addr<=list_addr;
list_din<=list_din;
end case;
else
case slv_reg2(31 downto 24) is
when Ram_Init=>
if con_wr=3 then
init_wea<="0";
slv_reg3<=slv_reg2;
slv_reg3(31 downto 24)<=Ram_Re_Yes;
else
con_wr:=con_wr+1;
slv_reg3<=slv_reg2;
slv_reg3(31 downto 24)<=Ram_Re_No;
end if;
when Ram_List=>
if con_wr=3 then
list_wea<="0";
slv_reg3<=slv_reg2;
slv_reg3(31 downto 24)<=Ram_Re_Yes;
else
con_wr:=con_wr+1;
slv_reg3<=slv_reg2;
slv_reg3(31 downto 24)<=Ram_Re_No;
end if;
when others=>
init_wea<=init_wea;
init_addr<=init_addr;
init_din<=init_din;
list_wea<=list_wea;
list_addr<=list_addr;
list_din<=list_din;
slv_reg3<=slv_reg2;
slv_reg3(31 downto 24)<=x"ff";
end case;
end if;
end if;
end process;
Cmd:process(inclk_s)
begin
if rising_edge(inclk_s) then
slv_reg0_last<=slv_reg0;
if slv_reg0 /= slv_reg0_last then
slv_reg1(15 downto 8)<=Re_Wait;
case slv_reg0 is
when Cmd_Start=>
voice_start<='1';
when Cmd_Rqu=>
voice_Rqu<='1';
when others=>
voice_start<=voice_start;
voice_Rqu<=voice_Rqu;
end case;
else
slv_reg1(7 downto 0)<=voice_result;
slv_reg1(31 downto 24)<=voice_ram(15 downto 8);
slv_reg1(23 downto 16)<=voice_state;
case voice_fin is
when '1'=>
voice_Rqu<='0';
case voice_result is
when x"FD"=>
slv_reg1(15 downto 8)<=Re_Fail;
when others=>
slv_reg1(15 downto 8)<=Re_Success;
end case;
when others=>
voice_Rqu<=voice_Rqu;
end case;
end if;
end if;
end process;
-- User logic ends
end arch_imp;
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_rx_deglitcher.vhd
|
1
|
10214
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_rx_deglitcher |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_rx_deglitcher.vhd |
-- |
-- Description The unit applies a glitch filter to the nanoFIP FIELDRIVE input FD_RXD. |
-- It is capable of cleaning glitches up to c_DEGLITCH_THRESHOLD uclk ticks long. |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 14/02/2011 |
-- Version v0.03 |
-- Depends on wf_reset_unit |
---------------- |
-- Last changes |
-- 07/08/2009 v0.01 PAS Entity Ports added, start of architecture content |
-- 23/08/2010 v0.02 EG code cleaned-up+commented |
-- 14/02/2011 v0.03 EG complete change, no dependency on osc; |
-- fd_rxd deglitched right at reception |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_rx_deglitcher
--=================================================================================================
entity wf_rx_deglitcher is port(
-- INPUTS
-- nanoFIP User Interface general signal
uclk_i : in std_logic; -- 40 MHz clock
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- nanoFIP FIELDRIVE (synchronized with uclk)
fd_rxd_a_i : in std_logic; -- receiver data
-- OUTPUTS
-- Signals to the wf_rx_deserializer unit
fd_rxd_filt_o : out std_logic; -- filtered output signal
fd_rxd_filt_edge_p_o : out std_logic; -- indicates an edge on the filtered signal
fd_rxd_filt_f_edge_p_o : out std_logic);-- indicates a falling edge on the filtered signal
end wf_rx_deglitcher;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of wf_rx_deglitcher is
signal s_fd_rxd_synch : std_logic_vector (1 downto 0);
signal s_fd_rxd_filt, s_fd_rxd_filt_d1 : std_logic;
signal s_fd_rxd_filt_r_edge_p, s_fd_rxd_filt_f_edge_p : std_logic;
signal s_filt_c : unsigned (3 downto 0);
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- FD_RXD synchronization --
---------------------------------------------------------------------------------------------------
-- Synchronous process FD_RXD_synchronizer: Synchronization of the nanoFIP FIELDRIVE input
-- FD_RXD to the uclk, using a set of 2 registers.
FD_RXD_synchronizer: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_fd_rxd_synch <= (others => '0');
else
s_fd_rxd_synch <= s_fd_rxd_synch(0) & fd_rxd_a_i;
end if;
end if;
end process;
---------------------------------------------------------------------------------------------------
-- Deglitching --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
-- Synchronous process FD_RXD_deglitcher: the output signal s_fd_rxd_filt is updated only
-- after the accumulation of a sufficient (c_DEGLITCH_THRESHOLD + 1) amount of identical bits.
-- The signal is therefore cleaned of any glitches up to c_DEGLITCH_THRESHOLD uclk ticks long.
FD_RXD_deglitcher: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_filt_c <= to_unsigned (c_DEGLITCH_THRESHOLD, s_filt_c'length) srl 1;-- middle value
s_fd_rxd_filt <= '0';
s_fd_rxd_filt_d1 <= '0';
else
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
if s_fd_rxd_synch(1) = '0' then -- arrival of a '0'
if s_filt_c /= 0 then -- counter updated
s_filt_c <= s_filt_c - 1;
else
s_fd_rxd_filt <= '0'; -- output updated
end if; -- if counter = 0
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
elsif s_fd_rxd_synch(1) = '1' then -- arrival of a '1'
if s_filt_c /= c_DEGLITCH_THRESHOLD then
s_filt_c <= s_filt_c + 1; -- counter updated
else
s_fd_rxd_filt <= '1'; -- output updated
end if; -- if counter = c_DEGLITCH_THRESHOLD
end if;
s_fd_rxd_filt_d1 <= s_fd_rxd_filt; -- used for the edges detection
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -
-- Concurrent signal assignments
s_fd_rxd_filt_r_edge_p <= (not s_fd_rxd_filt_d1) and s_fd_rxd_filt; -- pulse upon detection
-- of a falling edge
s_fd_rxd_filt_f_edge_p <= s_fd_rxd_filt_d1 and (not s_fd_rxd_filt); -- pulse upon detection
-- of a rising edge
fd_rxd_filt_edge_p_o <= s_fd_rxd_filt_f_edge_p or s_fd_rxd_filt_r_edge_p;
fd_rxd_filt_f_edge_p_o <= s_fd_rxd_filt_f_edge_p;
fd_rxd_filt_o <= s_fd_rxd_filt;
end rtl;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
dtysky/LD3320_AXI
|
hdl/VOICE.vhd
|
1
|
16919
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity VOICE is
port
(
start:in std_logic;
inclk,inclk_n:in std_logic;
init_clk:in std_logic;
init_wea:in std_logic_vector(0 downto 0);
init_addr:in std_logic_vector(5 downto 0);
init_din:in std_logic_vector(15 downto 0);
list_clk:in std_logic;
list_wea:in std_logic_vector(0 downto 0);
list_addr:in std_logic_vector(7 downto 0);
list_din:in std_logic_vector(7 downto 0);
clk_voice:out std_logic;
n_wr,n_cs,n_rd,n_rst:out std_logic:='1';
n_int:in std_logic:='0';
add_en:out std_logic:='0';
data_voice:inout std_logic_vector(7 downto 0);
voice_result:out std_logic_vector(7 downto 0):=x"00";
reco_rqu:in std_logic:='0';
reco_fin:out std_logic:='0';
voice_state:out std_logic_vector(7 downto 0):=x"00";
voice_ram:out std_logic_vector(15 downto 0):=x"0000"
);
end entity;
architecture voicex of VOICE is
component VOICE_ROM_INIT is
PORT
(
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
end component;
component LIST is
PORT
(
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN std_logic_vector(7 downto 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN std_logic_vector(7 downto 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
end component;
component VOICE_DELAY is
port
(
clk:in std_logic;
start:in std_logic:='0';
total:in std_logic_vector(7 downto 0);
finish:out std_logic:='1'
);
end component;
-------------------æ¶éã?0MHz---------------------
signal clk_self,clk_out:std_logic;
-----------------------å¤ä½------------------------
signal reset:std_logic:='0';
--------------------åå§åROM----------------------
signal rom_init_addr:std_logic_vector(5 downto 0):="000000";
signal rom_init_data:std_logic_vector(15 downto 0);
signal rom_en:std_logic:='1';
---------------------å表ROM-----------------------
signal rom_list_addr:std_logic_vector(7 downto 0):=x"00";
signal rom_list_data:std_logic_vector(7 downto 0);
-----------------------å»¶æ¶------------------------
signal delay_start,delay_finish:std_logic:='0';
signal delay_total:std_logic_vector(7 downto 0);
----------------------é
ç½®ç¶æ?----------------------
signal init_done,list_done,all_wait,all_done,all_done_last:std_logic:='0';
----------------------è¯å«ç¶æ?----------------------
signal reco_allow,reco_allow_last,reco_start:std_logic:='0';
signal reco_rqu_last:std_logic:='0';
signal n_int_last:std_logic:='1';
signal add_en_s:std_logic:='1';
begin
voice_state(1)<=start;
voice_state(0)<=reco_rqu;
clk_voice<=inclk_n;
VOICE_ROM_INITX:voice_rom_init
port map
(
clka=>init_clk,
wea=>init_wea,
addra=>init_addr,
dina=>init_din,
clkb=>clk_out,
addrb=>rom_init_addr,
doutb=>rom_init_data
);
VOICE_ROM_LIST:list
port map
(
clka=>list_clk,
wea=>list_wea,
addra=>list_addr,
dina=>list_din,
clkb=>clk_out,
addrb=>rom_list_addr,
doutb=>rom_list_data
);
VOICE_DLLAYX:voice_delay port map(clk=>clk_self,start=>delay_start,finish=>delay_finish,total=>delay_total);
clk_self<=inclk;
clk_out<=inclk_n;
add_en<=add_en_s;
rom_en<='1';
process(clk_self,reset)
variable con_reset:integer range 0 to 127:=0;
variable con_init_start:integer range 0 to 2047:=0;
variable con:integer range 0 to 5:=0;
variable con_total:integer range 0 to 26:=0;
variable con_type:integer range 0 to 31:=0;
variable con_init_fin_start:integer range 0 to 3:=0;
begin
if clk_self'event and clk_self='1' then
voice_state(7)<='1';
--------------------å¤ä½-----------------------
if con_reset=127 then
reset<='1';
end if;
if reset='1' then
con_reset:=0;
reset<='0';
reco_fin<='0';
init_done<='0';
list_done<='0';
all_wait<='0';
all_done<='0';
reco_allow<='0';
rom_init_addr<="000000";
rom_list_addr<="00000000";
con_init_start:=0;
end if;
---------------------åå§å?---------------------
if start='1' then
if con_init_start=2047 then
con_init_start:=2047;
else
con_init_start:=con_init_start+1;
con:=0;
con_type:=0;
con_total:=0;
con_init_fin_start:=0;
end if;
end if;
if con_init_start=500 then
n_rst<='0';
elsif con_init_start=1000 then
n_rst<='1';
elsif con_init_start=1500 then
n_cs<='0';
elsif con_init_start=2000 then
n_cs<='1';
delay_start<='1';
delay_total<=x"5F";
end if;
--------------------åå§å?--------------------
if con_init_start=2047 and init_done='0' and delay_finish='1' then
voice_state(6)<='1';
if con=5 then
con:=0;
elsif con=0 then
if con_total=26 then
init_done<='1';
con:=0;
con_type:=0;
con_total:=0;
else
con:=con+1;
end if;
else
con:=con+1;
end if;
-------------------------------------------------------
if con_total=0 or con_total=2 then
if con=1 then
delay_start<='0';
if con_type=0 then
add_en_s<='1';
data_voice<=x"06";
else
add_en_s<='0';
data_voice<="ZZZZZZZZ";
end if;
elsif con=2 then
n_cs<='0';
elsif con=3 then
if con_type=0 then
n_wr<='0';
else
n_rd<='0';
end if;
elsif con=4 then
if add_en_s='0' then
delay_total<=x"0A";
delay_start<='1';
end if;
if con_type=0 then
n_wr<='1';
else
n_rd<='1';
end if;
elsif con=5 then
n_cs<='1';
if con_type=1 then
con_type:=0;
con_total:=con_total+1;
else
con_type:=con_type+1;
end if;
end if;
-------------------------------------------------------
else
if con=1 then
delay_start<='0';
if con_type=0 then
add_en_s<='1';
data_voice<=rom_init_data(15 downto 8);
else
add_en_s<='0';
data_voice<=rom_init_data(7 downto 0);
rom_init_addr<=rom_init_addr+1;
end if;
elsif con=2 then
n_cs<='0';
elsif con=3 then
n_wr<='0';
elsif con=4 then
n_wr<='1';
delay_total<=x"0A";
delay_start<='1';
elsif con=5 then
n_cs<='1';
if con_type=1 then
con_type:=0;
con_total:=con_total+1;
else
con_type:=con_type+1;
end if;
end if;
end if;
end if;
-------------------å¾
è¯å«å表åå
?---------------
if init_done='1' and list_done='0' and delay_finish='1' then
voice_state(5)<='1';
if con=0 then
delay_start<='0';
con:=con+1;
elsif con=1 then
if con_type=0 then
add_en_s<='1';
data_voice<=x"B2";
con:=con+1;
elsif con_type=10 then
add_en_s<='0';
data_voice<="ZZZZZZZZ";
con:=con+1;
elsif con_type=1 then
if rom_list_data=x"FF" then
con_type:=20;
--list_done<='1';
delay_total<=x"5F";
delay_start<='1';
con:=0;
--con_type:=0;
else
add_en_s<='1';
data_voice<=x"C1";
con:=con+1;
con_type:=2;
end if;
elsif con_type=20 then
add_en_s<='1';
data_voice<=x"BF";
con:=con+1;
elsif con_type=21 then
add_en_s<='0';
data_voice<="ZZZZZZZZ";
con:=con+1;
elsif con_type=2 then
add_en_s<='0';
data_voice<=rom_list_data;
con:=con+1;
con_type:=12;
elsif con_type=12 then
add_en_s<='1';
data_voice<=x"C3";
con:=con+1;
con_type:=13;
elsif con_type=13 then
add_en_s<='0';
data_voice<=x"00";
con:=con+1;
con_type:=14;
elsif con_type=14 then
add_en_s<='1';
data_voice<=x"08";
con:=con+1;
con_type:=15;
elsif con_type=15 then
add_en_s<='0';
data_voice<=x"04";
con:=con+1;
con_type:=16;
elsif con_type=16 then
add_en_s<='1';
data_voice<=x"08";
con:=con+1;
con_type:=17;
elsif con_type=17 then
add_en_s<='0';
data_voice<=x"00";
con:=con+1;
con_type:=3;
elsif con_type=3 then
add_en_s<='1';
data_voice<=x"05";
con:=con+1;
con_type:=11;
rom_list_addr<=rom_list_addr+1;
elsif con_type=4 then
add_en_s<='1';
data_voice<=x"B9";
con:=con+1;
con_type:=5;
rom_list_addr<=rom_list_addr+1;
elsif con_type=5 then
add_en_s<='0';
data_voice<=rom_list_data;
con:=con+1;
con_type:=6;
elsif con_type=6 then
add_en_s<='1';
data_voice<=x"B2";
con:=con+1;
con_type:=7;
elsif con_type=7 then
add_en_s<='0';
data_voice<=x"FF";
con:=con+1;
con_type:=8;
elsif con_type=8 then
add_en_s<='1';
data_voice<=x"37";
con:=con+1;
con_type:=9;
elsif con_type=9 then
add_en_s<='0';
data_voice<=x"04";
con:=con+1;
con_type:=0;
rom_list_addr<=rom_list_addr+1;
elsif con_type=11 then
if rom_list_data=x"FF" then
con_type:=4;
con:=0;
else
add_en_s<='0';
data_voice<=rom_list_data;
rom_list_addr<=rom_list_addr+1;
con:=con+1;
end if;
end if;
elsif con=2 then
n_cs<='0';
con:=con+1;
elsif con=3 then
con:=con+1;
if con_type=10 or con_type=21 then
n_rd<='0';
else
n_wr<='0';
end if;
elsif con=4 then
con:=con+1;
if add_en_s='0' and con_type/=11 then
delay_total<=x"01";
delay_start<='1';
end if;
if con_type=21 or con_type=10 then
n_rd<='1';
else
n_wr<='1';
end if;
elsif con=5 then
n_cs<='1';
con:=0;
if con_type=10 then
voice_ram(15 downto 8)<=data_voice;
voice_ram(5 downto 0)<=rom_init_addr;
if data_voice=x"21" then
con_type:=1;
else
delay_total<=x"0A";
delay_start<='1';
con_type:=0;
con_reset:=con_reset+1;
end if;
elsif con_type=0 then
con_type:=10;
elsif con_type=20 then
con_type:=21;
elsif con_type=21 then
if data_voice=x"31" then
con_type:=0;
list_done<='1';
con:=0;
con_type:=0;
else
reset<='1';
end if;
end if;
end if;
end if;
-------------------------è¯å«åå¤------------------------
reco_rqu_last<=reco_rqu;
if reco_rqu_last='0' and reco_rqu='1' then
reco_start<='1';
end if;
if list_done='1' and all_wait='0' and reco_start='1' and delay_finish='1' then
voice_state(4)<='1';
if con_init_fin_start=3 then
con_init_fin_start:=3;
else
rom_init_addr<="100000";
con_init_fin_start:=con_init_fin_start+1;
end if;
if con_init_fin_start=3 then
if con=5 then
con:=0;
elsif con=0 then
if con_total=5 then
all_wait<='1';
reco_start<='0';
con:=0;
con_type:=0;
con_total:=0;
con_reset:=0;
elsif con_total=0 then
con:=con+1;
else
con:=con+1;
end if;
else
con:=con+1;
end if;
if con=0 then
delay_start<='0';
elsif con=1 then
if con_type=0 then
add_en_s<='1';
data_voice<=rom_init_data(15 downto 8);
else
add_en_s<='0';
data_voice<=rom_init_data(7 downto 0);
rom_init_addr<=rom_init_addr+1;
end if;
elsif con=2 then
n_cs<='0';
elsif con=3 then
n_wr<='0';
elsif con=4 then
n_wr<='1';
if add_en_s='0' then
delay_total<=x"01";
delay_start<='1';
end if;
elsif con=5 then
n_cs<='1';
if con_type=1 then
con_type:=0;
con_total:=con_total+1;
else
con_type:=con_type+1;
end if;
end if;
end if;
end if;
---------------------------è¯å«--------------------------
if all_wait='1' and delay_finish='1' then
voice_state(3)<='1';
if con=5 then
con:=0;
elsif con=0 then
if con_total=7 then
con_total:=0;
con_type:=0;
con:=0;
all_wait<='0';
all_done<='1';
else
con:=con+1;
end if;
else
con:=con+1;
end if;
if con=0 then
delay_start<='0';
elsif con=1 then
if con_type=0 then
add_en_s<='1';
if con_total=0 then
data_voice<=x"B2";
elsif con_total=3 then
data_voice<=x"BF";
else
data_voice<=rom_init_data(15 downto 8);
end if;
else
add_en_s<='0';
if con_total=0 or con_total=3 then
data_voice<="ZZZZZZZZ";
else
data_voice<=rom_init_data(7 downto 0);
rom_init_addr<=rom_init_addr+1;
end if;
end if;
elsif con=2 then
n_cs<='0';
elsif con=3 then
if (con_total=0 or con_total=3) and con_type=1 then
n_rd<='0';
else
n_wr<='0';
end if;
elsif con=4 then
if (con_total=0 or con_total=3) and con_type=1 then
n_rd<='1';
else
n_wr<='1';
end if;
if add_en_s='0' then
if con_total=2 then
delay_total<=x"05";
else
delay_total<=x"01";
end if;
delay_start<='1';
end if;
elsif con=5 then
n_cs<='1';
if con_total=0 and con_type=1 then
if data_voice=x"21" then
con_total:=con_total+1;
else
con_reset:=con_reset+1;
con_total:=0;
end if;
elsif con_total=3 and con_type=1 then
if data_voice=x"31" then
con_total:=con_total+1;
else
reco_fin<='1';
data_voice<=x"FF";
reset<='1';
end if;
elsif con_type=1 then
con_total:=con_total+1;
end if;
if con_type=1 then
con_type:=0;
else
con_type:=con_type+1;
end if;
end if;
end if;
-----------------------è¯å«ç»æ---------------------
if all_done='1' and delay_finish='1' then
n_int_last<=n_int;
if n_int_last='1' and n_int='0' then
reco_allow<='1';
end if;
end if;
reco_allow_last<=reco_allow;
if reco_allow_last='1' and reco_allow='0' then
reco_fin<='0';
end if;
if reco_allow='1' then
voice_state(2)<='1';
if con=5 then
con:=0;
else
con:=con+1;
end if;
if con=0 then
if con_total=6 then
reco_allow<='0';
all_done<='0';
con:=0;
con_type:=0;
con_total:=0;
end if;
elsif con=1 then
if con_type=0 then
add_en_s<='1';
if con_total=0 then
data_voice<=x"29";
elsif con_total=1 then
data_voice<=x"02";
elsif con_total=2 then
data_voice<=x"BF";
elsif con_total=3 then
data_voice<=x"2B";
elsif con_total=4 then
data_voice<=x"BA";
elsif con_total=5 then
data_voice<=x"C5";
end if;
else
add_en_s<='0';
if con_total<2 then
data_voice<=x"00";
else
data_voice<="ZZZZZZZZ";
end if;
end if;
elsif con=2 then
n_cs<='0';
elsif con=3 then
if con_total>1 and con_type=1 then
n_rd<='0';
else
n_wr<='0';
end if;
elsif con=4 then
if con_total>1 and con_type=1 then
n_rd<='1';
else
n_wr<='1';
end if;
elsif con=5 then
n_cs<='1';
if con_type=1 then
con_type:=0;
if con_total<2 then
con_total:=con_total+1;
elsif con_total=2 and data_voice=x"35" then
con_total:=con_total+1;
elsif con_total=3 and data_voice(3)='0' then
con_total:=con_total+1;
elsif con_total=4 then
if data_voice>x"00" and data_voice<x"05" then
con_total:=con_total+1;
else
voice_result<=x"FD";
reco_allow<='0';
reco_fin<='1';
all_done<='0';
con:=0;
con_type:=0;
con_total:=0;
end if;
elsif con_total=5 then
reco_fin<='1';
voice_result<=data_voice;
con_total:=con_total+1;
else
reset<='1';
end if;
else
con_type:=con_type+1;
end if;
end if;
end if;
end if;
end process;
end voicex;
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_engine_control.vhd
|
1
|
51040
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_engine_control |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_engine_control.vhd |
-- |
-- Description The wf_engine_control is following the reception of an incoming ID_DAT frame and |
-- o identifies the variable to be treated |
-- o signals accordingly the wf_production or wf_consumption units. |
-- |
-- Reminder: |
-- |
-- ID_DAT frame structure: |
-- ___________ ______ _______ ______ ___________ _______ |
-- |____FSS____|_CTRL_||__Var__|_SUBS_||____FCS____|__FES__| |
-- |
-- |
-- Produced RP_DAT frame structure: |
-- ___________ ______ _______ ______ _________________ _______ _______ ___________ _______ |
-- |____FSS____|_CTRL_||__PDU__|_LGTH_|__..User-Data..__|_nstat_|__MPS__||____FCS____|__FES__| |
-- |
-- |
-- Consumed RP_DAT frame structure: |
-- ___________ ______ _______ ______ _________________________ _______ ___________ _______ |
-- |____FSS____|_CTRL_||__PDU__|_LGTH_|_____..Applic-Data.._____|__MPS__||____FCS____|__FES__| |
-- |
-- |
-- Turnaround time: Time between the end of the reception of an ID_DAT frame |
-- requesting for a variable to be produced and the starting of the delivery of a |
-- produced RP_DAT frame. |
-- |
-- Silence time : Maximum time that nanoFIP waits for a consumed RP_DAT frame |
-- after the reception of an ID_DAT frame that indicates a variable to be consumed. |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Evangelia Gousiou ([email protected]) |
-- Date 15/01/2011 |
-- Version v0.06 |
-- Depends on wf_reset_unit |
-- wf_fd_transmitter |
-- wf_fd_receiver |
---------------- |
-- Last changes |
-- 07/2009 v0.01 EB First version |
-- 08/2010 v0.02 EG E0 added as broadcast |
-- PDU,LGTH,CTRL bytes of RP_DAT checked bf VAR1_RDY/var_2_rdy assertion; |
-- if ID_DAT>8 bytes or RP_DAT>133 (bf reception of a FES) go to IDLE; |
-- state CONSUME_WAIT_FSS, for the correct use of the silence time(time |
-- stops counting when an RP_DAT frame has started) |
-- 12/2010 v0.03 EG state machine rewritten moore style; removed check on slone mode |
-- for #bytes>4; in slone no broadcast |
-- 01/2011 v0.04 EG signals named according to their origin; signals var_rdy (1,2,3), |
-- assert_rston_p_o,rst_nfip_and_fd_p_o, nFIP status bits and |
-- rx_byte_ready_p_o removed cleaning-up+commenting |
-- 02/2011 v0.05 EG Independent timeout counter added; time counter 18 digits instead of 15|
-- ID_DAT_FRAME_OK: corrected mistake if rx_fss_crc_fes_ok_p not |
-- activated; rx reset during production (rx_rst_o); |
-- cons_bytes_excess_o added |
-- tx_completed_p_i added (bf for the engine ctrl production was finished |
-- after the delivery of the last data byte (MPS)) |
-- 07/2011 v0.06 EG RST_RX state added |
-- 10/2011 v0.06b EG moved session_timedout in the synchronous FSM process |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_engine_control
--=================================================================================================
entity wf_engine_control is port(
-- INPUTS
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- nanoFIP User Interface, General signals
uclk_i : in std_logic; -- 40 MHz clock
nostat_i : in std_logic; -- if negated, nFIP status is sent
slone_i : in std_logic; -- stand-alone mode
-- nanoFIP WorldFIP Settings
p3_lgth_i : in std_logic_vector (2 downto 0); -- produced var user-data length
rate_i : in std_logic_vector (1 downto 0); -- WorldFIP bit rate
subs_i : in std_logic_vector (7 downto 0); -- subscriber number coding
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal from the wf_fd_transmitter unit
tx_completed_p_i : in std_logic; -- pulse upon termination of a
-- produced RP_DAT transmission
tx_byte_request_p_i : in std_logic; -- used for the counting of the
-- # produced bytes
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signals from the wf_fd_receiver unit
rx_byte_i : in std_logic_vector (7 downto 0); -- deserialized ID_DAT/ RP_DAT byte
rx_byte_ready_p_i : in std_logic; -- indication of a new byte on rx_byte_i
rx_fss_crc_fes_ok_p_i : in std_logic; -- indication of a frame (ID_DAT or RP_DAT) with
-- correct FSS, FES and CRC
rx_crc_wrong_p_i : in std_logic; -- indication of a frame with a wrong CRC
-- pulse upon FES detection
rx_fss_received_p_i : in std_logic; -- pulse upon FSS detection (ID/ RP_DAT)
-------------------------------------------------------------------------------------------------
-- OUTPUTS
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal to the wf_fd_transmitter unit
tx_start_p_o : out std_logic; -- launches the transmitter
tx_byte_request_accept_p_o : out std_logic; -- answer to tx_byte_request_p_i
tx_last_data_byte_p_o : out std_logic; -- indication of the last data-byte
-- (CRC & FES not included)
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal to the wf_production unit
prod_data_lgth_o : out std_logic_vector (7 downto 0); -- # bytes of the Conrol & Data
-- fields of a prod RP_DAT frame
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal to the wf_fd_receiver
rx_rst_o : out std_logic; -- reset during production or
-- reset pulse when during reception a frame is rejected
-- (example: ID_DAT > 8 bytes, RP_DAT > 133 bytes,
-- wrong ID_DAT CTRL, variable, subs bytes)
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signal to the wf_consumption unit
cons_bytes_excess_o : out std_logic; -- indication of a consumed RP_DAT frame with more
-- than 133 bytes
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signals to the wf_production & wf_consumption
prod_byte_index_o : out std_logic_vector (7 downto 0); -- index of the byte being
-- produced
cons_byte_index_o : out std_logic_vector (7 downto 0); -- index of the byte being
-- consumed
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Signals to the wf_production, wf_consumption, wf_reset_unit
var_o : out t_var); -- received variable; takes a value only after a
-- valid ID_DAT frame with SUBS the station's address
end entity wf_engine_control;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of wf_engine_control is
-- FSM
type control_st_t is (IDLE,
ID_DAT_CTRL_BYTE, ID_DAT_VAR_BYTE, ID_DAT_SUBS_BYTE, ID_DAT_FRAME_OK,
CONSUME_WAIT_FSS, CONSUME, RST_RX,
PRODUCE_WAIT_TURNAR_TIME, PRODUCE);
signal control_st, nx_control_st : control_st_t;
signal s_idle_state, s_id_dat_ctrl_byte, s_id_dat_var_byte, s_id_dat_frame_ok : std_logic;
signal s_cons_wait_FSS, s_consuming, s_rst_rx_p : std_logic;
signal s_prod_wait_turnar_time, s_producing : std_logic;
-- variable identification
signal s_var_aux, s_var : t_var;
signal s_var_identified, s_broadcast_var : std_logic;
signal s_prod_or_cons : std_logic_vector (1 downto 0);
-- time counters (turnaround, silence, timeout)
signal s_time_c_top, s_turnaround_time, s_silence_time : unsigned (17 downto 0);
signal s_time_c_load, s_time_c_is_zero : std_logic;
signal s_session_timedout : std_logic;
-- received & produced byte counters
signal s_rx_bytes_c, s_prod_bytes_c : unsigned (7 downto 0);
signal s_prod_bytes_c_rst, s_prod_bytes_c_inc : std_logic;
signal s_rx_bytes_c_rst, s_rx_bytes_c_inc : std_logic;
-- transmitter controls
signal s_tx_start_prod_p, s_tx_byte_request_accept_p, s_tx_byte_request_accept_p_d1 : std_logic;
signal s_tx_byte_request_accept_p_d2, s_tx_last_data_byte_p, s_tx_last_data_byte_p_d : std_logic;
-- length of produced data
signal s_prod_data_lgth : std_logic_vector (7 downto 0);
signal s_prod_data_lgth_match : std_logic;
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- engine_control FSM --
---------------------------------------------------------------------------------------------------
-- Central control FSM: the state machine is divided in three parts (a clocked process
-- to store the current state, a combinatorial process to manage state transitions and finally a
-- combinatorial process to manage the output signals), which are the three processes that follow.
-- The FSM stays in IDLE until the reception of a FSS from the wf_fd_receiver.
-- It continues by checking one by one the bytes of the frame as they arrive:
-- o if the CTRL byte corresponds to an ID_DAT,
-- o if the variable byte corresponds to a defined variable,
-- o if the subscriber byte matches the station's address, or if the variable is a broadcast
-- o and if the frame finishes with a correct CRC and FES.
-- If any of the bytes above has been different than the expected, the FSM resets the wf_fd_receiver
-- and goes back to IDLE.
-- o if the ID_DAT frame has been correct and the received variable is a produced (var_presence,
-- var_identif, var_3, var_5) the FSM stays in the "PRODUCE_WAIT_TURNAR_TIME" state until the
-- expiration of the turnaround time and then jumps to the "PRODUCE" state, waiting for the
-- wf_fd_serializer to finish the transmission; then it goes back to IDLE.
-- o if the received variable is a consumed (var_1, var_2, var_rst, var_4) the FSM stays in the
-- "CONSUME_WAIT_FSS" state until the arrival of a FSS or the expiration of the silence time.
-- After the arrival of the FSS the FSM jumps to the "CONSUME" state, where it stays until the
-- end of the reception of the consumed frame (marked by a FES).
-- Note: In the case of a var_5, it is the wf_consumption unit that signals the start-up of
-- the wf_jtag_controller which will work in parallel and independently from the
-- wf_engine_control; i.e. new frames reception can take place while the
-- wf_jtag_controller is working.
-- To add a robust layer of protection to the FSM, a counter dependent only on the system clock
-- has been implemented, that from any state can bring the FSM back to IDLE. At any bit rate the
-- reception of an ID_DAT frame followed by the reception/ transmission of an RP_DAT should not
-- last more than 41ms. Hence, we have generated a 21 bits (c_SESSION_TIMEOUT_C_LGTH)counter that
-- will reset the machine if more than 52ms (complete 21 bit counter) have passed since it has
-- left this IDLE state.
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Synchronous process Engine_Control_FSM_Sync: storage of the current state of the FSM
Engine_Control_FSM_Sync: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' or s_session_timedout = '1' then
control_st <= IDLE;
else
control_st <= nx_control_st;
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Combinatorial process Engine_Control_FSM_Comb_State_Transitions: definition of the state
-- transitions of the FSM.
Engine_Control_FSM_Comb_State_Transitions: process (s_time_c_is_zero, s_prod_or_cons,subs_i,
rx_crc_wrong_p_i, rx_fss_crc_fes_ok_p_i,
s_broadcast_var, s_var_identified, rx_byte_i,
rx_byte_ready_p_i, control_st, s_rx_bytes_c,
rx_fss_received_p_i,tx_completed_p_i)
begin
case control_st is
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when IDLE =>
if rx_fss_received_p_i = '1' then -- new frame FSS detected
nx_control_st <= ID_DAT_CTRL_BYTE;
else
nx_control_st <= IDLE;
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when ID_DAT_CTRL_BYTE =>
if (rx_byte_ready_p_i = '1') and (rx_byte_i(5 downto 0) = c_ID_DAT_CTRL_BYTE) then
nx_control_st <= ID_DAT_VAR_BYTE; -- check of ID_DAT CTRL byte
elsif rx_byte_ready_p_i = '1' then
nx_control_st <= RST_RX; -- byte different than the expected ID_DAT CTRL
else
nx_control_st <= ID_DAT_CTRL_BYTE; -- ID_DAT CTRL byte being arriving
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when ID_DAT_VAR_BYTE =>
if (rx_byte_ready_p_i = '1') and (s_var_identified = '1') then
nx_control_st <= ID_DAT_SUBS_BYTE; -- check of the ID_DAT variable
elsif rx_byte_ready_p_i = '1' then
nx_control_st <= RST_RX; -- byte not corresponding to an expected variable
else
nx_control_st <= ID_DAT_VAR_BYTE; -- ID_DAT variable byte being arriving
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when ID_DAT_SUBS_BYTE =>
if (rx_byte_ready_p_i = '1') and ((rx_byte_i = subs_i) or (s_broadcast_var = '1')) then
nx_control_st <= ID_DAT_FRAME_OK; -- checking of the ID_DAT subscriber
-- or if it is a broadcast variable
-- note: broadcast consumed vars are only treated in
-- memory mode, but at this moment we do not do this
-- check as the var_rst which is broadcast is treated
-- also in stand-alone mode.
elsif rx_byte_ready_p_i = '1' then -- not the station's address, neither a broadcast var
nx_control_st <= RST_RX;
else
nx_control_st <= ID_DAT_SUBS_BYTE; -- ID_DAT subscriber byte being arriving
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when ID_DAT_FRAME_OK =>
if (rx_fss_crc_fes_ok_p_i = '1') and (s_prod_or_cons = "10") then
nx_control_st <= PRODUCE_WAIT_TURNAR_TIME; -- ID_DAT frame ok! station has to PRODUCE
elsif (rx_fss_crc_fes_ok_p_i = '1') and (s_prod_or_cons = "01") then
nx_control_st <= CONSUME_WAIT_FSS; -- ID_DAT frame ok! station has to CONSUME
elsif (s_rx_bytes_c > 2) then -- 3 bytes after the arrival of the subscriber
nx_control_st <= RST_RX; -- byte, a FES has not been detected
else
nx_control_st <= ID_DAT_FRAME_OK; -- CRC & FES bytes being arriving
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when PRODUCE_WAIT_TURNAR_TIME =>
if s_time_c_is_zero = '1' then -- turnaround time passed
nx_control_st <= PRODUCE;
else
nx_control_st <= PRODUCE_WAIT_TURNAR_TIME; -- waiting for turnaround time to pass
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when CONSUME_WAIT_FSS =>
if rx_fss_received_p_i = '1' then -- FSS of the consumed RP_DAT arrived
nx_control_st <= CONSUME;
elsif s_time_c_is_zero = '1' then -- if the FSS of the consumed RP_DAT frame doesn't
nx_control_st <= RST_RX; -- arrive before the expiration of the silence time,
-- the engine goes back to IDLE
else
nx_control_st <= CONSUME_WAIT_FSS; -- counting silence time
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when CONSUME =>
if (rx_fss_crc_fes_ok_p_i = '1') or -- the cons frame arrived to the end, as expected
(rx_crc_wrong_p_i = '1') then -- FES detected but wrong CRC or wrong # bits
nx_control_st <= IDLE;
elsif (s_rx_bytes_c > c_MAX_FRAME_BYTES) then -- no FES detected after the max number of bytes
nx_control_st <= RST_RX;
else
nx_control_st <= CONSUME; -- consuming bytes
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when PRODUCE =>
if tx_completed_p_i = '1' then -- end of production (including CRC and FES)
nx_control_st <= IDLE;
else
nx_control_st <= PRODUCE; -- producing bytes
end if;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when RST_RX => -- the current reception has finished
-- a reset pulse is sent to the wf_receiver
nx_control_st <= IDLE; -- which will start looking for a new FSS
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when others =>
nx_control_st <= IDLE;
end case;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Combinatorial process Engine_Control_FSM_Comb_Output_Signals : definition of the output
-- signals of the FSM
Engine_Control_FSM_Comb_Output_Signals: process (control_st)
begin
case control_st is
when IDLE =>
---------------------------------
s_idle_state <= '1';
---------------------------------
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when ID_DAT_CTRL_BYTE =>
s_idle_state <= '0';
---------------------------------
s_id_dat_ctrl_byte <= '1';
---------------------------------
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when ID_DAT_VAR_BYTE =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
---------------------------------
s_id_dat_var_byte <= '1';
---------------------------------
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when ID_DAT_SUBS_BYTE =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when ID_DAT_FRAME_OK =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
---------------------------------
s_id_dat_frame_ok <= '1';
---------------------------------
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when PRODUCE_WAIT_TURNAR_TIME =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
---------------------------------
s_prod_wait_turnar_time <= '1';
---------------------------------
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when CONSUME_WAIT_FSS =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
---------------------------------
s_cons_wait_FSS <= '1';
---------------------------------
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
when CONSUME =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
---------------------------------
s_consuming <= '1';
---------------------------------
s_rst_rx_p <= '0';
s_producing <= '0';
when RST_RX =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
---------------------------------
s_rst_rx_p <= '1';
---------------------------------
s_producing <= '0';
when PRODUCE =>
s_idle_state <= '0';
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
---------------------------------
s_producing <= '1';
---------------------------------
when others =>
---------------------------------
s_idle_state <= '1';
---------------------------------
s_id_dat_ctrl_byte <= '0';
s_id_dat_var_byte <= '0';
s_id_dat_frame_ok <= '0';
s_prod_wait_turnar_time <= '0';
s_cons_wait_FSS <= '0';
s_consuming <= '0';
s_rst_rx_p <= '0';
s_producing <= '0';
end case;
end process;
---------------------------------------------------------------------------------------------------
-- Counters for the number of bytes being received or produced --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Instantiation of the wf_prod_data_lgth_calc unit that calculates the amount of bytes that have
-- to be transmitted when a variable is produced; the CTRL, MPS and nanoFIP_status bytes are
-- included; The FSS, CRC and FES bytes are not included!
Produced_Data_Length_Calculator: wf_prod_data_lgth_calc
port map(
uclk_i => uclk_i,
nfip_rst_i => nfip_rst_i,
slone_i => slone_i,
nostat_i => nostat_i,
p3_lgth_i => p3_lgth_i,
var_i => s_var,
-------------------------------------------------------
prod_data_lgth_o => s_prod_data_lgth);
-------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Instantiation of a wf_incr_counter for the counting of the number of the bytes that are
-- being produced. The counter is reset at the "PRODUCE_WAIT_TURNAR_TIME" state of the FSM and
-- counts bytes following the "tx_byte_request_p_i" pulse in the "PRODUCE" state.
Prod_Bytes_Counter: wf_incr_counter
generic map(g_counter_lgth => 8)
port map(
uclk_i => uclk_i,
counter_reinit_i => s_prod_bytes_c_rst,
counter_incr_i => s_prod_bytes_c_inc,
counter_is_full_o => open,
-------------------------------------------------------
counter_o => s_prod_bytes_c);
-------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- --
s_prod_bytes_c_rst <= '0' when s_producing = '1' else '1';
s_prod_bytes_c_inc <= tx_byte_request_p_i when s_producing = '1' else '0';
-- when s_prod_data_lgth bytes have been counted,the signal s_prod_data_lgth_match is activated
s_prod_data_lgth_match <= '1' when s_prod_bytes_c = unsigned (s_prod_data_lgth) else '0';
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Instantiation of a wf_incr_counter for the counting of the number of bytes that are being
-- received. The same counter is used for the bytes of an ID_DAT frame or a consumed RP_DAT
-- frame (hence the name of the counter is s_rx_bytes_c and not s_cons_bytes_c).
-- Regarding an ID_DAT frame: the FSS, CTRL, var and SUBS bytes are being followed by the
-- Engine_Control_FSM; the counter is used for the counting of the bytes from then on and until
-- the arrival of a FES. Therefore, the counter is reset at the "ID_DAT_SUBS_BYTE" state and counts
-- bytes following the "rx_byte_ready_p_i" pulse in the "ID_DAT_FRAME_OK" state.
-- Regarding a RP_DAT frame : the counter is reset at the "CONSUME_WAIT_FSS" state and counts
-- bytes following the "rx_byte_ready_p_i" pulse in the "CONSUME" state.
Rx_Bytes_Counter: wf_incr_counter
generic map(g_counter_lgth => 8)
port map(
uclk_i => uclk_i,
counter_reinit_i => s_rx_bytes_c_rst,
counter_incr_i => s_rx_bytes_c_inc,
counter_is_full_o => open,
-------------------------------------------------------
counter_o => s_rx_bytes_c);
-------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- --
s_rx_bytes_c_rst <= '0' when (s_id_dat_frame_ok = '1') or (s_consuming = '1') else '1';
s_rx_bytes_c_inc <= rx_byte_ready_p_i when (s_id_dat_frame_ok = '1') or (s_consuming = '1') else '0';
---------------------------------------------------------------------------------------------------
-- Independent Timeout Counter --
---------------------------------------------------------------------------------------------------
-- Instantiation of a wf_decr_counter relying only on the system clock as an additional
-- way to go back to IDLE state, in case any other logic is being stuck.
Session_Timeout_Counter: wf_decr_counter
generic map(g_counter_lgth => c_SESSION_TIMEOUT_C_LGTH)
port map(
uclk_i => uclk_i,
counter_rst_i => nfip_rst_i,
counter_top_i => (others => '1'),
counter_load_i => s_idle_state,
counter_decr_i => '1', -- on each uclk tick
counter_o => open,
---------------------------------------------------
counter_is_zero_o => s_session_timedout);
---------------------------------------------------
---------------------------------------------------------------------------------------------------
-- Turnaround & Silence times --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- retrieval of the turnaround and silence times (in equivalent number of uclk ticks) from the
-- c_TIMEOUTS_TABLE declared in the WF_PACKAGE unit.
s_turnaround_time <= to_unsigned((c_TIMEOUTS_TABLE(to_integer(unsigned(rate_i))).turnaround),
s_turnaround_time'length);
s_silence_time <= to_unsigned((c_TIMEOUTS_TABLE(to_integer(unsigned(rate_i))).silence),
s_turnaround_time'length);
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Instantiation of a wf_decr_counter for the counting of turnaround and silence times.
-- The same counter is used in both cases. The signal s_time_c_top initializes the counter
-- to either the turnaround or the silence time. If after the correct arrival of an ID_DAT frame
-- the identified variable is a produced one the counter loads to the turnaround time, whereas if
-- it had been a consumed variable it loads to the silence. The counting takes place during the
-- states "PRODUCE_WAIT_TURNAR_TIME" and "CONSUME_WAIT_FSS" respectively.
Turnaround_and_Silence_Time_Counter: wf_decr_counter
generic map(g_counter_lgth => 18)
port map(
uclk_i => uclk_i,
counter_rst_i => nfip_rst_i,
counter_top_i => s_time_c_top,
counter_load_i => s_time_c_load,
counter_decr_i => '1', -- on each uclk tick
counter_o => open,
-------------------------------------------------------
counter_is_zero_o => s_time_c_is_zero);
-------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- --
s_time_c_top <= s_turnaround_time when (s_id_dat_frame_ok = '1' and s_prod_or_cons = "10") else s_silence_time;
s_time_c_load <= '0' when s_prod_wait_turnar_time= '1' or s_cons_wait_FSS = '1' else '1';
---------------------------------------------------------------------------------------------------
-- Identification of the variable received by an ID_DAT frame --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- The following process generates the signals:
-- o internal signal s_var_aux that locks to the value of the ID_DAT.Identifier.Variable byte
-- upon its arrival
-- o output signal var_o (or s_var, used also internally by the wf_prod_data_lgth_calc) that
-- locks to the value of the ID_DAT.Identifier.Variable byte at the end of the reception of a
-- valid ID_DAT frame, if the received SUBS byte matches the station's address.
-- For a produced var this takes place at the "PRODUCE_WAIT_TURNAR_TIME" state, and
-- for a consumed at the "CONSUME" state (not in the "consume_wait_silence_time", as at this
-- state there is no knowledge that a consumed RP_DAT frame will indeed arrive!).
-- (the process is very simple but very big as we decided not to use a for loop:s)
ID_DAT_var: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_var_aux <= var_whatever;
s_var <= var_whatever;
s_prod_or_cons <= "00";
s_broadcast_var <= '0';
else
-------------------------------------------------------------------------------------------
if (s_idle_state = '1') or (s_id_dat_ctrl_byte = '1') then -- new frame initializations
s_var_aux <= var_whatever;
s_var <= var_whatever;
s_prod_or_cons <= "00";
s_broadcast_var <= '0';
-------------------------------------------------------------------------------------------
elsif (s_id_dat_var_byte = '1') and (rx_byte_ready_p_i = '1') then -- var byte arrived
case rx_byte_i is
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_PRESENCE_INDEX).hexvalue =>
s_var_aux <= var_presence;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_PRESENCE_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_PRESENCE_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_IDENTIF_INDEX).hexvalue =>
s_var_aux <= var_identif;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_IDENTIF_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_IDENTIF_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_1_INDEX).hexvalue =>
s_var_aux <= var_1;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_1_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_1_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_2_INDEX).hexvalue =>
s_var_aux <= var_2;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_2_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_2_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_3_INDEX).hexvalue =>
s_var_aux <= var_3;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_3_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_3_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_RST_INDEX).hexvalue =>
s_var_aux <= var_rst;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_RST_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_RST_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_4_INDEX).hexvalue =>
s_var_aux <= var_4;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_4_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_4_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when c_VARS_ARRAY(c_VAR_5_INDEX).hexvalue =>
s_var_aux <= var_5;
s_prod_or_cons <= c_VARS_ARRAY(c_VAR_5_INDEX).prod_or_cons;
s_broadcast_var <= c_VARS_ARRAY(c_VAR_5_INDEX).broadcast;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
when others =>
s_var_aux <= var_whatever;
s_prod_or_cons <= "00";
s_broadcast_var <= '0';
end case;
-------------------------------------------------------------------------------------------
elsif (s_prod_wait_turnar_time = '1') or (s_consuming = '1') then -- ID_DAT OK!
s_var <= s_var_aux;
end if;
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Concurrent signal assignment (used by the FSM)
s_var_identified <= '1' when rx_byte_i = c_VARS_ARRAY(c_VAR_PRESENCE_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_IDENTIF_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_RST_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_1_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_2_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_3_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_4_INDEX).hexvalue or
rx_byte_i = c_VARS_ARRAY(c_VAR_5_INDEX).hexvalue else '0';
---------------------------------------------------------------------------------------------------
-- Signals Registration --
---------------------------------------------------------------------------------------------------
process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
tx_last_data_byte_p_o <= '0';
s_tx_last_data_byte_p_d <= '0';
s_tx_byte_request_accept_p_d1 <= '0';
s_tx_byte_request_accept_p_d2 <= '0';
s_tx_start_prod_p <= '0';
else
s_tx_last_data_byte_p_d <= s_tx_last_data_byte_p;
tx_last_data_byte_p_o <= s_tx_last_data_byte_p_d;
s_tx_byte_request_accept_p_d1 <= s_tx_byte_request_accept_p;
s_tx_byte_request_accept_p_d2 <= s_tx_byte_request_accept_p_d1;
s_tx_start_prod_p <= (s_prod_wait_turnar_time and s_time_c_is_zero);
end if;
end if;
end process;
-- -- -- -- -- -- -- -- -- -- --
s_tx_byte_request_accept_p <= s_producing and (tx_byte_request_p_i or s_tx_start_prod_p);
s_tx_last_data_byte_p <= s_producing and s_prod_data_lgth_match and tx_byte_request_p_i;
---------------------------------------------------------------------------------------------------
-- Concurrent Signal Assignments --
---------------------------------------------------------------------------------------------------
-- variable received by a valid ID_DAT frame that concerns this station
var_o <= s_var;
-- number of bytes for the CTRL & Data fields of a produced RP_DAT frame
prod_data_lgth_o <= s_prod_data_lgth;
-- response to wf_tx_serializer request for a byte
tx_byte_request_accept_p_o <= s_tx_byte_request_accept_p_d2;
-- index of the byte being produced/ consumed
prod_byte_index_o <= std_logic_vector (s_prod_bytes_c);
cons_byte_index_o <= std_logic_vector (s_rx_bytes_c);
-- The wf_fd_receiver receives a 1 uclk long reset pulse if during the reception of an ID or an
-- RP_DAT the engine control FSM has to go back to IDLE.
-- This may happen if : any of the CTRL, variable, subs bytes of an ID_DAT frame are wrong or
-- an ID_DAT is lasting more than 8 bytes or
-- an RP_DAT is lasting more than 133 bytes or
-- the silence times expires
-- the engine control FSM times out
-- After this reset, the receiver will discard any frame being received and will restart looking
-- for the FSS of a new one.
-- The wf_fd_receiver also stays reset during a production session.
rx_rst_o <= '1' when (s_rst_rx_p = '1') or
(s_prod_wait_turnar_time = '1') or (s_producing = '1') else '0';
-- indication of a consumed RP_DAT frame with more than 133 bytes
cons_bytes_excess_o <= '1' when (s_consuming = '1') and (s_rx_bytes_c > c_MAX_FRAME_BYTES) else '0';
-- production starts after the expiration of the turnaround time
tx_start_p_o <= s_tx_start_prod_p;
end architecture rtl;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
wltr/cern-fgclite
|
critical_fpga/src/rtl/cf_top.vhd
|
1
|
14885
|
-------------------------------------------------------------------------------
--! @file cf_top.vhd
--! @author Johannes Walter <[email protected]>
--! @copyright CERN TE-EPC-CCE
--! @date 2014-05-06
--! @brief FGClite Critical FPGA (CF) top-level.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.ab_pkg.all;
use work.nf_pkg.all;
use work.xf_pkg.all;
use work.sram_pkg.all;
--! @brief Entity declaration of cf_top
--! @details
--! The top-level component for the Critical FPGA implementation.
entity cf_top is
port (
--! @name Clock and resets
--! @{
--! System clock
clk_i : in std_ulogic;
--! Power-on reset
po_rst_i : inout std_logic;
--! Push-button reset
pb_rst_n_i : in std_ulogic;
--! NF reset
nf_rst_n_i : in std_ulogic;
--! @}
--! @name LEDs
--! @{
--! Red LEDs
leds_red_n_o : out std_ulogic_vector(5 downto 0);
--! Green LEDs
leds_green_n_o : out std_ulogic_vector(5 downto 0);
--! Test LEDs
leds_test_n_i : in std_ulogic;
--! @}
--! @name Power converter interface
--! @{
--! Power converter commands
conv_cmd_o : out std_ulogic_vector(7 downto 0);
--! Power converter status
conv_stat_i : in std_ulogic_vector(15 downto 0);
--! @}
--! @name External SRAM interface
--! @{
--! Address
sram_addr_o : out std_ulogic_vector(19 downto 0);
--! Control signals (CS2, OE, LB, UB, BYTE, CS1, WE)
sram_ctrl_o : out std_ulogic_vector(6 downto 0);
--! Data bus
sram_data_io : inout std_logic_vector(15 downto 0);
--! @}
--! @name Optical interface
--! @{
--! Optical input
optical_i : in std_ulogic_vector(1 downto 0);
--! @}
--! @name Analogue board interface
--! @{
--! Stop temperature control
ab_temp_stop_o : out std_ulogic;
--! Power control
ab_pwr_on_n_o : out std_ulogic;
--! @}
--! @name Analogue board calibration multiplexer (only one can be active)
--! @{
--! Set calibration source to DAC
ab_cal_dac_o : out std_ulogic;
--! Set calibration source to GND
ab_cal_offset_o : out std_ulogic;
--! Set calibration source to +VREF
ab_cal_vref_p_o : out std_ulogic;
--! Set calibration source to -VREF
ab_cal_vref_n_o : out std_ulogic;
--! @}
--! @name Analogue board DAC interface
--! @{
--! DAC data
ab_dac_din_o : out std_ulogic;
--! DAC clock
ab_dac_sclk_o : out std_ulogic;
--! DAC chip-select
ab_dac_cs_o : out std_ulogic;
--! @}
--! @name Analogue board ADC V_MEAS
--! @{
--! ADC V_MEAS bit streams M1 and M0
ab_adc_vs_i : in std_ulogic_vector(1 downto 0);
--! ADC V_MEAS bit stream clock
ab_adc_vs_clk_i : in std_ulogic;
--! ADC V_MEAS reset (active-low)
ab_adc_vs_rst_n_o : out std_ulogic;
--! Calibrate ADC V_MEAS
ab_sw_in_vs_o : out std_ulogic;
--! @}
--! @name Analogue board ADC I_A
--! @{
--! ADC I_A bit streams M1 and M0
ab_adc_a_i : in std_ulogic_vector(1 downto 0);
--! ADC I_A bit stream clock
ab_adc_a_clk_i : in std_ulogic;
--! ADC I_A reset (active-low)
ab_adc_a_rst_n_o : out std_ulogic;
--! Calibrate ADC I_A
ab_sw_in_a_o : out std_ulogic;
--! @}
--! @name Analogue board ADC I_B
--! @{
--! ADC I_B bit streams M1 and M0
ab_adc_b_i : in std_ulogic_vector(1 downto 0);
--! ADC I_B bit stream clock
ab_adc_b_clk_i : in std_ulogic;
--! ADC I_B reset (active-low)
ab_adc_b_rst_n_o : out std_ulogic;
--! Calibrate ADC I_B
ab_sw_in_b_o : out std_ulogic;
--! @}
--! @name Interlocks
--! @{
--! Interlock inputs
interlock_i : in std_ulogic_vector(1 downto 0);
--! Interlock outputs
interlock_o : out std_ulogic_vector(1 downto 0);
--! @}
--! @name PF interface
--! @{
--! Send power cycle request to PF
pf_req_n_o : out std_ulogic;
--! Enable power down on PF
pf_pwr_dwn_en_o : out std_ulogic;
--! Failure flag from PF
pf_pwr_flr_i : in std_ulogic;
--! Power down signal from PF
pf_pwr_dwn_i : in std_ulogic;
--! @}
--! @name NF interface
--! @{
--! NF received FGClite CMD 0
nf_cmd_0_i : in std_ulogic;
--! NF transmitter ready
nf_tx_rdy_i : in std_ulogic;
--! NanoFIP status byte - bit 5
nf_r_fcser_i : in std_ulogic;
--! NanoFIP status byte - bit 4
nf_r_tler_i : in std_ulogic;
--! NanoFIP status byte - bit 2
nf_u_cacer_i : in std_ulogic;
--! NanoFIP status byte - bit 3
nf_u_pacer_i : in std_ulogic;
--! @}
--! @name 3-wire serial receiver from NF
--! @{
--! Frame
nf_rx_frame_i : in std_ulogic;
--! Bit enable
nf_rx_bit_en_i : in std_ulogic;
--! Data
nf_rx_i : in std_ulogic;
--! @}
--! @name 3-wire serial transmitter to NF
--! @{
--! Frame
nf_tx_frame_o : out std_ulogic;
--! Bit enable
nf_tx_bit_en_o : out std_ulogic;
--! Data
nf_tx_o : out std_ulogic;
--! @}
--! @name 2 x 3-wire serial receiver from XF
--! @{
--! Frame
xf_rx_frame_i : in std_ulogic_vector(1 downto 0);
--! Bit enable
xf_rx_bit_en_i : in std_ulogic_vector(1 downto 0);
--! Data
xf_rx_i : in std_ulogic_vector(1 downto 0);
--! @}
--! @name Control signals to XF
--! @{
--! Trigger DIM bus readout
xf_dim_trig_o : out std_ulogic;
--! Reset all DIMs on bus
xf_dim_rst_o : out std_ulogic;
--! Trigger 1-wire bus readout
xf_ow_trig_o : out std_ulogic;
--! 1-wire bus select
xf_ow_bus_sel_o : out std_ulogic_vector(2 downto 0);
--! @}
--! @name Auxiliary interface (UART to diagnostics connector)
--! @{
--! Input
aux_i : in std_ulogic;
--! Output
aux_o : out std_ulogic;
--! @}
--! @name Debugging
--! @{
--! Serial receiver
debug_rx_i : in std_ulogic;
--! Serial transmitter
debug_tx_o : out std_ulogic;
--! Debugging probe
debug_probe_o : out std_ulogic);
--! @}
end entity cf_top;
--! RTL implementation of cf_top
architecture rtl of cf_top is
---------------------------------------------------------------------------
--! @name Internal Wires
---------------------------------------------------------------------------
--! @{
-- Safe reset generation
signal po_rst_n : std_ulogic;
signal pb_rst_n : std_ulogic;
signal nf_rst_n : std_ulogic;
signal rst_n : std_ulogic;
-- Input synchronization and glitch filter
signal leds_test_n_syn : std_ulogic;
signal conv_stat_syn : std_ulogic_vector(15 downto 0);
signal optical_syn : std_ulogic_vector(1 downto 0);
signal interlock_syn : std_ulogic_vector(1 downto 0);
signal pf_pwr_flr_syn : std_ulogic;
signal pf_pwr_dwn_syn : std_ulogic;
signal aux_syn : std_ulogic;
signal debug_rx_syn : std_ulogic;
-- External SRAM interface
signal sram_in : sram_in_t;
signal sram_out : sram_out_t;
-- Analogue board interface
signal ab_in : ab_in_t;
signal ab_out : ab_out_t;
-- NanoFIP interface
signal nf_in : nf_in_t;
signal nf_out : nf_out_t;
-- Auxiliary FPGA interface
signal xf_in : xf_in_t;
signal xf_out : xf_out_t;
--! @}
begin -- architecture rtl
---------------------------------------------------------------------------
-- Outputs
---------------------------------------------------------------------------
-- External SRAM interface
sram_data_io <= std_logic_vector(sram_out.data) when sram_out.we_n = '0' else (others => 'Z');
sram_addr_o <= sram_out.addr;
sram_ctrl_o(0) <= sram_out.we_n;
sram_ctrl_o(1) <= sram_out.cs1_n;
sram_ctrl_o(2) <= sram_out.byte_n;
sram_ctrl_o(3) <= sram_out.ue_n;
sram_ctrl_o(4) <= sram_out.le_n;
sram_ctrl_o(5) <= sram_out.oe_n;
sram_ctrl_o(6) <= sram_out.cs2;
-- Analogue board interface
ab_temp_stop_o <= ab_out.temp_stop;
ab_pwr_on_n_o <= ab_out.pwr_on_n;
ab_cal_dac_o <= ab_out.cal_dac;
ab_cal_offset_o <= ab_out.cal_offset;
ab_cal_vref_p_o <= ab_out.cal_vref_p;
ab_cal_vref_n_o <= ab_out.cal_vref_n;
ab_dac_din_o <= ab_out.dac_din;
ab_dac_sclk_o <= ab_out.dac_sclk;
ab_dac_cs_o <= ab_out.dac_cs;
ab_adc_vs_rst_n_o <= ab_out.adc_vs_rst_n;
ab_sw_in_vs_o <= ab_out.sw_in_vs;
ab_adc_a_rst_n_o <= ab_out.adc_a_rst_n;
ab_sw_in_a_o <= ab_out.sw_in_a;
ab_adc_b_rst_n_o <= ab_out.adc_b_rst_n;
ab_sw_in_b_o <= ab_out.sw_in_b;
-- NanoFIP interface
nf_tx_frame_o <= nf_out.tx_frame;
nf_tx_bit_en_o <= nf_out.tx_bit_en;
nf_tx_o <= nf_out.tx;
-- Auxiliary FPGA interface
xf_dim_trig_o <= xf_out.dim_trig;
xf_dim_rst_o <= xf_out.dim_rst;
xf_ow_trig_o <= xf_out.ow_trig;
xf_ow_bus_sel_o <= xf_out.ow_bus_select;
---------------------------------------------------------------------------
-- Signal Assignments
---------------------------------------------------------------------------
-- Safe reset generation
rst_n <= po_rst_n and pb_rst_n and nf_rst_n;
---------------------------------------------------------------------------
-- Instances
---------------------------------------------------------------------------
--! Power-on reset generation for Microsemi devices
po_reset_inst : entity work.microsemi_reset_generator
generic map (
num_delay_g => 4,
active_g => '0')
port map (
clk_i => clk_i,
rst_asy_io => po_rst_i,
rst_o => po_rst_n);
--! Safe push-button reset generation
pb_reset_inst : entity work.reset_generator
generic map (
num_delay_g => 4,
active_g => '0')
port map (
clk_i => clk_i,
rst_asy_i => pb_rst_n_i,
rst_o => pb_rst_n);
--! Safe NF reset generation
nf_reset_inst : entity work.reset_generator
generic map (
num_delay_g => 4,
active_g => '0')
port map (
clk_i => clk_i,
rst_asy_i => nf_rst_n_i,
rst_o => nf_rst_n);
--! Input synchronization and glitch filter for power converter status
ext_inputs_inst_0 : entity work.external_inputs
generic map (
init_value_g => '0',
num_inputs_g => conv_stat_i'length)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
sig_i => conv_stat_i,
sig_o => conv_stat_syn);
--! Input synchronization and glitch filter for SRAM data
ext_inputs_inst_1 : entity work.external_inputs
generic map (
init_value_g => '0',
num_inputs_g => sram_data_io'length)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
sig_i => std_ulogic_vector(sram_data_io),
sig_o => sram_in.data);
--! Input synchronization and glitch filter for all other inputs
ext_inputs_inst_2 : entity work.external_inputs
generic map (
init_value_g => '0',
num_inputs_g => 33)
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
sig_i(0) => leds_test_n_i,
sig_i(1) => optical_i(0),
sig_i(2) => optical_i(1),
sig_i(3) => ab_adc_vs_i(0),
sig_i(4) => ab_adc_vs_i(1),
sig_i(5) => ab_adc_vs_clk_i,
sig_i(6) => ab_adc_a_i(0),
sig_i(7) => ab_adc_a_i(1),
sig_i(8) => ab_adc_a_clk_i,
sig_i(9) => ab_adc_b_i(0),
sig_i(10) => ab_adc_b_i(1),
sig_i(11) => ab_adc_b_clk_i,
sig_i(12) => interlock_i(0),
sig_i(13) => interlock_i(1),
sig_i(14) => pf_pwr_flr_i,
sig_i(15) => pf_pwr_dwn_i,
sig_i(16) => nf_cmd_0_i,
sig_i(17) => nf_tx_rdy_i,
sig_i(18) => nf_r_fcser_i,
sig_i(19) => nf_r_tler_i,
sig_i(20) => nf_u_cacer_i,
sig_i(21) => nf_u_pacer_i,
sig_i(22) => nf_rx_frame_i,
sig_i(23) => nf_rx_bit_en_i,
sig_i(24) => nf_rx_i,
sig_i(25) => xf_rx_frame_i(0),
sig_i(26) => xf_rx_frame_i(1),
sig_i(27) => xf_rx_bit_en_i(0),
sig_i(28) => xf_rx_bit_en_i(1),
sig_i(29) => xf_rx_i(0),
sig_i(30) => xf_rx_i(1),
sig_i(31) => aux_i,
sig_i(32) => debug_rx_i,
sig_o(0) => leds_test_n_syn,
sig_o(1) => optical_syn(0),
sig_o(2) => optical_syn(1),
sig_o(3) => ab_in.adc_vs(0),
sig_o(4) => ab_in.adc_vs(1),
sig_o(5) => ab_in.adc_vs_clk,
sig_o(6) => ab_in.adc_a(0),
sig_o(7) => ab_in.adc_a(1),
sig_o(8) => ab_in.adc_a_clk,
sig_o(9) => ab_in.adc_b(0),
sig_o(10) => ab_in.adc_b(1),
sig_o(11) => ab_in.adc_b_clk,
sig_o(12) => interlock_syn(0),
sig_o(13) => interlock_syn(1),
sig_o(14) => pf_pwr_flr_syn,
sig_o(15) => pf_pwr_dwn_syn,
sig_o(16) => nf_in.cmd_0,
sig_o(17) => nf_in.tx_rdy,
sig_o(18) => nf_in.r_fcser,
sig_o(19) => nf_in.r_tler,
sig_o(20) => nf_in.u_cacer,
sig_o(21) => nf_in.u_pacer,
sig_o(22) => nf_in.rx_frame,
sig_o(23) => nf_in.rx_bit_en,
sig_o(24) => nf_in.rx,
sig_o(25) => xf_in.rx_frame(0),
sig_o(26) => xf_in.rx_frame(1),
sig_o(27) => xf_in.rx_bit_en(0),
sig_o(28) => xf_in.rx_bit_en(1),
sig_o(29) => xf_in.rx(0),
sig_o(30) => xf_in.rx(1),
sig_o(31) => aux_syn,
sig_o(32) => debug_rx_syn);
--! CF core component
cf_inst : entity work.cf
port map (
clk_i => clk_i,
rst_asy_n_i => rst_n,
rst_syn_i => '0',
leds_red_n_o => leds_red_n_o,
leds_green_n_o => leds_green_n_o,
leds_test_n_i => leds_test_n_syn,
conv_cmd_o => conv_cmd_o,
conv_stat_i => conv_stat_syn,
sram_i => sram_in,
sram_o => sram_out,
optical_i => optical_syn,
ab_i => ab_in,
ab_o => ab_out,
interlock_i => interlock_syn,
interlock_o => interlock_o,
pf_req_n_o => pf_req_n_o,
pf_pwr_dwn_en_o => pf_pwr_dwn_en_o,
pf_pwr_flr_i => pf_pwr_flr_syn,
pf_pwr_dwn_i => pf_pwr_dwn_syn,
nf_i => nf_in,
nf_o => nf_out,
xf_i => xf_in,
xf_o => xf_out,
aux_i => aux_syn,
aux_o => aux_o,
debug_rx_i => debug_rx_syn,
debug_tx_o => debug_tx_o,
debug_probe_o => debug_probe_o);
end architecture rtl;
|
mit
|
wltr/cern-fgclite
|
nanofip_fpga/src/rtl/nanofip/wf_crc.vhd
|
1
|
10402
|
--_________________________________________________________________________________________________
-- |
-- |The nanoFIP| |
-- |
-- CERN,BE/CO-HT |
--________________________________________________________________________________________________|
---------------------------------------------------------------------------------------------------
-- |
-- wf_crc |
-- |
---------------------------------------------------------------------------------------------------
-- File wf_crc.vhd |
-- |
-- Description The unit creates the modules for: |
-- o the generation of the CRC of serial data, |
-- o the verification of an incoming CRC syndrome. |
-- The unit is instantiated in both the wf_fd_transmitter, for the generation of the |
-- FCS field of produced RP_DAT frames, and the wf_fd_receiver for the validation of |
-- of an incoming ID_DAT or consumed RP_DAT frame. |
-- |
-- Authors Pablo Alvarez Sanchez ([email protected]) |
-- Date 23/02/2011 |
-- Version v0.04 |
-- Depends on wf_reset_unit |
-- wf_rx_deserializer |
-- wf_tx_serializer |
---------------- |
-- Last changes |
-- 07/08/2009 v0.02 PAS Entity Ports added, start of architecture content |
-- 08/2010 v0.03 EG Data_FCS_select and crc_ready_p_o signals removed, |
-- variable v_q_check_mask replaced with a signal, |
-- code cleaned-up+commented |
-- 02/2011 v0.04 EG s_q_check_mask was not in Syndrome_Verification sensitivity list! |
-- xor replaced with if(Syndrome_Verification); processes rewritten; |
-- delay on data_bit_ready_p_i removed. |
---------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE |
-- ------------------------------------ |
-- This source file is free software; you can redistribute it and/or modify it under the terms of |
-- the GNU Lesser General Public License as published by the Free Software Foundation; either |
-- version 2.1 of the License, or (at your option) any later version. |
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; |
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. |
-- See the GNU Lesser General Public License for more details. |
-- You should have received a copy of the GNU Lesser General Public License along with this |
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html |
---------------------------------------------------------------------------------------------------
--=================================================================================================
-- Libraries & Packages
--=================================================================================================
-- Standard library
library IEEE;
use IEEE.STD_LOGIC_1164.all; -- std_logic definitions
use IEEE.NUMERIC_STD.all; -- conversion functions
-- Specific library
library work;
use work.WF_PACKAGE.all; -- definitions of types, constants, entities
--=================================================================================================
-- Entity declaration for wf_crc
--=================================================================================================
entity wf_crc is port(
-- INPUTS
-- nanoFIP User Interface, General signals
uclk_i : in std_logic; -- 40 MHz clock
-- Signal from the wf_reset_unit
nfip_rst_i : in std_logic; -- nanoFIP internal reset
-- Signals from the wf_rx_deserializer/ wf_tx_serializer units
data_bit_i : in std_logic; -- incoming data bit stream
data_bit_ready_p_i : in std_logic; -- indicates the sampling moment of data_bit_i
start_crc_p_i : in std_logic; -- beginning of the CRC calculation
-- OUTPUTS
-- Signal to the wf_rx_deserializer unit
crc_ok_p_o : out std_logic; -- signals a correct received CRC syndrome
-- Signal to the wf_tx_serializer unit
crc_o : out std_logic_vector (c_CRC_POLY_LGTH-1 downto 0)); -- calculated CRC
end entity wf_crc;
--=================================================================================================
-- architecture declaration
--=================================================================================================
architecture rtl of wf_crc is
signal s_q, s_q_nx : std_logic_vector (c_CRC_POLY_LGTH - 1 downto 0);
--=================================================================================================
-- architecture begin
--=================================================================================================
begin
---------------------------------------------------------------------------------------------------
-- CRC Calculation --
---------------------------------------------------------------------------------------------------
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- The Gen_16_bit_Register_and_Interconnections generator, follows the scheme of figure A.1
-- of the Annex A 61158-4-7 IEC:2007 and constructs a register of 16 master-slave flip-flops which
-- are interconnected as a linear feedback shift register.
Generate_16_bit_Register_and_Interconnections:
s_q_nx(0) <= data_bit_i xor s_q(s_q'left);
G: for I in 1 to c_CRC_GENER_POLY'left generate
s_q_nx(I) <= s_q(I-1) xor (c_CRC_GENER_POLY(I) and (data_bit_i xor s_q(s_q'left)));
end generate;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- Synchronous process CRC_calculation: the process "moves" the shift register described
-- above, for the calculation of the CRC.
CRC_calculation: process (uclk_i)
begin
if rising_edge (uclk_i) then
if nfip_rst_i = '1' then
s_q <= (others => '0');
else
if start_crc_p_i = '1' then
s_q <= (others => '1'); -- register initialization
-- (initially preset, according to the Annex)
elsif data_bit_ready_p_i = '1' then -- new bit to be considered for the CRC calculation
s_q <= s_q_nx; -- data propagation
end if;
end if;
end if;
end process;
-- -- -- -- --
crc_o <= not s_q;
---------------------------------------------------------------------------------------------------
-- CRC Verification --
---------------------------------------------------------------------------------------------------
-- During reception, the CRC is being calculated as data is arriving (same as in the transmission)
-- and at the same time it is being compared to the predefined c_CRC_VERIF_POLY. When the CRC
-- calculated from the received data matches the c_CRC_VERIF_POLY, it is implied that a correct CRC
-- word has been received for the preceded data and the signal crc_ok_p_o gives a 1 uclk-wide pulse.
crc_ok_p_o <= data_bit_ready_p_i when s_q = not c_CRC_VERIF_POLY else '0';
end architecture rtl;
--=================================================================================================
-- architecture end
--=================================================================================================
---------------------------------------------------------------------------------------------------
-- E N D O F F I L E
---------------------------------------------------------------------------------------------------
|
mit
|
marceloboeira/vhdl-examples
|
004-clock-divider/source.vhd
|
1
|
704
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clock_div is
Port ( clock_50m : in STD_LOGIC;
reset : in STD_LOGIC;
led : out STD_LOGIC);
end clock_div;
architecture Behavioral of clock_div is
signal counter : integer range 0 to 25000 := 0;
signal led_tmp : STD_LOGIC := '0';
begin
process (clock_50m, reset)
begin
if reset='1' then
led <= '0'; -- '0' -> Binary
counter <= 0; -- 0 -> Decimal
elsif (clock_50m'event and clock_50m='1') then
if (counter < 25000) then
counter <= counter + 1;
else
counter <= 0;
led_tmp <= not led_tmp;
end if;
end if;
end process;
led <= led_tmp;
end Behavioral;
|
mit
|
jdryg/tis100cpu
|
reg.vhd
|
1
|
569
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity reg is
Generic(WIDTH: integer := 8);
Port (
I_clk : in STD_LOGIC;
I_reset : in STD_LOGIC;
I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0));
end reg;
architecture Behavioral of reg is
begin
process (I_clk) begin
if (rising_edge(I_clk)) then
if(I_reset = '1') then
O_dataOut <= (others => '0');
else
O_dataOut <= I_dataIn;
end if;
end if;
end process;
end Behavioral;
|
mit
|
Abeergit/UART
|
UART_RX.vhd
|
1
|
3595
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity uart_rx is
generic ( DBIT : integer := 8; --Anzahl Datenbits
SB_TICK : integer := 16; --Anzahl ticks für Stopbit
PARITY_EN: std_logic := '1' );
port (clk : in std_logic; --clock
reset : in std_logic; --reset
rx : in std_logic; --empfangenes bit
s_tick : in std_logic; --sample tick, für (16x baudrate)
rx_done_tick : out std_logic; --tick welches angibt, dass die Uebertragung abgeschlossen ist
framing_error_tick: out std_logic;
dout : out std_logic_vector (7 downto 0); --die aufgenommenen Bits in paralleler Form
parity_error : out std_logic);
end uart_rx;
architecture main of uart_rx is
type state_type is (idle, start, data, parity, stop);
signal parity_bit, parity_rx : std_logic;
signal state_reg, state_next : state_type; --Status Register
signal s_reg, s_next : unsigned (3 downto 0); --sample register
signal n_reg, n_next : unsigned (3 downto 0); --Anzahl empfangener Datenbits
signal b_reg, b_next : std_logic_vector (7 downto 0); --Datenwort
begin
process(clk,reset)
begin
if (rising_edge(clk) and clk='1') then
if reset = '1' then
state_reg <= idle;
s_reg <= (others=>'0');
n_reg <= (others=>'0');
b_reg <= (others=>'0');
elsif reset = '0' then
state_reg <= state_next;
s_reg <= s_next;
n_reg <= n_next;
b_reg <= b_next;
end if;
end if;
end process;
process(state_reg, s_reg, n_reg, b_reg, s_tick, rx)
begin
state_next <= state_reg;
s_next <= s_reg;
n_next <= n_reg;
b_next <= b_reg;
rx_done_tick <= '0';
framing_error_tick <= '0';
case state_reg is
when idle =>
if (rx='0') then
state_next <= start;
s_next <= (others => '0');
end if;
when start =>
if (s_tick = '1') then
if (s_reg = 7) then
state_next <= data;
s_next <= (others => '0');
n_next <= (others => '0');
else
s_next <= s_reg + 1;
end if;
end if;
when data =>
if (s_tick = '1') then
if (s_reg = 15) then
s_next <= (others => '0');
b_next <= rx & b_reg(7 downto 1);
if n_reg=(DBIT-1) then
if PARITY_EN = '1' then
state_next <= parity;
elsif PARITY_EN = '0' then
state_next <= stop;
end if;
else
n_next <= n_reg + 1;
end if;
else
s_next <= s_reg + 1;
end if;
end if;
when parity =>
parity_bit <= (b_reg(0) xor b_reg(1)) xor (b_reg(2) xor b_reg(3)) xor (b_reg(4) xor b_reg(5)) xor (b_reg(6) xor b_reg(7)); --even parity
if (s_tick = '1') then
if s_reg = 15 then
s_next <= (others => '0');
parity_rx <= rx;
parity_error <= rx xor parity_bit;
state_next <= stop;
else
s_next <= s_reg + 1;
end if;
end if;
when stop =>
if (s_tick = '1') then
if (s_reg = SB_TICK - 1) then
rx_done_tick <= '1';
if (rx = '1') then
state_next <= idle;
else
framing_error_tick <= '1';
if (rx = '1') then
state_next <= idle;
end if;
end if;
else
s_next <= s_reg + 1;
if (rx = '0') then
framing_error_tick <= '1';
end if;
end if;
end if;
end case;
end process;
dout <= b_reg;
end main;
|
mit
|
CyAScott/CIS4930.DatapathSynthesisTool
|
docs/sample2/c_register.vhd
|
1
|
752
|
library IEEE;
use ieee.std_logic_1164.all;
entity c_register is
generic
(
width : integer := 4
);
port
(
input : in std_logic_vector((width - 1) downto 0);
wr : in std_logic;
clear : in std_logic;
clock : in std_logic;
output : out std_logic_vector((width - 1) downto 0)
);
end c_register;
architecture behavior of c_register is
begin
process (clock, clear, input, wr)
variable interim_val : std_logic_vector((width - 1) downto 0);
begin
if (clear = '1' and clear'event) then
for i in width - 1 downto 0 loop
interim_val(i) := '0';
end loop;
elsif (wr = '1' and clock = '1' and (clock'event or input'event or wr'event)) then
interim_val := input;
end if;
output <= interim_val;
end process;
end behavior;
|
mit
|
jdryg/tis100cpu
|
cpu_1x3.vhd
|
1
|
6784
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity cpu_1x3 is
generic (
PROGRAM_00 : string := "input.prg";
PROGRAM_01 : string := "passthrough.prg";
PROGRAM_02 : string := "output.prg");
port (
I_clk : in std_logic;
I_reset : in std_logic);
end cpu_1x3;
architecture Behavioral of cpu_1x3 is
component ben is
Generic (PROGRAM_FILENAME : string);
Port ( I_clk, I_reset : in STD_LOGIC;
I_puw_dataValid : in STD_LOGIC;
I_pdw_dataValid : in STD_LOGIC;
I_plw_dataValid : in STD_LOGIC;
I_prw_dataValid : in STD_LOGIC;
I_pur_dataValid : in STD_LOGIC;
I_pdr_dataValid : in STD_LOGIC;
I_plr_dataValid : in STD_LOGIC;
I_prr_dataValid : in STD_LOGIC;
I_pur_data : in STD_LOGIC_VECTOR (15 downto 0);
I_pdr_data : in STD_LOGIC_VECTOR (15 downto 0);
I_plr_data : in STD_LOGIC_VECTOR (15 downto 0);
I_prr_data : in STD_LOGIC_VECTOR (15 downto 0);
O_puw_writeEnable : out STD_LOGIC;
O_pdw_writeEnable : out STD_LOGIC;
O_plw_writeEnable : out STD_LOGIC;
O_prw_writeEnable : out STD_LOGIC;
O_puw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_pdw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_plw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_prw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_pur_readEnable : out STD_LOGIC;
O_pdr_readEnable : out STD_LOGIC;
O_plr_readEnable : out STD_LOGIC;
O_prr_readEnable : out STD_LOGIC);
end component;
component node_port is
generic (WIDTH: integer := 8);
port ( I_clk : in STD_LOGIC;
I_reset : in STD_LOGIC;
I_writeEnable: in STD_LOGIC;
I_readEnable: in STD_LOGIC;
I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOutValid : out STD_LOGIC); -- TODO: Check if this is actually needed. We can reset the O_dataOut(0) to Z or U instead.
end component;
signal inputWriteMainRead_writeEnable : STD_LOGIC := '0';
signal inputWriteMainRead_readEnable : STD_LOGIC := '0';
signal input_dataOut : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal main_dataIn : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal main_dataInValid : STD_LOGIC := '0';
signal mainWriteOutputRead_writeEnable : STD_LOGIC := '0';
signal mainWriteOutputRead_readEnable : STD_LOGIC := '0';
signal main_dataOut : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal output_dataIn : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal output_dataInValid : STD_LOGIC := '0';
begin
inputWriteMainRead : node_port
generic map(WIDTH => 16)
port map(
I_clk => I_clk,
I_reset => I_reset,
I_writeEnable => inputWriteMainRead_writeEnable,
I_readEnable => inputWriteMainRead_readEnable,
I_dataIn => input_dataOut,
O_dataOut => main_dataIn,
O_dataOutValid => main_dataInValid);
mainWriteOutputRead: node_port
generic map(WIDTH => 16)
port map(
I_clk => I_clk,
I_reset => I_reset,
I_writeEnable => mainWriteOutputRead_writeEnable,
I_readEnable => mainWriteOutputRead_readEnable,
I_dataIn => main_dataOut,
O_dataOut => output_dataIn,
O_dataOutValid => output_dataInValid);
-- Input BEN
inputBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_00)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
-- Input BENs should not read anything
I_pur_dataValid => '0',
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => X"0000",
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => open,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
I_puw_dataValid => '0',
I_pdw_dataValid => main_dataInValid,
I_plw_dataValid => '0',
I_prw_dataValid => '0',
O_puw_writeEnable => open,
O_pdw_writeEnable => inputWriteMainRead_writeEnable,
O_plw_writeEnable => open,
O_prw_writeEnable => open,
O_puw_data => open,
O_pdw_data => input_dataOut,
O_plw_data => open,
O_prw_data => open);
-- Main BEN
mainBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_01)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
I_pur_dataValid => main_dataInValid,
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => main_dataIn,
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => inputWriteMainRead_readEnable,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
I_puw_dataValid => '0', -- Don't write to input BENs
I_pdw_dataValid => output_dataInValid,
I_plw_dataValid => '0', -- No left BEN
I_prw_dataValid => '0', -- No right BEN
O_puw_writeEnable => open, -- Don't write to input BENs
O_pdw_writeEnable => mainWriteOutputRead_writeEnable,
O_plw_writeEnable => open, -- No left BEN
O_prw_writeEnable => open, -- No right BEN
O_puw_data => open, -- Don't write to input BENs
O_pdw_data => main_dataOut,
O_plw_data => open, -- No left BEN
O_prw_data => open); -- No right BEN
-- Output BEN
outputBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_02)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
I_pur_dataValid => output_dataInValid,
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => output_dataIn,
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => mainWriteOutputRead_readEnable,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
-- Output BENs should not write anything
I_puw_dataValid => '0',
I_pdw_dataValid => '0',
I_plw_dataValid => '0',
I_prw_dataValid => '0',
O_puw_writeEnable => open,
O_pdw_writeEnable => open,
O_plw_writeEnable => open,
O_prw_writeEnable => open,
O_puw_data => open,
O_pdw_data => open,
O_plw_data => open,
O_prw_data => open);
end Behavioral;
|
mit
|
marceloboeira/vhdl-examples
|
008-state-machine-calculator/_example/teste_display_e_botao.vhd
|
1
|
1652
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:01:32 06/10/2013
-- Design Name:
-- Module Name: teste_display_e_botao - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
entity teste_display_e_botao is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
botao : in STD_LOGIC;
saida_8segmentos : out STD_LOGIC_VECTOR (7 downto 0);
disp_sel_o : out STD_LOGIC_VECTOR (3 downto 0);
led : out STD_LOGIC);
end teste_display_e_botao;
architecture Behavioral of teste_display_e_botao is
signal entrada_disp, display_s : STD_LOGIC_VECTOR (15 downto 0);
signal clk1s, botao_DB : std_logic :='0';
signal cnt : integer range 0 to 9999;
begin
meu_proj : entity work.meu_projeto
Port map ( clock => clock,
reset => reset,
botao => botao_DB,
saida => entrada_disp,
led => led) ;
debouce_n1 :entity work.debounce
port map ( clock => clock,
entrada => botao,
saida_DB => botao_DB
);
display : entity work.modulo_display
Port map( clock => clock,
reset => reset,
entrada_s => entrada_disp,
saida_8segmentos => saida_8segmentos,
disp_sel_o => disp_sel_o
);
end Behavioral;
|
mit
|
marceloboeira/vhdl-examples
|
006-ULA/lib/or.vhd
|
1
|
312
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity OR_PROCESS is
Port (A : in STD_LOGIC_VECTOR (7 downto 0);
B : in STD_LOGIC_VECTOR (7 downto 0);
O : out STD_LOGIC_VECTOR (7 downto 0));
end OR_PROCESS;
architecture Behavioral of OR_PROCESS is
begin
O <= A or B;
end Behavioral;
|
mit
|
jdryg/tis100cpu
|
register_file.vhd
|
1
|
1900
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity register_file is
generic (WIDTH : integer := 8);
port ( I_clk : in STD_LOGIC;
I_swp : in STD_LOGIC;
I_enableWrite : in STD_LOGIC;
I_srcAID : in STD_LOGIC_VECTOR (1 downto 0);
I_srcBID : in STD_LOGIC_VECTOR (1 downto 0);
I_dstID : in STD_LOGIC_VECTOR (1 downto 0);
I_dstData : in STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_srcAData : out STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_srcBData : out STD_LOGIC_VECTOR (WIDTH-1 downto 0));
end register_file;
architecture Behavioral of register_file is
-- 4 registers (NIL, ACC, BAK, TMP)
type regstype is array (0 to 3) of STD_LOGIC_VECTOR (WIDTH-1 downto 0);
type regindextype is array (0 to 3) of integer range 0 to 3;
signal regID: regindextype := (0, 1, 2, 3);
signal regs: regstype := (X"0000", X"0000", X"0000", X"0000");
begin
-- Write reg on rising edge of the clock.
process(I_clk) begin
if(rising_edge(I_clk)) then
if(I_swp = '1') then
regID(1) <= 3 - regID(1);
regID(2) <= 3 - regID(2);
end if;
if(I_enableWrite = '1') then
regs(regID(to_integer(unsigned(I_dstID)))) <= I_dstData;
end if;
end if;
end process;
-- Read 2 regs
process(I_clk, I_srcAID, I_srcBID) begin
-- Check for the special NIL register in order to make sure we always read 0,
-- independent of the value actually written to this register.
if(I_srcAID = "00") then
O_srcAData <= (others => '0');
else
O_srcAData <= regs(regID(to_integer(unsigned(I_srcAID))));
end if;
if(I_srcBID = "00") then
O_srcBData <= (others => '0');
else
O_srcBData <= regs(regID(to_integer(unsigned(I_srcBID))));
end if;
end process;
end Behavioral;
|
mit
|
CyAScott/CIS4930.DatapathSynthesisTool
|
src/components/gen_AND_bit.vhd
|
1
|
506
|
library ieee;
use ieee.std_logic_1164.all;
entity gen_AND_bit is
generic
(
width : integer := 4
);
port
(
input : std_logic_vector(width - 1 downto 0);
output : out std_logic
);
end gen_AND_bit;
architecture Behavior of gen_AND_bit is
begin
P0 : process (input)
variable result : std_logic;
begin
result := '1';
L1 : for n in width - 1 downto 0 loop
if input(n) = '0' then
result := '0';
exit L1;
end if;
end loop L1;
output <= result;
end process P0;
end Behavior;
|
mit
|
CyAScott/CIS4930.DatapathSynthesisTool
|
src/components/c_adder.vhd
|
1
|
1914
|
library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_adder is
generic
(
width : integer := 4
);
port
(
input1, input2 : in std_logic_vector((width - 1) downto 0);
output : out std_logic_vector(width downto 0)
);
end c_adder;
architecture behavior of c_adder is
begin
P0 : process (input1, input2)
variable carry : std_logic := '0';
variable overflow : std_logic := '0';
variable temp : std_logic_vector(width downto 0);
begin
for i in 0 to width - 1 loop
if input1(i) = '0' and input2(i) = '0' and carry = '0' then
temp(i) := '0';
carry := '0';
elsif input1(i) = '0' and input2(i) = '0' and carry = '1' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '0' and input2(i) = '1' and carry = '0' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '0' and input2(i) = '1' and carry = '1' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '0' and carry = '0' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '1' and input2(i) = '0' and carry = '1' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '1' and carry = '0' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '1' and carry = '1' then
temp(i) := '1';
carry := '1';
end if;
end loop;
temp(width) := carry;
carry := '0';
output <= temp;
if ((input1(width - 1) = '0') and (input2(width - 1) = '0') and (temp(width) = '1')) then
overflow := '1';
elsif ((input1(width - 1) = '1') and (input2(width - 1) = '1') and (temp(width) = '0')) then
overflow := '1';
elsif ((input1(width - 1) = '0') and (input2(width - 1) = '1') and (temp(width) = '1')) then
overflow := '1';
elsif ((input1(width - 1) = '1') and (input2(width - 1) = '0') and (temp(width) = '0')) then
overflow := '1';
end if;
end process;
end behavior;
|
mit
|
CyAScott/CIS4930.DatapathSynthesisTool
|
src/components/test_ram.vhd
|
1
|
1938
|
library IEEE;
use IEEE.std_logic_1164.all;
use Std.Textio.all;
use IEEE.std_logic_textio.all;
entity test_ram is
end test_ram;
architecture test_ram of test_ram is
component Ram
generic (width : INTEGER := 16;
ram_select : INTEGER := 4);
port (input1 : in std_logic_Vector((width - 1) downto 0);
input2 : in std_logic_Vector((ram_select - 1) downto 0);
Wr, Rd, CLOCK : in std_logic;
output : out std_logic_Vector(width - 1 downto 0)) ;
end component;
for all : Ram use entity WORK.Ram(behavior);
signal input1 : std_logic_Vector(3 downto 0);
signal input2 : std_logic_Vector(3 downto 0);
signal Wr, Rd, Clk : std_logic;
signal output : std_logic_Vector(3 downto 0);
begin
UUT : Ram
generic map(4,4)
port map(input1, input2, Wr, rd, Clk, output);
test_process : process
begin
Clk <= '1';
wr <= '1';
rd <= '0';
input1 <= "1111";
input2 <= "0000";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
Clk <= '1';
wr <= '1';
rd <= '0';
input1 <= "1110";
input2 <= "0001";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
Clk <= '1';
wr <= '1';
rd <= '0';
input1 <= "1101";
input2 <= "0010";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
Clk <= '1';
wr <= '0';
rd <= '1';
input2 <= "0000";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
Clk <= '1';
wr <= '0';
rd <= '1';
input2 <= "0001";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
Clk <= '1';
wr <= '0';
rd <= '1';
input2 <= "0010";
wait for 100 ns;
Clk <= '0';
wait for 100 ns;
wait;
end process;
monitor_process : process
variable outline : line;
file outfile : text is out "ram.out";
begin
write(outline, input1);
write(outline, string'(" : "));
write(outline, input2);
write(outline, string'(" : "));
write(outline, rd);
write(outline, string'(" : "));
write(outline, wr);
write(outline, string'(" : "));
write(outline, output);
writeline(outfile, outline);
wait until not rd'quiet;
end process;
end test_ram;
|
mit
|
CyAScott/CIS4930.DatapathSynthesisTool
|
src/components/test_register.vhd
|
1
|
1900
|
use Std.Textio.all;
library IEEE;
use ieee.std_logic_1164.ALL;
entity test_c_register is end;
architecture test_c_register of test_c_register is
component c_register
generic (width : INTEGER := 4);
port (input : in std_logic_vector((width - 1) downto 0);
WR: in std_logic;
clear : in std_logic;
clock : in std_logic;
output : out std_logic_vector((width -1) downto 0));
end component;
for all : c_register use entity work.c_register(behavior);
signal INPUT : std_logic_vector(3 downto 0);
signal CLEAR : std_logic ;
SIGNAL clock : std_logic;
signal OUTPUT : std_logic_vector(3 downto 0);
signal WR : std_logic;
begin
creg1 : c_register generic map(4)
port map( input, WR, clear, clock , output);
test_process : process
begin
----------- check clear ----------------
CLEAR <= '1';
WR <= '0' ;
clock <= '1';
input <= "1101";
wait for 10 ns;
clock <= '0';
wait for 10 ns;
CLEAR <= '0';
WR <= '1';
input <= "0111";
clock <= '1';
wait for 10 ns;
clock <= '0';
wait for 10 ns;
input <= "0101";
clock <= '1';
wait for 10 ns;
clock <= '0';
wait for 10 ns;
WR <= '0';
input <= "0011";
clock <= '1';
wait for 10 ns;
clock <= '0';
wait for 10 ns;
clock <= '1';
wait for 10 ns;
clock <= '0';
wait for 10 ns;
wait;
end process test_process ;
end test_c_register;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.