repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/vhdl/tri_intersect_fadd_32ns_32ns_32_9_full_dsp.vhd
|
4
|
3391
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.1
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity tri_intersect_fadd_32ns_32ns_32_9_full_dsp is
generic (
ID : integer := 15;
NUM_STAGE : integer := 9;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of tri_intersect_fadd_32ns_32ns_32_9_full_dsp is
--------------------- Component ---------------------
component tri_intersect_ap_fadd_7_full_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
tri_intersect_ap_fadd_7_full_dsp_32_u : component tri_intersect_ap_fadd_7_full_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/hdl/triangle_intersect_wrapper.vhd
|
1
|
3551
|
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
--Date : Sun May 08 18:17:54 2016
--Host : Win10Desktop running 64-bit major release (build 9200)
--Command : generate_target triangle_intersect_wrapper.bd
--Design : triangle_intersect_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity triangle_intersect_wrapper is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC
);
end triangle_intersect_wrapper;
architecture STRUCTURE of triangle_intersect_wrapper is
component triangle_intersect is
port (
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC
);
end component triangle_intersect;
begin
triangle_intersect_i: component triangle_intersect
port map (
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb
);
end STRUCTURE;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd
|
9
|
86039
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GE/el8KE9UhWZHmcuNdGyXUldPY+TAs3XPXqfrrcY9NFJCQrS8TtzzoaVhMpppi7WgvraKpIoYwf
cxYKGZ/oVg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G1TbWO8EE7epnvrAByvIXIxkrY8Xc3SYEbMeyq4W7TnkPSrxt4bSeYVOjY9CE6Pur0DPxwvn2LKo
AB4cWP7eJA+kbhHYaBZKQ0ilsRLNb4WdIXRC/zdnbHjUUARINtQy3a6QV8VmpPle7IEOWRmTFtmc
vr8IUyBGd7PXg5QJjxs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ni6Rn7YjdvxEAT0V7l3gwRM1u0AVtpcMWo6AobWHkFD1ut9VWjiJyUHA2ZkRLe4fNjXH708h/P1P
kmEAkb/46gTsJ0xIIkOju607tm98BLh0s4zbCL8gb/yO9hzdCzZWvcgaRHml7c807DlI3BUUERpy
2Vi30L6eX5mSSkKd0gixFNr/XJYrcZAU16fqX2ZVdceI8Yv8WWAFKMvHCgovxT+K9NUqmZBOBfgj
EG6xhgfdW30Nv7WOsczTpQxkQLuYQC5Dzyy8Jhgud4z0O+2kOABJ/RYQDchNL/2fdS6cMR1aoz3C
3AkN8aU/xq3mGjhGkuJdcsUWb0LR0FCRWylgJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KywWqJLSAtIzYc9pTqNoITlqgwOKgUDMrKliEHUfR90Cq/8KXp7j0+tcgvd73u9MWA5hoD2T2Yef
N/ZNFUuDoiiwZqZExVC209TJNoeX5clBcrRwglMgTomEyaEoBBuQ4aKYSXJfGWhdu/Yv7ekrT+Bn
43zSW+Gbp4YCwj4M6GQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ggRZhxn3qfYJRbdsxiJqXzE9VvrK/O8L6YqyTpmY99JuW4ChzOjQUPf+Tn/XSRtNxD9T5Ayi9x3N
GIqHPaMp7Sqty0rWN4/KdS9OUS3IO4oI2cBTldVuKqLFeMXzzVOwLYOQMnsOeBUvt/hqpFAr3Cf1
yLcpOLoh8/U42BRcVDdLvw2OjEHShcwv5zxfyuGIoemYSncXlTNp4JkXW8PYkaFBAmin0Tkt71By
33ZdNpobepp0bEEO2kQT6g67zE1NDHOomBBirupS+kMb0D96kBFyLc7nIJCnqVsPf5P5zLgFCFUs
J7XLUBWsM1YKQ7kqRJ4ZRv2H6FSgWqHbAw4gzA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 61952)
`protect data_block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=
`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fmul_3_max_dsp_32/axi_utils_v2_0/hdl/axi_utils_v2_0_vh_rfs.vhd
|
9
|
291505
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JXUswxk/vlP3T21f4a2SFc6PSvqh6JLgD+lHJbzfNbG5Y8XWjO+cqxvLe0d7RbaRM8M9M7fGrbBc
LUc7zZygoA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Yb1rxKXv6eLdbo7L9fDEa7+9xPwXj79RFDjuWsfjFjEvFeGt/8fNMk/2TdTDSvg0JtXgnTOR5HEV
zqaY02rwJrLcAMDLJKMNvxtbkmKDg/BdHcR4rCvOu2RDeG4WOYtdwxjFoA7amWV3SYBoKRZAgqPT
x/IthvnrpQ8b9JcoPSU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PUjf5uIs3yUnYBVj+f2Qm/iV5ElvwQQeDyEkv12nGFyWR0hdxpQ8ohBOMpj3njwCd9PZ+NGVHZy9
cDF+B1Vhvqm1hofVCx/xbJ/2WLtNToVNKc2OYAfDjy8ePJ2B0flVDvWbeGPwnqcOWwcvwJZlrjZv
RgrdsV0ZdV2/IbqHtLqRwMJW5D9kYChG9TAenhy7jxBmAKGzVhfDwAxECyxiab5DhsvdoaIcl/en
2MdDHlAR6xKYbscy7GNrdAufMtRHaDw1tt/rjcYd6yiG2NcF/fauvrxSqVUVBVrEhW1qTRRA+mn8
Stu7JkH0wx0rRd+Hy0+mHSPWkGx2HT0aXurZqA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YowD/xfnzZ94rbizyoReVCgRZnMPtDgrWLgU+SkxdURN4QU7QlLFSte4klxTQ9lDA72W39wNO2pa
PfZ03AE0ff1JzvMyLhlCO8DNE1+BwXUoW3kegfsdf463536TyB6T/x4zRrEdnhwuVW3Yr1yJ3I4L
qZIzphsIKj2Gdlsx+0w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AGq/2s7X4eyDx/V/MHtbe21C2W5gdsPTnzugDgwZbYtu9n1W6P5qarbN6weNF3qWRMxhMxE/6588
R3DikNTvq7NZo089LDe6GfGOaXMZCr4O+QC4nK4eEN0QSwwOErzDlGKwXyu83cy68OK1Lx7YDhq5
eRZgKecU0qLE0k4eip9d6Jabqo+qEvzDJ+bVu4ZYyfwuaLKbk+Arm2tk1bqvhp9WApW4DYRHi79y
l7KT9T2FR/UuZbqzyMRqoVK1o4+MjDuOqRuIYF2y7OALBWWcz6p9KOfsrmKhOtPmNYrhusVmx/7I
sLWnBiqkQmO8C+HL+mZxRbORInWzNLSI3ZAYSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048)
`protect data_block
yDLRxNSo3Zkca5J/bTB0AM0ZY4BOh/FPczaYc4sQbNp0qWG/l4rLinwz2yYrU/w17Ql5wvKtIeEL
d7hf8fHF64B6hnRRBgQTHpP6RfGQnbY+VUEajATpXYNTkxoK44WU9meWX4H8UrgLl5mI2no9X95A
S1vA282dL5Xb13uPLAUz7oo2IGruYPGk5uZdQtIplPZHPX+RZ5sUx+elsid3WWlu61WxGWbU1kdz
nxVgqNcPX4dq3z1iye/JfidIhBUV9092kePmbQtEmv1Lyxtz09laIkfXstbgQLliCG99Fvr/Br10
nWw2TIENhILcezI8VsOsF8pbAYGZMc8VJtQy2c/kXmGrnRlgORUNdLYAHnFuL8cKW7XMkwMzWwSB
W6Zpt1jPSEtbibA2o7w943JRvYupGUfO3K7jY/dpZ+t7+aczNpzwCFUDPjWpLzYaGXBuKzYmIv7u
BBmGvv3C0O5pL1bjLD5g+BOCemW9ujapceI0CT4mHQU/sU808CaMShKLJEdl1jYs8vljCKXokxPr
UGnX0hL9zIiGf0VDAnRMcBjKoC/bMQhlGJsvTSIICtSXT++qdPsLT37KKHdsXWLDjfbCqZZhlT3W
2PR87ZC2of+KGNxq1HcEXUjr4GeWPX9LydxUIUd5gck3a1/wZ32wqoJoyps7lugXIZZeLiFhKsrw
bycuasDNyYi5mzSD17MhkDklvtthHkeTUhHXF5gym37c5q6cUZ5kOpjU4CMXf6i3tYYJNy5nfrtf
05l9MTd2KkUO0TQxfdPtk19Fev0BhJ1geHDlFpwjLJY6UIs5pV1ir9iiBRnL6w4Ke5xpx1dZNB8z
CiufQahyL4A9V/CAlaCwyvlV+syfRdtAXldVs3F9S/fda/BDzfd0apu+m6qhLL/bU6o3ILzCVnPe
ZR/CZmGotcqnUVwYw8pMXbLAZJ35zHo66KsuemHq4+CUfQZdGk3faZuRBVvqhkyWuDRKY8xwHIJV
f5YXhdrzlvj3/iIXxtawCueOKEviqqZHpd7zbW+CsNxey+IEPr3oM7YnJRgroOJN4ueH/xZDBbLU
ccAV/WWgzHzquhuLjj5k2WZR12FQcS9txpw6HPv49MQwE/ndESYAXwFTFcEUxKJC22uBqM3Dp5Is
+PuMjRtRXjCHkpjZFTJSD8J9ZNjQr1qT+DllkKnT155vqn18LvKQvxY8nbTZ4qz615euI34U7ik9
TGBUT3A0uU/ahbRKKS7iwxuSuADIA8U2T7iG635tVix7JnP/Q9tQK6ehnVme8Xjj/X3V74GngTtf
EFc8ZfSpBCqtr4b3rX3uqpoae5WcSMS2bso8/vGmQB2+NHbhMAWvXH/HFKajRYCQK6BFW91RxTjp
MAufiog3XaQyuBbRqaiab9eFSucrU0D2p2GKYgzAcRBEHzSQFUwjHUIALJeVC+C0FnXC3ccOuwqs
4W0cRhVaYLVQsr6HWccTadvBwvaBq9lFI6t3ZAXMgmsaKTFvrSQW+cXmN7iM/m9iPGoTDjmdksl+
yrckRsBA173oTsHDvesaO2d08u9dtlR1tDUBfLlh8DSMOLjZ2dlvbKPUrEkv6y3CnGPij+yyN4a8
yuZgWUt5eTRDXr+HT3pgk2B7Vr06TPf87Rf3Sp8HuOgjs7qhSo5FTNNSAMlxHMcBD5kGMK+r5iIz
Nc+4m5BJ9APnKrRmPAEpvuxTu1+BvRKgJInmJSj8lUsDjBTG7O0bCIzltn6MbKv4I787ZfVULjjn
FfITwRyPG13ELW65vWyH5Qj4wjL6rTBXgFNRxLDX2F4+Lt66F8jAOK2JJjuz/YuYhXUUPSt0pt5d
cbNdq6cgz5lJbLuI8JfAXbnLC4upuBgXroztzfDFyGJAlh4z5PuMKIo1nD2ROWnQWZ6/yID5ml4R
KOkkqeG2weYTDnH8VExxYJxI7QYDLjN+Brn2WryG5bJXvT4kUTCK93ugunGeDKIDd6zagdG6uNv2
VTOrTLdUezl2XXC2irWIwr2RmdUn40ygXrgqlNBvihalpyYcW5EiXjH0oC2yarifPPXtap03MINJ
Ups/qxEGIZlYoylLy0Frt361eQoksT1lVmX1dzuB8htgIhOtpstQBI/yxV/JjH+fsybHkBt6YjLF
yPZAh1TN58/GamYbcvHJPgCSc2RTsMuY3mSFWDlpiySe3jSmotCABgvzBL00fPgb0GWkRvhPUnc4
QxgGFk9kk2mZzdgdolWiAT0aM+KlPLfe9Irez9w9xzS/wVQMW+tAfBeTGURtt/UjKXJsP1XMslXq
r2Cis1cYAqeWEy/CnlNwQQHQgX6L6fXy1MmHNkkHBafcayfhBiJyCfWiAfymYYgwSfDdiG2YPvz6
HDD2yM3ibhkrV4xOn72pkeonYRyBYEgaO0DZSzGrHSUZOrjxnDjqH3uJT0cR7yTJjk8MV67EyZtU
TFjXdPZYtb/ZLYcSRDe7nmQWaet5C2ZkX77ciFbXZNJxdFw8KrEyVos7DHzsA//9ulNzHuwEPFMc
Ht+gnyo+dRK8Gz9M2krHxLi+E6OmLaoRbVj8Uub/FrVFogNVNkbmHhsvEZ7WFTwG/nppzuGUIfKj
F87AQHsdAu2mJ1HCvb97cI3WRvCX2lfyGFPTgP8222KJ1XGs81HVSzqVrW3LHcc3xYndGHTAs+8F
d+P0S3nmcO7xMdnY4QhDUgQRUO2VMLzHV74epB1gJ/z0DNMCUpw129lJzBd2oS2cPYzK4bs+YU+t
QP7MekcaFTKuEYCYpbJM4lUQ0BtpWNd42VD7uykXBGZog4+78QlvThgoc8GgamVFPm4kPOZWbUvf
/DTGoytI1RL8PKx1ydykxBeqUnZ+U95BPVBFQk+2BLrwVSOKLoMTQ5X2zrK5Oo0RU4bvR/+zokcA
tpLckqoFjD8UyvQsf+/xVFRDoSOLMHJa9Nay6tB8JHWKXqpT8KkFlkQk2FIcHCiv5tRZN5d4JmdU
mzDGLfARW03h5xaa2d3NFq7tkS9l73sUr4lVkKHUHmQh7Ml6frv1t9B03qgxdgUGagZIBQoo38sg
JZ/ink8QdihZBj3PZ7rtWwpaSmBte3YW+IuiC6Ia0DurRXJVzDwA00ZdUpXY+Xtdz27FJJKsJ8Qg
QmAH0MLy/7dGzjqJrulyvL32amv/V7sPSUkpeEKwAA/G4S460F3potxp+0GEK3Bmdo05n0AuI4Rh
AaVDCtPiSXvt4VMoXZs0W5d1l6yBPo9mhHZGyMZbOvHn3GmJuKFx/UwL0veS98zd02kOWqEGeoza
4B4jK1UTMaGvbvgcEZE0jglLG961AFYzVm8nBw7dBQRmxo+c57APALO5Qhlww7T2vISLGnH5k/k6
vtqztX6smtq70CyS3ANmt67PebPVckulp9N2I6opaNAttg6YahbTxvZeeEyDDWHeW3wFR4eU732A
vNio8lpCCArcy1BuTwqSM8HVLuCDdWyVKeWRzuisRI2jUaQGWSuKKlH4iXEAilUIGmF9x/ulFunV
Ld9R+JbSN+tDoCBSo6l7WxHsMMrlUpZxIPKFGg76Z2wPnkDRlGF+heolNuG9RXoQweFiJvpEqtIE
hDz27VsrH2MTNHUnHeZgHuagPPu3hCptUNrM+iqR5//T55GSdvmxys4Ai8yBg1AxzXMF/g3ChY/Q
dIyoKEDHoCCITenWAOaIQMTXnX8foybeVMzCPV/uaJR7TJ03uWGRz9cFAGcMGmgu3g92XgmAxyFr
cJrgHIg4IL6I5AzhuY577D0axxWs1vxgNiG++MKKh473Biu8fis83ZDPMgGxdbHkKO2MU5fvrpZ3
Jf6SZ5PvemhPHPmxpAJi3K1bjOL8stDvZU86B2MABwWfKkdbuvajaLXMoB8hameEDG6hn9M3HyvE
X3m6GqTs2B0CdMOzBIH3DcAc1DAESogcRLuTRKxESIkxII2KH34tGRCxfGy2+IozbDTJDxOuP9fy
yTga3U0g+hKRoe0DDfqIwocycxQLmMwixyIoZQqJakTqnaV3APO+/dAasz/3CSNzQVnO6T9cm2J+
ws5KeChTcg4OUeWFdi6fsseXtaA/cqliwLeEDFN0JJb4xOKbLWxVLEBOqBtajCps/zB1NwFphKsc
6npwzUkWlGcG+UEjtGf98wE+xCzCGCo9Mk7CZzVbZSvAhVy7TIdtS+q0BYoPI9EUwBzShn/nI754
0FVVfp80XDzGPQKy8r8uNvlXpZnDTBGrYmibOEDdyHuDjuDeb1RfT/FJvOIo3pHVAoQBpoVQdy3Y
XPUDofEPkQ1fJ+clQ+PzMPnWqe/S37dbUGSSLEoYNtDOF6fFhXUA6pLek2jVA/IE25Ka7w7opngd
9HhEoc/h6nOHkh3DwnOQ4b/uSuRYq/A7C97fNmMbhAZFxorPvXZdxRJEuX9WtN6UkgVfASywZLbz
O7FTDjwPHM/aOUszckR8tQVAfHUEoyw4XIX7HlVpFgiNNr0J0ckQRHHJ0aRr/kPOPlJJkIvbhfVC
WACYtV0WQ68NaKaGAjkbK1XnyjNie0+3YaRDau6H/N6OwKAzIgDD7D3RsMsDNnoKZgKaxYWFuC25
BzgILbqkcxcm42yTWHNpBDHn3Gv6C4jIP7JHvAAwChx+l2yqndbqd5zA7iHALB+ykhHODHu8tvq4
O80rzkjJZZxY/9vCM/ddk2+eQkqLCojZFTxNSJhV0v21hUKmdIWH/Q69W06LjKoo4yh61Y98UKxS
jY88phAeLbtV6Y8J2fNcLme3UdcCYSbOan34b4vGhSBa6X/HNVgAJd0SFX9CFHAq8SpQKvZT5XYQ
QaPMECEGjKtluQH5cxLNp7YjXx0NJIxA5oK57rgScGGlCq/WUN/kWv9kxHwYXe78+pk6Y/NwNB1E
SRVY+vivZvsHFIWGXBeG1D5fiOy8niVoTTOgxnPd98T/vj7Srdr/2MpP1yLJsXHHKBCKI3hfCcDn
OW8YhXV1SDR9ylcQbqYBPR5siCnfbmQKQWEb9Ae6z1ri16jmy7ZiLr3iWjH9oMcb+ZWwap6cWZc9
AZg2DlyqqYlZLkOWBEECYB3EOBQoSxHnx0oKqCCSkkLGeQUSKVuitDBj1J4meupjTGeo/2JyUKDD
t63c0uyhhYnwkXLOVHbWaUZ8ib3WCt5tS0s0798mdS2HDya/xul30GzWtAJeM8RB9htyJ1i/h1TV
Dh0+ta+0inX6NipSh19GBYiqqLDk8+fF9WviP/yZ2h4x5rGURRDGxVbHpA8RnV6w4TM2nwQsyrXw
Ky973W6y2KrB2licF3lTvQsgI03K9DgecxTTKjCBSLrtMT+fIPgrfw6t/RHaLjtWytqzDI0yB2e+
hYVO9BbIordBi/sK9EwsKu+ee+KbCbkSnqDktouVO/kUgH13JEgMxvETUvL+FOE+jf0xPrVsBtpi
uCcz04BRmtgtYv7QOI/N+O/A3FfZF4whqSbtyJ1Qy0Og9Xr/pI8YKeOKlCjHlkWHykHluxaB2ye9
+IcarAPEDsN1o9/fPiWXAll1jproJKGi1bpJZUnqIUswJX/1SDWWFuEMjGx12/CMtv42ldVdk3OG
MkqyLDv8EiWFNbp9riJYq5KN8/6+rhZ8nLkWRbSnEq0qmnKEV8pssxi6+dCFSipC07SX1KD27lWg
86KXJe7kqwHAtRouGgybMqj0GQe0G1zFH0Sq2sfanBazjiEloi+ZQuXnWeEAy8vHPhUcJlP8/a76
OvUIx/d46yefCmLqKLebqDu0Rk+P54lQhjSYapmDlMSxh0ulp1Uo6iCAviD3PlMCETTQN4elVAg5
YFuHITzB7mILC3WCnMpLahxalc1IZtUc23vPMJHxLiiYREZScYLUTFfDGfoE2TYZKNjgsZljz0Fc
ggh+L1A4+U3YgMeFB6DgTkiRfx34uDp+O8C4KlWQBvsnKxUS5AVuiv3vWF7EbYEYjpqKo9q98slw
HuaQ9ujbKCqBurE+h2m+o4eOHpIuZv3xiVFYJ+m2bYwmCjq53tibwqgFzLNcibG2qYmr7Q82q3fm
ilPiA+pZcbXrRkdiYQYY7do55+7LVVHmVA0bIpoHTBSSZ5jzfBWSvSCYnXJtL6LVKDd0McSgUb1j
8WpddykGQX+Uuxceu5cNWcHzYHuyaBH5Ac6j7hultKokxPlpXpKQoLiqn6YvcmSgbN4PN1TpX/Zm
dc92ChEKXyhmRkOZDZDvhdgDjLnJy44ogR60dSuNnN6JqKCNPhQCx2iVUQr2k64vXF+Njx1X3jZ7
IlTHcTH2UWqc/0XtRVlQ/9VE+IPUT4/HY0OALoadAc0kCG2YMl19Z4/cfB2KZdxQ4fPwKxAwqQmU
3IGdeAJwdM+H+Z94R+keZbX1j7nfyb3+DcwpQh9pjekfNHtYFhX3wnwioA+DO7j6vE6MIsKgksp2
3/I70Ek7jTfwpJPHkLA2ciuJYVGhns2q6Nb3bjdoGSjUYjrPQ/U2J2Rzk0zt74SzhkGdVUSexqiB
7Z41g7noABfvdlfZiYVukdJlKccU/06YiIDUlMAlqS0YAaH1H7CVOpDM7e+6jo8qMUX+sMYxgKHY
I2TlGajmWL74dJG/rPCSqjlwtpVaLm/cnJyDj2QYKF52hLUfTo38KgBmJmJQn+rsELYByQ8de0BT
3uH4dKyPGmlAO1JFV1krpe3ncvQ/Ynnlbs3UsrgxqfJRTtDUOpDXk2N+VSDeJRaEw1MU5kV37Psn
yLW1iyXl+Jn5oRIdbftLmAW0YADOesoy9eAKF6uExga94yB5Ietjl4jMPqPcvD1YhpIx/MZWaxFn
jPZa8OQxxRSIEYtIznxYDQsLsWnHL3JIZTUZt6oWu9w+22cXl3NtqamM8dT8T6rgqKP6X9hfq+f4
49BR5wvCdijD4O4rAxK7jrT2b77OfwzeUYjAtaWuubR9f/OPMQdP+Zsqwk43XgnIHZz8Fp+pzHXq
/Xtq8L62+LFL4rnWBCGTtapjb+2PRZYYuNT1U0Lxql3S3+/Gn2g0QXPs5C1XNr4HkBO9aOC0gzx/
PKnbOnKvb2tfJPwIcUMuVci96vYHTligs8eREEkuKDqm0H5DQOgLgLwhMnd9CyMeycyewwQEGMxy
oIeVmXuwo+CWNOk0BV0/PaZfrjyQUzFLOpya+YxmqHmR3z908zOFdofu2sZhGq2YQMKGkwt1BaLW
R7Rx8HCPCe4rc39PEn4zHvw30rgGVpwyMxQQ7ByEus/XLLlVK3ebuTLhXnqk5Z8VsuqqcuWCpUuG
iFNznag7aCmcKse1pKseqXs8NR6hvJ/g+1iSZVLhpIbCLvKqwXa15eu4hX9rbecn0sb4rHZofwA+
SwOsGLBxbVGh6U3qo6uldlm48s4SjWMf18O0DyGiCLFadlf340xa1a89gbS5qK2Pv0pjSBvR87OQ
h6JidvIbJe88DfWsqAHXH0pDvy1VjDKZbxdNFybN8OOjxTVgQ3iTFEzqo6mcHujvCT06xUt1hQ21
MJjc5bSD6Weajw8ESE4FigEl0SJO5F1QA6SGm+4BXCy699XS0s6drZAyJqNo2RPCtVQHgVai6O1I
OBi2B6UPntOJhHZTrpynZ42/NMFBQVjY4EHP+WIoC8amWqaIYFRazpLTWtp7ttN5XggTgN9Koz4o
fjYMyTxRy+oGSwmLAC0PnrHvINGzGs0Z4zxRKtIorHOGrQ97gapU8c1ZhhLuKVym1Pai7moYZp6o
3CiT2u1ZrssuNNuy0FcQ2wAKrgm/8UR8kd7pj2QuSMHE7AYBU1Qu2CHQH9QjMhsFNsldDApVBm83
Q8CaXHdYZZX88gIIAIKTvfUXwrYkXn1M7jKj9wfqHcUzkiVlgNGtCfZfO9lijeh8w4Ey6pUcpV23
lPDpr+9U5i6kz5aJadn42OK1UwoZz4urXEixPBX1LlUIDTfT55vz1JIg9id9olL8+Z+kv/37OzXT
nxP+48Q2vhdhwdw8MQxMXjTJTNvArg/iF56Dysr6TnXXYWwIIilFqw+an1++BoU7iybWezxbfg6c
fnJDrYIHeggFHKDmeeaJKYpCZw/XgTUTEpEq7c1DHCOccpNq6JXM2ry4G4+drZ6OsChVSvViJBeZ
jJZR9QK/7ntBlmlcgdDg2QqqFCZdhvSscaLe9pUr5jm+gmOuKQ3tq8GASXlKoR5eeA5ReEwDcBVJ
+V/+svfUAmgjH3hNzGYw5dhFRhJ/I+2/VvibOTyTi3BdcIeO9+jSFV3NB0rZXlErkkr9a46iHAY4
Y8NSmJkPws2K9abAuRNBSaactx5KeM36LAEf6ZY2qVptloXZGfQXtmMnudS3vHzRhr0pxmcb4dlj
Jj+kjoLFJMFD49A0GYJIpGnfB8jMLTNJ1oHnyz8zLayvnbOFI6Uvb8OCoh5bzTnTVvRXJOB5SrxX
PAbjIE8tyaluvMQxj0f6SANSc5dJPP/wB4emUcoFMB4ChGRHAAYrcA7TObY2EMH/pkdk4Wt3v3OJ
UDA7EeLNsQ1E/hzBpyuQv3gn3adXdSXbZ7O9taOddJ3xPXs3ILljL/aNfNmg1AAHZXBRR8xdGnfo
MUrHKPNkGPd3lwvSyGrrSWRXIpExVtL+sLAs41Xm5hERqmGdhYDUI3+dDYah/efj4y900OpFeNxU
oW+M7jExE7opB9+tsyRHY+uez/TfJxXhdjUgBjeI8xWc5DOng0xSIvYPoks9j3bgT45TVlkmrVwr
vskcmR4zo5bcPBhHFkeafQQ/4E2Q8/ZjOeRmRowNN5XBZerKYQ5c0EnCFMgePgBcq8z1JUwImnFZ
rB7wSogX9wTk8OBQgzzaJvZxj0r3n0HpgsitnS5ta3o5KhckC6UOSNiBA5QCkXrMeNhERjag3PNa
P163B+GKJG8j9KDvqWl17kDAfRSe+6YqmLjX2Sm8NlKzQeiYCOb3wmFA59B1HpxzT5SH5PCVGZuH
NxrmAAtdLND0GwrbWXq5BIscP+kSNqSIQocIFQfdJD0IMtBfWAsSAe33pjqbHC43X/tYLalj8tG8
pex9pM7eAnr1Kz64dCGVn5Y2y4HxeipKmAinpqFEO5gOSUsiHk2kQcyD0LygaP16i1/RaggIZkwJ
XasLihFrP7tmIMQ4VGM3lNCoK6uj+8Zh7oCvGM3x+nz5LwgLvjYKUON7zSQwu29P7tyuGy3QYZdt
95sypr7jv7wq5EEa5aThubpvYAww/LNy4OeJC7Ji14kwLSG4F9BwWdLIS4wRmeo1r1NKAfyeybaE
QhCXzuzxPc7zvTPRgqoVmDbUV4XqVI+RaAZJ9Mags1X3539RoimWEWGCXlfZ9JcBMgpCNkA5UhtF
DUMbWomPpiQMD+U+Ij7rOUsZY1fbS8bOapsuH+i8nO1URdQW7F0lETX+4EqtogGpm0Q++FJmuZJC
tr8TImQ+hVPu5nDpFMQMHhBcJXMbi2SlNqTF4jgVx5i7gMFN3h9NTuCUDxo30xTklcmp0Y7cIb+s
SlwGi/9FxP8RU5IF9HaiduGv6yWihuSshgrR1LkKos81YZd2G12f07OO8RHaPPwuBouk6rclM+0l
LybhWiDzHedr88w3DPKc77J9QlpaMRmiUjwX1uHCkmtFRUgCKd+79dUAVsf85vx6liEpenMbGtT2
X8XOxnTUxUH2w6j5wzdFJguYX8Wu3AHypzzZpOZLzioWLzVf6+QvMCum1JCgc68cEeIpRmZnWGH4
9trxEuLaQBXcwC0tAaB/X+Eqg1BBwcwwAvRlHLFoKB1rICMu8auI9YqwtJGPQI5fa5fUU5lyMfJm
5SLUH5d1HcvFbAXIDds/1VDPfYnnCO3HjU6eI/vux5tdQ5sQOS+eK2sVku6a8r1qDmKb6YAMXf7u
X04DhzVRBcsLGN/KITHBNxbP+18F2AKqLHm628vRo8TrCw+b3QbHyGqz3K3yDyXxyYmRefutUJyj
8Gonad/fHQTPFrbHjNUdywZc9nueSbUBVMyz6MyRtpPX2jOg41ogZOUd2jlnG7pH8enTYctwvSr1
omD+I3up26xvy4ffbGGg+9Sn+T+Jj/QsK3hx9wgAXVCwCg1VdGk6DmElmhcXJCa8Tmi7zefLovcV
54eqFKHjduVYYlNvbUCqnrj+0WVkzfddux/7EE07vJR8wQPsJ7xI0oqQ0lyFpsfzMzk9d/O59c3K
ZJe9xJooMxpECTtR2cYRgILq68Mg97ZyrprX31zLoRpJQWqc2fL846iLo0pjvflCL9/XdHZj9w7V
TNmmpzyzvhSu6k60Yb1/NtKO8IW0LyZo9E/yfhkMdpNVg0gQn+LTES1vGg0gZboyJUpN9Y0jVXVq
jnkTxwxAIMCAhWVYcqlliHRy7DTqZ/RAmyTCz/nWTYRkojAIpd8hoFRpeq+7/DUBNi1wfYBhF0Oq
1ccUxjyARh2IK1iTXjqCNv1RvN4DEWSejmwOrb4pP404rnAJNu1HcdA1Owz324mZtV+BiQDV9WMY
8rLEeaYxEHxEBrqkP9cfDjaj/VSKxmaxbukl6MvuoUB+H9ejkTJarHtClEMJx92G79loE/LUpHeT
6qVF5rLQBWdeeDwSuGPyXw0CsY6Add90fHg6xgkY5ENGGqD8+0mP5I/NIldXKDOJAAXCejso78lq
YNWat3GTN0weVJJDfmTLUHkxr82OKi5zb8DG5K9z8KH2ra+CyO91u1m6FsWX3geyep91Kvyc7zCs
VBqjSmnpTBVBSPp7vPTprH68aoiK4lY7ZDVgbfk2n4FmYC7WMbe4KNc4q6s1Ie1PbNGqGoNOqG6x
nAZpZarUZkb0b8OwRReENVcH8yUUrHjzkYAhYTKSBxNPNfQCIFnJH6k3j/vrDqRoC/0pKj5kYpqt
UDX+YDnaD23R/6T7jDWLw633hz2J5Zfw7Wrg4GksUn4+NS13PLFndGO3chw0vEAroNYsYbctbzaR
u9el0uqur3AYaSjqdNgvFKEp65whFUNBpDhaxp7f/JISNiYJA06q6JWhYPvitFYPm+tBKNG7u2e0
GsdvjsEtVEFPpLDKCA5u/lSxr9+pyiJqZxKB9Ku+ulIlBvOMvIN+ArDulHbxldWBjA5Zjaq+Q4vm
Lxwf5f9i1DZOz+uoXmaRvVE9/AxjGqvvI+EGi57Zp2YR1/xTAugK76zYZyILka2N9fd14NQF9/tw
NQDakn5+eMLLg2fOqozCMr2iOmJiZFyH+bUBhcOZBGjQ1bEgc4iJ64h4nwFtK279TMlQ2M5OlCle
+1seXLs/npGuftwWluTw2ubf64qB/5KiKiqfgfNcJZCbGwcs0QxFp1VT8JKNx18Z/DJf/JWlLAyx
2uR+jvGlGiZQzeyoj4kgy54AJpu1gxCq7/ymj2jTnWCTJvEjZdxmwl3YAcR0m29rqnAuqjoDzOb1
JOJwi8XNvEGKDCSLnYWjxbA/rka3UvdYxcPYFgqJr737887+DJBJA5eJtx9/sAPsD4FfSjo0TO7v
D7eBbyeVw+4oa7SV2zkwkOqJzwPyggH6/QlHX/PeuKfmgF9r3S5KWntjhNStOvdBlhU0HleSl6MU
5yioVQ1DxJ7nCVRA3ZvKJAZMROAxX8Kypnu313S33mDf5gTaGNmrUTetM9mmsFilMgdgMzk1sFtu
kMnYPO2zjqnhNWaxYag8cksmk3vZo9kEccRd9DKN5rIIUKUKn/atTuF9/7PbjDkkYqjkdra7XHls
gIWtFX5Oz+h/CkCbgar+487K5QrgSBLJHy16g2GD6zO9Zdu0W/pupSkLcuQSgYflLshbt4rUx4Dv
o08rKFt/BTurRkONpcnKzagZQdZrMcenLWBlh9LXPjknZihL5G3kRhqSTopomKn7XgbaSx836ahp
Rd8yrNBWmZ+QdCFRUhOygRM41kNkCNEDx+FiZVfcqdKJHWXm7XA79iVEx70m0ytC8QatStUTF9sz
kHfBCyytmA5U8RnknSRiM+4cJOUrl/u/Ewa5UxChtzfDfSjS/O6jFukJuFTFxu4bzOwt4RtF8sOU
08V2BVVZA2AUtNh1wD7dvIG5hOPiYcjZYmpeuYamx3ift96kkx0bSHDy2r7eBSkTB0uJmDR6+A+6
VS50ECbZIuz8Bakr1kIS6EJaEpFo4o6ywaWl8ZiKzCbWBTsbpHI8JJo6d14dDp4Gb2sV19Knx+NG
gugpCcnipueVkCPnGzCDSJze63IX0KRiKxLeKfriPPNcRpAYKaulWDQkHA0yYD95cxGvBDNGoDvl
BBKPQ1QjIqhSHhJ6ag8LoAJDOIrOgo756KEIwJGYSySkuSE7iFUYt4fY6ZiOUPZRNPg+1FFHbfpI
bKTcOr/hVAej/7+PR3vxF7yz/VUTS3Pz1wdeX0TIflNMcZAvOsO4FrPxPfLhyPtsT2675GzIj82d
TfLtPCcJaJBULEmmmc/xG1rAbV6fwvcCJkLD6FCeHRpeV5Z8IAJfzgw647K/ANWVp/MuIfCspGBJ
QpKxyCTQ1EzSl8PjB2bjQyyFmgAKn3tH8iBmk+qH4edFct20mSoiNI55k5zYqo6hBRtn0Ifvyy7A
tsl8icABXzE1nxSDRGleSQkGOCgjtJc5gkajGkagKFQFIHXK+gNwpu+tsHELkuaUxOFxY9aY7WYD
y1THg+OgP5x8mCppcGYaImVRg5V5NWQZ2lZikfBgAcGiivJqf82My74Eq304UtLwMasOZDQc12dp
IvyWtC3bsDPmzAAdQnMutyYGe4EgYXt6OGRsQ3X1ZVKp/jXDBvuRctNNlwK0ENtLPE0GiIFx6oSM
MuqbYxOKaNhW8RNGl+qJArXcKyTV3C3yLZ5WfEWlSziyGc5x53DyhL4jhrXVALp9mc4wReuY9WEz
0dA5MPG/2maIFj5jbey6reFADRvajq8yYOzmZz/ZPUnl7f+OwFhsCfFHlULCO+hERc4geLe7LVir
E1bD1NeWgGEx86pqzk6yfrDSCrntVQ9j75mC6xIgqzBcYRHYZsfFti354UN++X0GnOlEmdQB33K2
iN2bNmjRXlxxIbcipgZ1uwiZwLRFB29A/HnGSj7ZlI+g7JSO0E+cCXRPfO5ZyUZurdUixbyeW/eV
iTYcp8utg3Y8ZXkdv7j7Lejjopy8XUhH3STFvHw2aMdqQznj7i2pax0eQmNLksZ/gF9zPxsEEvB4
cbQD/4E5Ca4tQMxCSo4tpFMFh01d5jZw6Im1PQVbBQmvoGpLqX9UXWBPJ+ftFom3+zeZUxJBaWlu
yQ99/ZeO+fXFTle5289uvM1Dg5709uLd5HqR9lbZPtMU862r9BllF5YNSYe2gYbe1RI1ZlyB3/s+
IG6vdkPG/jDuzKlQw89xm1fUGBfZjZwVFpEX/aLa7w3yilI4uAMgoyY53ESqnu2IpjiKy6NHSPDE
8f7UiGM2h7lUkWgTHxONF8D52V1Pfdxu5+PyyqnwAHNpVpk9p5ZBTygR7pdlwlBRTf3PoDBJakHc
0Ts1rL+IseBnOmR/D2K+u1B5fbSntDI8qwgdw9JK8gkMREStHAGwkRjMxE4BzrWXOax3Dgc54UAg
U8XVOkEdffD3O5H3yDzHbYCRblG+2i0+pGNIZfphaKJKjDWK8mwBd8ODcfmbiI+JZEBqYFAyfv1Z
zxlfRsym1O0jZmlebNFV7C7WTbvXbv1Y33OpcmCuZJuLWtBbkcpsEDRGi8h9/LOjeX5qDmcIVEtx
VTms2cv4xgq6h0tBFuVl0gcePmrPrQ4wV54gspj9SV+zzIhVboP/zTicXtxnqX8tv4Ws5UoMJFlN
gk0oatbjR+ERimCMmZUsb6uTLYxtWAgkw7JogemPFpsCVcvmzoJVbQ5hO/WjuONyrRe2PEph68Wq
IaBjwbxeTVFtssf8+1O9pdbkQgJgFv7J1+Q3GV+mB5r1pbKrixyuDJC70w5dtuRAkmYeGdfp+WBI
wQhczudEXTkN2xlR/Y/9KRdeXJk1DYYXXNt4iTPAlirQFm4ijVxFWEBW90vhWzGhv3L5wWRJH4C5
ErpMp4jQt4NjKKcDSPw+HUwtsueM63eitTnj9QPWG4N7RJTLl6qQLIxTvXfdqk/x9bzAQpbXsGGu
NRjdgcwI6uzmjfFbeeBtZn7WLfE8nCHLNjupFtZmB+LIWtXG5ZJkCe0mcvJdWV8R6hGQ5t0TCWcA
hWbUKa/24duZURuGq4NYHNaBXlheJmxvcd13mjHAKL8SjmC3x7yDcwaJi7+OVRB5kh3DHXiC223/
b4udwwAU6bhGWWcWHgU7zTiRyxZVtCOhajf30Ckfffi9Cv2YxHyxk88S6qXEN4h96miYMGZ/oOfO
NMyuLuVLlMKUToC34dxJm+6RxWVBOnqJ1R5s7i55XolUIq91RszQ5LAI/anBD1WnOv61C41dnzCG
R3W9zuKeKIBctSil+DUt9Mp4d97movukEW6ISf1tm2YNU5fE+jMw9Mk/Zo9kurXxUc1RlN2JsSDl
gI7L5j1SZKSInPfTbmkQVmHYvZ47a0A2GCPR7oCsJCU16uuJUCf2Fr4jqSkkSIG93tXDV1XNpZR1
Qw8k7W03NCpi1LtP37VY5ojZXS+QAYQl4YAV2MlZoXoghsFRTjI6zpfpNVgFmTql9HCsgdBEDpMZ
2dYd1J0fkd6xlZ9RiavlxEcjfdY6q8wDh6toNCKOK3d1Mfq2XXJrwnQH39o42l2A7m+5BDMVcJmB
zJmw4+pdF/LVluJrw38uOyVYS0WflpGFbLY4iiuUw0qrUlcwqq7otgvOWeePv9hXff8YdIGqQl8o
wT5fgApYFvG/jbRNopf0Ez62GeB7Zg9jPZ2VRdqyK6Rn+8UEkmhanNj/rr1JtLRFCA7AsMMBZ6Jc
XtCl99kM0jZ2TDwII/90j6Aw0uRN87sawVybrOcH8UpQr8N4kvTcozth5xWwMDZf22csfsi/saOw
R0ZWY7iJ9yrN3SJdmKVZIX+re2tSSf+MYkGi1u5xEGxwFCLbRfB7qcn6S3yxt3G/En0k2RGVLpb4
ugThvnaBa95dBLSV2moYyf+h3gjSB92DmhC+37rSnHH26DXe23PzLZV47WgjtdGhVex3xyt3dv9d
/JqZE07PGQmU9V1gXrLj5DN+++lKQ1CQVevvfK007LFrQxcvofC5DLHWffan6Lpnv7nvL5bzBOao
ajdg2WJaBaPLVxcPo56HrjRRk8/qfOaWX/Tn6QhhFp+GKA/5SYwZrOhoDcZqfSSsmT8qs3usjBhG
Ak5DfHvyyTFYLj7zfH+3qgfl/qb3VTiw2qhdRe2t/ly5YDVNh4V25XPzzgTdpmtVNc3HS/ussn0c
vu8hAnt4y9a4X4ZwVAUuzbPFQo+oZ1EBH7URX2lhVt+Wxa5xkYXErsLBs2F708xlowgN3ggAhewR
R+N879uIzrIitoiviG7bck7rw5I6FnAi00O2I+UmzF/u/hanibwWBypRzkRwUjAY4fGWRdrXuDXG
vREi61DGaO8Ssw/wEw4n+sWStXwg092Mp7zu+JWOPLpCvLANl83pwnJK9kIQAcd2cMHIgp8Vxt3a
jsNExgvUYIRxFl/RDsULjWS2vGSGhZslpAV76ZO9dFewiTG/R2dAwAN4p8WZZC6pJpvERIOTltHy
1JPRIbeiWvmOHWVhRIBl10HvPsYZa4qsvfkgi3iJg5fKFB7ZovWfiu+RBZOk6DaWXHJi9P4mvR1E
5JBrCL9SzlHRJKBJxuL+HbSTHdwliHvXLZM8jxqqmLIJCGb8yLZPdFTJx8yVOpGD+7j70ru30zVF
10Rjm4Nfi8LfLKzE83I2scH/K/qeNSOm26XY1XFvCYtehFSeWhJusY8Qc7UtcGQRj/xoicC0fpsL
JE7jNfwRPYMSHDV/bjCVqMUw0eESDPinNT060vonAsuOMt5MrKwrtkvacJmDlT+ZD8QadA1PSR83
cq2LjyIgFNHSpT7WfQiauRJYnFQ+MdBNF38b9XQKYyAibgjo3dT+7qLMdpL6LSt6le9N0ZU9JRsx
1GlR6TSoEGXfkWWJjPv5Xz8XgYJuV7vPhlyxpkocmSQPmBD52Y0KTMwzzaAEBskdLAyhxi2xsCe3
0d3OxwXN8KowkSEKjZISAs4Zkn5WJkJJmeTQFnd2C36QwAkmGfLM+p0ik/FTDqQKIue8qmyhoRZU
65QbwvG+hN88wvAivX4myneFCT9e5cZbgipZCnlQ2romrwumZtIP39/zdwXv09X6p3brZ3JeI37A
fUnHi6QBcmeCnKQiownTWWnsO2NFkoNAK5ikWBlVmpWgzhzNvDf8Zj2v3+CgQrAuY3cSY0l18nSK
kH2RtqMYftnraPH30YSBQ4hqdeTaQ8a5Pu0EFXUfdDoO2qF4hcRz3kQwxKH3dA/Dz6z56P0fJYMW
u7jeZOPPhMTyk+0n6Qg0VZfSy0yAouQOAJFUiajRQceOOszUCu004qIH25hrgrRzw6nSoJ80amto
m6Lu+mfeY19+XRqc1swCI3N1r9G2AQelDo4OH+YzuV+5tIge0gq/pYjmOL7d7tcOU37D2p4AWtBA
8JcKTPXJWOJ4E3Dp/whGMcCmenEiph+vZP7pZzDyG3i2X9V5zDaZBYpIbzhlYDhc2sV68/+f9Sp5
QOcWKrkJJ/c/CaRRncIQbwUJ0JA9ekSSP8TKyKovyzWHOKK/nL7j5hVjiYnnzWm2jQF4GzukdgY+
RvzEabFGS3927TkpAFjg1djnklwggC2BLjnuE2axbSRuDS7S5Fe5dGDV41rkdegzaafvoKiOmBdq
dGbI8puspgeLmJjWur96ZHAoVgR8B+j2Ua1h8dPjoycLXdw6fCvnI+3sxEJvTygfQvl8T08glYWZ
YZoVHy+dBFaPJmnIaBPtgf5TWZueHo/tP6c/TP2d7pvBWlsYXWio9v2+Lt+d8/n2ZAiN0ql64XAV
dkNvkWSYux4VzMoLaIzDz4BqNfXbrwwI5jC5VgnLJoVmfcSXaPUJQEhlePHyGHauDCNdtAlD4L6q
V9uxarTFU0R4y3vBf2zznrEvKJyIS9HhgY7vT9hFaspo+9+q4bzR/a2421sxB6dfts1PEShoXzHI
Er4huGUiS8ETSeUsQ/Pr/KVKjhjS8H/Cz3jPpvnBh3A3VX4f++L1kVVa4zIMUIYTp8QkfjZeMWOZ
EyWsQdfiyN8+S2el/V/b1z22M/fCubOdpUSCaU48zfXmaeiQH8hHAKaqzUSVKL2bZtyXaH4PwGd1
Ly/XKNxp5Xslh4yDOWYSFpOtmapTkdCpBYxUPBv1uRXPJwF1LYVP7kvVEUIvA54rxx9CNoQH64BK
8ZYjf5pY9v0RpXxKZ1Qe1/b9YJH0hcS7Nlc6yGLv+PiUnXVw7ij9hLcyAi9tBDm70N83UzqmLhRR
xNQIKmAyriSOdIMblVmEAJOUdJ8RRlYGCzdwKcwWCcx7Y8zSoXbjcoI+UUDwLIP5PO4J36/iAiVx
vANNloo92m5x4TOR/rCbGzkmS7woGMIxgQA7mf0ll3Thv2n/Fe3ZwsJO8XtEjEqhh5qaFOxwloIW
uOHzlLVq/GGq+HFH9vmevqsufQrRPYR2de/oYdfR7R+uPw6YjA7Jdxj3gw/b5jqd0nr+S/YVO2bY
ygDCbXdrgtD1+s0ugQ/ondG2hqVz8PBz9JQ7jQIACIljJuL2szY2trNohaKOZHa/epivtlttBejy
i79bw54Kgt40xbsk3IMdDhKdNFjIwOBPk/dzye69C2E/XXYbJpHNtr3u5LZc76Yv4xvDpYQ/D53X
QXK1bS+Fw7VgZL4eItoiCvsRawfwpoS8LLmpyHsX6+bhciaO96QLr+NpPp04rtGGIc2cio+FJ1Wv
+wZih6m3CJ8qyyBK59tj3Q6+9FmKCCCkb5ibairfM2icIDzFsdZDZRLsSSm7I531Z2Kbja0zy8tp
PzRa702VI+dsA550c6GHvk8lh6bViEfSfhDUyEGPgSmfZpS5trxOPLzhj4ey75IfNDL+K/GSKTji
2wWaKKgNyDlQlAhTbYauO+ore9PgvjwZZ/rEUCVnLlwqhhELRHDodZv9OOcxGQr6cb+qROK2ZfHv
fpb8ZlnVIUgKURrGyUXBOKX4Ng3Q5bG6zyovZmXs8GGcGm/are5SG/kC2307bxYzkq6zjsB62Kcm
PtHWR5IL7INpJHKXxMoiCXawcGvTJS4LOMy56wv4M/U87SqwC7u0GBvUgQoUrFYXjfcXC/FIWibg
qP+nZHwjSORi6dvnq5Jy1TGbl+kV5WKVm28eAOS5ygjnigOSKf1S6f4DQ2C06BnQCxVYO53y4rIY
xWANrxNud6253UQVCHh4gzdbYYaPyqgfwX6IwUvruGgvbh/8w64saFHbijD77mKgwhFJVX6iM8ms
hYQexoecqstS6gqnymDO1if9UEHjtOS0NZk2XiP9Sbgc1kviPFe0SU1jbP9i/T2JTxC0foDxTNyY
ly246XzJ2HxiltZOblQLZTiav3mS+nccUuu0vaZzsyD6pP1EN3dNQ+AIzY22YJ1DhPWMtqtB3Ad1
YQ3pKu6cPEZjTHC2yNaKGQinVyg1GhEe5uR/qRA+zeRYcUKgdLfl5iH2/rDpJ8ufmRwmLY6UiXq3
U5Drb90D1esqAx7j3AfUYA0USmWzU709bPRsZj4WzAgQGB+ZrrpV2woY+pHzzqrLG5tBnZtCHB8O
6pb79EpZvEqcWLXYfR0AfUSlr2rZ8iEMYv0SiyLCFNFV+tbUfxNvoULTVDWQHlFZJ5GAqy6m+t4N
AISBchJXbhkS6T03nxCXVgb0IWA2PaWdd6Yoj64hyg1en5HtKvTaPlbcdYis6+cAl90Y3s+LJ/pO
FpGnUMjivJBYjw8g9hfee9KSK9ubVkgc0b2aRDzJtCtJ5xoj4mlPxzIHSTwFdMpuFlLhY1+PEsLA
JK/yeTGlEkAYa3Q/5+oBqNIyMiKebwcBc84PDeRsLbtkhxA7zMz3qcuSEMpOQhEOuoEL7IPhZASW
zixGXn9tgbvAvu10e3xEt5L+NSvFOe55Txch8Y+6mcR1Zo7NjHiddZhKJSOGfv1zVAqzWDjRwA/9
Dwvu7xt/tsmrgDnvf7lJ4t25o5DGZRz6IfD+8t4Q/FJVNCbMrmOsGSxVzuqy2ihUp/h/M0I4irXr
fnXdxcHNcB7oZoGhIhI1dkdIvfhACISa8LHS1qgUY0uvPkoXp+PC8mexy5lYL2Dhdx6M8eHzbSt2
7IOTApLfx6GpaBIxiCb6XXGWt347f/Siej7GfSuTlBLIlbVQhelvWM6uBpn4DykvHlIfeGZc8muX
6q2Wm4W0Ha+iaW8lz61nzbXMR9jNCHlNmPJXlpIm6jkPn0INJmqmMJRDXik4xRRYxc2aHvi/ajEY
yGK+LvrmN/h/hRT36lO7ki+BkQiUBJpE75ps1oSMCcrsG+ChA22zjW8qIQeeR7mUEijFEEqshqH5
VCZXre/n91qPzsKw2YUeRN8juKQsUTAimgPYVcOYhLP5J+ypFUwiadf3JUaHfgCwrQwaNwjpmk68
/rvC+Wobx7kf1h99+5kUoKRaEstAB+ZMK/byL3D4ph8ozy6cGd0H5VDaZeu51kDsEs00N6ZmDYt+
sJ3bGO2ZA/7cVgM4WUEiraKaUQqNFJg2r9eWkCubG9rmABg492MlZQ/WFa1HvZKM6LLGB5aSj0xD
kFHtJnX8zTX4zHOIoID3bAgV9g1l/d/TVdbu8UqGk2ON7aBuHM2QIXM+nxN9lPepfQd/UdW4C2SB
lyyaj1R2c5x5PJK/bCpqfftFj+cnch03Tw3bnyGGlDVrPTdGwVOEh026Eu3uaM57GU1xlsY8FC4A
VYshhgB7yANKlky+mUZHw8XMcK0RwQteJ8w03WGufL2+raYKpf1IBz0gwnb7EhZ6kNT6G8Dlz3Rd
EuFk7ouvHS8GxpVDjYsKtTOgz9jjg+MfByJx0Y+OefPgnSFEpGH6J+YSYuaeuqwluaIGSQzFveuI
SolgNlEhFCxFs6Cq3rkyJndG9l9UiIPeEC9dxHTZdZRoFqULn4IzN+tWU+1v8s5dJze6Sqamm2QP
Dsgdf07GbQrtNrM+tCXujMsWozonI3VJMtOPYRft4ik4gjEEEnn2KaI9h4xi1Bd/PFJ8ZnQko8mj
WEWL+hbjQXmJ53foQhi+0bSt11xkO1dlZaRAYPp3bfnblm3MGGc74n8afN/q3o38QwVmYnijY4dR
IzrO0BH5AY5DI/QkYPGOO11X7eGN65rWGpduex9VYqpgqj14CWmJhDTggM0+B8j9vk/iNAcbwx/X
Z7/azYfMAvoxLKMkylZ257SUoCzLaFgtWyCEpXF25Lk3oKbBTyzNYfcjcDR7qeJZ/8ExlOdPheoq
ZGIY+10V0LGRspzFidR0J+zJSJ6HgJGpNrHegwrnDy6V6SBfiFmZepwOsW4sHQUDYCObvE0diMR/
2S2UOCPy7//kZP8Q1ptgxru25x4IHAqu6zaKokhRqwNT1mg1yYVL/ifAj8EkkLrxTy7KkpM7hdyq
h8MhoVcFSEBDttj26UrMi+/5mHDhISwiMIO6xl1pRin4OTgj9uSnzv8E7X/fYtETzs60H1/Dnu69
sJ5k5Eqdlhmfb8MKSy4SLvQ09USN+JtufTmZ2zLN+tBvGiUtXAQuSLN1zmGjGJQmBbcLeDvD2ihV
asNLwIfvv5PZKgaWwd5IC+K0m7YArtRExu500Ly9oAynyXuJ8JbE7NkCLpkK+gUC/vphuPvR8MzJ
wAGDx4dUaMZAKc+VJzoby8NGP6FNNiT0isVUILvtyZszFGKit1vwPZdBbL/kqH3QhIYyU5JotUCO
aQpxB0relMIapfQIelIZv6ECjziqHmiqYk4aY6+R0S72oMvljXPnrYcZoP1+Cv1KGdcihBDlR2qB
H77JzlebRAInvBLjMFciGA3x4Yk1pnXrgLi3VFHFWHZ64NwXNwSHxsd4tKarI7D9pczQa5P4pJqC
RnyM1tHHS2eigGHTarV7Ne6c7SWhA5HvLtR8lz+impv34QA4GvI6C+p3LHqxBsyenaTl3Ko6s0tE
FmJtff6sJ07eELTHVt318jLVgur/NdE47WIOLizsIMZujawgAS79Ri5QHEwGXtCw6/yMhlerzwp6
H+XATDRHKkljpD4x0LNzFJ36V5lHvmd17X1NJ5zr/ZbBLWMBU4QedMe8/hbzyS2MYtLy3/76PPTs
WUYjmci25/fqaBJG0rE3ISHq4om77UrKCwkLUIIk+5xo1SxI04+CB7WAS9flZSFFhfERS6NXuOpr
CSavmMEaMG6vrJkW02fQa6UnSypK4DEkgTYTC4E6zwJzBxkoK27drM+GJBVzYFyryzkNuj6Qv8Wy
lQ50nU+6GwwVa5qf3UU4K6YEL2me22NifS6sErnKHrrCvbT3AOu3MXReviFF2/euKQSapETmPqAJ
DNE2wn/WwowENMB3YsayEdvezqAhSOCbW0sR7TehoTnl5ghGusKbilqoXwGGky52SISIVCjkac+n
0zBddHpNdOiK/1BE1kqsiV5zEc8QiXzbUQUKGB4IuFwZraXHZXdEyLeI5n1pShsK3tcJxtSwk+ZD
Ny2YN/D3JlhAGtk7XN/u6LHbUrMkIFP2Vy6P/RaKTj5mVdKO//W6Gb+IDABAJqMVtMFNEZS/pSZd
lMVWFjIV6NzHYXISskUjtwDEAWdfzlBDjduqilbzoxHYin43WiNDw7UlSovoKtjHfcGjp5yiirBM
q/7HLyxhHJphQRHiOiatfc9UAd121Af4xKj2TVuwLJfnN4kSJqUM3gDFIe6Jaxa1DUFKEBx5QuEr
B+TiIaipHsPYfciT0YhUW9ZlDVsp4w+E5yvZjy3D0n4rEAp3h/Q8zvomB5XHp9dxlhUUVvpuC/I1
ITxsrzHX1YT2pN/428iILmtqCM6JNcvr5C3ve4EqpRyohR57pbt/7qOIEYoAxJkOKCMiVEOCfF/q
JFyA1sijm3Gka9uNwC540xkr1QgFZSm0pOj6VOjmm2FSyOHuN4tEfpKcSnY8MkIIDhxJVhFkfPpj
gYEaru4J9Rn7hm30ANMyUyyT7xelHzQYcKfhnKdR0Lu0gSlXEj4orv4pQOBNrxnNOEP8riDqFIjU
cQM/2yYiLtRrNAutMQFTKA7rTM6XjJeparnENb/PP2PDVRhyhe2OSviucniF7n27Iqpa2hNd2aDT
axusxqFE29uOh0oKNeeHyPcLBWWuHF26G1VBygFNHlcV6TqgZABTOXKSb300TA0i7KdERZfznuPj
z/gD5GhpCAd2z1NgtmJdY4rv9DJ/g4CjKOkqmHzROe+s0hfIlPW6igmidHihdSI1PQEM7KhA9c3P
DedvbRab1IrRdBJwlwKolrsP2JYXTz3kLcetu9Ezyj/o4QyvsGQh0M6BEP0TR86ukw++3hV0u5Ni
4hRQw50bCJSsGFM7scMtZ6B1ixVOYWgkcFNkcHkp7QYDEZcbhXqXejtJQiacp6ZqQkMS5IDV+628
HXXhXBn47AB0nt79XUSauwqpb21F675xyCBuS6krDv6SEbIIID+4G2akmj93SEtGrzQWPvJCX2ay
LkfxKmd9YHmRUrzBYPSPhCylXMOFO9qtNmFml6RkkeJSF55cl8ORzW9dtqXuhN3TvlrqCHgBHUZ5
5rU68v+C6DRt+cFvhbyOWDoj7RYrJp86U6Z1Qnsx4+CNxVsSk+2x6hQyMp5mZ2tayZz/pgyXZJbn
HYlke32+bBQukw4+kZrkUipupjP//HxbXeqxkAgQa7QawfsaMwRrs3oTQTMlTT4CY5vH3B95E/F5
pt/YCbvXZLv9EkqVSVHyopuEJosgC1Cbvieix81CXpWb5VPuCF3SbRxXW47nVjUm7MoiROctPsW4
TiYKVNZKyXW1Z1h8Wf7mdBz7OoI6P6xWHhqIH/ZzPqKRY7QavPUzAYIrt1+q0xLPQCrBPGm5S82d
Zu0KSb9ipdiD9DK/iOqvdVhM5HQQ0yUdQHb6XMVXdKIVPH4oQBvIejUURFOziJWYRqhSLBSVaLRv
hOtRLhVgQ5zsQpHCBNV+NX1kDws4usYh5cQ9is/F/1atSlafD5PTlgriaBkyAREs3v3r6/DOJi/5
lGAx56NEgICs5Oaxox7IaUqfsPBnLs1L6kDo3gaKBRvgpe02Z7sYw/o5oP/Vke6N1mhSBvbYkYtB
emuKXm+daGOkb/WAoSS5wrO1hZ+Im1B/0hF0Br/umkO3TZFQm6TGK/JvRhLfWMx5bi/VDT8dMAfZ
BhLECtcipk5g0n5mPK58sFToopBd3wMnawRYOsdmQIb6/WwkKjv3dMboGz6QrJUZPz0OGEaKUEcN
2tk1QBYwG6Di+52NoIz/Uc/OOTytpAHvH8o8XZNAM/uwRMR/3LyihTIDhLJ0ZRCU+dXRgO2QRBDL
XzKriQNP2GlW1osqlvw40O+bfmetLXGXHX6RM1YlLtbod7ZpE97LlJ2/sTBoN0xQD080kHZCgkxu
9+u0hg7C76eEY7ZOUShFO2NuTU0PwSUE45OsDQhkUJ8xOju45r5j/GHqdJLKHD+d3CH0Uxb8V4EP
0szFfH5yNcgN0b8H0ZYFq5lfvGyTS0jOzEMVheXK+5/ruYT/h8IQMucxPBawmlJ+8ji8DdlqMF+F
QDvpXqNNvNEZUA65lIDL+ySp/b6krslLrI9Ax4Ood3AQ93NQdp3BOcD7qGyoOd4o05JTtbjXYX0z
d+Ktk8/JMNBo6OHKtYBJEGrcHbKb93AiHbCzEwi7Fxar+WnjzkplM9yKGboHnohrLqCuQGqNDMHf
yPmo1zCWZbFwTeZ1P8/VZT2WGIsrCGczuF/tuNZcx5OP60HqAESsi0mLKf0Y9cVducO+6UrZi1ZQ
UYYZ++cySiM/SraFnIOqXSPvg9mk72BsnKpP3r3JcOSpVZmGnZL1vqxy1NXdryoGXZ/BuYAqk3Sp
lgj+iieNv/esJRITnd+bylJz/Jeej9naFTReUedQUTeHB/EcSnIclRHvv8Xk+qjZkn1cq4ugYrgD
qhxlFX6fh75qSIz40Gj3pPl2PJRuxoKj2DqtaAyWYV4R5VXH17taHhkbgh/kjMpVbv3b9krczL3E
7NCLHSSythVkBMRHVaheZVUrsVRtDtG8Bf/2zHp7iUp0gAXO+NMxbcRqKGsWIaHwMgtHaFlZXFPS
IPoqxi39VMMKQABy4f73Y0/LO+Z8j311YiglO7b4b7+ctcdG4Y/cWYOKlo6o1CWRg6sb455ihrDO
LAyWM4mDbZ/WISP0NJeEkdwywMCYWPcJXMk0GrHQadEPVOlX0s8xYJTtoWppe6ztFS98NYcgZAT9
TLm8OOpN3VzI18CfOpcgrMa1w4IMcJp7/F4soACpYnvNO1frdafzsEzMDJTsx7ZQ4MT8ff2mnxwT
yTlYWo3eHjxs5lR1a3AM2K9RRPWI255XRsIL3WFbNJwKHGLiBRP30cw72criFEzWX4lx51HwLWM4
tMwmpACW1DL91pZmD1WSD2KjEiZj6Jl5mksdK7feP/BZVdXbA4qD3zJIVidCjrf+q9lsaXzZqCGw
RSXzoUPf8QV5tMwgizf5FCKbTAdZuNo1i9B9R79U/3QpgMqe+uhUA86vUm0i9JnTffHTCels4Ry2
AU9sWTrerWCOfU7+DoFRugQwk8spaN6bHgPjJr32WkLBeyt7N0J2Au9wbkqaKEP7Hk20gwW4mzVN
BMNIL06Qe/sBMp/H3fZ6ziWVaWzoBpJ3I16oYj/EyvsNmvvVrIodD2X5XlbnlylchLt0jAGalfNB
aCvFuocvLdFY6QPFLlfy51SwYVkPlgi8v0dgqLCkaGi41mXQvn0W9SsEkEkyWtfG0f20q4XqZyjl
/F2QQnn64f9ov8JlEJ5DI/2NPHLbFW0jJ2LyObQyXAQkxWB4ZqjclFdKV7U9WJQo7jfdZe7/wFQ8
RP2YeTCwpykT870n0etD5AiaMJ02GAG0qvWpR5O64Gsph3Fxnt5zwdctHm0MbtNTWJW+CUG/EZTj
M9asOh05KAOZcVlZgqQQJkpQm+uCdcf+xg5TBy4jeRdfR808MGBwjOhn7t0MGgyHntayFLo/1BTq
aqMe7VqnZzuIsUYB1IrNHpZQo5n/5LwME+6KvMeUBFfFgSBEXGG742It5XeKrOup/+UNTT8YGEX1
snd1JtUkwBSB2SPwszJk+U+f8Z5eVjyzlSxj8/YRo2Qo4YDJhteZPcdhgsqs2OGJX0asSRQYa3ru
qlfE77LRnAbAqbR6eN86RxvOpgCS6r3+RoMtISPB3kahIZmJpORYZXirxBduzF7PTjV3KkYiF57S
pPOjXHSBSYiwm1VCXq4w3WCwuxKYz/Mt3mWFC2u517HfmyLwmnIbT1t1jWnbjFGcw4JIX1pPgocI
UEZtw5qvZcbT/yTOQ4Y1Pwah1afKzM85TT/0Tsvd7eCVRlegjdCNhnTDPzWhdqAOyv1sh8oXIliK
Htij8MVhPdLawvdX16d+Nrn7QgGd8J+8DHTq/G8YrgEEhM0ZNaaJllHC2CiU93zCgCCVRARDe2IX
aLGHkduIiWJxkXGpEjFSy95mkE/LWysRGYno3X2ISnfl01dfyDd+Pa09Koft02rhJBLnqnk+KoPX
IxDiNQjw8uLauvcY0cXc7dm84E4twh4GK9qcUIxoz815CkdHybv7j29xvvrkuMOTGS+VUwKi2NIP
mdgKOb2lxBv5exraUgubQdyzfsRww87KXgixV6sXJhQZnRicmyllpxo/f5PDviYzXRikyB0c3iCq
ygnySAfBA/oudFmHI2GHwu/tJU5b4tlVHyWz5SmdNhHeKDoQF1RiHjUPGMx0yS6zNf5turPCcSuS
DC7/cGS9zHSH8GbveENRX8xBbf4dMNfqfqbMFkUMZZq0TjveDlVsQLMEkrsn7UPGrRqRbBSLZ72q
X7CMKFX6E00LNl4u7dRVR5OHdLZyWM5X2dGzFK1eoC0ItbzJysArnZMXRfUU38aomAKVmSSIgBYp
fk+o6HvsBNErA0df5VWE5FWwrsu43eZaIHlLzokAdxPczt40YOzC+BLnt9t/uq3ptcc4xPkWOC66
0cklIpRBuXsHaUdbONyEbxds7Tv5Ykb6UxBBUTOpZ54Zj5zi6st4/xteLnvOPAae5H0hbJP+6PhF
u83tgLLsJ8RJCjMZSFkioj0GULAuibv3GzTLvLSG2OTGRsvlqKHbwk4ohB0AJlgrcw/1Z1trTCMG
uUwjj4u1znMPYqCi+I7iwhGxtiaUtre15jh0837fgZE+VGs/N1gr8ul/ThSw7YZzXle0q4Yieqbs
izt2N5QHkKYDPn9RNbhN7XTqSydHKm5cqcXGuB4urLSakwyhtfbWIQw/v8l5xz4FUIoYPrNLM6I9
qiZd8s2yqrBermePCjgl3xZX7+4mSSOw7dZFsGj2dqkr+cAY3OIj9cTkjGXdbCNiw78W72RHyjTS
7ozP6g0zXhr5EFFIpMRrWUyhXJyOLYf6nCJ6ftp5l/OZaSdSF3SSDMZYneO6GVGk9zZv80T4YazO
R1yIewaBXMtJ135oeAyCOKE2A1TWYwjRqey428HDfLxPo4ZD7j+GBeJptBRbgO+wBJSfErG34b0/
eTssyXQ7nLeJiaN+/v9mwebM8RaHybsZFrR43YRpcJoyz5LtIccC+qQofatRf8gVhqBIkJyAPuIr
d6k9Q3Jvy6k4WB8K6cedDkPPNLI2qhhquYZ6f2kY5XGkkkZXlLijZ8Gb25a5LZiXYx8EMEP9UTH/
qnPIawrXmJB7I4ZT2SF/1xQylGpziG944DCVMqRPB0HmeHHN9e5lrvgbNKiRcJ+nsKCxIk3N539S
z5lQ5beuE4AJ7hbx3TuxQZOGnPCjkLkLoPIOb6oocaVxgMhO3+Em9IlXRt23XktHayCA9wQfskna
66gFJI6j77vzYHqrVt41+7dcN2YTEyENeLpY6soAahwAON/FdUuAtIS77qQFqkXOUjLQm8xnWorx
g7DWgEXvBr2STy48NY+lR6JfvaVzPR1et3P7IAqRRMP3NaR+wtFpywgxcP5yVun6+IskLtVOq/WB
9lPMjMxTU40GkJ4W5FgdY6XBvePRkgnvojxOkNelejPiYk1ABqCTHoME9gIba9EX5OD3MDJws0L6
qWrTHs4kAG3/yAMrXyWx+MisIMevygWmKtFVKC7boh3S+VBc3ux4VP1Qky7/1Sy/KhJbi1dHUVZ8
Tcw+Urwfzeq3KHCCt0pxBBkdvdiu0uF8GKi5TEj4m1Afl1l2OuAjX0Nh6lk4CA6MxbFsBA/QGH5f
ELJCANOx4aPBc5sYG0nm8OY6gOEkhTIzUSrs2iPCoXsv1Fd28tuapZ9vc2LwnwEOcQ+3v1fliBtj
cylAJe3TmphNMGRlPN1VuZLiPeoQRidn9Hd2XSCdSIMRI/HL3JObLpoUrmHSrS9PODOjTg6V7iBj
fnjXlioKaPhW4f6UmckkNfJxE6mcL68JUHKI1/8T71A3qdnJvN8OZLTnIYLmDIrfuSQmghbVuki4
IwkE/daB84bXMTJ6L+2F3jskTd7n18vxv2uh6lWIxKF/uOl3GpATI2s8wPPr45JtQ70keWA+cE2a
FKcoJ/I5Q3QwCZqnyGMMs8DpPMqE4QqLQUZAU8y2UK5XndkSjHSux+vQeNKaNcuXxf5X9uU9bAbT
PE1p1vrTkmHSriyc9njN6HdOpEl+uL4sNcg+RoaUig7xsXyI0LDjraDiFx6i8nZIyMNCQ5Zs9zE8
UqBl/HeQTTGTZcJ4BWKSpuV1zIIR6TIgqinAMNLofB7Wuxp+ytZZp/CtPpo79Ez1dCSa8oQEm6rF
qJF/tO8aWPHDGHMOAcbMyU1yKUcZaQHOtqCYnFeN1R+vjWuJtD6ArTH21EppwAI3MtIN5kQLbGtz
y7QGStp6iY1DL4VxNQffZgH2spA/mMLG9fW8Pz9CPj8+APJQnYC95Y3IzLgU7zzVmkIkHczq0Oby
5EJN8L8wexD6zhzAZlKePlDy0FUD1oDb8lHK+L0DYYisQ1ic5PUenHL5ldmHeE8YOYNfSXpcazCA
Qfppt7pI2pG7CEvnvZWR2Q4CMkxuRD5vaQTCOm0A+LOaVEQLVyBgrYK5JeQkp6bVoOs4TJ21L8k4
OY2QExv0Q6lHOLUrHHEPUIhJkShMpLvyV2r6rTv3dT6WHjioi/qIuOzXBFdyMse/Bnzi1IxEFx4l
s5Rg68zZ1U7WUx6qqvwserx6N4fCeFLYM/8CoF+m1VC0BthvEmwjct+7uwNhIUhaa968buWsc+4p
aQl4d+GOmJwNw22bQf4ZpRxqbptppTCAPEECR6W4ZFjlcrEh/v27f06e3fOdUfeaMwXwhzOYywy4
QqSXRtXSntIFSXtquPMvnx/jrvKtzQrmmcqIQXDkoibsFYyNZCWtnTDhroJM1VD6bN5in6VkhRV7
N5hIOQn2E8v7Lw1FA5mQJ5P02zsafmU3iFsfmPvCbUtfpUhxMhMGc4K7qfbd4S7yXpVMssGTT6z/
k/Lyi/5mkUXCFkIRrFnoWDkNIrLgJvlaKZKgFNMrghWhpyCUZFAcK1tK65F2sBo/XIppZsi4W3VA
ayhzgVa6/4Y5rzGONi7b0Bzw612gX2hRcFuYjLPDGRM/c9sQ37nI/kkBNaD0EtcANhSHk6xlu04l
Y9iIrv5R7DEuyBSwmDBedGOph0MV4nHIVdBXetwbeii2LC44NgI8614bIyBX0Wpc6r1FtlGkKGMT
jM3ChG+q8xuz/s+w5PEAfqsKOtIg1/kFw3dcN5a/UaEkWb0jy2ey4nYg+udwMLCGby6Fb5HlDkIG
3l7t/NQf+Q6M0MaP9C0Ttmu5d6XXNWAOf73gUHSLPa5zoLkjM/V+/hQvOBTyWaPEKDjfHlQ1Vbm+
91hl1mlCuwEZIJ5JVbD320fSPPmEg/sm7IaIlOuI5HpOf/MzdgNSHVdMyf4Q1ZGIJwnHEfzn3YbW
bW8pFPkMDFY6lR16F3hxLs2zy+vnt4B3oZxjCSxyjXNF1nMBRCoYqZzj+biLcBBfTMdzIuRUy6JC
NCrM8Wb/iF2SLvFOV8Uc32eCPGzG0wLOBoNM6s/dw1mZuHHQ6z1U/jzuhIHfLGRMrclRIpZh+Spc
4Sylvi6aS/pZ/5lIUNq9glZ5LhCVyzt94KB/qJ1pvnBaKy/Zh01F9ajCRnpBpw7ssLd5p1JDyI/v
P8YuCFmSvQNrg878hMvP3Va+ZM1E7ELCo2x0iL1t5hZhAmwqqVgvnw/70s/GI/LKf+uLwH98M7fZ
waP7lJStlkm9AqB+NaR6Tr0iXTa4ipUijFeDc4oj9jnZoh7dH5AxmJbU1MhrARcauea/8fiHMyR0
OLW9CpZkitUmUnK2zms9mg7+ak13t9GmDYDgEo9GdswseKvZspNj4M+n6kDwplpCqT3y/6gZF2tc
KlQ4+pcAm+HSHEmIKxwb7JbQT+uYpIE806b7MK19TDkxKrPzU4QZVKBlZmL1HDDDPVn1th9Q79hC
zNCX43cPaofrD5WNNtByuVUkJ7Y7ORprg3Wrsrm+QgJxONrCsh4Be3QZGYJv2zH4YxGZoFpzuttz
MoWa3G8rGLuuUjL7+jFU/H3pajosqJOcZ3MU/YB3uFhjLjl70WRi6cm3PISz9IdDk7vP4pD5wvwz
7LMLQOCSWVR4oOeX07xt9MF1JvfzWfEIIlRs4Cq3fczCR4MZVlqHlf0i81QGH3Mm//ErgHaWlmlx
hZOBkHwoN/wNJzfUIL72RdPqOdj2SZVV6xj3EhSZpuqlQiDZa8YBYBb5/XSjdvVS5Sy9AWEyRK99
nAi0LVKd7OFCpm+TTDAUv7WSW031qoxP3yyel7Fj/Ex1F3MXvQqbL42kvDPMaQY0Y2mOvTTBPoGg
pvnx89Yn+Cdlb0gR+lupGO+1Ka5vRpJ5D+rCLA236Crp7ryFNbKv8ifGWh05lCrkkd4hFlrCbLjO
Q7qv69HN3X/+lWTBPMFXgWGFzUyCdDEpTge/ZMm377oVujsM/o4tsaU0s6BK/7Frzfi548Rvu1ju
fmHwrs/jObUN6JZn6rKSlW4XK9QAaNVuCfE4wChXnk99ON7g57baIES17uXa9Skk8/zKZdfG+g0Q
PlQuWiykHRN5wJqAqakbudeBAvDiX6aq263Tuy26t4gm/BE3yuPdqs3C9rczRRH25JurthR4MFQQ
/hTktLZb9QQd/bejC8qQcM56r05au7lcOOpoaVWXA317C377cvhe4TOnnbiWLAKDlZ/qGabzRJOI
G0RlPfU9FKCqK7FnjULH+0z13JYz4bnLfrN6fJOGtxZBWfq6VuHYDG2/rxNOSVfYUaxJbwFrAh5q
EO5SVAJchUcOV+0CkIjRwce5Ny+o+W8oQpcTC9xIFtILg8Q+/zUA7wxG/YWjT9B23FyJbz50Bq0Z
WKM1FkejjLB4RBujJKVEIxjL7IOztYDuHYqUQ8bMeUGW4Hy4UqrRfw6W1Yv0zXUhEmVJpZKVqp5V
BEjgm8PYhMXFNzBrE3yVmxA5eVhtWQgfWmlEp/YzJufJFP+uy9FyURRFSpO2C89LWiFWoXTXglen
jgsxk7uGurtwguqTCADV1s8mBWNksZiUop+/zR0Eq/FOeCLaTJLHwFt1tcTvIM0ldpS2KUKG7mqO
9bsOCndlIf49HoBhS+Ev1HH3Pu7Yy9Nh2SOpEJLAN+QqJv+Umcl7OucJQSc9gmyarvqvi06UDTXB
wbyazz/omytdb1jMTx5KexQ5S38msQHPb2wfNuQgHQSGZyO39dmuEsRRTyt+gDTP08RseHfxaPi8
dh1RmS9ex3k2/pLEp+vQy9y55kMf0oYWYC3fgRfd29n3cdTuabj//aXLkckgwyZSHrey6UqZ8EN0
C95CYovCFRiHn1t0o70xBKlxYJiw+zD0u8fdb+bPR1wnKGOB+CCIMdlcIq2SUk8A96dP7V5Dudda
ajM0GKWXG8DO2UcXtfAmHIfk5P+XhUWZfyKo+obVeet2PpMkWk8DmRtNW861ozdBZpstg31P7NB7
Nft8TMgvjgJbXBOFmLsVfk3ZGDKVPB86YoD6v1/Pw4KIsS+yNfb7vgyLPWcAinQtjTwsqQ9sWISR
ipiPk4XhOkVcWwX6+6reogQqaiDrd+KUV8mdoqQ5dFuN/QmnfARR4tMobBbtsz3NqL2m4Yi2jk+r
rYid6coxnSb6lpbMasrxcIFs1IxNKS3ah51DS6ziAWTYy+bL0wxk585TDKRGQrbaFIFbuSALLSGQ
+ZPWjJJNhVUDl1mzcMvDZbY4kAeZAUiBJVq6o2eYpiEa/Qluonwa0P67rWUqsj/vhRuIr1VAPH3K
QpsmBB/gXQTssa6HENwj1+OZjjZoT+Nzkk52H8Dl0DmFo+ysrzZ9ld5+yMbBFL/Yq2bpyWtUdIFB
YwhuU3HOOfyrPDvW/0HOduv7yHCi33i6NNiE6S/AdxbObA/6hlvAAcYOp0+VObD45zU4gfQVCZHV
AG3lQZWGzvFziQf6SdZirf7S5Gk5+bbKz5kcl6+N4ASv98SZnbDvD5m/xQ33P9yTpLoSIYfAcm2O
zC1j4sTxtSmkGXFpm9CApYSIT6SxSdI94ewiTpGzyzpOq1x/dtuEfuE+c8ZQqMqGIV7OTaMRwDuG
DLqKZp94ALgYASagjJxbQ4NTwWU6es//KlUjRW3ZIgWZ9QqYcyZCBCeW4/S+SoUr3r7N85jwWi3q
4iNmXlUxZ7V0VeZSmP4YcgBEXX/2/0nKumAUbOy+mdBdG5zHI1MN/EspljsWYF7dUk2v8hL8NNCE
KslnDl6D0d8zUQfnGTTfg5kaIB2yBjWDQOrK+BYwkYG6JS5+2eHFlKZ7Ecz4IEd0wfhdHvkJhraI
C54JPAeY9eL+4M1rmnwj7B3lHZJUkXn9ppiJDGgrvsaLUGWVnFfkS7I4R2iK4g2DUpqyh8ArPr06
xSYgKclWb99P0QjT+fadAI6aIMJ/aRJdmU1w+8HzjBVlHVVQqSPHLV+7dOMNmrWpB0DGKRe2OFRV
Qb+R1mklU++lExzSNbjUEWs2n3c3POmNe79/EnN3J+IkEdOYKuU1hF2cw9lLsLtbInytNfApFX5+
KgXHaxQsMqyGHxgYbTjBFU8ONOcPsaNuXrQ2RGrnePqPbR0dVv7trAmF7EE8ycaGt+35UZVFV4BE
C7mAKRLin+oxKZv6OfJXdF9U3w8NlOAvSMOiQ34JGXvjwPefmewl0PlMMN4TigyZl6I7PvoBoc/3
b7PQuyNDlREg0u61KtOzOow7znnigw2vHrlc56iL59Tb+xihykoyVaShH2mPY25Cqs0UhtIGlZGz
/kysYlcIY59YjXhSSHstw4CtGcTD8QXYqbx4397HmyOh/8ilIHWrdXwgdKmjtDNr+BmsBd5NQBfz
07PCTy0nwQKFiJmzvYPTGG+k3k3lj6hmpbdtr5UY1QC+RAGPVOg3ScUjUK4IXhy3u6kmWIY8/XFD
HmMad/l/7zo9bsZssIjlrAYLPaaczMbuJBFprKarIL3FW9mqyB8h04kHXPC8kj6bFQSG9iziVMeH
5Bnxpebev6QUAm56/tlh8Bvc9Hw2iXrHHI7hp0gqL/K5Gv5GfyPP6lNGqJEhCx46eULm67M6On1B
xipBfweMQAKmYlL8lgfe9SN9eUQ63GpHuOdJjeEdOwJUK1yeEK61txUxwD/vnVBP7sGRHQy2klQW
PHcW4IktUTZldQuQ+b54RwrWfE2f+nGnEHDqbs/uPmSQr8EPMvhAcb3PYXEAqNolpTRQT4x5/iHu
ZNZ0Fzu99x/W/BGTWIiG6OxOPv1J7gzM/yVZGTLFyXY5pn1wh7/N/xvUhCdJuGOXMcA1pDwNc3/8
XVzOEowNhoDxFy9sgIjQ4W+wMCsdHdTHV5nz7a/7OwrpaIgzYddDCgZDoJp9dyKmSHBbcIQqMqSK
FnywysLl12aoreh8zK36LqL4R5DOTxvn5pF+uZsjj/h3t9TWNgzfmPxtIfNEe2pAcOFRx1L7mkGJ
9qcU3nghocs50rnS0FmATuHWg53+NHEh9RWdIdl6nuZNWZG7kMxNqC5XJzSHsnc12Gj3w9sVHdB8
r4owx/lL+mCsroGBe1OQgUMzEgN+Mt7Brc9hzVQeeuci/Bc9TKuTBh4Ia3aO1UYXAyIahid8UhXt
HVsKzJlIxw/Fp9T7VZ952gmOG08dsP7HpFvnCswRPEm04Xbf12dR/+MP8cm06IUsTq+Yd29SeXvh
+8vc6IU+KJ9TEEbeOIjPHnHmmaHu4P8RHU4bDF4qPYrbSsMFtirEgpFrQUuBFqZnxJXDA/T0JNig
XURQ+M7PXSUgJ3mieJ4NHeIphwKbAaLNfV8d7in5GtIPB0FwWS+c60FEbOB5Tsyk+ONxnQC+sk0a
HpkQXaG94n0NzvLyqViU1fo7//2vKCx75B/aoy5UqKC8o0mwiTHDH5BJImzYN8eedXyXJkvrM490
7MVOnyUPK8UIDh5crbIshc6tX16CYvilsV06rnIoGDbUckY4lVfnbj8PVdYfGO7gSxYJBNdL4cIM
vNYLfwEqBOX5hcy629b8wFIoBK+s1I+rVUqBQOAqAhUpRIngG6aY9euBhBcJjxot3U9A5yrAJi6a
ThNuDZ4rV724fpnqZxpoUycwPcMStihPUFu5jMHMkveE4J2lwAccBuhILmhNc6MtaMxj6v2wkE8p
WXZTu+HznhAkXInZv1oyqkiEdqR+50vqc57jyRLx0pma0jKc44grsZcyQJwia0Sz+hA9LiODOvon
7kAt80Y8IoKUozYB9wfC56H4fluC03uT7nZAQ0Zt5B1ps+WqoCbvcjefBbFQG0o6sBU4Lx+gWYTe
66p6rHZInnOfPbYakf15SUfKBTlgzzdZhw2jiMLQeU4ghGIdb69HzPa4zrNt45nuHSPJp/VCoPqM
JXWqjfwoTVdsalU/UvQNEde4TKDC4u9DDwMR6S4GRT9dduiIRDJo0N+cqd4zE2Kkl+Sj49NThtwz
gqKHkpUl0XzG9s10KjBqiXsCe8ra4vsmFfimdMu5lh9RFkcu0I23KeZjJpKWolOoWMz2TntayVdI
+i2lVSEKeVXRX+DuKRzt5vgDy17d8cf+ecp1/vNa5iIQukE4LqINMc3pvFmChN4lFjPHzdCAT9Fg
f/UbM+yqc3t06ykw4ARVBlHrM9Cr7z9M6+eNVctO2DNvSM9XEpdBMjA9trnMLrxNT+Quche+74FJ
zf86zk7ifeaZQHgWgFhbERkyYAD+C8CVdq5c1gX2AARMtC5vsaXArpMbH0MLVd1BAK47FNSNZyMR
vDY4LKe+Sp6qF7N7XaqEN8VMjjdHIg35/zMNkFjPShMXkcblGpDD+aGrcBu5KcwnThuDNx9df8A0
ENI/BO1TH2NdRoHK4TKNoMlcK6qMe2TU7BTpVf2KcrE7Dagog/oX3apRR1By2wts5VLrUOCyPQwM
V5PW+MUJx0Jv34QUQSqcTU0jXvvYzyDcgQd9W/wksKti8tQaOlEIRCguJSQ8QnifBDMmO7rRnFAC
RYi5uzslJQONVO1xoUbYpaMGvt5HfnFS4kGJ1JVhmENjna/1nA6gALpXYW+v/yqEl0HfTBhKslEA
kjtBUo4FzBz+TjnBlsvD0AaY4QslCqzWq299zlqx9i9WUvZC93dg2Gw1/uvlOpdZEuV6Ad+hZas/
+WnjP0UENsgdbACSL1nTVzGZKBpOj9nH20h3GLks0m8Oy/WdL8N/K2AC26x3oURrkMZQZKk7FevB
gP3UaT8U8PnrnJA3KL58yi7idB12QpiMOFemJoGvPhiroyKcLgqOaFIERgBr05bSKjqz9MzLf8Fh
JjHRi6PHcAHRpih77q8qxWyQOEmfUfpoVaJm1wV99Qx05K5MAApkrbHyfMO1Uv/AUrl+N1b+H5RE
ch6eqMKXv8hv806gOGUCe3KmanAZnrOWaMjGjvpaWzZrevlD3GbIQjEaO6k19KmoKGr//PzVWb5W
DEM0YIX6dHPIGUzObgWsOfXhxR3qKZoCKaW9vI6a7iCyXKqJL12H08JAzfUl0emmmLkgghkMyvoW
eG4nN7jorojV1nbrWsSigbKwCHoT+s7YOmwFvSQPmhCkl9TtA8Y8HBrl1ff/zjDpRuWYVWr7fCvR
s/IUi77JDI1rzL7ZZi3QLQBFJmQoIjKHG1wkVkajMugrcdyYLFwhArpZkN423QRW7kE4cOWp8OOC
KxK4MjkB1HGeW98NVTmFOJT1e93EqMTOxx+55yfjTwFqGU40GaxDvFCBJVeF2PpvOqyHZw4tS8mo
DxzjXVpUER7Mur3YUHzR+bLlGQ20dBG0HIYIRKwSF8YsnfYjMjXvMi773v3ndx2PBJbUwT7njptc
ssEo9RN7Etipvo1ypVJPWk9rs9zVwvbL08T6emrmS1GxdTJxiH4So9iMUwLtHhJoWiHOi3AnbK1C
+D9Wr1hbG1goFHpnd5PJympH99grNdIfMdVV/rglB4nNCkA1kaUpZ5Yt2FFt34bQ/DAGf6IpnjsM
K5gWOnvGnZE3266245sErBPO6ANJbgTUcDV4WSpzD/79YGcOj92uAhaZ6Z73ZjaqheO27jNkaOnI
EMYD94T7n2fuDySvG1j7UKhruvZNUzBesbp/L1r0mTe99MP6Qo8TD+c2Pfks+H9H3ZOdqd5gve3Y
SpvQcCuSlTgOR+EMjxuRSSmndSszv3Q1JC1wchaoh7ZYXcBDUlF2FzUE5QMoow/vs+uGxlnIisA+
AXLUg+OAg6F7oerM+AbBK0jtqS2qaUiD+ptLVaUwdg16jJiVPqOMWk9GyZw9xY8bn9zQGbf1pHnc
U/KuQ3XtsWZjkWNJyQZ/1m6O1p8i+Kp+tJ3EZwGiFsamqutd5UcyHUmfwSq9/uWL+YOxCTOhuLYj
qX6p9r6ztzkaQzLlmmMzDcNpdltKRBWfVeGsYfV5uZhaHXIZ1OqV6YKVjlQYa8T0Ci7UlirSXIMn
9APHZoZMDR7AWCLCfiRNSFjMC8yv7xM2iWSj67bOV16ltbBXxpJjyX9C+OWIGSJw6gOZbB/N3sVj
LJ9Z2b3TNQdXGC2ecXlcGao65Y/Se5WSOWwlmsONXRdSzTHmPL/3U4zzAto+VQWDDH/8wLNp93z1
Q8kGSpUzJmIlmX124GHRpvGg7WjUQc2zPzjuY71o1ShpcHs6psRgjJvp0nfxQPLeR+iBHLR2o0UV
DeeMTfAR71n0cn1X/MekIcFlDDOYZeAbyWyJqQZNhnoeuBd0J6RiJeOlU1skLqyRAN5MIjV+rusu
2ls6kVT+cbr2076661aoJRR5Vr4EjWoE8vIf/f0nH+XrAUhATD5LBNeOF02nc2c76ga5c5+SigDf
2dC/A1iFZJjzlhqKZ+DW6s6SXiRdanL6UOBbU3J5lI0GWEZ+ZdB1X44H20s+YR8+Y9kQY0+vmler
6pVgdAgYzQ8+9ew5uFvKeya5z8ovLLCnjrq55B6H2eF+KrhjMjpuNxB7fEGItVhBTIJ6w/Fm3nzN
JUc6/bgU0SbzvVGLoAnFhDiLju/VUuepf+uJ/j0HNMgG1zRPWb3001ekeUkxAIQGeUEBan7dgAdA
5+7OduMNjGqRZJlrmviI3cjv68DkTwP15TgabJipQuDFJdICV0Bam8P/PV7rx0onc2CId2htvfbI
EwOd4nHCuLO3+R92BiZdSed/CtYUAupLAZDwFKYsKTrc2tLZJlqW7/i6qQMLeZBfx177sQ8kgA1d
nrVW293KRQh5+8MmjV3dKDWsEeV7iECjGn72G312pEJmgkVI6gmIIlgWI5eE2dyibOXrNq7tSrn1
dHUd3MqhB56ee4NSDxcB8xPd9T8CL9U2Z/8q5dtBvENPlHcYCXqd3y5kf+YJZo94D0uoaoER+4OQ
ToDsyzkGltbKM2tIZgLjxUi6C5KCe7jsJ+pZ9H9pIs/lMzlwh6gfpLIjGNooljwIu4+ysEbc7ypk
a85aE2FL+2B2hCk65M+sIAGCX1vQ5EheYb23PvRa+WInK0ZW4Dl1Gl7btvH7uGDxUfggmNmzkdJv
LmBOYXoA215bnnAuf9hnqDnQe3L4guqzKUlf5Tr/V4XFRgCGgAGlVC5rliqtsJh4T2BojoD6Iz/i
oj7gfSKY1UH5htkHaftuiAJp3aTbVbdYoWn81sB8C9ySA2JYVghmafns+ZhbbCDH2AWDC4I6AWDq
BMkG6WSpUHZqVPROPAfQw44VilYS5CXVpW6LzFoZRs+p3lQI2zQxbWoXb83XMLhxkKbJmjVAbgjU
U35wv9yZE7wtFBnvxn07925vMC7v8xtmREQsejB4V0JYX1kH3fohxJjEM+YWfvpJWxiBflqGiQqk
Qgtba130RrdeFFoSHY/8lGY4bvR4trGXa3bX2zcGqwQRsfzgYb8saRXngryeg3AR3oq0wa6d39RA
BLvLSn7CrQwVh0P2/lSvCq+l4hJRdcxqBzffRghaerbRXqGWtRQsWz2+bQvvtMw3QmEjTh1DlGWt
kuto4yLuVPpCd4oCHNjA865LXU0kj5fTVJtbEhNdsz9PROZTIndnSqvEj+io0zzCCDjMivVihAVb
6FkRBm6BMFMF00u21dydMv0dNhhR6AL82vvnR7KGjM920C47GtgS2NA4/U0RUv7rcwwfeL/lCeC6
ty+lnV2YXqmUTkLiU4zVsV1U7OndHrQ84pcUtQThuD5fv4jIPA+7ArbAA54YI3+FD4dkwgkPELUb
fp0Y1QmP7CL5uxl7eTpXJ4rQhrm6AQu1qPCcILgM9uWGUbcrtcR2NuHzcwXF5MJY2k0yVF1FN6Eh
CLzBOaypLgh4inj/3arLIZcaMmON7xk8dnHDWIO+XxnOsUWC/aIpLk+dhnH4KqnOsWDsHrmu10Ry
Z7c/mREKksuVwnvevbBAZnbI8nngANTRBY6v46S3D4+exFsBReumokAMNcxbLjESQN4J0ZnO2kK7
3dax+BsEQtgbGNoAaQ7m9brbct+8LomavNEOO/diW5xGkZDiiqFRcOLXNsG/ARn0XsqKMcNo6TLj
vCQ0QjmP6ZUF7o/j9gxb9b6wQ1V5h1d6uNchrLAAUMUbEpow0F6bCteOUvXLiHoyO5XSkfKLL+Bb
VTh/FT9TfL6bXN7EOiiAifIh3Sm10IfCislgJoOEEiK5hybl4jbizOB5SmZjLYrHOGcR81tliM0A
vfSr7QLwjNXAsM5vHv0iA5Nmp6wSJ5MqVgPj74dNebp+Sc1k9EYAg6xCYR9DM11qPqoXwa3FGsHL
0hFDggswizBtxRe/hYaqmePtLpg67ZcucPRhw6OrbzYQChIRuXhjT9SXIJcSu4RoQz/qfTN2HlnW
xNLTGIrNUGCjDFQf6xytmlfrlr+fzLFyhwV6a3xPyvFhSOj+2VaWeH4nfF50T5lgp30g6Fqyci5A
JmaJ6f0eWGMdYn9FUoC9tlJC+geKSfEfzzDB/SsjI6eWc0MCQPaGpx1RNfRosXvnnNgl1b3iCPSq
yMK6jCgNqD3B5sA4Z85gaAnDkuauZ1WKZt9mMaTgx4Lg5WE43+qzRWjY2s/7/G7Rog0/1R/hoN6W
AZyjNyboKJczk4N8DPdphulcMgatwrgpWM1Z/2n61RwlTS3e0ZDoEcFkb53p3hgOB29ooyxk6R4X
W04MJ6kZL3lEV5/AsuFcmrUfMbRJ8FY6BA2nTZ0iZwQdgaC8iPDqDC7JpeFcWVSLBA/a7Fi3hmfh
TRCGWB6g38XYx+NzNg12E7H2NJasFwHDOKonlkvHtHSB8M7NxJfTewxCYnE6gWkrdHshKF+ppQEJ
5Q8HCiCuzB+dXT0GW5kFA7MfCwwB5SvnStGDQGXwbwfOljLpbNzSFPpn7nFn3WyU9bFKlA92ZuYW
PW6TNxraQhlpqcj1MEOEBigyTEtQHdi479VXY6Li4GMlxPYFQx7tIJwd3B5rWCjvup1SP3JdT+Gw
qk5RayUyBXhRBtO9kbseEQ7bmcZgjKtFd3XEttStj6ekMZErexj+SDPVsY5XBA+Egr6uUXsowG0X
aOQFPbWRIH7PKQyBv99Y1OKVasCinAJJ0RC9nr5Gb8AAs5vjrA3NuLPul32W+e0qTlsmeL8nQuZx
GlixVom8b8SVVJvLHkQNSO/4bAK8pRzWi0fzErNpWveYedNI/ncFPE5bcxTWDjwbFDvCwcdIrgZi
h9gNphj9/OB7G3tAUO0QNdce/JrGNOuKT7KSAMTdMz20c7uINoAWnSfxQnjRuNHcBWNu+Jp1UTHQ
HzjTN8IlVjel6/4g/CwHSzNnf3NRXrtescpNv7KVHfWygJJ9p2a7LL0qETnBHrLfR4yU6LDK0wHW
nNRepd2SPDPQwPs3PTKJSWptDJAGCVwPuw1zeOfDSo2dpSpcAW8M2/c6qPlb8KNslF8DYZzMr/Jd
8BIvE1Sojlqu3QzYu2xDPkA3cfOa0nZmj7JA/+s4U50XBrg3rW4D1Txg9AC+lPM9OxTxO7S4geQj
GWHWr0x1VxS4/I1T180LjncS8/C417TFecSBdktLBiIomHCHD621bO/mGNSfysQXlCoi4Umfskhg
Rd2fQmFIW3kNk5L4UybU0mplSAc9bPwBvCbyIi43/T0HVjx5EB+vVI/9SrG31Vld7L3BoO2gQaFG
pJEpWIld0MvY2UzMeT0OXOLG45sy5o0myunpAReAkVE2XovAg5P7G0YnYsRlSDEK4XSJMuAGhbY8
V6ScOL7lcBlhicQCKdAeso0TjebHXvttdFi3oxf4pHjEklMr40MbxStBdRQtpMkU1bKmYS4060ri
8mUm3iN1NJlFHmoZs//F5Cx09TKu7zLLGisWwedlXbKfJhGaqnFYQUExwwzvCoqL8oqKMYv0mQ/d
/3YsCXPhNP6DzrmOxrU2IRaymOBBkeyUtZvw6LkigArSEFqXQ+isKCg1YjmOe8THJ/ZaQIaDayUV
psIel4Y0wua6z5Rpf3PShCEZqto0vcOnnOthlmrmwJT0qgZk3JviBzlDxUFZeQr1C9Fyye+L07Zs
GMrSz29pf0VUca1jzmx1mKB4ig2ej2wh1dWQ6+xmOzXViJCOPJcb/LY5i3BArCV5V5G8cLpCUEED
3rOO2F+1HAdmpPUab7bAOIz6YV8k8uK7KCjBmN7NmVvgHsqNZFuUU7gamEiXWT/RjEWc1QkSAdy6
Y7TLamTBI5ILFul9aT/mjEYM6h/dZsjj4SSgJDOGhca2IfznUnIzDEyBVNaRoZNEPj3NQG3i6Vj7
QIBYLdVGRZdnO8QTWxluP/WRIUmHF3yHCK6PI2IfMw6CdkN1ShiCnzDJv6DbMwg2b1mmY4wNS8aA
HvTNn+yjlt9XTM5SvAKA4l/2D0GLKh1M1DTf9QnBwW/jBeKv/69DJBJ+hZno7AWagnpwMZkyrr+6
jR60NCC7qnaMZA/02Q5oRk1ijoV3p6wy1g1stKPzVCeF/zJX45qqu2noJ3keqhS0J7SEVpnjlQtq
zwe+GBjkrnB8pbsPKHLp0BqGfRC2Bv1zQe+cY526sNR0XEIvh6X6g6h74WHdHbEUP5r/cxbXPQCP
q6qZMy42o1kT1+5V88ZWmaUsn/uXHD0wDwkfFzwQJFNq6wTOaiDXnUnVl8gM76W2A5DdvvLcHaZQ
UGuVRLEIl77XfgepguVHrVQRU3QEMUj4iUn6y7XJ3mbJ5W77BXvOAavkG4VjizguiBYUIgl21UoW
mLZfgMXfhmlnGFP8Dh+dpAcad3KoTvHIbxmPK0vGqr8MVLbday0xzyqCJem66RcnkjpaDgdD3whb
vxRS/wBROo5jbtrB9Mru/NBf2olydPXH96eTZiD4zDSRowWjrPFMXoeH5XSWzeL+tqeN+czDZ+SU
rmUEZTWTZBaVAjmeKWJ5tbKiYRMZt40lsa+NygD7EdobyEWC1727sQPfTVCDTjw2nwQq7lv7vFSd
HR6JXwqN+iWtEXAeo5qpR0riZO1rAn+KnKHn5CF4Y0Mr2haiQeMVwu/bu/nOiruFGlknzj6eWK8X
Gl6rbV1xIdp3GptkWgvrPp5/HcrIZ6lnVsZNRn7/XX5SYZyK7GOIUDBkDh1894OOTEqSXA36hbDw
ccw78PAmC9gd6GbWfazoH4//NvWFkd7ZgkhMtxh/kvBYz2pjIrvaotKO1ruaUSR3a0nGeIo3UrgQ
b49AklyWyrsS30VfUKJhOscrB3KHqPd1fmvmgFaDYnE/L8cYhLdr2iSjZd6ROQEoVp/DVxEh3Plm
u7hywcV/cKHwMiSTwm3L4/4mlWcAlb8pdM959irnLhueav8+8jz6CL4aWeJvlFSn0sef3tYImBKm
bxr21RbDUp1PINg9Audefr4hUrOQNHIJlEpO0kbvxW5ibcuRRLATWuYD8cs+Pglgi2Q9Dx6aCkzh
wu8bTkQf5t5TR823nRRzYTy/3VLE1pPkERDN8HOhsdGk3SMmWwfOm9kdl2n2fKDkoBqSY25sFvi9
6/gfXHn/uhhqEd+ZXvYIcYxlO4awFmMkIP4kO474x2eC9XjuwG595lGRpBxO7kOHnPP09aRYIK6b
cXNQp7Wgs7r/6BBEYiUqu4JyNmK7vBjFQ8sPm1SyyRRMM+jI9zC7bsl7L6aC2Er5muFdeRMFzAO+
C6p5c3VcdS5fRMY6XmWHz9PM447m9vTHYSBnKsjXOeEuCv18ihkInbOw91I/aQNv6DW3z6XCIJVP
KQtyqwDqoDfskZb/KXAUGauNwyx9FvwiLH72kJtVCuLEX58r92lApRH8cLJdLUbWYEN+P501rkdJ
oJTfsnU7fpHyEW5mBRs07hEjTWYVCuqKfQuBiFnrO3knIhVCq9Mh9uP7JY6IHNI+rCjC95vEmUnn
4drfk/w2mChrPmpSc1rR/zgfrLpOUde9HLH0flcmY0JeUdLgsKM6k0kZGfL3q093RvQUbyg/TcQF
Br0t03J0VcUP6D3/VQRz3MPchTzTVRfea3hpfgQXbgAcE5w/t7SyDn2TWl5VFbgGsYdWrwgiVuxa
LURsEZ8SinfTR7zgT32poimmS3fYAItXRhWOKRbt0LBB0iA+e8rtua+ukzKQiHJJYoXoT+VZM6p7
LxZONZEL8mVEan572VhY4ywvj7vEIBBWZCRQQWlzMjcjjIXN143ii39+L2y2Kt67mpO00UQq/zeR
4XLq368rQXNA38KqP4MsepdO7QrjTcXkKL/LBGW1EIRg9MQC1eytOx03qDKVi2Ji6pPxVxCML/IY
oI9Bz2CRVAv1CZhSyY8HAsH93Jq/i90ir6jJGcJtKnKL7kAY1emJ7gouNo/Zgsq63RVKNrnBA5ol
E1+fQ4wqqR55w5x5CykP9RaCCszu8e6YH0LMud+4Q8W5vdiFjugCgkqHpzgyJgDK2QNoHq/+axyG
hj63mOCVfEXNnLRiaF1jZ4BG2psQsra1AFJwTNAlu7YcbdX3XflQvN0C6EOGNBxoRIu+6GktrpxY
h26fc2qLOtQZtSHjerxtx+jYkFHSzb64mIbIpFHegQIbOkLYFitbl65w8pKSMXHCvJjDkKxMRZ/A
3FqSC/1sMfo5CgRxa0MHThQjBjthBJ0PvNBX/J0Yo3PPvnz9aA8c6Zu2j1UTWpq9KzT7t4/YoGe9
hGPaGPkbnYIYt+0tpSzw8LH8oBCLUoFUgsZPIGCFz5dOOU0u8rXSQlrMLmD58zv3OCK4LjydSRCj
SL43iQVcJmwmIs5PJ9GAXRMF/Xm23qNNju1egIZv8HTvsHsE3bJPJHqwLisUmRN2SuQXc6yRZ52/
3J/ptE9SNuscTalKXpqb6YZcpN1XWlGCjoR5viTqXPwGXxfEw1oqlBdvn9MCZRCVa3evcyov+jqk
wnMF3rUAD6xAD+dL+G3kiLjTfxflCbeR2xNO313luGNQSR3Sqia84sCzxw9ena43KtybpYBuobjn
3Pk/xZlbZJ6jMbVKSx6BT4/SAGNiMmqfd18bPIOVbjPvRTtvqFsEUxfrL9+vT2vFzRRqc5BNMkye
ojbJVZvijAJ8oLdxDL+27tAlXvdLwL3ANDUPbUMafhjmzmMM7b4tbkaPeWtlDd03f1e3AFwdarSh
wkROgGGZtOtVdDmpbbXvsSpnKAP1JFZy2c+XQxjGq34cALc4SSMWgpfEVPUQ8zBs0K7naPFTjEwO
eV1ULFaMkE9T/htU3A138U7uCiJ/O6z6tnbV55EaxiV/jvDzeVqn8mosj5k62/ThIbL6XuApV7Zg
96BHJVLdcGMi94pG45yOIHXF9/hVGQBR6IpHZdrXhJ15kHAo/d2EUNyYchDp5nIS8V5qQEEuhi5S
e7E5UWpd2FPHBBDuk8LFHWhyUvWuNZQ/sj9BGCZHKWQC6Vn0/uK2zQI2m4mQ8OlH86jWou/HOqyy
DU7ZnP+XFNDgliYpSLWjXt2RfC/fRUKK28OgFsmloXnghmhX9rFEpYjmHQJnthoPTE1+M0w3KdI/
dHeEzLDH2VnUJvYwo/7PsBNtWBaji7SgcKUYNzcMCUvbUTy3rCfkHKENvBDETd7vNduQEOZvsnUW
kUl2olujF2eZl5fg19o6N8RRtM6Geh6U//4w1EsFU6YWAmQW4Az83WaxD5aosH9G/Bba4f/1IqFk
aGaFSXvPILm2m9w4hqSKgxnImJbBZiYCHSnICrEudIliGiI/Z8jL6h12+CBnfdzHa9sphWrQMQ39
TIAzrmvnKuO2osYSZxz2hdtE3SyMPMXEbRichTxJ2T4eO+VB79CUMZh3TPjmTm3Zu7gbI8ezQWv/
I/Rx90iUjN3xEX/gEpJtWSSOkrLjC0v4/FaHM+yt6xfNz0hJZCpOGew1ki+Fe9pzL2WDJ0kjMeOY
vGXbnBRopc6Pp+5sob1bAETWKNetl85+ZGd9lXM8kaF6ng+7nVqAqLJEnrt89QBZBzN7SES9X7f1
xYOhI+YL7jcvzXZdCHzEx/uCoPu86AXvkY6fARtVleTOTWF+5GS0sC8mRWOORNOFWBWJNgWanYit
jL6oHgKE5iJsmUjEDwouayyyYVkWKjTPWBU91o6wO27In/phNkbQ0nviflLmCjkrfhXZiT9jKhvL
Qp8rrArUp5t7XHdG+boC5ucwStlecJBcNBx0OHb2SmoussbmDu7mh3ZMApcp0rduzAdvyjcNBVCS
NoHVfot4JreGb1ZKLQ4K4BBGaTV6FajnVt6hYFL4hTW5Te8a/+v/MyFwtY37XgyVLh2CY4eD1Hd2
wcKtjH5ooVtfN/mkEhjKU3cMzAx+mMn3nOIBSxVVXa9RLQnGin4OsDcAhHbZgacpngNof7aE4Vwx
sVXOH3YjVdHpc/oQ3r7XSThG22SVEDnrqs91x/gJnnPvI1bms1426i6fNLKVHNVbMM2EMADkjFE5
LSD1eFocaIdt78VBWn0f8jtPCkNumMWOGXEJWczKNDmnmicgUjXvEPHmnm8VR4BpLvVp6S7vVmUe
siGA8HUqDOU+W2Ne/Kx+5VkL3WSEcecbpxlYXG4jk0Grh9gMQ20Jg2piKy/qVhFOlSKZDXtr6iP2
odyEEwVzqnQkyY2Pr67Mwv+9juZPXZWAsqrnFQrT3Kl3f3rno2SpVpjkjVpZ36o5MdPVDf5X1MwF
QCoaLmm0fl+/jd6IDqq6xDa7o9b0aDnu32aWz59IsVw52OHX6PlhPpXKulKQxTwqclEfNmmLR4Ll
Zp++k62bc0lxH01KtEIg49B2/qYkg02bM5k+fypfL9SvKA4BEIYQNkyvSYap733je5wjrT1SppzS
c6VFfraFg1uYTaDvnupnTPlOfJCFAczHhSMv0suO6VzwXRZR930LYeRWv8Yf7ACpooJFoRIeCtJj
JwwOuJYT2pw4uWiSW7ABSNDCaVG+6Yii8rWerVfubmMs2/bkdceBMjftjhiYKKn0bp/Zj7qYRflL
Eepr9bH4tT5ki4kHwJ0TbTCgn6S+eTIeZPFwwfTTTzTYDvreh87BzdB2ZZ3Pls7K+fs1Il4zPDBn
5tYUZ/TMVkXqg6QYA51E8RZ1fBmvDNXW2kjPC0Yiv2oxtRldiYA/uupdYaaFvmrArJZp7zBo5VSL
DOKzb9dcqvjm1WHG2igeMhPhpU2Rrft9jQByFL/VH1KX0vUuk5h38G2Ez318GYLSqzWF1xVHbqqf
xuoCJXNfT+cVtHeqnrP1EWrO0OLcycjoS+G7ST7FpAM8C9vXvo3wfkrphwTIySkSXNhxVFnl0DsT
o73DOfc4Qnc70mGJUZRkLMhFNICPoTDI5j6Wyz09f2UbTAyVDuzLDriTfM27mG4nC/0vq/B7rLGG
pWKig6amLo+RWb6vJwlMUcQd6e8JtxkM6Mh2DrmroFTqZUP+9YhoxXXAikdyyyabtZ3doiTF4eEb
9JhRQzEAKV1Y6VxYonVsBQyhKvz43OdXWTaBaSrN1fbPHAGKH9Q0TzcLGLCEVgDmqDqFGJO5oiJl
SgM4vBTSivfjGaTONjjq34OK+Nf2HC700ZMeergsSpT3xq8kfIg8iiCGBMS+QG2DL76U5OAJJme8
rRfIoDjEn8atB0RcfDiQnaCiN7n5srZSYKGOzeQANM1A2WTHtME5XnGsXzma+ZjCMCb4YvqdeCgQ
OhD4b5mcyDubWCTgVYEPjtIAPVviKSdh1oQPYYK4oR6ks+r3mGD7MpqbhnXvkAi9HK5kiMRCvb6A
nJiExEccl1tchFpsDj7FbHtJzdeRUqXVbMSxeTNtwxB+WN19H4ZdZObbTgZO5Q/Q1Xfh1PvPyueR
OxLsNOXsJzvRrmVVgi5QfpUmj6FZBs2ule7J4fYo4nQsQ8oibvdoOu+QrG9UN23ruAEcqZJWlwOB
FOaf54z4EEsuMQJgO0i/3w9P8kiJGIux340CoIu1jvQV85tiHwwfqMoTjehZALvhsDw8y3sbsrz8
LUtaJF9vhx8g2d5tS+/aCGHX+TYfO2T4LydCRo76QmMTqI6Pay4zAnM3O5MnDUMz7QAVH8DMMw7S
+s6xX4ybfGEfTvtgz9t6K6U++Po+P6zdsOxcLp3Z0wi41IvbZT3v+h3cT3Mi0jc7LUgxMKZYLBB5
UaSlTS3r62FrSQL9wEcPUfTusCvcaGFqTt+9eeRAh47FYq51tRAh2QbJOkGPKVDboXrOS2ORAqsK
WcucxE7Z6FIBBwALch8DwXftG4vge0fLhpMd9v3/lKQ7u4J2sUCnw/QHMRlVIvhsjigi+KCYWGOt
q8mC3+PKFjHuiIVBgvlFDxJSrOXIdpKiatoG53DkjU42NS0Xdbs+2Ydw/LaLYdM/q72RxgHvoPo1
0Pp1QZ6xeyA8UB6uGNlGRX3YvnwtBKTuGj0iKx9DSK3rVtsItd7QbGXbb0lh/t/1N1VFHk8dmCUq
D1cT/D3XDxTbsPLLpErj7QduhR6P7KwpPwu1JKXM89ENYo8h5Hpv1MzY7GGpvEYLK6r4qTs+x0DL
UVZvv4XMzZ3fJ4Sr6Ta23XWsk6shlHPi+wAbDGY0zoJgMWtcSwE4vz8tU09PCSRvTEyeTvG99jJ0
gvb34oWAL7rRRbi6b8Rjs+17dPs6mp5Xpkiv/0Kx2swT9fkxYk4al7TQnSX+QMujHfg5a/0ltBMG
xLImd1yItKyNc3+BwzVLjgI9SDR/QDRlq2Tm9l4mnbuhgJDcIrLHsbD9nVD51202B/Feg9/DRxth
1tZXkQ+E/xHJnj4+mC7bDxJIBxWkMvGwswKtsGEJaCKmTKEunh06vAGhyqFlzjmbwIHpo8VkUetQ
RCWnfJ14WaPSbFO5lEJMvTu0vcppBUQfFKECl+bnvxAN6vsOxe2GE4ZI3Q1QnJoOMSA89lQDA5Tv
0oV0R9xG/EpjIjYuJkCA2beoWYBbImOMtb/TbCES48O9ux7pH7Lv3nl19JB3L35+3FFeUv249BFL
zWU2ZWUF8AJiHebXX8gtOPcfkv4g++2OZZnL03GJpHlXheNdIHZ8Sm0Bq3mGM0Gy3EGb0w8lN/zP
c+BTjHqKJFoOiTAlyp/97JlbEWJZ5UUMQQBzoUOovwVjaMSbsBdN9y/6x7E8x3LIqUsHyoYFmOpm
Fql0g3LwWCKYxHTpIbJmerciQtSpmrSLC0i6MhQECG5H4bM7EVLXzfbOG7wJJ2QpOfUkeEU+wpPm
y2WQ75bpz4b219IcpbhUrRIQdnP+v2TEtM/k7N1rPwh8Y2Q4l8VkzUsd9Daf0609oF7PFmqcouuT
LuVP+hKckVzwXTIv7l5eNcsdPGnEMdBM6v5kUnTBHculRVJoDqWB9h8rJ3NW9U2heCrl6P4gA0Vw
Feb2oEQAcFP47iwG2nZZWXFWNxqRGlsIv44Gv1eWNUgvEijMKiHZM2ldpyZV0lF8iV3zLJ16sWZ+
3xXbfrv9//ryKGZSbHtazS1PVzUocPwJYD+J+z8O4yk/nvGPeD/KugaUgKHALrvCJPjIxZH3248m
27j6UE5HUdOLyApnON8akNs/2CYSwvtLwyZmimGViINn6YU0R26EyCw0n88NpJQmnIxBNxu4v8iu
gH4QyIcCvySGdCKMOmfGo9DFoK8WlFUSpmNnzyQZyDpWrO+a5GptNLxNzLzojIn/xLFafeYv8dA6
vHpRTXwbRZtRqzoQ/IGbge8wLya50Lp6mr/FRomfqGK4NRR6Ab5TdUpFznUL9+IBaw+C/4HJ1aEX
1BNeEqIycGnqrmZ64fD0/NoYu+PWSVefRlCIfaGNNlcKLBMoJRwksvCEIQxFrCrxJN9mc5L2TKpt
yrvK3ZxGYRQu4CJWi/K8+xmNknfQOflBHFfU7YRMOqsjzeJCitGi/pE/P/g2DQga+rcQhnKA7wLz
e3NMy66SAfAHpXgXqNolctXtRUrD8I4QWx/ofmMl8spwEyQDVczdhKGlsqMIawm+s8wIOtM4cReI
ucvlceiB593Dk1roq+CJhjib/P3ykQDn+Ov3XAoWE82pD3vNhXxrtm4kGnOyYtAnOX2aaew368xb
1SuUmU5TpK6n+MFuKaFFEOwSfGCQdpvD6T2s0q9AUtfnXwuiyn1V1+04LxQWS5M9hRvNXx03FGuf
VA18pkFygE63I/UNWTRjKeLY9+YSwBDD0jaIfTpAGJDkB42c14Jy5ZIsluYdfldf7gq70PTK2KMW
X4+kLDzPelrA49oFgSB9EbP2pkf38VwTfU2tGTIp40sjWGh//OFzp/uJiQYPi4a1JYTpWLh+2sDL
fit9bZOdNS1xuqTFARAGOp3pzjrpu2LLQ7DydANCyGJ0y8uf13o7mDpGePU1Igp48twcZDMXo3US
1t2Ut80MYHUhz5xS/OKBesc971GvOjlku7V70QRlpxVdOBEFzaQZxAIYYzPOooBdEIXa84czAGYG
zMmaHhYsVpy3Q8yIemnYYmZS/MtT/rUBY8HLOfD8hAM/LAVApxBIwDAHLpEABo0okauBKbc6Fsxt
36AWJPNtBhrxDUWDznBcNg6vhGEZZ2QJdesFR30D8gVXLKkMiG/mtVpWZRHAGIZDQSg5tcavwb7v
oiBWPhNSF996Z1Y5NFKCIHO1SomXtLx8ALMrs0a1sUgc3tMgHOUORZMfx/vOkeyhdE6/ayc4DdRJ
7azUc0M3K1noNko0/IXgPdqsLRGSdCGMBlpEK+SNjTG45ISwfdX2OyyedhnV4J7PQQg1qUA4DLFI
qUEv30qesm8usKbLHUfhZG2GiOYeNCareuET3v5ii6d0Lo8/SqEvi6VIZ3qnm3LJXb7mU0wUXssC
WkE6z+OF79sR71qZF3kFZmEnRLlGLBY3Nab8sx3SMpKr5kq5WPCfLuf0G2di4gOekUGLc7thF0WI
jPzNWbTu3xb0zwQJWxlBGnCWE6twNVcuXP7xV7gVM7hzZXL3mnuGIfF8uNynj8OoDa0SO6DoBnpB
cY8pePctriIj+kF7LPNCjhaAVCVVw7BY4vj5oSUiJqZtZpooUA9vdX2z2yN+dkSEMdhL95jEzLI5
gzn0nFSSOM7UWnFmRfOzDsWtwck8YHvjKiCiDj7KrBtv+e+rcygxIoNIqkul2kMdYjvakyRycB9d
cJelAnSLOG2X6jMKsRU+6ov7uHqkDvrCaHm+6ylk7/RqZiUIfUmp/ictop2BGejA5GOpIokmp9dY
TzAMV8KwhzaK0kDk7/Gtdnbni3LbOh5Rleji0lS091awVYG+5m7CEHvESUpxe0ojQsRfHOcHnisM
9ArrBW8a9U8IWuxFY7qyREEKE8Kj0WkMxrXcRsPGNGhj0y8+FrTSA1S6I70zZ5q36Bhv96Zb3sff
GW3Or1M6qDFlRLZfvYVw67bmcN/iml49rpIrSaw6MubBemSaIH0kpRt3i9h+oGeAtyOAtM9zOrS7
AqodDOPGKkRRx9sXlZpWHaPwD0vBGqsiFGztF4LMoyU8ERRi7SwWkt24fX/Hq2f0W4DSaCN7vmIb
P2CEn3YtkOJ4b+vi/avrCU5wOIjNfkQ0RfGSdZ2a7W5jAuT0YV78cmr/GAma1qsDd+tgmfihKmcM
bC2HKYeMdhPqVqHDMmcxuMs9Jr+KaTqDlwZLQnnb7nYmYKtZYtDPukftNJ0Hg5ElgFUMAlqHCxmw
HdYjdm3Eay5jrczSj3pbfuEybtwUsGWV8MIHVtkw8hYw1n8BCSo2unVj5eWcceaZ3OvswGV2Ig7p
HddXBd3RfDfILHK1j5K2uxrLmhmdmhtDY3nsjWo2kBzy9KNT2DEB9nhX4tbcapIz/BjXbxxpCxOp
Ru8rYG5EeCELXPlTILXoWuEpo0hqEetcITH2uLNhNDsuunjsoxcdMslHJ6d+uuoPPyVY1iNPzGGE
aKOUv29Nzqo2ZUxphRmsZsvBkYus6c0uHftIPuNXCxFNxk0kjrRw7ErrF2OkTF60mdejDPrS2Vv2
XQHOJuP32uA9hu3m9OyK1jVkU3Pd4GOB/Ye1EpNIXMhKlXVBE4mhUpjjYqYGcdCbnOsyrM2BI7d9
nBu/Z7MkWJcKYLTNHY2U7RwHtWmtqnOwZYcJWAl+wEwa4lQMqWPkqPR1mqtXP4EXNMd0B0TVyEY5
Tf1QbZPDPa1NIuqwbEIS6dwuKUxi1QK1tgVk0aFVT3EwLFHbX2N9ec6FfI9n05BC3JE0mzzuw7UQ
3QpNIy4tgua2n4TTTnY6fvWpzznJmoObo9ITGYvQeaRyo3zvv5n/F+esgFPrEu8gqsYxW6AvR3/V
D9lyAy9oxkH3sMAOVtk0cBeefOR8yMRQSwYilMGD5hSM4ZqRWJHdliOcb3PmVXmCC7a30NXYIsWv
kXhzzab8UjuBLlueAiRpSpQ3czLczhNsmKduCXnzrpPUwkSkEAChYxM+RVGElDZgmb70kLXCGYVc
usvBwqPzMYWM6eTibpUCx3r+mLq/y+r7lHOyaVT6r3jKu7EyUWyryoyugvZOCNAMG9GUGDy6uygr
nA8Zxn2Nkf9bdjwWxzZ/MhfI01Zd+yArGKKESCGZXGZKkKhkv0Ny8I0x7LhzHU1wZRtJo5uCe2Zm
YGbQSYRz40vDk2C9acNMUFi4wM7PVeBB/u9a0AbmwAVOWtTwHkyX99Xl/Esvbfi/SqLO9xye5GD/
QbBe9PwMYDaHw+5zmSTBHOtlv5ik6DcwremVHcnJ412q+Yz2JGPoaNLn3CDU6mEn7tAmTUlxJzKL
5/SYS1v8o90seKWtr7tvFOx4I5znEsFOhbeQKR2lQ7gsg+mDsJLMnLz0TjuJhHMnAZZ5HSvdsShg
aPTdpT7vRDjWwwL7n0If2gDNxc9r6EejxnAMiCYp3M4wIHu5615ObRwS/0RZInypgLUe28E8+ndH
z+Etckx8uSZhq4c4LRmm9c3546gf5UxdaPMg3dnaKgAPe+qi8Z9KoY7Ba2FH1BO6l++q5yRt9xF+
rUj1MwNejjvRIXqmPZQffrWyYphx2KOwNIS0Wamjzyu+fxzCnvh9tVHq2uurZHkuxnbmlWxD2ZGV
iEysfz4Oa+F6sgEl3NavDAofpqg4w/R7pNw4h2Z+BXa8N7ACg/QWMgEwt5UAuA6xt0pyvZhWYrTo
OxuO4nHEiZlFYM3Rq5B3XoeahD4YOfEP8GKo81L0Q9ChB5hGPXGoFRaIlMJB+N6Az4NF7wtDHC8U
vxIw22cFbtzeDIZF/fIzIdl8nJKKBR8NOLtwCYtVrizwOLCEyk0rIRBkCBOGERYnomGU83N+Wai6
jgjF4o9/m6/NjAJv86QFY/+a27n5GnYkTPZSlLAQ3ddL4KuD1rVr30SmySjduI7PkRHejv+oW91H
gUoJt8ocnegPEUQdz9PIMSGZlaCWbqq7tGBYWsXkBNQEUriVJXoDGirKxDCNZd0HowTgwGlkM+QE
Bk30inyCa/gnrqvoJbbYLCe0ckkpLM/ORz0suoPn9O7ruPpW//CjG6SZGZkEw/SvP8+tVNw/HV2A
KaZiunfU754+0oKdPmsTFpPQQdROVgP3iVO8Q6J2BfaZutVgUblBZTbJ3inNvoIoxNEQALtLCP+B
dT/5yUt8omD7+OA1bYwheNSjRn7/2p+ijD1rhB0TMumeeIdRX0kQLt0GUbT4z55RS+e2DWD9ocLY
eXD3CbITKUB98dZENfLhCoaUgX5DdaAis9kqkp5SNuELyHSCXZpduPJs8zdj925ibFJh8j5XEEX4
QFPkn3JpYTY0rd+Gc3cpgRe5JEXoagBlGNBrMSi1AQ9jJ8RPeI6iu9h9DNwRo9tJrvrdb70h5rpa
BxYpmG9Zh9UnWWruS94eLLzH0Ce6EdO7rNtZvnhWZvavKf22Y6g5WlLOsU/mJktJBJec+BCydWPe
cRZvu/YRrp6Q4gAgsO1N5c9Zell2ySuUnEftIGRg7uwVLI+EG1Q/yHr4jew7dvDgEU7XV+71z6rk
L7C4vclAsiYCrdjic9WAY4rUPyjVZySfS2wsg0RB9HEYfcINeBHhvJtMTNGDQaoRFj0bYK9aEY8b
zrzsLYXbl/5ByDbi5tJG98hr9mXrKbQp1viJekrw24Hrt0SEycQcjcMwtgoElvhGEzlnFCNmdCjU
+qtX75MeXN4EDNn5boQtj6rPRmzhGutrz0pd19tS6esqYyNP03v6BKVz/fVEwdGd68U5kz/wmi6a
Hyus83vm5iObXlNbHULpJuv53Oitj1REad+uGkSo9hzsWb79nX6r0GfmiqyxVtHAQr2FWbKne/qu
d0Ltxcx0PCUu1Qpci3Mt/8sm+IFqrhvfKuHvxxGb/rMD+ixA4IiSmrVkx/YMqSMB0bKtMeOJa6cn
GWZOJUtiTxzjuWXcBImzsdkUAlQv+pLLg9lo7y7ImUXw39XNKZ27wf3G3+JLCgKQ+kdXpXrj/kID
kyXDAMvvCqtLJ8z/VebiLdTv6WgrJOvdRMzxW7pZ0zXXPY7NOsZHOy1pXU3EFVg8+wJbNLmFhryY
QqQ8t8myL9jiBjtCZWzRmRBgsaIDVeGNGmTwa0uhfyrtceXIDQX+0woKZEV/oRVypGsEPg9DBXqn
QVOznNNWZj2C95/fOitGD/wiBrQ3YgIT5WOL2XQ9M9Ld9evbqImZ2P1j2I5jjyZPFn5oBIeeYSwr
8TOQT9khXgAgBnqmNh2fo++pIjVpV52j+TWvhpa0kNpq08J2jKlC4ol9Nxirw1a0IAXjo2Ff0u0z
M5md0e5Gbx/bl5bQmBnhID1cCeuQ5p7i9/bbHbsXTbULzsMUyhuybJ/htHy7CMLBNq22njv+uWqn
qHYfhG/UoHwwvJwVwnNcARD/Tf6z7W9+Pzf8dW6nTwWejLbBasIh1mMgulb+Y+N4WEh7egNLtav1
gl7+kpBMd5uaAHEeSpblsH8k6HACutQjt11ZauDQQU3h4sma8MbygnXA8LGRtLy4zuFpKZbZWSPE
2iUCqHo56U2joAlO6BFw0pVaHJ89A1ZZdqZevzk9X2TK0n0zeHQwUkGVj6HVyvdYXxGBXJkRvhTr
GNV2cf2FJ/SXKsJMuCCqvmSYfeJuVsDv5KDFxVu1i+qJS2fQOyhms7IoMVpZodZuHIiyQhv5/2IX
UJ5F9K7ZYHeSKLKymbc5McMPWYQgCnT6D5huVquZI9X+r/MEH84AD3V7O/BJambRoVKcs0/gu8WS
2pqPiDY/dNOV/piuP5h8b812vb+hQ/x7TtTUeYLQ4v3EgXdyGGAAVSuRTuhdWiGPkrmZtqamM8w4
rmTPvvWfggMBj9RJUOvSoqR6j3pdAtvTNdBdqlxgz4U5qgsat7n/4g0Ci164ol17GDk59EN02x2J
/fuYAm2XNjGDmGrz48/LXy1isOct9h1fx6NFIE8zhI+Op54TY5WWpXvsnITguADf2vJBS3wHg4Yt
wWzKpDcOmjMCaLcWSaGT1FYao5wHxsh8+S2+WzwzuwncF4gaSKep1L37NWIUC1npglGK6IWI+Icw
HFZ+xaalrvm/vpyUkP34kXS/DJzTmA73dSwnhnyXmRNec5ohBpP74ANHM5klf15oOebNGsg0sOK6
e+PHSShUihimEgtc3Ea1molovTY6k2UsWqnmgADQY0tNbE0zwVeD04Ha2mrNXV+Kq/r8I0Aih4uc
R+kwygUrsPvKct/2mlHVMaT95Ktgga+7VoWXrYddXoRLQ2Ze3iSz0AAuYx34CUL6mdQi5s3xsP5l
gTwiksEp/be/faYToE3OBnWZKOThsdz858qhjpPG+tRSuBmN7cO+JZBxRlLb4W8AkGhmK5ii+cgP
0YXxsHFi5rwBPw6RZg/YlwT7Dg1o5V93Jx2vrqlGlxXZaZAiXXXuhjbjJr1TfxrMbvTksatN7Y29
BXzekatczuOCFWeDfv5JA1le8I5nArKoxDFTLtW+MLSYyb0KkW0CysX7EPCmPbk4TfWWWTg6dEct
xl/DDxlOJ+lhodNgckvmeefeamSllRn/8GNjcdVFAsP09sY4sh3qOaaDSdvULdZJnheXVSFdLgFo
3OdP3Y2HdVwls+U523FH1qpk/A0JPOmRN1cs0/HDGSCqQ9ks/420AQgX4SSmpphNQCmFBBiI6wFw
54Vq/85GtqxxRxH286beNqET2apBus3mjOGAIhiIgmXVA4/WbbaXkuYgBuqjGYZclJkSedio38Um
VauHNObX+sTQvJrG/3Cgf38tNM6I9xLDgV2hq09TjJAaBOdrkIPciY7SEkuPOwiPL7TSzFdW/HXI
WxCsHXkMi5t2UjIlhOg0dDzU6hnj/T/tu9Exit5ORFklZNucWmA4FSTLaBTSeMfQnjcz+yCPme6z
/Kf8PWVYaYKCyhW9q7kEf1RD3Vc89cL9BYm75/4xYP3OTMjR585sei8l6v9PW98MavmMnIB286nd
aFc0RD90yufTSGI7q/yWlEfa51EEQP9HgEtWOLywTCIjjT+sNM8VEyZRO2qbg7I0aiOwYjR0j4G/
bubtVvhUVB/PMaurjrVJjW6jkJ8d3qxGCbItt0eJ57N+ckyUTGF271r2MVkZT8YoW32y8hwAP787
pY2zf9SeVOT6zYro57Us/caqV5RNIUGM6/tfesCXr0FnhGeqCGqV9KNJodHbXgKIPxcvLyL4OHq9
O2UkcL441ef4dlwEgV4kE1m8H/9oCrH06FRaJz7g/0B1YV4OMy2R1eKTBIMV2UubFbfTLnMdEE7q
tL2rfscJM0Ji8+rz3i9IRZHHiKTxYSnOEWE1Tu/YxScF0/QmsvN8Zy1LJy0GNMi6TVclBxuc2FZr
goZ6InR2Hr7dV9fxox4Ep8OUsKpLnOreDOsaIRgvQZrnwpl2NUTHKtImnCjWZuOwrOTQ5MI3hEch
l02OneIz9koYLVXXwLSuVaDEREiARRdfz/dU76fF5W050GZ0XppKSuvU/Rr4drUgrByp8pY6eVW8
fmF1nzOBwIbI/5gb40dmLQHOzSfPa2jkGOTBLHR5Y8tWIP+n2oyp6T4uZCa/bBRlKDmVDOfMrpHY
bs1g2TV1Xo6+QM5eG3t1v9iUtpPF3Ikae8r/bM6Cx1eNSQu3H/kq+HHh8+Exm7PHmw+rOgWnfI+9
eMnhOTpz3zn2avzpgqVZz9iZKd6VbFolxVaIrLCcuUHMXrhDl7zFQ58yhFqTi2VUxmvth1Ors6VS
dDkQwa5ZEWJtoLRh1ofncmmhagwG+JY7SNLWZahjyqJ7Ww+aCzKvxcU2wDO9A7+CcUp56Y1RRsZZ
XrVVn+e8iUtRF4PGS+lMviz7sTAxAMXvaAXirrZcKURWyXDfUgPBFW3dp9TubWfPmOwNGz5DUPYf
GSz/Xjde40Zs/MelbZaayXVdgPVXSuPfsXjHv0M8RagL2HJXMgcobnmEHCTYEWnaUYMA7qUkZPIp
DxVwRWYR6Age//NXtg8tbNpvSZ9PSYAm6NoIfSIWPWaADNFkr7utDi+mHcccfVh48gvNfELN9wmj
KVz4yXbBp+VEFWpcElUvN5Ik622Cku2s45A81dA9PS1/5VWnF+6RVWGxNK+1C4aKJVRq0DXqSi7B
tD3sCCKoDfQqYpxeyiyCGCKNePS3c2OofSmOe8iMZDrgAg/YRevvNgb1IN+t8J8Z+f7qfydBB4dW
KsUFUpzC+JDQA0GtZ2diLH7zvOosNcLcmqWl2SStzEU2/WxEnrQUMBEKx/YKvwk9d5BY7jy/IH49
luUbvwzAfJUwwhqz1g1oz4VjizuBRURWbmBY2gN74QYoExCkL6wigxztLGLNq7bvujjP/o0fHwt/
k7qxaMXm8Jc4NKOEqGowPI+JRRVmb0THZJWx0GiOdgZBehcA/vuWxN+ar+JMmJR9fI4KanC1M187
hijdh/4E+XaT4wyBhVwQqkZJqZgDjdQVJPG0XVHxEnoklm1t1/MDRQQd05vrL+seGXe6zry6OI2E
naUJN8PpZVvVcfgXSKxFzl30kkxlnm7KaM9PP5xowePbJIiKuTMB1+mGcd6utYlIUr1jzP6b3Bg2
H+cab9bWQuuJGY+6DnoWd+BqzQv8f8US+55SpJVAZ8XQwH3KEqluFwx1sI3m3P/rV8Ncppm2yg8s
LUO1msY3FR0o4/puZmDh5Wocrh/0Cs2iYAeRUDFppAb8PQ5niHnGqXu0Xh05HkAed96UARNqkXza
TPrKODCaz2DBHmZL+3nUwEN/DcD/w85Yo0PDMjj5w1t80Fl2csbJWnzSKSo34fgNkf0GvpPtP7HJ
r0TP442ww8GrCrCHpMrJOzBhMYPxtg85rDRKVtVCK+LYGcP3pB+Vw376RbKMcpmvKPW4ozzAKc8P
4yexzjUAv/QV4aOV6SqTRsjsn83Eun1rcgLbpV2lPNdQpjvoGxn7YfAmiJRK09mWEh/07LN2HMdh
mAPjOcU2goabmR7Rxa9XhUGv2J8cOLsf7Hfs6eAoh5A1ZNrIU88TIiSowb9pMAxZAc3O00aqHDTV
4MMRZLXe2TghWpYbxe2zmfFg5WK+wiJuXQakkDrPOhCKFWh627FtNTBiYUQGbXwjaA9asuEvHppi
6us6aMc/OCKjrwI2yZNzBwIe/OAMbK2Y4f734B6FY4nmWWZGSxoTWzBF3BDK69SpRvx84OaCJ+nz
HBFC4up2QslnaRuoZ7I3OXIyhck4wnWvwkCua1Kjsn4dK8SXqZi87PymUFHdetBIDc5M2QOMLoD2
t18bxZHMVQ62MPRLjPrho2DSWdqGxw4sA8k8e4LaIC7MeN9QsH1Jz7frdiPvK2t/fZdbQm/DBOIW
QcYv8hgYxZ8LR3uRv5cfcnICvGfCGLjSrI47VcQNBYKrsrkwj6A7pkF3v+b4X69rMLFs3EqTWIS7
sSI10cJ7qD+TdMISn5r0Vh0WkElerAywT7MHLGtpJ9MaF6V5va5Q4Es8NXhIsUhj5EkPO832sAMU
0TFKdnSMYxBLmkXo54AwurLk530lY0gJ/ErAiUELBPR9zzB829X1PaE/npWaQf3K/g9yYXNaLwSD
P5gJRNAYI6Ag+FdwKTptPalzJEqkUgJiPbdcWED8TtTvxhP+VWdNL+4R28o2ObDewzQW9qViBBXG
QQjHxHURwQolswY+sqrg5BPw3O9carsilDthZBU/+EUG8baVn5ZXL7GtO7rmAf4NRvUSPoj1ZgR7
Q8a2G3kXdkXPQWS/DXdEztoj8EbToPanhCyJr+jp8iOZI4RDvl0PtLbuhSAbcjcYxFwLQJhIBMU8
jv6QbH/AgqroQtMLPRbKvygQEocuhNwYIXvC40kpCHmVPYnrgxzANaewsf56lYoY8u/GwxZys+kc
D7yhFaoPdzQH4IgKMI/wCS3BvURDqvayUWs9Ni4/b70mmd5aj/GnkYy1hZHvPDrOu7nkMzzQXrX5
eoaMWJ3rXmPLpew5fbGesEqXI2OwTAgCC4JrXExQN8apiLg96oDc99RV2BryweUWGuITQV673cGb
Ds40ddwdsmr+AfgJ1vKrfuzq8NwmomWyIjXie7LDjNlzRkMgk4h8cz1K8NubCnydXzPgkscLJufL
jYvld2KceZFRzOXv95r0nUKtHg6K16rUAZTXN7lRfvhOzC+YmhgZHQqg7r4OU4XckzArvFiv/PG5
Yddg3kr94NcvCJXmQB5fRMKSth+zOfI0hbWD/Kk8fMZX5il65BCLLF3yVODeU0YwQIBbLmh6zhvX
c8nINLF2V7UScTEVgbKmKYLV6kPYUIu3GeDgXAYdYTHwMeFruhx3WsjdzorTIXr9VHGSaNdB14O5
NTeEW+PVdDFoUtB9ttvo2mt9QNAgq9CG+LHxOaSYaopQugqINqM5VXA0nI6fvKJqfEmSyVVV0P5n
OHKm+U1tVsDEgqqQvSdryT45p4sQ2GfBstev3kZxaSjQ1sCArN52C1Lv62lYdNu4BsmVnlqwcpMR
l/FhWTZ8oUyp05gaWTvyPnF29cb9FG9OBwZAtSuIENE0nHilAp1scnPHps5f1fZSG5+HvWHf3zxo
RC6mY3vmAASCiVaAXTQTUPg2YHEIQbthO/q/wJfzfBOJpWEZ+F+hILL52mfGuS2VzyqiXHb6zQHQ
rsIQVEKX+uXSu4bqw2O14nx3h6Bkn9lthho/uYyJZ77hCiBmpEZJ8uwtiBcLLP64utxG1Exfzq+9
6gcmIujRl38YOez7CJbYQYdtqH2ci/FcR8gtpYbfBwhGwoc23ZoHumGA+oD+DWlvZtsYDyZ+++2B
ywA89sRFfToiyptu3COYJJ2E8/JnGUICrnpVA/ZxAHOgFWrRJLA3dgG9zkk2Bdweq16LLKErdAJW
TVjI+iPrmkrlHhCLAyTRrJOKutw95eGoDItHMd3PqAYVqNl7RYu2ArTLvIW4jbRzHwR6Y60RRc1A
sX657Clfo8vzRi9WxeeVg6WJ76TkcM+WX9K765dij7IiAqu5pRn6PtVfRxYZEccQjuGQk3UANWl3
0OM5a4pX+UaCFIoBx+GWEtgw/XxIsmKlwZM2MeA2Gz67Q0vzDt2v8FWb6UurAdu4W87dLQpmJeyb
521fe/vtF46Gan/ZQDFemxXCPSe77ndjEpSOgRU2Jm3ZGDmMxHzRXAXod6CFck1NJfnrIJPO3thv
O/YMQFofd7lZ7IbjvtjO0gkyoMBkxp/WoinE+RBKy7Kn393p0NUz+VEu0xNJRQioPIduDHageumP
O5H9OqchKbh4Pny0vrRs3mrVM17ogMl6TS0ibT3sHqQWPCSyw70bGNppHA4y55vNW0cJGNFsjXn2
vP99BElx1mU/jeLTaJccGUhUxyml4p2JA7hWviiOFJf8WHn2U3pAUVo26I+myCMZycIUQSw8gkjs
nqTQ85k2sdmDBmw4x7tdXtxiJuK48vi7OZm3eBcLGd08NH99zlG31yMd4tyXpXswlnzfa/VdB6yo
zqvxIeYkv6ygMAQlE2SFM/vlYkk1yebFVxN5xbNAf07zzqN8pBBhEopfqEZIUS1ap8JIffZpugNY
AXeP0O92DUo0KKbMgRGbquIhNBsR1JcfUWDpAQCFFzJupLRRLosdLbe/5qtZWoY83PF7gyNfC3lr
vuYRQoGVYwyFE6B6utjcF7GUwRlWwHH9YOtAIqAgDYOBOfJ1OJGwn7BDt5yQL0DS1Qzfj+woD4KM
1GET4YvUYVAwF+pYrS8FBCORkgU1c58Qmh8KIZIFiAA1w/0GAjbOQqNu1tbTD9Z7ya2cYkf/4TJP
73oPONtGxinMkpW2kq7v4VLEY8xkfXshfn+oltqspFHx0GQmx1sgdXJpehEi7J8E00+Pd0bp1InA
1iyL/5SdG/MybQwF9hiEu12BnfmvcUzc7NlueNBdzcgd7OQAoJNgQrouAfpXlRv9a+4ink8aQSBL
C1C+xr8+HJu+KUHVGpJKEwtcqdk9PmdaIjA7yDku2etoFqNp1eSwDMSwmn+L2z0Y9wszuN7z+iWN
6gJI/7EdTKpM9z2NP75uKsG13RppJ2T1Fmgii3RytCttO/ZMo/7fUSkZqLYyAHNwk+yx4UrJ9Lel
mQ2zJjD5xSiXO2MERNsx3yRociUU+XbbjT5v1gdGoiq0+PXyrLbYN5MtmWmIcko1wtdoLtc0N9yq
3DsvnmP8mBAaQq6BAZWgm8eTdU9hhEVjQ0OgZu4iTsfQqb163yAwc6k9O2taLl0h16BbhFoTm3bw
Iwr/Em129xAqQ8qqR4N4G0+Ie2KqEZ/pX4VYKPG/me4Ml+zu2sSK1Dv00mgbtl/4s7ifO2hMZyuN
AXyHjIMh3goBgggRCF4Cy9gNh+LNiAgj8Jav1I0XjHQX1tXNOegp1PwjRjP2EZUSgEeIPTKBO+dL
wYjXpsL1SdUPSk/c18CmXcBjSmSwb1rkt6OET4O9+AZFYvqyEHjJNBQG2+0TvWSbC52Cz4ULhZPf
VvTwU+5ZVxOsSBxfYvL5vIvora/QQOQ0IVxneXsxrUmzdUvMrqeZ8yfIJyh/KE4jEeZZCKDKPP7j
oOVY+VYeHOoPmTX45Q7i7263u/ksg7NUG2PXw3ONRHKLVu74v91v/fBDdP48x4l8amFuzLHuSwZU
swm6lpo0d9yNAAO4Qzl756Ob6nld4gFMWKXOG2Cruz7Rs6+y66smOhAJkJw5mwOOXbAWu08Kh88A
ah7OnF7YM6XYgEWh0VDkegjCsLBwivHHQyf1sezpgpzrjqRMan3wDuPIizTaakpjxV4ETYdqHZhL
7csZhKwMn9p5Q4xf0MWafJwlSJjhK5vWaCml/T4IKeF6B5+LuyVi+go0y8VAYFlrVHcNQCCQ6DVk
q6DcK70u4O5+LPmndpS9fiRDk2V4+2/bw5MdTPO9NfNdDkUqc41PIcbOogJ647rH5UBzkAObgRr6
V1Z6rTvdrnhJPROawzVKAIn01AGMqNTfU+wJw9wQL2ixwNLQXZroUF0BXAgZ9QSqPKW4BxTVHHQP
WXZTFkuR1H9CY4ejn6Krw/plsl5WI3uhZYfHPXAnPQfLbPiTJTLzNUp1WFHNYZl6bEKZgPk3TZTG
y1vvwWNsTHt457QZwmpd3oFSOS7LL0LwV8xW/LjEBvFr7ovFaJYYlGXyIQAALUWZQkpSKVZr28xk
fnR2oXkUYt/iISSt3cbJB8xHHMIGF95Mc/ZnIDHsrnecX9DUKU/GzK0k4Jifu/onnCh72fK+V+oj
xlLgqr18V5gXh9YTmIGLXQlodXMcAdV62ghpvvHfq6/8jIHyd+v03+maYmcRw5RW7l1Jtiyww9e4
f4NyAkyxfwLi0kiAQ2FPQbBkIo42+SAIU2iuQaoMbSSTS+raGTrHKz6fCJfUKJ4Xkqi7ky75qMot
nR5MOMGesT9m8+IMAFs35jOK37B+OFFlQ0TqA0a6hgydq3gd6wA3YdgRo/pGqCnM1T/NOZBCC2GJ
J7PbxVuu0C+G6Yh3RTWiuhFkyYJB4y11NwFKubXT2V+4bmnAVPv3a93upsqV47jqs3PTFNZ15JMV
SUBevToO1Y0vAON7fGzE70KQAAUivIh4YmzQBsrJdtVLrAQKNHeKSLOnFKFk9a87HeIm4GrBA6tS
Pooj16cap6PBFNfA2LUC0KAZt5qBSCRA0JQ3frGDD2sZuwKpbV1ysqfhstewiiUxZvKsf9UZAPKN
WGCv9RZznUDq+8g62a/Kzs8XBHGtshNuDZaO5lWSOixg5Mw41on+Ubqe8KQVFD9wH6fBcGWhfXaX
8w/bFfg3WCJ5llafFuMSO639f5zj84yWXllTfL6C8Fdpv2ZVh2NxUQ7utWh96y5QmGpOhnApvNak
zcT8ndlFOsQKxaYrsrNy1udrDpjJPHiSdobTVbae6a2xhSDEgMd39AMk5xVtm8jnLyEbpmCdaWwc
m2vHhLGIXx85m1JKYKNwIs75DlnlDAmpucNPLng5XvIqzD8EDvD33iiyiXs1McV/rdqEn5g3YJzv
u6p5HBUVTMRmTEl/NuPFPt9FENTNMxwem0IKmV57FqqNf1p0F8diyr/zwcpI3MCgDZo3bRKnyYTd
k67kLjCMjK2q8icMbF7n4GAQlXmv7hXlx5/mVdo7TWKMn3GcQY9l2mj5uFnw35u3IpbXY3e9P5TI
rYNyo1eHuIMRArQwA3BwU2hGzlCwwdLZg8sR4kVRIgsypyGFXhZ4TVe9Ope+N/RXkqpklVJAATTv
+Y+4srUlOj9sVS8K9FZbQqG06z8A53Fr9OfJnZr8xFuGkXQr701Sl5y0Q1zXYbuDo66qh/tJiPXC
AKk23sVStTJrRdRRC0QYtiTRJZKlNBk5KkLpgE+HAt6TctC61zFXIXxQy83QvWAle0/1i0CWc//q
SB7bCtd3QD4FH/AW1b/7CEe2c/ttTJ1ObGEtRLSSYWKKlyJo9szWvicfaFICG7R7cQfIp6je6xTV
6JiWc2ASrAwMW6leclHkLJXMAt/lEWM50MJSeWxXLII0+n5fvCinEpOyEyrO945rc8lATJdsXPW9
g1x3QXqDYFfxMMJUd8h7Ip4P1vHTv59LJxsyhMYdfBlBNo/+9gdog773dPGKW4o58Kc2EWoKhsmy
2PVUDyuqcA6rXBCYjPYPMUqQKd2UszVuWc+NgEVMZRG7EmrIET5FilAiTyaXkL0VNVm72l36WHNV
5Bvfd0KYdRY+lVe1GiKMelJNfmDiA6Kq+aChi/wJmFJMDwxFwPeDXy3+NohZmDAxqDCSMlZp3FaK
2+Uo+DAMihFng3mIQwT5heJNES70nS+4Ucg7JD/U5NfG7+PlDWcwEADhqsZM28moHoVFl+QMLiPe
V4HxtRYC+dz1YejFVvFmwcdOxuv2Jh9EpuFYEVaKMcCB8/MzH/jErDMo73r5kIrRsYzWQTqAMIMX
jm3JcWz0kZaTBdimpRtswOOx0VI6APrOUmvsZodDQI7981H0tRs11BMXAUPHZ+hHXSEJ2hmsSWLX
faB0Vymtw3MjQGYbb3ii36GLsektxAzV2Tj0LFnxFdWs1XkGyu1dupCeilY1SfX4/PR1/BDNXmn6
nDZ3M5EDaZnlvTRbJgw2ybp1MvE3XuttrqUlpDn9SdJaGW2P/RcHwT/+HLAPbAeygdVNWq3V//ul
u75CXaM/frOuV3GvCUmi1UuKHgJKVHuAUOXWC0msaF2txS/+WAWn/xa+KSJDfS1lAM+Ubpwt2sw1
ZkPfOOAmsDqq1oGoERtcE6wrrYWSZRuAiHouQEhTxCV5jzPcXYNGO6w38Yls1IcqHGEG9MXRoOXL
gIXFdpgWArkCtSMZHfISJltROVF321zSEOkOskfpuZtHJpmaGMZF8hvqPtOltLaaIh3OgfSY1qQG
d72sRmZqm+/IMo9UzUYa5Yd1Lc7ogXvIFoXehGQ5tKY9Lq/MDO7zJ0mY19KIPvJt2F1sbv6//jaT
SDhlYuHkyB73hFmftz3Cvz8uf7/hTpbKL/rZAvJBgg595Vppw8BGKwNSyYXSaCHEVnrdo7GRYK2p
URr/CvVljiHTRPA5N6tazQAJEj0/ij77+MBGMjwdBtU4GC6KRev1jzNH7erJFdF6ZNM5v0DpgZAJ
6rvUUeW0eRDtfmQgW2NKnyIw8aMAIkkQn7bjj5ZxgjUo3tc9hJJm1yBnI0Db165ujw9D0BAt20Yq
PU4vDKFAItCmRrk4lRiSZq1YG5tz2v45Z/3wpiTNQ3tDL3C2cr5EC8FZA1ZRf1/SSEIOmjZm8FZe
N4CWUe46/ctOpPoDyRRhp6kCEtjySLbrw9zT2A29w/V+Gq2WkgBX0Tz4gT6zpPDcpOyFdsyKU08/
yb+vMDTqu1YhnAsoc0Mu4RU3CBTBTXGQKJ/qgGOEIoG2IZqEXI7z5ACctnbg8CEnYg6Yr7codC3I
84ckXIhoGWi/FHuu5H139jvP4me38mE1iKTyVGT7aj5H8y3Smxm7qdE/HqVWxQZjjCZwUsD4EeHS
tzI1ZNuIFuSMhF29XmX1LCkFrb2BdGxccm+4QNWfSpurJrtmGlLvMbBBnn1BO4/cgWGzFnxsCddY
a+iheUwISO1RU2ExWwMCuguHtj1yJuqEBbTO2uI99ghiptNEvb8SM0daHusYJR1vNBTXb0lIdSZA
1Wmnn/nV91VV7MPU9+BVlnp7M24mx+UG6dLpAFOrV55if2zfC6jr0XOTM1GeS2oN5IL1Be37/ci+
6YUNRUt+wwd4uboy3aDTOi1P0cHvadW/CVG5f8KMJFQ8FlCdruUkWtGLWDIo2zWyknPMCqDRsXzk
PQ31HrdnsHaKyYcDnVPB2yHyllgEV8a56weYa6lrqgQTUaHDb5n3NIsGj0btzqRaMTZRb3r6g6zu
TRuM3IlCT1ZH1Rsi3Ycspoqt1XfnFzcFWtyySd8trLk9khXRJtrlsHs1bFEXCJodyqic+Ficl2Ii
W36rFVSUbzibP8n2qoKFfoEs+xzZv6npLfPBkL0c2DiLa7ofGO3FYsy3cxUNMHxJu1lSMJyKvrUB
DQeK4JyO1RYjP2f7OddEi8qwkonKK18jGNI7UWRE83esRMp+2UORdAld4tFGN7XzRz89GhS4HKti
4NkuihswTCs+gxNG0XxJ3dFz77eCmMH/joph7WIJpi5PpJSuUOmGq47BQGJPiJVKZue7kSfPjpLc
/wdgThNucHSYUQEY9QQ560tF7R/2SWU1qBxdhBcLBT+ALgWN4Rb0jGYF6HCR2S2thyLUQ21E1fhY
CDIOnOzw+0062CnfjzIFvzmGOYzwkC9kGLTp83hjVBv82Zl30Nd1vh3jzxq1xZSehcFoD0yTFNzr
/z/VCorEkaclCPVvb5X3qrn4Gfi0cvyD8gJfjxSsVvtYFLo8hUH9KLw5O7nUa40DP6CC5jFKhcMz
aDv869TVIju3GCQZluphXBYHICAlQgabXawZgfwSg4r4pvmmjpkw3b4hFM8dTkhp8yjwV8XvFnla
jhXulAKOLTEYEKtFe+YWv3f30RhBJc3sSjnSv2YnwqISc0k5nxQdqKI5M0kiEBQaEvMPKVZw244H
HiHexNEB/BuVazlDhL27c94GOJQI2L5DAj2QYC3oUg5aovta4UME5LRrS50vGxDrXuVLkDkyJrrP
iBT01dYQbEtV0DiMOtBfPjypjM8ReUi/JaYxn0RFBawXwOmFl3UsvGoVMazwEcTgdAdizW5OM3bt
XAjTbJ/yjUkRYxLnGFj9jJH6QPWZJ3GEF1UWCUAnE0LDsdGvdYeRQUQnXnlPVSXLNQ0ghMILyGcI
fi1atih98SSXj46i7LQz09qJSaB4+oi/+7a11X8lWn/326s/E/5DvXIehgHKd/ujz2fBjNFGfihE
6FKuIKTM3KJsOb7KTAsL4utAkFNMoWXHItGuKSDxtzRDFPtt31W77V6CmeLD77yaKnMMQdhqJCvA
JAbGsMLFBvWGwi2M0RT4+N2urcc89E6yhhhbjpm686HnyW2WLG1b0wXMjAgmf/X8a6p5ipdQ5eU9
yVRwqskUjMy+6dpoJtcAkfVuVq1hV7Roproa+ndopMgAZ7jUhsYaEEWRhaUlFc6vXIDT9P/x0G95
CfCoOcB2LCn3JkYR2rPR4ZeQngsLj2siIkDoKU9UEowQKBHOo7qwA13sN8pFAxbgXVjBcTWPtxNZ
TUFdE+ZgKqdtxRSlLg8nFCYjoisZOfcyhtm38SK5LczXwhgVeMl3eR/UR8NQ7gXmi+BlkgLij0bm
/gly11BwDQj2A8NWbWN75Ng2RQXI0r2bHv08i7x0Wi6puKvrzEjFD/HWmOTUgb051gsrOMFXSm6w
Kn04m9DbjHXM6m8XNWC3TbcJGOS1b2nuPiRLU4CvHcn57whytpO1H4FYUw4XqAzMbQdYMWeNrQeS
lVbFm81GH3tCSyRHRcE1t5mM710EzuBxUoeDqs1r/VYy5LUNl3xJyhRuV+BCwQCJoq+OVYY5DL+8
z1CkFOLwRDAu4RnfEMWI5qVI/SrjoCpcHoA3ZXmdS8UMovpcVl5agRa1HhUUNhIySXg1HyixSU/Z
Gkqc7G/dNXHU6oxNk5Vq246PNE6HM/AzNaDQiYScbBMs4wTNMfZANDLBttj4WkfgTE0tykO1UPG9
NQVNSz/jmlbpni82ypxJXUCoDa307CN6NnamDdVUMWNHPQ8P9MmUqM5HfmpYSxWm6VD3rfZD5QdL
pBRhA1j5+VveyosV6UtwG0gyhq74BKnVVaeTtHEMtU3TzGc9Kcdc9og6J2TcBMrtz++t1u8An8D/
YbVDG3cUaS380sktBCrPwhq4V8QLqOa2Z1FQvzfo0w6PGojIq6TrGUhSmkGA9rr0qO17MdwdKv8L
+pluFSP480ZID/OxXM6ll+YgfEZSCtB9dzasZ3mTJb9XVj1Ki9y0IdcffXImL0QCiOEgCT42wxMP
6sstuv8R52ermlUVE6GYkaZDSFoFigkg5wuKnc0xFTA2xdupEvFnT45AaJvx0Q8sPSj9o7pJn+NT
jPCefwKviU37PyK6eFN9XS2PgBQfC23I1CxuMi9YGftzKSgXG6DQUP7ZPxUaW3IwSM+W4rR3vI4l
VIgkAl+Y89rmcVXz2K+QscM19hbL4mNwuGaQhygtLIzdM6LR6eDiwLnO9xjWrA6MGSQZIM7jC6Q+
om1gsAHWGQ8q7i7Ye2H9e3CQk/2SQQc0KXWiYODa80N2fFt53Dm0VZ5a0EJZvoNRE9VIlXD2qcvz
V6eufX4EI1JkE0lqo851w+6DqEyG4puk31Ks8xIhFNOCHiKC0u6OihBZiSKs2NZjs/jFu0Kbrrys
r2tf5D9gHuyaZvd3JTbFYIcVwVhfO6MvKNaEDDHnjXz9KxAYE46GPEN38QadhvHgQ9bvUEGWVTPK
ti2r6dZ569wBPPCDMs3pa6G9Wt1cR/oeqSc/fpG1HCN2E0e0OWFCdVE3D/xEL4i+86JIj+fmcYtG
TRjINcqL6Hqce7/wAI4aGz7/PzV4CMzvLvIZyI6cdhicAx1qD4onYRIML5uX+FePJQY953LM5YhR
U51QNCETr8XbBHPh/8LV6qOA9MEXwTq9cojNZGh4kQ8iqcj7OKs9AW5N1TTtJxnTENpun3UGyGBt
ySQ9NtfnVnI8elHP6JtuIdsl6795ZsBxbGvSFWnH0ESx85YrVs/i5yu7/7Eeh/saknY3nZGZ0+qx
+nZag1DboKkLJwAKBbwle6B9VPHSffjVUO84NnVh9YP1ShNpncpB8W0Tm/0AGxO1pMimQ6GmvLSP
cXpB6871fZ+beHN1AOqh+KCx+RWfL7jxlpLOxOWMQzEPhLWlKfpK/uFmV6Kuv6qDhsCSX+y+DDNK
uqNu0RxFSVFfsiCtvE5BbU0Th0XIhWM/2fvjxWxZi0d6DqFMbHAB77CTP4NRneceHXPROaR8UYIP
BUMXV63AHpMJ//hp0iviVyl2dFLlQ+WTr0Ltx9lphDCDjxS7y/dJG9Ff43Yy/8tTVuQjv9mpAdSX
EYb1GZqfzmtDdoCDe8q+IdlhNLchzpSwt3TQv9xgsIWKSL17jeSZfxO2qNJEqrT48XagHbeAR8KI
iCH65dwCBi8bXxW12MsLuBOVetxR/UMZF76gs3pMPMNHDnOEb0Nx0IVthd3qWxQmJgsag6e17H+t
fUUBfvwmeOfMlMSYwFMJ0FPV3SJ6yN8dMpCmJEsHnabLRaYr+kYifLqC5X2rP3WiZ7GCs79xYzsB
rvtzAuKfr3+wwAf3S3ERw6+VdSpf92HYG0A+LLryK2YSUEF6Ic19ck5i8iAyX0bZYSFXtnRgVk38
GukftU32iMkXZjGMqwvXhnDMjSkBFyr/jfWPfl2gXBive1oX3siSu6hZQKt3A4VutiVsgn5XxN7W
CCjEPm48mgvegrQ1IRVyadqmyUONG4PB6kxwnGgqmnu2pW1LygPl+qO1QcDb6O52XvoephjIK5/j
saYVf8Bib3BzEzlxfH5EK589WE+uq5CvkJOGhIrACz/4a3vzTGP97sEYJXI8ZneQJiAY/vv+w3da
YbVssn+O5wSCz15z3PfK09I6qG1H+u2i4McK8e23gqUv4mvqh6kStB+HCYu+q9p82S7msPXeeikG
25yFMeo9zKe/ip7WbcAiV5k3rGKaq/6X3oHd4UPm4Mbug8wMFPuFxnEv8Na8drfdRBGUJZ+tsgre
yeNbDLO7GuxXR2HgRi4YH4rYN1+6X+vt601eUlQK0kYaygu8jh+WKTBPqvMr2tSuiXRaLND4qEdX
c7P4N8ZAmkLf3nCqsIO7gdJYwYjWrO5xLIYDiHOAFQxjWbZ0J2PhZI7efCcJN3WfV1NsSgJnQtdq
B8HG3hMVGPVQHbkjkItKQF/zWUvINRNeyPS3/vqs7dFINS8NytV/BLDwev7EVQ5DNEh+PaoE8a0a
vL1GVUmnEcDJ6JSasnV5yzt61d7PoDODVWvcyexlR15+w9qCzD6Tyni7V7rFf2XCcHt9wJogMGSS
GTep1YJJERuvQqC8SZl4UHzx1Vl5lh2sF6gI2btIjxSVNOpzRs052al5CxSsCp4rXDjZ72bjg40J
REW2H61P0sN3VLt2yZFtQAoi3mHcM7yTHjvqc4LOSQlbxtqa+rIapinTDQZl3FS9zaEpMRfLkzIa
y29+uYfdNEi+CHEVNPK/oC+BxOTPhf5J9Ikw09Tq6BPYkFc4jxxIDJEV3nMVIFFvf7XD3XaZOQb6
LqKLhkVQB1/oVwA6+YbeXUluKv/t/q5Y/UuC6PFyqyqKFAhWUNks0QwmX1RTNJzTqZrlZTmeskdH
TZMhfKjfvFgQRzNkmuBN8lBN+im3tmF/jEuKwA00lp/SwDA9GFKSl4mfwKSRBNX1POX2CXx/cmOD
5pKZL+QKYLhOB+euftydcgaBo08Ph1N6wmdKwZw56Vk6h1N8WFZotNZFr2ARb9a8I8+x3c2mCkv/
HqpX9JG9HGO4AYjAxo+j8mgyC+O04sFFlsGwODmUNsECKE8t1YcCvX9GCbxFcTCYh0P+qrYXGgRa
GJAmulAUX527XjXJTiwMoFFf2WduKob49tv776JUIud0pUGm/JLuQP+vBq8d4bhFyzV0LitXrD3i
jaXTmfSe2gWyb749WVNXz7q4PtHzbbapnesPCpbXehs5oCOCTGYCUYDhs2VVwM56aB2/MXZqmJoD
JJeNJOQg9ZhMXI2jFoMz2IP55ze3iroooyAZU6yQG6L8dTgyFwXQT2MzmHvPt7rOttr+Vi+AklBT
9Sh2+1KV00n0wC+Fjz1kvIxte1LL0TQS5WYgvqCCvfnN143/UJtVPH7bB9ls3Y5Q4hjsxsu++XMO
S0y4UJoT7iqp0oUuc513+lpY9TRDn2XrT5Id9XYrToGwq+suh6O7rPbtE9jZxAvkGcCRhDsI4zvC
Jbf4eM8vUO33dUw4w8/FjZy9hakCe35/ypWs5bwdtv+VOdHgpecshxqRhsfB9+FskEtG3cHihKLa
TaZrridFgSEoUXrKDAxRkCTUOT9E9NPvnoFBqLPcsSd+W5W8qmaqGZmWt/LRJn+KuHYTxiSV9ZET
Lu+0IaoooE+FPWqkAPDI5/H0H4vnWhOqoLF2r2NYqEnW0X+8nqFfr46QqpO+Lmt35tU/Wzs/+12M
XoE3pcj3/Z/D9FZQZOk5PJtexKciVN2YAopRL1r5LwWElM/a+M1YA/FZuEVH4kAiNtCRmrESgnJm
zA+Vqg4A0/O7sBv5V6IZZTUHa00RLE/7D58TU2jlLlClybYlzJVmGrDndONLLJ1zLrPlb4Jf5gxB
bzgcLtbYC1Lv/vA+Ojt7mJUb89crC8C8rqeMwuxN1YjAsggI7/08l+8qbXjprq9iNC8Ngns0XY0/
HU0ZPQ368/D2TsKio2BPnugWUcSk4j/tFupE62YavVPQZRbRjxCdOgDz+3QBIiCG97QKd93JTNvz
hzsZZNJoP0y0diaspUBOrLmr8zPzVjtZGBlIXKNLU/8mj23J98UyGoyrjvDi7ErzeZ66D7oaJsAz
cJCgCn1Z/rmyJhsav5hjsrZ4VipmeRnUFOIhhMZoL0NZYCshY3YpO/icbGFiAS02LmCSF5bInaaJ
pU5w+MCGGSTs/2HtopelXrqajr9ZtU7LWI0VL8v1RfBgf10xwEckLKKhjTJT+B/jHYdKT3hm2JT2
CeusGA7XHhAEH2YBWaofQKo0VmMf1NzKXevHd7gUkekjOzcKJ8H5VAlWcpRNaSk2JVk3zS2TQNKr
9oqruPbqY+ILm/tYOZpS7q0f4W0Ikesoy5lHr2yEjfG5PThawucW24v6chuCihMXguMhdWZq3C6T
POmKXR/D5nNIRYr1lxq1g85PrpSTsvqVwnQiQ60YXpaywfoTz8nQVdfuYsrl7frGIf39LlvYqGXC
vVbTmZ/jWvELigNt3gLao9GeQKeStMXJDRmL6Khz/H5EyLKDeVoCRdse7ANCMs7BdTnIB2FI8fu6
ayIlqf6DtiEn8v6Haeb5QugcmaUo4PSwfx7wgptjWTg0Kzae6AParcMLLk0lJ4c4av6dwzRcZP6S
0bh9zpeiRfWtUy7OrwEO24moHgmxTOxIj1JPC/pCukEPzFOdGdhoSmGA51KRHYBu47RPcMx6N/hd
YdBoQgooOnqDtBRnP1AKG1D7Wsy5A2uHpChJwn0eAHGNo/CZDMdV8uwkAdwQ+afyRzJx3RZCj4M4
285sFWO2o/FNjOo4+JyBJiaib1+vfeHxG3RDzkxnRLgBgzKpiZC58Z3v75D6qiSRDAY8hKDLnWhK
EDXGyq3MDQ+U2OUSMeqqB4yyKyMbRktO1vz3gOwuiXiduOR3uDN8by6cNErMwZCR/wavVIUY+7Sl
vS6W4dH02RwWAzfGuc11KGsy6B3Y4Tktc2IzPC5hlSw611rO+GLZVB6Ebj9Ubzp2zBRl/m3tLEHQ
U83rsCmwLvHveuzQbMvqyh0Vty1TDeI8UsTWAsm/ABfiUrmD0qBsN5ENAJO2yS7CuQFttrhQdYZI
4PrbyJzNKpT6s9oqQVESP6DXbkuBBSuqCfyxs6jDOiyaS1GpwAVM65oTHfbQtH14kAuOlyeGibtg
3tM5TvK5gzuFkFuFR3FAaOMSd7ZwZkNH1IjKJ14L5FpSU9XFofYQl6SSBt9Q31vm4fGiv97d2eIF
LCa57BH71OJzP1HOcA0diTsbHM2J9Qcu2ri7hJ8AkgGdUrxYEyy8rI4+APqPM6ejYBR9omUuSMqW
7uhvXceDzBe7ozU/hwqWP+yPzetKFGuTTJeTWnII82HUu5zhrxGSPweqmA7k7ohsjaNWBqicDCi7
Nw2TOqLLQnC9yYsl4OF67tOv19rTaYgcminz9an7MEZlr3IIoIO4icR9Xm6nKMieD0V4XJn57Lhm
RMTIbEMXKCA0ls/uXNqmJvyJwd41X+zSRLujNcVb+TsQcUUWTU0l3MkeWbryh7zqPfw9eHSWIvEJ
ZMBQfTCegVamrNorTfu5GIFqEqkie3unIotiSc31//uy1D1ksATOA+yAaEUV10WH4MY7PWtT+udP
bjIns/Wrpb3Y1pUfSBuJakG0hSZVTUI1+eglUaHrADvYr6YnTj/WEjqlaVaiIobbevRuxp/1uWHl
MCbTPVFj0ThPW52J0lg+KZMsugB72o4leStQtdCnm3/O43n6KnB/vjgDdxNl20+jsgNzVaT4Coo1
m/LTCL6bMGvLNkV2TlztpsCH7z0grKjr4knwbm9i3/LBv78Q22QjOUPlgBPALzZafNXYyRyXkGY2
PSzSW+JRvIGPym8AClk/ap5Mq1M77ybO2PCWrhB0zccfjyR3Nl5sltAwOMrxv2EAm/wkRtn3hNto
TaDLLzWdq24AjFr4PbSkH7MmeG6ENjIWKEmi+3xka5ePWw10ILclvmBim+2OiRNRi35BgUKyzvej
rdA/dyJ2WAf3Iyv16FPtAROI1dY19bqq2rq7fPOS7b2p6vg/+nZkTh2yL/2QWeS7cwHhj7b5NUgJ
6Bu6EkbzT2JM9rJZzlXntMo9kRLs/iw/8oQVFYB0ybpUpT3SQitEXxWzYzc5TBZdwvNNe9ac7gJI
u/fP0c1liTNkLgBHyhwNSbym9JAZarD+m14McDBEHcCP51DuFUxovaieVN8MoInrm53eAlNDfFdm
moDMD+S1MXTX5cvZqFzAcQBGOiwk//QHJw8b9xtwKYvXbyyTADiLlWvoACKzAhnZr8auZVTmQKts
1hBHJ3el/6KgIJNl9hQShw+GYSBtj7+ki/guyfGSz8zkIGWFpNbKP7/iLXR3XofmrbZt1VidRbqU
g9/h2P7o7r9B2F+FZXxyg3AFn0pOSAzEJ7/j/iI+oLiKaG8NHSW1CLUOplTI07v+iRi0fqofw6w1
W0d4eoaRm7Sl49kVwCArhzvibiGHxam/3a7cjxKjfREvULrgde/+9f0s2U+ZaFAItv290BfLi0Vi
bfW4esZh6EvfDRRrGBr2ii5w5CH7ABOXjJ/e9UmpSUTvwA+CqCX289WbsoSPDs131YjkDDhdKju3
8l18Kah+D6z7qoYwjlnt8lKhpZBpM0zVwnZ4IiWv9GQA7kPTMARpADanCFlgC8KALY6ObOUGyk92
LT7gagykgVlUXv2IOXxj4PhXjUxkix9biV3GpGZHJwkf4SJHwT3Cv7wUjUD4NpLL5C7/lGyCduDd
MR9HhwbYJR92ctPUoa8OU1h/fE0jgPqhtEArGqOkCQp4Gf7l6rOydQFtZsM7Rn5HUvNxzkBbPxXM
xAIaTZULXit5vPMc0xg8N84KQns9ct+eymauAsZNTPF5ZdlxyvVBZL9+3UqUap3S4qjGANEwsAmK
WAPFgbBDhTeooY7zn2grB5A8s8e0t1GKgSgwuC3RQRcXE6hwPny8iY5YSVZnMGRX7kJqzW7gQbig
1R/K8q3CrlUPbtE13kyFpJ9nayQUeJCoygK+vhyixWk00CVdqaPE9/lHSL1FBcnLVaK/jvJHYnz7
/bcIWjB+a4fZe49BuNDJ2v8+PwL2DaKKdiQ/h9j26NM7ozt2UlJbZiQFWbA/sXLPoZvcDRTUoMNw
tbYKlkDUSJ6AFNlrfXu6Rl9LtGIxynohZprX/POHGO/4wztDRz+2cnEBQBlEFjN2SLFGByGZBD2m
7HMGBgb5vuhj4wKsYiam02zLrLSzOKiaMFfRuNIwN7+xVGxb7Wg8j+UwtcJgaTm5CQZNb/aErLQE
8XXOzxhxJaiUnB9YKEMJJGb3FyuQz9PS3VVSrKbultCCQ0y5YFl2euVzL1d60gtLgWWR/UkAQwd8
tZnSEMXDyO6VYY1/e4Nut+lXE7mw3Rn+IkVaR4RnfR3ejpHtIScY43Erwy+tVu3Yu/ui4XwY9cqK
wVWdZRWTLkIicYMYeKRFbpqbJH6ojaMck+dqVo6q9vuauollp3BtAvq5/zu8nmD7hRJ99p9brQwh
vlpUb4YAls19su45aVGO+7ZDWX83Osdc8QX7DABLQx4GfUTaKG8ebIc3IBVpWpS5pGQVb+PoF/kJ
JsdpYFV9t803cNCsMFxPTvyuieSWy7vo6W3rhPEOlPjyHnz7ryooWDEJRXJre6mL27O9lH+sg2A6
xm1q7sk8nbEZILze0pkqnf4aR8unuqhgj6SIPeTo0dqO9kbVy9Jq4uZxRV6xqB+6tZqLZ5PTb1do
y/CF8pB9KKAgIGSooqG+ldvspeGX992wBaqPX1DmNaCkZutLXoxUJ0rTwDyvrJLVSB4oWrw7nWH+
tIF+182UFnstRRE7l0B7hB0UOE8/MofX1RFDuMfAIff7pv4zTJWUcr6oUx13GsYFjHgZuXoaaOWV
SlO7k/IHOSB8c+WIYrO6aZIt3CNcseiOmr0H/S5QdGlT770e0Y/xa7ZpQ7do06qk3xhGGawMSwAF
sjHffgVrR4ZH6vX8cJ7e3AtBbEHIk1XGNmnUrR5RD6Ay0pUcp94kZxCBkmwJTb5FCxPJANStPBvW
6YD8xgkQrLBpyiPP3DWM8ZsWgxtObYRNpbYDEAWgEn2Dc0U6utnqWGYyaW6WU+HA0R2zPv0+0hHD
UD5fnIgadteEzJ+vnuhQTj2UMrGSD3XlBnxAl+LAF6wakrw+D47sVoxcRPLnmJ1wRcDr6tBDNQb3
mytx3uBbpe2lP/67OxSBJVuwecC81biu0FzSSXN8LIpSfqlF9nVHVRK2TxhjqRX7Gukype5xM80i
NVMSHxPhYMc3YY66xrRhXrDS73xSxs2yH1vK0XSDopjNVFY4iu01PT2Vi3ktHWA1rPNWi0A4vSFK
9pPiAezocslu/9zh62fJB8VE3luvw3PUg9euHifrAHI0ODSQhcUL9RVx/3Wa8HlXlmt78LaPcfE2
B4hVpP2HunCj2wXTo9FjbQLbzZ/GIjs325TKymSPDZB7x1mzoWXGpZCnZ6ir+Ct7jPkgzR5asHCp
ENaSohapjyiNcKQoMO8J+bDiNUvbwKKLOLb+v3iF7qkH6sSV7GWbObmi2JzjUMVoWt21LnZ1ns+u
Vm05A0Gjyw8fZ2Vv8S5133JnUXfFn3arCAh96yDBumIepD0NtLiZsXIbWZI7nCFdsZbkyrYlUna4
h1+Td89lthVCCLl10BMz/Mf4LX7KSR6a7mVwC9Va5649H6Gb/kWW6xBqKeC2+JUNWujJRLhh9S8M
oQsPp2XbVaqC0r7PBbw5IaQFF4XQh2xS9FMDFOsVDrAQBrfjzDUixMAnJIjLUU9ZEvTQjCfMK15I
yfgxWfNdvr9/3t5QU1TLZLperfrgv1iOdDqJZmsDtX1qEjSizKe7npfqRnoA5lkSy28KawDnnD59
siqZIvN3SNjP6vRaLrkXBsq+ZtlKudkxPisCnMugvwhhMRLz4bVsK8kt6sIVoX0GqchHkIf7qZbp
WWxuHULV8rq6ec/bpGR7PTRkPkvZDqaWQFEIxtaDzRPgl8MwThMsE2sSB9E/XacymGlbqyQ56+Ns
McSDQvxEYEj2Gu6B1ptcrIf74xtZUfjObXvH0CaxqMbLsEhxmRnxC8yEbzmXwVpJm60v6POc+t+k
YKw1kBly1i+n/5hObXazUTGAyN6s4bdChdS4DfyhOnnIA+/tzuANVjHC3DRtApx+lvufO8L9F7qj
eAYA+bnRX9Efox1l3Zu0e/5KID9mEOnaA+VH0Q0IyDVOBszR6R+TyB8XFiAc7MfEumu3BV9a6lgQ
QH3rOa7FwSVtGTm4UT8d5lbBS3OMgvFKrCgXDxQfHn+3QO7OmgWAnYlrKI8l9QRW5+VW8bPJHq8X
CQ+McZQSSrMgA+DXnEzHJmnpTJnFOcQ87OLRMRXHqnjGAq1H0yzfAFx+CJPdF75T1LQ+sVHRB3CV
MYIRhWUzaR1WSDDYKShuYzfY5FpqqXwrLZz1eRlvyqC2H3xv8+p2opEvud8EHVf32gBk/VQv28Zw
xzoSkZYbaupmO344rrNPiPEMV6iPXxmG0t7wGbpjVvHq4EEwGCSi0/ZvoNq07o5kdj7NQIA7qPAk
sVl/8nAnCYy0cWUGlZeJNwlxSZ0ZlpMtRSEU08lEpcK2GO+Spl4EjQOZh1gjOVtcebJ9x0vrbdXc
Jc+kP4lkNJe+WkLKQ4u8fr1mbavUE2qrGq5U/piHkQAo9s2WlRJZsC170ZMp880kONFUhw5FOMLl
GrC9hxJGbcHx+xnznqFvkWJjlEISmlLuRvYr6ExLHopnRg0T9DgOV0ESBC5RNkFjwddJIXOPndRy
T5cWxJ2k7hzlp+frpeQ1sn63pq5NMv1cpplMXNdlwVs0iv0XJhCe2m33yZZcnITUg3NL9bklpudJ
jLz+4UUsMdYmKACbZ7Hfex10GCxj/BwRwcaa2rJmQWJyjbzCKo0OijwlS61x5ONRC1pqWA2VzbbN
p+CW0LIpCseIT6TtDfzg4KuWluuGZpJGs8DuxDu/7vbcQn04dj9SpsiiQ5dzcVlCalYTKte0S4HJ
EZO6crSInJgs/lv9WOa41RLR5oRLV/hghgCwICgNxblsodCnhYAbJB0J+JiMBj4MOh1Vdvd4Ym7j
IiTLUdgFb+KKudXM/JX4Z3ClEUsqJKI7kD5IKeXcbjd9S+49HSkZrhC/effTkzKDYpp2GjWStJJj
QRuo1w+oLje7HoOklm1PLOL2I/UkEG4qa+R0INid6nFWzCsM/qx96fSOQiuFaOwxMMQeh8AFT4Vn
wWq6JVCgRSi+LbS84zGuJ4UhCd0Ze02pKTMB3hyp4ZOYI0FvV+mPhCfarW3fr6VnL6e1GaERxtUh
SE5wdf0yMTTsKjoiUcjyKILXDennUAvXX5vE8tUBpclUWs1hWSPozVE31SoslE3eVZe95/aVCT+7
jV2iOk/OjHo0v0oJ3ax/qtMsleVSDn9zz80SNHkprb0ygC49Au+HQ2xeMBPncVQv3qt68G//XL+8
25/Aajgpg3w5aTar5B47Cycv/GEgsb28zTn9KKEfFj8tnbT04Ge+dFeUBakPdmHD6iKva5s0blc5
BlikOje8YVGYk0V1v5DnmOfnG2Az4kYVjq27nKdSE85B3+IVS6lgS6XYpAXLlduXhjSJXqahT2vx
bp391t+d6GDdUpRjUOG3WCL7XCAb5A2gOSnHYCuR/+J8s0X9BhAp9EEB6c0d1NSEUgTd6qK6w//A
1clxw154p/+WgmIPFDBYXwFSYPEj0gL8wf5pIyIN9wORUm9rT6VZPzAKzHE/ydjF+VS/bmePn1Ml
VlQV5jBWWYIvnFDFK6gPA1Y1kFvrDE0JMXpnl8z53+62b8kqdwHThVbnyYd6d1U/kRurjxCTe46F
anGLMNg6ORN7gCfRuSxvgqbrkxKJTImsY5L+p7lYzpmwfNw68jyYZRy5etajaGEqaH+rjQanAK1P
X/lDHmc12I7GE7bjnt38Uop2fH6qX/TcTH9WabTLZSpfnpjeRQZis2VaQrKHS1kCJ1PngKAN8lW0
3ZJ1qfNCvF5oEl8lzMB4Nq8yilnge3LfMP+qIDQmqkhOqvXm6ITSK6f3aRli75u1pa4jLCmlFKeD
z/Z8kA2ZFBtPkCUuxpYkdWDn9aMMsjzDh1RbgTLaI8rRIXYahuly61CZM4KJTRLql7QHg2rA3z5T
PAE3XSeMAYdQoLtL6Z34HTi2W4vBIWajOjDaqm32gBGfbUXQfaCrxSaESWhX/I+ZHZLd4Cpt6rxw
VOCDU1mESgrjJ0MtQYg8HZJTSE+PvK3O/e6iHeXTzfebHexz1PSoSvthHnuRK+cCGzyHp7S68/F3
6LV0fddpYi/J8FenytwJWqJQp1NchbiPOyB3+OjcoCBNPK+zyPp9bNbzFKp5VER0zZaosOWJ/P2Z
i1f+KnIatdBxwpho94dg9lw5zX5ln455LvNfIN7wRTcuc6MVaSpC7HBNArGNn5UxJpUpIGBywbOe
oriruZcCBeoQrMsAvX4y7zZDN5EsK5krNI+FSWkPTnjCN7EgWm1J8FfprFP35DuSd93b2BeBXDE+
GRMVIUu1bb+iY1L+eOEJZF3oCCyJ346Qts3bkuG8zCgWWQqVXFkOQOT9KhjYnUIiIPI3H45dxJ9N
TtMAx9lPy7AUOkJbmuOgEk13zo1PqBvyej8o1TV/SaZ51uZ19HIbDDBqnCabMLBlUBIG2EYnumEs
WP8Pz3DUosaQvNPFpjwOoYeboAW2ZwVkRub1+nZ1S3nBMRZs8Hw0urbn3NW+UuQ/CDe2MC+KzF0Y
9WrODLvTWJyoD1K8PpsAI6DywFmMofQqOH7QwsbJAx7nsKaO/RsrMzct7nJEp7PDp1JSYHn8hPQ9
r7nwQKhUIieGYU0ydTNGCi5op28mjUKR+SR0h4uO8PJVx1YQxvWa1UIz5I8emm3GVuFqL1g5bZgy
eC1b+nu7OJQ7ceqfeoUjtklHNzr6tMnkdDB2pLRJcQPgUC/KyPHzm5UU54I9wMJDPIxGSLZkZq2+
cWmCAa4tYPOCh3n4pppni7RqP7hJ3TUVQtPDVQ1w3wgz72mzKDJsZdvQKyiJYSpxaid0gd1HVYXi
vjOkpmbsTY0m98RExXi4Bx3hLnMTdGVz3M+9P2Pih/sD3+qSGW+66Hq+7B5pIpzdzZzuF6uTPIER
f5bwRX5Ud56WsIxUMC7PMXelM6rhfhsigDIG+3Eo69tdiI0nNwxWTA1v9SiGO/Qwu4MlOEPwNTVF
qO78dtwBTmPFRi9jVyg7q6jvL6I2FEzS/JsAJtbgvkwK8hWqx4xEj2K9AN4YQdSriC1xn2Koj5iS
JyOGqXjqngs1gMopnUqB98KeoqvymIE7dzj0R/IGnBR26CLjliKATj1z4YayH5Y2a9KspWPJ6xm5
Q6+nq6ajVO6wyMYOamJpYta+2MxHlFy3AtiVIuAtqlGXo4LQc3llDhBJcsRXf3NqiwAYJmOAWPB0
K1PgdYSW+xOP0eZLZp2OhzCAFhcRUQsMp+fFO7vtrWg9t4ZGq0MnxhC7nwV+iFJeuDZtyhkh6/je
YuPPtm8aWY3fLteR2utEtOSI7R4z4hWte68ETUnxTpY5884zDa6ULpr3209pGDi6mi17m1+3+4OK
r+87K4ZGglXaZh9TtKj85QcPM6mqJjwwtw5LqP+7p+HnlAqsQAiH8W3diNfnZhAHyvdPJYF19wTL
cw3pi8Io3eUcVGW+b3jaXRL+HTtcXna4BH21e5W9TD95hU4uwV9ygV+hN8tVhsq3hXPXiaJ/mdzs
fVWWH8TGokIGfL6+uaIar87oMKO4cNL5crEgERERVqDMF8BL72AINOHLbgbkqDaDnBR26xnslZOe
waGvXlla7GyhcPPT6NFrZ+AfMpUqufBG5N7Zt2d4VvxObS9JzQICCIqLg7w4lzo4AYEYb426/qt/
pA1jiY0ihJ7KagJ6I0ZfgBGETLgka+JczmJ917Aie9abRh3+sx5fPlw0YYUkI0B3+WQnQYz81dLZ
OA2CQv/fhIXXfp1jOyn7RHRJDb5LfmSHhUlDVN3FoBDqpN+3FmK0FUUnIA5SBE09gIA3m1sS+ZhQ
J/wKt0ozKUfFrPXQh8Ac3HzdUImh54D7WulRydYZbWCo/XxNE3GGMQmaIOtkdhjLYJIqz3sG3/b7
Q6fLxhIwf7zqxJnNSjk1hLn8qy5ktL7TVrlNGKf/t81Jks8izWzNUMePOz5BBeQmRITU2cFO1wLB
x/pI/gdbcAxCWzNRWpa44Lj3574GfmHlQ10VGvWV9XOiu58FBB9M96LjxWFb75Ice0hy2M5RtkLs
PW+d6fOWf/N9s1NoU4BVACo7HJheC1mJhHuO4K2aiAk+Z/kjwXrm5LpzYk3CaP4soREqbcz+cNfv
aNa+LSzdL+yyceidLy0e4xQUMpAVuhPxcgXmw/S4FMnZ2mJx3gUZowdWMMfa4jM0MQGFuCNheC9p
mFsmmNtYHT/Q5FFivRcwy6HOgfkqfbSlSUKi2u0rkCgJA/1NQmrq7ijGc9m2YmoeVO3ZXi9U771N
NKKjwr1u6c5+el94H3F9CtKAuTXqbmSfPXeDdfIkbDs3rJS0y8SgtydGkGktsUDGE74vNw28cIIa
l1RkWrGz4Sws2uQMKev6KK9r/75GIS3Pww0xnFMzEQnW26IvMzwkqfFcZz3EdPO6hiipCua0w+LK
p/6Fj80pqsncDQBs2+RLzV9gfeGPjgTL3syjy09On+h2L9dCp8cYSkouBd6vv61PdboRrvRJHOSi
liOODBKicU+DiD5lF9FOB1xXKcBQeR3rlmcS+66B+ZWUhr8cV34SNDGju6KsVbvXrmbxD+uXrRZv
MiVVep1wz4wCEILkPMWHOvs53cmJQ66oi6kBt5CSaU7P7moRPPaLBQlXFhJJWI1oxkIbziqaRKR1
unpuHPLeK8J9IzA52wm215h44CXUmSRi0hNCDruZkPos8mLP6eTw0gktrR/gB3ETIoM9l6AHfB1s
0bu8aOyod8CjjOVzQ1VTEIXLNKJmrSl12mJTMqsbTkP9qVgux3VddR4EGb6xlFQUxNETzhVhS229
fUbxka8RFgiVC96hwmaVfq3nn1/hK4hb6OQkLpjjCeEKJ/O8SoJhwRV9Ls6DSu35225aBaAc4MGo
kr8LZ7DuF07zd4/6Mf1ZIN535CZrD4J6QDxZrA3D0WBv79uer97U52FN2S7lWeBy8TocNs+se7z7
QKZDseVeFSgAJItR+5r7Cdu2jc/XDeLXotJxsiitTFcFNME9ZA/tFpx4D9jFT0Y2vRLlsc+2QaYe
ZPJdMBk4tjh8NLLvTBufTKPh+pXX/OzVYFi1kpnP++Cfopqob1XzPcQ6QSF9cvE/3HPZyZtU5A9+
FXgSDdAZfx6HohRcMIEJXlP5cn8mcwPs908XceItBeReMr//DJyY6uqGqW/35xipcz2X/TxjX1pY
Z8Dw3P9zq7XPGojzjisr5eVNwKI13y473q7pHbKupkYbSxrABA3bOJ13+a1V79lrX5E2xPurcArS
7k2qZDhgiCahA6aH3C4ucl6hxpLRbkzvsrU+VZ1W5uLEbTy34Gm0ACCIMRuWkVKucAueprGQccci
xHviydgMXIDD/EcDbi5RiQinQdx33f6NHPWPPAH1Jtk8U/unPk8m5Tht1SSIhMkao3RHfMpNLi4o
jWrgv4L4m2lD7NlaW8BN/u8VOahJ8I5mnRpZ6ETMQybPx12ye5d5Ba0LV05ow6DMrvT1INO4uPVB
2ePeeCH+WI3BHvoR01EMM3TeOcE0UKPJBtcz5DBLYcn1ECOkcWTc/zCVh1nPKaHEzjR/YcqV2Hfc
kNgJMF7pqEhXWQV7OnffchQ7XAzDS0+Jl10Jhx2P/XYtKs6SwMKgywvji0Oyv3qo9c2vUfivKZdn
Lk+IoT0dy1zBcIsdSbzvzWiWX+LlIvph7FNnXyrkvkGCfLG8uO5eDVjJryL/5BiWZaaC3h4E/bUa
R3seaMumcqnJZsvN7ATmXzbJ5Jd7hf+ANuZKZvixFlip5EUthzlLl7e6PB7bhefdGMdeG0WX30u3
ji2HkxGI05W7cTMKGkp4v6uBmBX9wAHujY0blQ2TWRtOE3f+yEkkZilzutCoe0ySf6Qw5l835XhP
46rqZt4qpqfsRJSOfMl+0F5cBW+BOuX07fmT1UDiX0yRSyg7WCeVFxApVVH/Fiic3IEyTEdlj3p9
lhzac2TqZJ2fGjNeGh/phKET81bqaBns0nDK6fpMwBybMAA0FZjQF/5KqLkGokqWIH2o9e+cy13J
ilVk3t9/akHP2MhMHdXqQh9fwPPCXcurw1QAn5ZoLz/96bbJvT79sYK38Q/h7Z0K7AkAuCAiugBG
IAwVXG/8lL2aARFa1oBHlRLMk+wCcCom+KvH4UeCpD05aOo4IMGsqoiZHwHupdeo2Z3gLiAlc1WL
PP2Q8PSWAYSzTj9oCBPnPxfqxChofofQ1czbqIOuiOBXg8HvBEqoLc++mkIzz7KsbSBGYyLlILW7
C+O4RB9oohoio717xvjAW3/UmyG2INWGk18VIGH8eQ5OlMtI7LO0Bbh/QObwGNo+39pKzbw4g5ks
YWbpevaNkaAfoVRKZ1e36zs2bGit7DTOqWDeQ2oWmP4BcVRIBzK3GrQqbmXPPncKYe9ZG6bwZIAU
iQzygqn33c7ovrbYkN2GU9Q/5BxDwW3FazppbMbKMDqOdopzklvonHkA8kX+MHb92clAghT4O/uD
iUZ08Dn8d/wEy3c9jeNPS6KsmudaVPAww/U435QOvMqMtHt8p2pNGMHR69dArt97onN483bl2ccZ
XW9j+gcnCTwmSm5NZObfOOvgkf9wnMO2NclNl2JYnVYqIJ9CkR63HmdYPh+Cb+/fDPhasxF7y1la
NubdAmBssCAc6Qu9BgDDR0Xapt+493xXhTPHY+p+RjNLn1h+Cy9ssnk4BNVDz3WwfjGd93LmQzRD
1ssddsQlRkMJcFWWcozd8mxr10cZ2l6T3aOzbZXR3ChlDjYkY8DM3vA3jeSvZmoNcC0a+mpJeG0+
K+aQPnOJ/pqyxbYl/ScfCKvBLSgTAfVP0J2svwZCd+zUI8d3uNOXVp0EiZh6LEuxn4MtkB1G3g38
9lxHR6HO6ty9qZA0caiLrI7ZCbXRP7XiE6J8xUyTtFaNWXqWVLtfxfTclANrYUnrV5tXjMsAWaYa
ASjO/QRIVqk4S61JvvHV3U1Qwc7EExQHuMi6WveXvNa9q7BTl12U53VdplOQ+ti1FC2HuK5AsuE1
BfRU7egwHTfN3DPWEr/XoBJGjiNy5PWnmT0CxSgRh2EwH0Rh9ecr3scrntiZroy6nNHD/uDbLrIn
JVrUV17cC3Gmh9BK5zkU+nBlPffsbHvSIlrZOUwT3ulo7N0cA7sSErCtUHdnU/CpQiwVc9OOEZjx
SsTWmxHgCPEMk5m2epABWWpAZRJBPbu15kSK/HXS1toKVD9lwsL3lS11vGRg9FHD66R7LTn22X/H
q/vWSQrNTTevJHsjpS/NhRFxhDUkiGFSBkK2hPc9c7StmYaauKU/DiOy7wrFs+iKZV78RcBqsBsJ
qNjSJNx+t1K3qOigzx0lGktoFBrAVVOLQDwP1HIMrZCxtDXc6Pv+6GwEEhFK5/2Gze8t+ffzj8TU
J9U83Al6tFBcJ1iJZlx70sQEqV4F0InMoe7+hhH1s06tQXtrkx6pundpMcxe1L14bHNepm/WFdSc
1sNvj6e+yYvV6m36r+1bbxs8SIV1awt7p8jxMwhV3UK/mkdXHZDerfnYxgS4Eq/cLjfs6yv4+LJ8
sK0KSnOXPCQGAjd6qExMWiY47bvjPwUco4Ew32dH7y40Yc+SCQ+49C/BpVaNeGl0cQ7Pt+VJUMrG
Gl+iUr28vHNPklz279+zMwksF/yLEj8SrxUeg0Dl19l1c51pn320XeYXOXlZPeuz6+QkpNV+IZtI
3NztWJcW/K9FGqrjhRPOvHw7UUBVurseyyjOf6/aCF9ytcRhTEAur+YnWuIdhOnYFEeyNE7vgyQO
zTXJGhXg7QRLWF47Hg4YiQmmbb2ewmIeg+e5583WbyjNvhZK2qci49CcKIq5gLmPMG6oM6KBUVsZ
sGGRNCWaOAQBRbSaOVbtYGtY6gkhl9CQVI4Pvb/16nE9wE4EGKbV1440FhwfXZzD4bEEJarw7AE9
IBfsdYJxh3UDhc45Ps2gPJynNUlr0xyIc9PcDpHa1qnzVcvSmv4GdkQ+6rozjbc7w9C/MmUB4XuD
6yWB6NatHEVltm7HCKOdt8q32kRCC1+Uabx+ylNvhWtJdi8CBuL78Nl9fi6eoRpYKfmiAxNAPybD
prcy4hdNK4NDg9GmUUAk+agaMicTdEhK7h+1T+m0l73Yr8t0KpTu0JZWF+fP6+kuQsNmxhIt0orb
HD4UiXx+uWxFcPu0j+XTG2QHVPHvrHK4x/KxJKWHjUjF5s+2nKzAxCaVytMZbUhYY4LElNtopwTD
Wa9F6mLKSK7ACRl4COxxraFw6dQyGo6dEnqzKdEGCFbh6QrhnuxCuQAB/kk0BzKbrxuATDF/v715
88DgqmccqFKPfY0+rXLykIE3Ktd0Veqwx/G+vOsjvxv9f9CjrKkxmnl/4Dz4qj+5pvNi9oQ3/Cok
wsVSE8IBpowibWmrPgi7kMM8n3CBG/o1odDGs3KdTgxA774p2iPauNcBNixiKvzYusWLWaY5Two9
KmRltX/WUhK3/xy/cinnDM3hDMXCKAsMRAVmY30PIjqS5FfeYinuYxvR7w6j6bYE2lezbW0zAl1Y
OicfEes351Z2T7lhRz+M6j3SuTb5zaFkK4ifFtFfR6YvgEHCUZZDVTNlCWIJtGHbszFQDVVoCha1
fuf+/dPSPEwnwBEY5MPQ7E0SuTHOqbw4eBt/kBBJXobVzADn2Pa7CpGtVHKuSgVEkT37YiRZLDbt
h+r7HX8N6+5Op8oCV685kULpT8R6TtGHOuFypUz86/5JbAIBqAheje5YoRiacwOaD8XaUargJ5Km
6cxP13vKQ5lJi9rcECahG8kcwrR6exAMDCV92suCpgzGevNoTBx5zQ7GW9lIOviijdxcSwEMSZQv
DWPYWnWrbK7/wqbWzj2VgKsEgSNNubqmQyHJcX3Pq8mVUKpGVqLDGz/9421aEZvhSb8Qjhd0GzzY
E19uIaCGtBZ0gYnnQcpnJQmHvKiCylDZyho8MhkDCwlznAxEVSiweO4YWk1FeFof52Mc1pc0/7v1
wknO1lkQ9slb1S/QQGCCLQvIPCpWK4zz/NLDMlAMY3Mjtt25551XMmOHi5lkf85uZu2MkEzc4XNp
9TaEBW4LF3WIMOZ+66c0TrL/x19EeiMpSkOMGpmRHu45jsLdqd0RBDO9xpInhgIl9Ifj9t4Oo/ib
ufmIpcCIffuIHJ26D+Hoz2Q8qTwt0Ks1tUOdND1Nvl+hxGDM4zkFRHAAu/SQ/IF8G8xP4oJDL7BL
kB5U6ZMQlgrtjM9B+Ed0Oc/KrtV/AbX7o/wlAxeqN08qgGhnO3sQulRGRQ5PoTJepkUHIJFj8ZqO
THEgK2ofWqCEUrTQ0Du3hi9EeeDbC4fhJQ3UX3w8aT/CMGrY8Q6R9kCY8t0CQhBwykN9Vvq6jimA
ZTeBB6h7hihrDzEIkm7+a1gkzuljgB+KyWWZaS8J/j9GTO7N3eEDv+U3cDGnWPGdj1ojc3jA/S2/
TzjzJ1ORhu2q74MyzBFWnchYBas/Z+z/rU0WMXfR1CfFz+aBqkOeuhWCDlsQ481lyyIikG3oYDgI
L4S6qGpv3UgzMRymxGmXx0KNDdrWZulN5cObQXflzRsDsjzlxVXPf3PMjvCnKEHx04Dl6BSYntLO
LUYQ/5ZXPQv3w+9enu+vQW7fb/KZLP5hlkBGvBIP2BXJsf2KrHjjvN5baFgUcsjbi7K39pNka946
goHOjDpWz1G8jBYSvJIEsPxYfc2iUNS1T8qiFxNambvh2AyHIXefOEgMWY0kVFwxim2cxipj5oD2
DNC4AEUb/XvHPQIgY1r4iho6q9Dal2mbzYBle9Hl9y52F57lxyqSDou3Pr0lPwrJvunWhEstFT4s
qpzvxHtbTprmoxAB2H9q70w4UyiU7OOtgpzCa2kfFBmwh7XDNE7jsG7Danuvg3YU8ilffOiGIu12
VgKty1zOFbAx5Z5Dv3XQTz71yD4iMQ109H04UCCf5nmc3Ha9CR0GwHJXav6k79l2NK1It/mAbTIZ
H0CMkL9KMu6nNBBAZlqeftYBzjaGJGu07OX6W0EHhCDQmI2OOn/bWgfKn9u9kEPgw4RSlqw/LW/6
bZvolzG8tE+kROAX3qrj0CoeMluJJikshQUum6rf7vBzFJsSwvo6YpuzleCPSPtV2uPrkM1OsWlC
u9liOuBPdDkFxXbdhyxMFECGc89ATpQgnkh3e5eIfUK9yPdX918zSnkNo57pwegIsp71lhqlgsaw
kV90DmeK7bxNprcJJy/pymNpDPlXJ78dBnx/tyMe9MrdrVMOgqq2fg8JtE47pl9GjP2qNNrOCG4i
DfGTieRgZhYUmjtYVquHVdQa4TnmiUJ8yC7Ca4Ut5hQemRtghymU1SGgZ94WiZ+yQlO5PbL2FJBS
MZaSD9h391yUIaR7LmhmgnyLO84y3fmPWo9CorE4vYxgM83GY4+Qp5PJ4zZYelDwpkghkmZU2IOP
yOW4xdlV2q7NvDxtXzSWXUqeeWj8f+xw9fSnTKld0epRXNlKPmYBcyVy0U2ZCGHGC8WVmJGp9bnJ
c10HMPIlzDvUcjBDS0jqSrxHwHaOeQ9DJJtEDDr++2q7OtyTgNGCDXHiC73KTKhhgLHh/ZXfCUlB
Vm1Xp6LaelBy0/MPI3S9VqBsBRaRCBydtivMOPa3qYAASMK9qatL/pgvofPjTjcKuqMmwUjYIP3D
Wus9HHbQqVbWnmOwWDE0TWsrFLUF+ShLm5GjL+hJmwfKqnmWPe7Oc66rfs2v6lTDDLwvoqQXGdLH
GmrZ7uix4K03f1qXbZLI7scGn7MEYkJTrjh8pRvz8FrgRsD/o4Wnfbge9PCEYbeNn+XkklnaEzp3
mHS3E2otW0sPNP9GELxFrSfJcwvjod90MkIy7HZO7+NXUzKLbINPOz53P6sa5mUDOO+kT23lByhx
9amQuVdM15+DFRMTO49p1U+sw/jSsbopavNJQpMG2CAd1BCBaeWYBCR3FRSItTtD7zr8NLwGPSnw
Rpb8WK+5axxxPbGaKPSNzVvhoSQj5YCFPUO1FuEmVl2c53YsSkSMwK0CcDnx8F6TE2dg0dMtY9lW
yeykv8WTipc1Y5azaRLgl1b8WeYTpQV4INazbi3G/L0SDC60UanKNdk+MMM2OyZ6GCf4otyZQZ4C
YgBkO1Fvi4BK8bXHscy6dOXuE9UwKe60hXYot7W2QxUD0xQoKYKvZ8N/n6/iI3NVCpLkeEVYdG2U
CgQAmHzGgbZ65WiDHJ8cR/xH42lpUHLRlCLl6yV8RFMy59tFCbvmXKEmxgHlM/4beKKEEIhMSdqW
p83Zd4jUDjVh/tZwy2sdi4FZKBDBVwvr5w5MMFKlQKY7R9poBGjqvnnkJuflZxyh0tVXF5u7FgAO
BbbtY+G7ijxZpnYVyo0Gt7DXz/iC0rB9CJfxqUIdEuOedw1HnKkhjGpa6XTc+rvqTCIohe7GpgMB
g300bHm6Or+haNRq0rSrayQUP+NGx9aHNkmWSh7zOi+u6gdjTQmd6OuUbaVYUQeU2iYeUEeTs+zR
ff7W7UTA3WKHLEl75laDaZnaDOgzPDvtu5eBkGmYdcv2KQOgRGFXKri2KqQGnG6HvF23ETvO50Ty
Nxu2Q8TGEPY46WrUqiT1ZL/6nZJw07QFmncs+Io/JnUhWi58cFTkrSLbyL0T3X0hj+qA/f5lBUUg
i85IEcgQFu6e9cJO6TsXHD+BnLGWHPjDGOdjUELPWil4HmfhYnVtndiYwMP/LPpFNzBi/31/IIfm
iX6h7bGrPNtjrryjOTJh64MGo7PIqh2TX2CWbGFLJJvJq8MfaS4LbSLckfKey8sku8zWG9RnSWYY
Tc43ScdPX2Nhlraiu/ZuC2rv4+fogOmBfi4/vUMj+xM/sF2mwffsPmIgl770+yfJ+5j7ppc9Scgy
YsCcuu0uzz2Q56N+sw8oMvd+QAdt/08Ph7nrjsfxF+X29Gvy1AcO4Fj92bP4JgxxqixVXMtnlKo9
krfKooSL/9wunRd5ZergdTzpC70SAJi9PAL6Qmq59a0hU7vs82hIj2YzRMoabIY0ZzKue0PZIO/W
a7Dqiq3BRXcWus7ARp5BPxDE4oJl1w+2LBBL+zoKdVPPfAihSJS4o8eiF9mM3DgQuar57a4ufZa9
mZGCyj4uidTzTSu6Rm+FXeiTTIOgqxTDrNSXsG8FfBUATVFk2YYbAc2BFh/bauXGv3pIVygqgMjn
0z4HDzqCgIAk6GLpZXVoDphLEFaM7A/JXImxJ6wD8a6Ikip7YrUB01uEpE8TVbCl6KGmj2jCIMK6
JwhpwHAdzLWl+lb15Rlq3ALCqUpNRoJYrIbO4vDjdBjHFRN0WKaDOx4B+s7vGGI60Qncu4kjhOE4
FTKiBxrXRXXP35ZG7MlTywXyy4C4WfMGiEsWbji+W2YIFcjxxaE0M2ZsxKInMzP4xPBizOQZEcHc
gRMgbzje+MWBAYmt4VxyQSh7RaSf0a3/4fxk7aBQoEOzWPkvvoPyUxnXBS+hmcvBAo8EQTIL5izo
CgH/EOGBASZbq5l9J3bnQBQbIz86MgkkdFlPSxaf3eUEQKm1Iyn4ZtGj1y4a4mDOzt+enRWKX4iF
OYJO28oKoTVULM+eAK1mHX+Wvl7vLeQw/l7BIGRB85saieOrh6BEmvIn9tHI/zCVAhgLXWrdIZT1
x1NnVAnEpKCKW1bEDF9gu1QGvz0JQG/WJKrRFBy3Kqx3CcphnwJ8QIM7TbVCYimxG9O+/O1NHiBv
c8BeD8Ob1l0lpscDStDt0ngBIagSnKafla4efNLoJF9OAzanwcX0+2RdYOuNJ78l+cQ4I7tvaBln
kZvy35c+ZR8b+V1uBGQhwn+LsT6F48UIlK7Vhd1BPYtTOLPDxVVmW+RaMajYsxd2XMGgAfdKARM5
AqzljwvZ2LuZrrVOLB5Bco3h6ZRlJ8U3IGroYGVg5JiFNRAwn7zlzfXyULK3arFvdfO4VqqU759d
/q6Q/CkoCrfG675DNJBO4dKQmwFTIgRKlld317vNwdlUldqaoxr/eMHLpWytkf8osBOqtU9IUvaK
iH4v+irdjJWyZcLgLkdXz/qPgzgjDZqdgMQiEm+7zKW7uiyh6FpoyZ0t3xRH/2Xp+zHw8jN3gjmp
ZZR0lxR+gTbwB2l2xCCIX3ss0f4HeoGnKZW6o9LhzWXyfW69bsfGEGzy8NaC/AkcD29Obi86BDL0
PSAK1hcXZrR64h+EQyEyKn9Hl8En4OONhsAUxjBxwkXjhfj4kq8q28/yYiKIqgZHyesJl/UlNIWk
jK3+rUudTbgRJ1vRRUqCkNxd6YiR5ciBUr/zzXY0V1OlhVh2du1a4sCHHt1CkAHHCulYcp9wLRUl
lL1cybHesyZE1ikEp4S93GNURC1nJgQoB4V1KBJUmsKAesK8HXN26d94GPQI3YanQyPqht27fla7
Je+UdQJA0MT6ESlfY6SgSiHARCMfOlOVhDIyU1a1my4OhPBNI+Zp2nfTZaJkmP/cdxg6eoQQvomS
CM91u63rorEKIbcdXVEHVr5dK0ZuSN41tazf++QnH3q+Xnhhw+zd0IIwnmdz9UPJwuBQ3IAf0INT
daDveVyRspkfhRGdLyD3EuBFD7O/n9au9hoqxDN9T+kLA3XmOU8qBWhPj/XTo6n4QPTWVDvcuQPe
ugtXS/l2VMkpiUVCTxtKYU9+3Sz+nJVJXluy8jcn8OgSXriVoP+EV/DQ6qL/ONarvp7D5lNSbbZ1
nrmC5Wk3wYbFBQ0urROxpkw2g6lrlBBnHswDXVmij6F7UmKlPzUcJrwKnttCwW/oCHx0TnTJ/DeH
vkqSO9KtZkeV6JBNptR4FBE4QmZtkBtuWKBmsTz8GsnQZRDNxbLpK1UV8eHRTRcC0YVNwBgtFHoG
fBH+kfGu5dzq204vyC1mH1xu9Yo55dVN1nvVoBXIIp0tqMqAeldLRmSZlqiu9GuKSz8coaKviK3m
6GlgnzFkmz04BHrUVWgtQFdOUlND+/eQDa6+YDEcP4UmKhV7y1jR7b9WCiaiNIFDCf/06tAv6p2W
GNopgIw/YwsC4ToN29IlgeDFvxeKNEocjxnfeaMMyToHa/yDIiDA/hb6s2knAfrIDjMCN9gLm1Ds
AY8fpqLYNm3XXUrbzug5GSmme/r1QjgNYpi/mBF5WqLfM0Eg+FutI3yzd1vmOuZhqGgq4IHzQ7l/
lQKjsKXmPoDjpRTXmwo6OwDBO8+rJZLc2Z1g3N/EMyv+cIeh2GUjQjD09Wjd4HKVb5zyZ80bz7P4
s7JOVLwsI/tE/9DqncHJ3rb9trpYE5NviXeVrhvkt5EcDnpspUEIkARPA4U1+f1MZhm8UhJSwvjt
raswsREW5gzIfI68PEHIhFC+nzFm4A6mAiPGsqvTRGApSEuMMNvSlj01+Me/1ATe+MlM6qt4X3RC
iTph6hgkq3oYg+ipPXl53ydcblrAymlLPMDA/COY2/f0od7QMnZrPSlk7HwLLjvLnFKfW/iihm3p
c5K94KLErePYYIgdSgpbOB3tSL2KfTz917VdzzfLtflOfQ1dGgDlMZVs3MhWIbLDdqQvqUT5Vy88
auNsNWxmvLRxtHRwFG449PKHTyperDR6F0QWVFXPpcV+Us7kdwZJ8gqbVjish2kAJz9y4DgR/DiF
kNn74PGK8MLnca4gPMNlIIpgoakLIKzmoBHzAeDKIfENpss5UzXgOPa4AzcYfKjUK179BH68LThi
Ir2GEKjChCIZqZMkNk7i57Og1kkN9i5kc5fgZvpfFBtzqtSaVA2eMXXK50AViX5mwh3pLb2qSRxw
L51P2TfA42Dy0YtJGP2gjpzlYbvROAt66r74b416t3xkG/fS/NU8A0aSIAgys+Vp6mAFU6IsJ3Ra
YBGEWuQmWnZh1X7FlLaw9OkZpHZwC83lq9vHctDniHdGP+RbD2Q0bfmRv4KcznMknHbSDETieRLp
sG0A9MeYjDz5Xfc1wp3LD0eLTZr6cBT3rpyQ4NQVkyQZRUC8h8B/9KHSiF97Lsb/2GrksnSsUt4v
J/KqHDvXE2jf0Ik5L1/bYQbtM4EESMAKJNbSRb4HtDL4mjduTFa1vKmGsJEn8o86RiCQpCmFScDi
irqenIMOQfVvo6T05AXDmBr/6dd2fEl/EfFjdw9NhxoHfIRpjhllGiTZnsPX1UznbPW2t9btLOPZ
qiqUKbmejnSQ2E6Las3UMuDcjDxBd+rBlAbPmfyLdmRvVFDG7L+yEz8cEkvWynOnBHWEv7e8MysZ
mKcT9JtCTOc3Bqzk3akl/HPTVJQyKVZfzUrUV6K4hot9LZjdT2xJkCcv4ZsdKqDxHWDz7k7fLPwT
38UBfkT28JnxNHIrTGNqamubhCU7SX7Dduu2G+Y87DbxtAXR9VF0kL/wZabRYSnTx7QQurDeawEN
JwUHos0naFenRJPXLUqRStfJFFvsh82XQLw20Qsom431UHmrwta4Si3bu+hI8cNrvfakVxPDMbmD
/Tb0gh3zJoVL6ymXwyZsYMBDDVFqsewjbIgVAHmV7CTUt2htPDG+Qss++KQLYcHvUAL2vEGP9INQ
6uFWYlxRzn72ku3bA0Be3MKtijcH+r3SvwchqRoRYjVK/fVHqjWMjk2k4JTKv6cdqgTrRilOKlCo
Th9FziIhwFMZ3hGZ90CF1OCrn67B+hbarW/MKK3TKFOcs59f3xcdD19ygfBly5eQTbjV1ApmDrvs
yOH4HmwRgC4wPJs0Cc4K3ACEITSEFfWZK1aFujcp7pm8UYPYzUF9bhf/jXwtSWmsfnQnvu99FFgU
mBA5B4xmcY+PKSTLTwT2zLBX2jkZEDr7LB+CTj3nXodIlBii0DAqlEbVJ0CnNV9jFIbrDO/Xwkas
6aXegcH2zfx92QDwuh4SsPi/xe+8Ly/7U4ujkJ8bo5wKxPdro2HXt3/c6UK6MdnWjP3R3YweAjJx
xfxgQ8hL0Big/5JVACApw7E8MojUmRgEn0gNeEoJ8okCTYPpWmbs3l1KVGUpwf5QVH6jhZLcqzWo
KL5WjrMPmsbFrCUhsOn2nw19r+NH1u6J+jz5k+SS/Kd4qihNHbKA3Imm3soDUOnbU8IPDPby/Vx9
DW4axV4ZPt1k3l7Mbq6SY36Gq9cyZTH51NxBPGHrXetR+vT8qIQXGlE52TSzz4RBjqDabXTlOvAd
Ipy/B9ETK9biceMBdozXjaHhrQYWA/5tbc2XmxP/1qgX/TmwlwfVW+cydPS+qpxlZzKVMKuXx8/h
iK90GJ3lCu4E5XSNJEDpszhUpOKR8O4B+n/C6yvt26IKyRxJwOS21/+0oGT80LJT7kGoXoiWd3Sc
cHZKVPWaJ/Om/E6atGAmjCvLpTY+mwTCfnmwfd/XmGr61sdyYmIrfWT39GXDBrZlK+0pETeHItl1
z/Mh+GQD5Jce/VfElyK9KC3f4kqk49wndP+KTe7Xy+DlrhLYw46uBFE2UtK54pRcLQrJ16SePZjb
thJBtppqmQnXLjlfRBRtI9MM7haiiQg0T7CSMEGx+dAEz1f4DVI7FSOgFAN9K2QvHw85q9gmOatp
ZY2j1oVoLD4/I3bUZ3c+zDDcctZPvWpuk0WNanZQQ0Ie5daop0vLew2FckripC81kMQC0cgwf8+1
0+kMHv9sqVTtXDfp9Zgv7BH1F7lVn3PGSxji42SMe4WrAqNJb5uTWvknpk/o9Uy/o9/3edu8zQvj
e7Y/7db8yKHX30sZLRB2DXkPuseu5+srn5ALLZE/Is964PMxnR977PYHm2Yapv38+Rb2yYpZDajU
vBTEbou+TU0OhZEWjm/KaFJnNlZPObe7nNpdUcGZbK0ZMUrFLDVShL1csfqZ1w3zdEqvlf25lazk
D88PioppL3a/yWriX92gHfKgdxaWYgU3oAOIFc+rIYEwAl8bjaTVXnJ9JMzafB4mez2RpryB+MNq
Jzu3VbDzbFbhInrS7xXX5no9c/pdmvXLqOEQoT1OjpRVU8kyExDsd17UIgs51IDnq/UZiyV3lAkv
FWEuzO+3EJZuTpbuIk2k2spGmMSOCOYlQsW86FDBfn0RVfH/PXaIUZBdtQ6CEFDl2kC0aGVhX3fX
TveBTQvgbRZ+9szOFQEashVh7aH37BUYuTuJMLgGQGnQkPtNKyeByAVsCqW/P2P169Fcm14Kt4tu
5oBBRYtMLa32kJnNBMl3bg8FoLgK7JGeSc9hbFTtehpD39M19KngXF4goi/vQakiU9S/6XcQx5qt
oKNri2vbJeGudaoELsgTthqE/KrQTkgi1hvJrYAQdaOKew6IZmzx58sPFLQ5Z0JzWwV7HQrgBq4z
NLj4GEHEHcYvZLFSvCIqpFfgcZ9G9X4rLp8e0uWJ3CboNRJd7JY6y1Ps94MIEQ0rzNjTUqP52i5I
CnyG3n7aw8FfwI2YI/S2UqGfjohZcgjUoDoJ3bHzESajEVo+JT/an9gTHCfuwyqvMEBN+AhOLpRY
beBMsNlNb0SqD4Tg5UYj8Rqwr7a+6VWQ7B3CE99PZYcdwUKqhybvBQHZX7zhXdSwzQQFM/wReGy5
XYgu7WPOAzWf2Fd3l2xC7+DY5J+llzfgwVa8IDSmkJeSIby6ONyKzJ2OO/xNiK2fVp8QIyV8vgU0
OVkIKOqruc5XabMXBNh8KnUqF4hkfR8QZRbwt+vtOYt+w5SejA5H6azQC4OIV9F2WnVpC3qnfUX+
Z6B1jjVyfVLltYQKctyoVOvBWYxRq9BwHsxolnsy2U8+qFwst594fk0/ZGwoSbMXaYWP5+aNPH/E
+MbtbrZ1siWD+/5KTt0oTeBTf8R3wzvcrBH+sOdvu9BtOMQUAQL4DUB7HoNLcJe37iGYqv/a9HFc
XlPcZScow8C7kNROboCmwGA0IFJYGtlMejSnYx/gxTTI1s3JmRq74O+PUDKn0/ht3a7jnd15tlEP
6rp9uvVH0jDzgzihr7wcIWk9qT2i95vsVavsMzXFj39Uox6ZhmzUDYCtTw4IoX4X80yaPzBmaAAh
ZDL2i0ht184GUxrFaJ3yjdNjlSKY/HVa4Min0tOkzEAie83fjG3fSi8s7UjY1UzlqaOKRjUT7UFl
OL1XCD/5Geog/t7IW8rk/5mT5d3h2W3Cvtc1sEM8rJ9bYGQzuUmHeGG9JO85xlhK9/g4uL2OT6sr
3ykNL2L8RejW1UCSclxiMYn46hT4hsyXW2gs3ODK1lUTweQqysIddTfyuiPYWHb0VbjVKJYWsGTy
69JyJRsMQzD1g0fSKE5hS52/vRhKAJf3YAkpybSMJGB6m9tyqlzAg97Ooi81gaDoeuaxa1NVR4wY
YRhyzK/cqkS0xiSaNxVgszlB51HiAxZ35D4zYrgNoYNcz0ChvCrpvI/gGj2hdsaH4AHeC24Ih+Gn
GyVXW3VZPxf3K2sVcmxgnjmWhph9lSDuq9LG8An2WPhhGqh2DeCLJ39z6WhdV6ZwKViAzqCK6VMJ
zGr1+VrIxCBVYn4a+0wibsG8H/bm4GdW2kkwowyYh7GhCZwzR5/KJZsNCwIU9g10BEgepZT9Kg7J
pyB7Buz46xzgBmugzuVhg08BED/zaLOXl2IcLLfihp10OEEzK0ZPAJ5uG5l/UzYBk3vYbQRT/njY
X4qAmDiiuVLY8m0NGCwrBgCT3oEeoPDRrolYNKYAoJLjMiPEi335dVK3plJdOWqjt0CZfUqG6/JR
AHzc+gI2J20obJyP6p7jeJh9rgc4aLIaTgASpypg/Ma/tUP0Wwz/767PDloJFq2f6VLl1rnrvbZS
RRzM6Clr4SyzD2fkAmNNs1gKz7Vr20+bRChsXpKvrjMEYBEOpwL8VWYL51+2DyLzFZQsyXy/okdM
XiFpvMJyd//nX7LGNLELjWHNKClY8/BSfqcoKMFMC9La78bHWINkw1ZHy50y+ndegslOd5s28x/Z
pCDOPwy8iYrJyI9rlVP8cuc5KWeXYVciOJyI+gEFfxlB2o3EdheEtfPeB0Kg2E9X0WAabCXWntXR
0j6QviHa80qhsGZeLL3jgm3m1aL8+sHhs0m19kF6YCAv3YXZcQNuDlJyC0rbK9NoMMNTAFvJrdEs
caGM/qT0FgCWcNL+krodFuk0naXwAEEGvrlbFV2mJWb5taXAYBWPa4aydlaFwkXWz8muZl9DKTCu
x72Wi9cMu3X1SSTz4HIDfhZjSQoIH12VSKm71pTpQJW5CQxdqN+V1uR7gzxd+06EK/PymAh9GO8R
GqKpYSZ1NNV3NJQTE9Qx9mR5dLHHkIGaryCT23UoZWKyVZjNu/S3DYsZrPhzAoTtcJ2/2stp9azP
FSUMgYlYFhfOjY6WfhNtz2O/hKZORtD4MlfB2TQJVht/6SHOabFzy6vsiFoLzcp6SSTXPdce5H/J
wrjCsQRrMcEwdQGvkf9zv+VUIDdYBLow/kUG90RmUempR2SIbHkwHZEiMuUVmXVv1aoLbp0hmtp3
/99hDm5M4eaGV9u0XRt3nvlmbQhXTS+UkAAeejth/XpWWAjT7ZQBuvyDQZJPNW8SohQbSr7VYclH
MTvTw3Y8UAySnSPA7ZPAv4dT/+Otp0ysJWW19WthJQOo892VN2KSdUoL1F78hauLEgjkufiUv8T7
LrFg6KRL3AiVvJ4WXz6DVjsa32ihx3v5McBomErMTNZ3X5/qqrGuE0vRHNSAWKgtx5OFImWJbuyb
CSCit/BShkU/r13EQOcqZB7wL6RzNu4pK3PMGB627gSgvpxKZOZnUfY5Aj5ver3JRVrMrX4RjIgT
iwZZKrWawx4AvfQpd/MrbAU8f3Sd+TFN9Ce6jfjUlxhqvA4dQ91wf91RagUIzqlHvjYqVp17UlJm
4sQLxh+KXFS7F1uzLgM2kgl08JmmG+Mi3Pg/ryPhOGD2EYm/sEp9v8gpuYSnu+/Rk2Hlu50tYK8N
DIdHsCNuikdvEr4EX3nVWvSXl/h3qffIIirY8Dkf6PSp4jLV+lzGxPcbu/iagRbihp3499u7zZ7X
5eM3MFn6L9T0EiNRtFzt8zxgojG8zwz7SKioBl3CJ01hi1kYgoZrF6TxNv6XWQ462C10ke4EposA
SScB8+6QZmS0EHAFdaovZj2YnoCmECBsC79WceGNtUCBbGOGqa8ZDEptqTSVTfGPoNzvQo67+9kH
7jrcKHkSLdp7DAXgpRDCiX2uizyDc7Tt1Pez1n3Mm3vcMJ6P4Fe+tyPW5sEkmzkTpBjNnJ92Gl2Z
AW1RMhrDcbO6XU3xBn5mRQrqDwWR3HVnXN2T93AonQorN9QY6q6b1Id89hcqnM+o0gcFISYhrhg6
wrbvGe+BmOpdJUNu7LC3EqbCFDfCJDC7UftySNMXpGxYggHCxJKKyfLb9S1/JqOa4LzEKHwOPQG8
rvCt2hUsXfd7bxRJhRV3jncVQ6y/fYMqJavkPF8BX6fjE7nS1OALQjaOunRE+uO4n/76nWV8B0B7
3cSowsKdl6qIcaU38MAHVUbNP6uDAnR8MuJfoMrHd6q69OWD93AG65VbmR2j/UNcHNGxwp6OXUJU
YSNyQXOUu6kCY9S2+cSW8n0LsRlnKGqbh2X3yXlKHwlW1I8dQ9l6B3lyTilXnFtQIlSwGPV9GUj1
gIl9TIlLsSWUXKwdwcvYfKHX2yLkIaPO5yJpM/ixhsVVDutLML53B2vw/xkJi1Wm/AsJg8nHvGAg
/D9wD1JT8s7RU21PK0ZXTOF//9i/hMCCtbeZ5jNWEg5L8u/+lUNJcLYohG8KHFXP2lCwZ+1jI24e
S3/wmKs5/teaUzRPEHVyF5aT08rDtQzrvZUJQg/w8Yd4FHgqbklZ9nbDvpBNPFJRPYHjwc5+jSY1
REB9pwnUTIx2pZPsuk4FKqC7Dymrccho7mVu/tuLSyJ0qBOMzxel5f0rKixb51yu6pYa421Lk71A
zR4u8jNKic70Y0WNzcZzN1QR3LqKbir3JzoQAh72OZl56tOmqB2QwVwBE0Kx5MhmXmpmibbiZgyP
9vHT+5OAHyX04nnaDDHnDUZ1glqUjCgBmaiGRRq7mZa+35wMPV8vl5lsoxTAkxPJ/E5PBaqhLnJP
RvXjubiDol9GX9EpfsDupumyfLU1HpCR6WfTG8c4zHItVZJh4CO9MDbxTtx6p/qhh/f/rlNDDuwp
+utXfTIOUcp74E9kP873wQZDdAmtaeOtoH4GTqm+vw4CVyHrWwYQMBJ97V6B2vQPFh3DyNx9SruF
ua38f7ChrINxeZ7vAnbdJrhzWqTQ41RvR555ZEzf2P5kppSF2YhGSDV2OfoVihdu/UD9r+uYjT2n
D0OA9sTExelPsQfuayuhs/2tp+a+ff7FWoxC5YamVfJbKqNhoiC+PidBKZBWDJeMPYk/Vyg6Ubfe
SX/Qxn8ksSm/8wmhvp8gKtzIqVLMW1UaGoZ2D4DK/81eh+y2Xi6DFteQ/+/SwKmN+z0W9fr89kP+
bqRr0yRJI2OH3nSkLVzgEzqXLeW80RBGfLcKX2pXAqeTnBD3LbZJtzRZpQYnjNhTSZuvRIukMEKk
AxlkHJoHfo2ic/ImohQJj2QYSdo41RwXkIFnVT3EsOySUHx0lQVmaTe2d7KsmyiwOFaV/eGHvJq6
VZ5WgVg+yReLFx9LMvAz0C127qIhU26yQ+rs2ovkbFMtST7iRr91d9HN9Qd2iow0yJ0Bz4u9SE4d
dpLZv4MHWRlREc6rqXu1iNavsuJRIIf/K2BotTcuPydyT6bQgv0fYPIseGDsSEzuB2p2+CrED9xf
EhwAr/D+G9ZirEqZaoeMhiUv4qj0DZ/maUBNREMICVDcBhnIyMf18V0sVdhCmFFZ9NfI1bEyw8YE
LCaSFlvbNLnxgS9il62IWAq9LvfPhiBEYnZkGtbzV8xX0AQN0vdchci3bKC1W28oHbvEN9h/RA32
15fjSGRvNijNWh/RDH9HqLTKAYrAnhWNW4DYwBhYIHrkiqr3pybmWG0sqtXeC7TjToGmeZy9qt4W
7A5mo1jD5OzedJIZt7LPgELU+weI5tfYyMxWqO0ehquSaXQf4EwIAYdmmacg7F/iRS5mRQnheVuq
TdMtrQg91kiZ77IYNoDKXl57Pt/nDjEFwqOUcWWbQWyeQZl8ehgdgom56GBQFp9u7D86bcOgaGeQ
/Dysg+MEnM54qWJafsyeYB3AdJHJ63DZOm2QF18YKs6uy7tjZg/h9JSt+DgOnW7cAQ0x7I2n1ala
07Hrw5SZfy6RdwJmBUFfZkJITzNsprGSmAuNZre5ySM5weR8zRSMtlP0SIKM9jSdfohipZjNgGeQ
9vu8TuHzidS9cWBCY7EomhqAFdXAskzorGmtFgZTo973cFRmXpTH0tbVb0KEalg1LRrKW5BDXmpa
oG7j76+BK6U/jXyd/an9g4/SFmt2OfAtSS+oTFSjfEtlUsA9ScPCubMten3V3y4cU4rj1Jcz1Tdf
sj20ae32+V/fc2xWafe5RmllhNIuEwpIB8Rje1D8I2+xFlbjgKEqQwZ2dHm/HhMnMNmv0kVXR5cr
+yM93NILubYPxPvMYOidq7UqQrXYto8nNRPI5Vbb63nXTNCNEgP+ZBQbjRVyJGPLji76XmX7adBq
euToZENVWRqo+OsLhyV4GUDQUJ9hEs9QVpGANgJSRSOSgRgwknc5MYHYaSISJskx077m/ftO7fcS
Kwhe+RJhF3EIEzkKzU8WfC/OY77zBXAnt5Vh8H1/tQdcw2cGb5IuDCYJhP+Lewij+igm9oo2hBkN
+wfKpw6tuowbnv5hy7/cZy1DSSZGxURxTUstrGNaQe+PE9Xp0VvVYlLrMHdcqpqeluK6pSe7tluW
Vv2OvYP5zFg45hDLoaYEu7j5W/HMQ5ge5TqcI0ozh5bUfVQ8Y7nmdj2sHLW5/cumT7K3H16MvUtf
FDz+ZWSuz3cNiTQbkqtZqcVlSr7vguPB6VjhOUebBHKMWidTE/KxBaYbN5LeW33Imt5u02g6FNk5
WRhZqBPiCspE5j008HPMcYMR7q7oLLWPnQZFIpZ2ADGBzKrY1tkMWBlk5kxsnVXO6deg11IAkrlG
wOviAwApwrrT3FA2S7WsxJaHd2nWf/MTpMQbvDGJmM0i/gSO66VEkO3fAkusOgdlQHGh9kC5VmDD
bF6hjh2bdi8jxTG2teiuQR/Sviu3CdwhZl+HMi/WmLj5Hv7+n2cxvxBQINIcbTawf+OL4QZ+ndOS
CczdPeQOA6+1mZFkpZ6BBiMopNyu3nXqhIaoPVowO+g0rwQTzQmJVeJU7kdKF2RIATn6WYdy1rz+
kSaNrDV98W0CU0qrZ1TiTQpUcYz3wSS8f3aIpZXxE97YkkEUui56BejSgLTPdhVFM0RECftSjKjH
6SkjCa0pG2hcwbBpBUTzg8CmPQGYmSrGz7Aly7xWmF7yQrBFYb5bqa1uEiSz6pL8Kam0g5zjTKnp
uK0JlYVffB2+quI3edYp6QQ7gzC7OfnJbT6W1+IxKaevVqjy1kIza4V8JdX/G6aIL5EHeItzlW/4
EIVMwMVp4jN0V5wbv0w02NPH0fcd2CwywPPANZVhvQoTmobhD8iiGcWIYOuVbvE+1ttQbu3tQi/q
pJmRgWYYeWcUvQeKjoDxxaSDXIKTDmZM5Mi8UzcRGjA1I/Dbh4HjVTsXr+wT8MktnGKif4TkHkWM
khP0DpAtTShYpip4dlXmKylpAIaxza2m5+wU+QGvQO66ntQzE3ndq9agJXpD4L2zC9ZzOx0MmBr3
pmxBDW6G0IXd0oV2dxqLN6xfk4IRsc1ejdEOJNvjpXWrGTI6H7t/Kr0djTzuiiYSIXt03zby8ev8
dmeocMOjyUArlTyATmzQFPO+UiXcCuhzAKE4fXD+N7KR24RhqpzrEJ1CeCXLeL0hPH+UEXWihUvS
EmzjhBne+LF3UjeafYVQIondoU20Z/xnN/9JSl5irLJepokzcVAjRGBETZBHe+dcUmRGR7crH4jv
iXU3H9423CuC4iyhgDDseuNF6r+9nC6H8bRuFB0P7MpYKMQA1EsCIQ93u6VmNqxCBRXCfiYVJnYx
TLAym45pMFmSoun226xQMZJ+AR2QSoucjmFKXxjeT8jcPbSXgKZwXVrM/paMyXuScfWX/boLpwNb
2wP5LuhNdC08j2H2b6alNZToo7VivYorSAqUrgSUF3D1Fo/oh8zW0Y6akDGz//CxglFxh76T3RfL
Dw9Z2QOSF7p4r5UNv3rXU1mMnwR2UfFp8nz/8wpWuSb4rE0XI30pkSUM4lCD1d2Wo0XpsU6OWGDe
3nSa+2J5Ecym2oR/K3moph82N7KVKv6B+ZjkaAqkJghwGZuOzAUgs5PZR7xtdDbgSxjASNhnKWpA
UOmS8GvKCpid4kVCmcdavktzLifx1SVoyXCc/7YfIBOr/dwVv+Mdsdr2lHSQAcN5k5yk6xHstlam
tbEx8H1qlKM/GwgSbuWeb7pZZtX4YZWjkojkPc3L/3CJoNVyPqgI1sV+gWUb1hoQvFJ+HYGsOlIi
bYXBzjcHGzPHidl8gpGiC5v8R35TVdd6UbE5PiBiMtRyb5DSABphdwBvo1D7YGVIgOKJCkw/A7Nk
dKy+Ge/n0ZhLKqd2DwhhZKK5hJ6q9Og8IydJImETZ8DsdqK1I2HMoh15xXSZqTn41IlwBqMDao/X
YPSMU+iVlUGgnciUiWy2Vt9zQxZxjHoTU5PFlLEC9+DD1Ef6o02VTmQWf+hzH9oqNN1Ui8Dr89Nh
38y/7nmFwlV9vAuvnnFpiItlyysT3rdTZXPbbVQrCyg0SKFbY8I/k+4j2ZY0xoxBPh0QF2u/HEG3
iWIIAzO8m/wn4zG7xCe7CoIdBI6gyNQmMLhIrWoFyavEAfdTY6hvy9AUtO/CtnWK2q6a9V48+S9n
Si9xVQlwCFpr2+rBocOM5eNK2n+/vUnyB4vztEqH4ED4Y662edHr2t21NRLnVxQvUzVaMxJg113O
eDUMBa+7Uuv8sLMLO4hM7bcy83tcAjwdfz1c43Lqr74lrCKhTBZB2d3aF2JQYk5jTsMo/tE+XFNK
V2JVaxK1Hu72bNGzeI5S1093wDwvyCDTVXchHCE3ENABjBwbUffgUSjE2Zvt8YhjHUngphgYFIDh
50ZlIPvmIz8HOVDmShqRMPTKv3bqxFs7ZD6IOYsEpoG9Wl+hevJMIu5qTR1agTttmV7whFMefb2T
mjRmkqfmTaC7yF8Hhfz+3j3cjeSkh3rne80S0PeEoTjReblWcbI9weTd+0iB8yvQIM34ir+b9mgL
gSDAg8MxEjnlddx5C0VxIUXoNbHhPgak0U7aXnZQihgYjc43ThP30ATJDaRS94/yaoskFmq+Whvl
XdXmDxp3/1SL8CkH0V9GYxVZK2H7nZlH0ZVE3I+/m7C07KAJeslZrWzP9fooJnyLWXs98oOsFdW2
I/GaeH2cVUspGI02SVYdXsFUD0yeoQc9TJ0r1zff21wm4fz6ojEvC5BuMNvbUZ8RuCm8EvmWErih
TNvsf1Ada2g4+kIDKmUEQ1vGDX1m4XfsUP9cSSpBnNWe4bM9yZacjKxHYJfIyOXO3saT58HyOgTi
uHX4TwQSOKnByrI/o0eEZXEBHqXCtxa7JMIjCxpI5+UUE5g3uloF4hvEHtHYFPwg2PDMHaPpdNf7
JheDY5BTA88GGl6g1viVPni7xbefagTUemVkRXMMlAN84mVaDoEe9upCjkqn9e2lkbMSOZapnAtB
TV1PigUiOJGa5+6OdJ8g+sulqc72cDq0URTFJBwGuNT6eDn8im/Y1tzco1Kc67FHUGzss+qpCCbA
vyFdsgAZVi7Ywq9NrW4VFFN7yE98e18VLB+ZFuOWhOfguDV0fGUmmKKx+87ZvGGSnh3idORGAzr+
45FPJ6VTDbKdsWgGxmqLlFHGfF+FLaPHdCKATw5w6zn6aJuIqO+y3fMhMwhEJII4b4HDSI73uIUw
kC9XoNscHr4E/B/69SvD3Lv1WHppYdAD9RFWyZwArbrs6ek1TZRSQDhyxGCTZwwWbqJxSmDw+iRI
SL5Raj7Q3H26MT5iylwGEWqAkuVbvlluaVuaGUgqmkJPG0kvkhUL9L03TKR2xuVvSbKLAkDB0OOB
a/R5eGg1A6PnfPGV/mTjLJlkczKZQDdbeCxRUDpbCR6xVuH3S6jSlGlf1kza6GB5LDMLAagjZ6Nx
bcHYw17bs2BEea9dhCVsWTZN+SyiFYWjHyHhkaqN9bwxMaJCxONf9pzJ5oNv0Nj9H0XviL1JubIV
Xv2m84kJlp6j7nYDi9Dx/bJsAFgUOY0U2wsKNsIvSMK/diAaThOiX4v2PR4dwpoQ9TBCM2YJo7fh
SkjUjQWREgzTxhUL8fy5s79zu+tOXsaccNJ9y9oWEqqbvt5S18rcgHU8lgHVKQl6Evj77sTBB5Xw
a1dulBlOknKKLUXE356fsppm/5pqoLPCrX1QeABfC6lbc+ABVUZVX5uVv7HhHT/eImRL0ZMiRUim
4sTAf88KjGpd83Q8NT0FNLUGUr+xoTYAoLZMu/jXWrI7s/78tU9HQx1LWDcCUuQR7wjKIo3i9cTe
ZJ0AQIaGWiwsQ90G5ddzP65LMlyyoJtqovlstDAwQqT0WcSjbcHvoGSlsh9UkjAGTe0BBPD0VjCF
K9wDs8yN6ks7yJWvP+6e7nHEzsirgA3aeKmMNcS5emv7NE9XyurZ5WrMT8mk8rKNCwpfgk9bMZXz
/DLmZYOrcXbiGspdd33w0LvnoFZmGw4o3v+QUzo3YCt9wPB88R3eBoymPfOoXXAGFidiFpH/4bXk
UKoOLTkRKZ0FRn7nQi6K2W/penI3Uy0S/EdZD6o4vBx91xBWkZVTsvAaJmopHVj7Gg2kDyLUx//n
xYJEC5QDHwaQR9vmBO/T9HwFvhfNKsI2N29JfQYvFaBQdyS3oAMGHQAqewoL1jogr2xx7TIoy1ck
FVw4m9CIKHoVLeOpLHKsAJ8+0ceTeIlMfWNBRMs6sS0tQ0AcB/Dja1d5f0j13KRl98g2CDrkb56q
qPrarj2aZt6O3qqucJkd9dpojtn2guibKCFEaVRFIqJv9R3KGs4iWZq4ElMspV/Ly8E9L8d+QHnZ
9TQBdZ+qoyiZcpsjJPoVXOU7RIFcU+aLuo5/IEjbv/BSKuzmOlL234OFBbTffrml+QongPH8PTfG
nzFyxjLZErxU6/cTN1EmJwM2eYPZZ/jLn+EVPXOcxP1myJ4Tn6sSKhSYJcZMcFOsZn1DF5vce03V
8ijZTYH/Wt6It+fydjnr0nI1HFwpLAbN+NzdV5ZG3OxPSoKgFjmverPv1EZi5qc8u83LqbDhTY2B
XFGNf7+33lKKK2KVOsX/JFZ2b4m9VUSgEsrQlZOvvjO1H2RHIlKowIgRVG6IUQ/U0BfdoNNKVDtY
oYtxxgxdtsr/nWkNOEnGsfmJF8a5cxRtILsk2M+/Ey+oRZ2WzBZxsXEWlcyiBbuFjzdx3G9WDevg
v9hwiONWquHxZbERP25C5j3FQWt4HGvLKhLsBFsKmRzwtCAo4UUz6ZaIJa4+fOmX+VGanHy6Chl7
ZttbMzQXOoyvL5iNoKksS0i+VmI4EnaofEbqqzbR+zMqJFheUH+N+dK24eoU1BZT4dAFNbtueTBc
oN/Qn+fD0kedg58oLTgWDjY/IJYcYQM5fiUadtgN5UOAaAivAEdoZo9jzhYDTAW6j5d2Sh4KD5U3
pR4yWsm2A+eI2dJ15Sc8t8P4XA9cmYZkVlk4oKK3Ak/frvuoouPICjiUBKO6fkidACj8+6Kj0fEz
MLK8LJxtmyjD9r4vZA92GivBZHgyxifdoRspf1JjjwlXTrXGJ/S2d7ND3QJabfMzK6uFm7VXnUWm
AgZmd9wFcy4t36ynr1g/KVTSL2CDQejwWVpqGSZ2trcw9c+n36eSZZuy7MxPZZn/duJ+Cl8mpmva
rqsXziMdzejc9jmmB0KPzpwQQNfj8YoDxemk3fjH7adxv/wDF2V7iVN+im8O+WEVT7NuyAftTDOq
GCB1opQABRWjkU9OT2Esn0dTlE4S1Gp0KEFnKZEY0LdITGNQ9cQc9B+JeR8oGEkSqUWilo6vIQwD
nOW4utieOQfSZ6evuwC0118tGNDWZ5eWjo6fVZFehhLpiIasKHe/KHtwQYVDOgZ5RDmMKB6r2jdB
Zj90KukrTCGoYsspZNKNFyKqo7YhEVjI1Wqjmuv7LoI9vJAti4uhrOVASvQXia7gRCqa+/zgVyIv
wjq4ymNSyFc2GKq+pjFEbX9z6SHDXjwmgtsukttYhiJkW2blJZgU7fFpf3J34Ecnwr1KwUsH1mwD
AzEvx+X4Wysc9SE7W+W9hDfCexDQy4DWq5KfBVE9A4Z+HsCptVcl/qXBm4d/93R3Fo5p6Fd4juFv
tZdlC6hN/UxumQT79bUBT3TeUsXtDUmr5bMF/FYimwduKpduzGugjtERCQ7zBaxAQqBFleIbXApq
vVAuOTnvDmAwewj+gymBGChbtFoV0+H1pGst2qA2pzhMIgamprJNagOtklIF1sxVgd8AS6JiNBGR
lkVmVODCJX+Lv0aky+PTqCYD/6u/NJv7WUOrTDHq6GeORDxjuqAiBRbmTqyqnZNCjhMy8C9I8uQc
hBU1eFHiP4xwpMXfi4WAp63w8xPpmzrzSjCbQ63Yd5VFr8cwBk2iN4MoKNc37uKRkbLGEET2jQPu
Lt1bXGyBzCljOFEAy6xOviokQCTBrt80zXYJEtM4Nsz/BfLoGfn5bFY0ghVsNTaBenCHrvFziyAB
mUpaheG3mBCgvCbsf+JPf+Oy3KdmQ+56Q9Pm+kaMKVYlXcJ+BEjnBjGvYzs/g1ZReldCALK/8Kca
iWPVJNdECXSnAahu/WZ36xgD1kN9zLnb+V39GA0p/bQv8LVIvj9+9nSbRFYiDBlOEgmB45/PrUm+
dljbF8t3XyWQXJ87f3LyrdpelUfOACMWgCtAk/jOfCN1gDso+y+UqvdKz+JHtRDE7l0c6GMH40qV
5ykKtwapVRqX1Pl3sQg5SU/5+PAfgRiTbA37cUr8s3IZJSptDUCKvfJG/qJ8bF26MZmEkh4cCvZj
44c8B67bMGKr3VpbUP63v8WudAEzsksDDpg3sHErAFemzclU6hLjiUSIXlyG+S3n8dC/nA+WKxcb
MNvTr0c+apSdt2qYypt5oVvgSi6CWgG45BQkWUPvoeYR5hLI0xzAa9cPK74hYPcMM6I+AWYjMGpY
CkJH7ml0NSnLN0pEUutH2CeTsbdQYwIgQBBBt8WyIeFV3KNYSMFb83ztvbYSB/XD8zy4sFW26Slo
HxtuxQXeFyGgPcK1d+ZyXRbVAT0WZV4arS0GJVvHgoz3lk6+gyjybZr8b92U5iTK1hKtlyyhKr38
P+481hftWzokF6cq00PxV3IL6bgb5I3jIMvhHwF/E/jZfRYqXzlmjbCwGiJr1EOmQJaDmTl/c36/
sECf/BKSTylbhMQWAa32+dn89tjRjBdOHx2w0s/ylyJsxo57XSLo3d62AXkzt2GjYXtAOkRbQJ6x
8Pcqa3Lfqzja4OSg8oaiFwg/lAhUM4xFgpi6XvFIH1KqMDq0HubSkzBoRCubiEjd0DvXiMTdEh+t
K39NuUPTCvrvN5UZS85+GWojvYLJgKIgTGpJ4u9JisUrM5wFkpCUQHacQjtG0HXy/S1j1c9SJIiN
IU4ZPXPsNpFe6KOleoySR/IL5mtWknU6YIW+Ui76NMVPdyvQ9wvW+5JT5R9B72qHJW3LXsrxDagA
NTkMRZw+vzyPtjaWZ7nrwwIL4FCBGCK+7onJkS/n5o2pryvT86zPHM7hneVrYh4zrs8WhoYTNG66
SprqqndzqdQy56pao92clv45onf2nCjdsCh3GiG7PtRC/n5dNaPzxGGcAO3i9ahmjrO8nMFoB+rx
UPEmXVC9aUGIDGkD/5ZS1yetcnGU4vzKf49pnqMfrnSMHsIB17h6ItyWIyz2LiAtrErkhPosiGLp
53OHtDqNuCkJbg1dHaxTfBFLdSB1s2dLs2gbjM/gRw9w5hF2jYng+zT1EKbsefRTzUV6DZvXsZ4Y
8e0Q/6U9UZiFPTe31Z17HX2Ad8YyxQyvWDT79KxzjFOwNjU+t7dczk7fX5wsrn4MaFiqeoRlI9c/
0NJF48Yn71p5uomL8MrSuCNyJlnIYrVx+1d0MZkRRn06KfPai7dtv+MZZhPQZBd/Rf31ga/g+4bJ
nhAoCJxVThd9QyY+2PJjZQwxYJmF0kHkmR6R/Az3jOSJDeHNb/jLFGQDcN4ssi4QfPgagmeN2KsI
tpYV1uZu0VS4iczXVO2BT0cutEfPEh1gX9gasFmcay9Nt7PBsjgPI5/e5QsKIOn5lvshnGsSSYry
pF7rWAdu7rsAVCAXuRuj+RfGqElWn/2beY4T2LKNC0G3Hgyc/wRgj0YMIrRfuYOCWfUrfFpDoZIC
2+ITVvQkOq0pm3gbomJganXnylVotcEWtlR0o26GPZWNo5tC4K8twNTd9MMgjA3vis02diPYJvFb
65qOqWf17D9Tb/Q6gpgJRfqh4lzmOYxugANncX0jWE9HuPn9eBTkRAx0a40JW8yt0c5VQsrdzKTA
iafQW9Eo0llqr+rgv2el0wom3DnDdom6uEtoN/fy5SlgWl2zuf3zS9lkyR260oA7i6MykOgywH9U
MJlcOAdZopYkivmqkPk8iIlJsWf7M0huMT224McMiypaPEXveN0IvfmpxHlcYCAUosMrWBup1qBx
wJkqYbvjZ8BXOzYLuM7k8HA1H0xmLphwv+bLf19hm5P3J/E6LywkGHaubWuqgQ/BvGLQ8US5/Msp
CnP/cpgrIKpB8feXPq9QMpDmkMB0CEN0+kUkIvhXdf3bOR11MKVjOAilCFzZxGjyErKD+xP/vD2k
0jMcOIrm7Zmo/wqMxii+eHzM5z16QB9aqQcYCji3owwFKKv709wNfC8W3igIPc6B71obgw7B0Yuu
MCsNYS7Eev0LKJT6H4qOx8OHfO3N3E2poESlpPai2ZzFDSl9eP1Bt3fU3ORpTQ0wmIGXB+5KJBtl
wWGPTpYKmDrJ1rp8Ezq9RGDf2LhzLWYcPNCKu3Y15q2PHcY94WX2xpSbbVKzA3pLHYqNAYBuG0pe
Y5CtCWTwOEbeL3sF3fklFlwXmM33XRP+A+uf2k0Mlwp97REG7c8Uw1UsRh7fetehQz0RmoNkYaZp
84h2cV+TAWgakYyx2OI7cJ0Vbp2CNnBtjGpj+RRJctXyGtHPaJCq0EOxJlP9alrWNEZmPgZiWEUZ
oUl8FLCCFS3VZZuhHdlGTbvgeh3jk+zFXOCqPMPEDqovYdElmJokVZuZDvasWVWLVsqoiDp7jMZw
S4sXzYJ5MIrqEMpdSmSuTyVpvSvAx3Gcv4os5V7+6KFSzoLEu23MDMTcTjm1p9sN/UFzdsIJnFJp
4MVfb145EWeemX1an3bnMao/ciBq9UJ5sme0udOqyBoN09PC2SRvXRPAzkO7Hh1Dz8oMP+b42wZG
p4wo0sIBBNLGaoFwfIpxw1TA3Bwe8lGr9ocyS5cik2qIeO62fxhDUiUHhEazTTQ0nSpQuhgxa20I
LGd8CITVRI0ZurpzlT68Q28AtaJoXPKj6eyLfnrzWCpXRJSRgSsLJnlbG6acHiCUQmT+XP4ZnmJQ
xENu2aG0ysG6GEDRzh1p2C1kREI8sDigalQY6dP/M0vEizmYU797gqwZf8egX2wbmFBlItcFVwQq
Zqod69nOXkV9ZO1YbnDIUh55YP0O48sv6pin73H6t/OZf78pxw5PSLTTi8Q85EikXCL1B1GA37D+
0Kc9AC+veKV9orU1eW/DV5p1HXjDq4NgU0LjKFzArgaC/TZegvgaCbwKIANgCPSPnlrkV2b+1ksD
KNliaeWwrqrNm10q/QryYDdbltosxZBNhnISNt3cO/M1OrkCFDi/oQ3NW5JpHBE2v0RQxdFlSYeg
0rM9FUVmzTaEvkVgelzOEzIeVs/1OBrJEQOT2AloEa4pCZxmoRJbawQ+h7ZvNO6tLJPRzeRfhdcf
Wy1ilAe16fUAsFrHiGlW2KkX6ZPzg4sfMwvlRCW6F5YRrZ3v8+bR6CUnIQBtSb364GyVS8DSI6ZJ
mFkKy97074C7a1nGXx/3L7nbFPcgGdR176JDkNBwh0xg6yHMvggeizSIg5jIoMKbN10w3IUdb02a
XC4QXVFV2XH2DqzIpEYyFwQfbwye4R7JAahBV4AW6/FvgGJvbZU3nsIwvN3V1XDL4rEO2KIiybcD
ZYP8YJoAbQ6Bp74DnRkx7nABfqfwl9ZnNWzrzUhLpzeLkptiVnYWAZcTYaeSg3Xj3DMJFwwjNReB
oEeixWg0jX2HYF89ctqC8wjfk6nB+nYajpkE/nAm9BXFqz/bMjWvQ6HuvEnkvKPvGqtMFPJrlteH
HHptCUGv+v3YLvlMNPNj3GQyv9h1zTj7mowwIwSp+cTRy3YocjppI2OjtPYdWvuU9t3p/Nzvuo7G
Xw6HnPuKt1gCtqnhIifLQo+tIkp1XYzws9fcjXaRBa8OA5x7iWMpUMXg0MeCEm8widuzOzVQimBL
iHoYBorAmcsGJoBfymvxuZJovqLh2bbmbJYUs3YINTFMVcdiVm3O6PhJCkK9svXt4TnUTRP72vJY
EjD9ED7Qd5XUkgDXe7Ez1jxkCjH01B5Kb5ArwoWkNgOk7rhhKTcPVZRKXB2V08tf6arJE8HYBwbN
u+Qu1MAnLs7QqKoM8a13VYixZhZOTuy2Ggk4wfRPfqw/7RU6jEnmptzRQcW1Qg4kpimezW4SFrEo
k7RZyjW2mZrr4eB071NDo1TxbdBoJZQ92heWEurTnB5oCF/0yRUUzoo9oWfOvMaLwe3cIZkg3Rwg
0g0JjYM9GmcxsXg4T278NJFuSQQDNEk8Ot9xxIG7VX31CHjlDo3H4xRSALj4IvFFtbj7t5TZWDnY
3rmMAa8UMxH8HFXOBTmjDcwXzuPQiNpPdB/+gZg7seniF4QJ9gkpNOvUVNV8kK+V4WTfD/uKAO1z
/yEN3JXUEMaOpqpgabyOwi0sMR7QNkjBwJesXkNtbOytSuDJCtRvTqWIn5P0Dio1U51P9NowMrd1
4WmLcBAIf6MM1jupbtNcTqVGNVtKi2L+CxI9O+ZerlyyKEx9DN5WYOFFnUgECaS5KO/0yh0tswA8
0u0BtBwdUTsubRoxlJJYvV+lFWpWBBMFB3nk6IxSkrWDPR8LMQnSQUimu1UIIIxcj1UoSFcr+YaY
36r8neDht7m4h5I4SrkXuNITO2fd0EbyDuaD/vlTRECX4hJZoMD8bmQsn64ETCFS/v0kCUWi49Tg
6CbGlSvCg9liPuQOsYQsh5CeSqm7VSVVW9GsfSsiwwRt/f/nzl0hfXWOjYdMaAAvSHla0qt94hse
jOwyEbnfnhenfD6iyy/elH3u5DmoZ0Uxe44KLrqj/2GlhwkJJuQOW0DdkaKajCW7DD8rCPtbsGZe
AFYHZqVssDwBfL6UhRvGt+myrSBOJHvY7Aw8iDL/m+KSmsCXL58R25ECDMC0LXvM/GJRaf4ZQx90
i+ed7EiBAfUhtay0g6/jYKoLLe4h19PlBZNSp8OtS2JhFI6WFYnC9apGU52NDkpng2aYdWgUjnvF
cOf8Pbbg3uavjiWLb4CnEQEsyStKxwJWb1MAL9xMurTanyQ9CklE2g8SWrMFIU0rRdxyFwT7uLo1
kvVh7yIGl21q6GHUKw8ZExz4GMgVECxicmELH+aUZFL7EjvtVvbds6+wYqsJJ2BOePgd+R22FtE2
72mQPG/XzP0+KRYNXDABQtpkFlHIHPx57Ulfjv2DYmxWe11NgpaOPdMCxGeJ6DSOsR9E95tGwK49
HZnjOqc1+V3irEB247fqrsU73PqOQcDugy0GtIpk4vCwIEpq/kOYIIVFhO177AGxYWGnuVPidLqR
VoYSC76mLrZjuDcKh0PMSm3F1TabSp+Z37CDTXIDNzQmdLL7qORJ16X+XSLpsc8lG+IG+SPXIH4C
LapCtr7QqZJknh57SlOp46orIfsdFUxoYEkESOstY4+JSO8pcJuYcbN6Tk6U9ArLPE8WktOICHOx
ATVgZ2lGaUQXiLr2t4MsGgi8hzbeAnLD4ipR3DMa9sdn4xK/kNPDjjCeX07gAgpVj/xuXtnpQjYw
XOGZVcHbxCz9Q3+MfOCBdgs6X6bvLquzSb/2g7r1uXayDyjUDSDqMJAwB24hiZN2FyYwgKd4g0y9
ABFukH5U3oqpBeqsX922UoxjvvCYiNZxIfulxbQTl5stMw0FIxWdBK0/RC+4mEQsOq3Rv63quqk8
ZkaoHXcdSt/BN16AP0y5mXctbTm8PjjJol1js0PFJgdIFElYLlarmga62glBpGOHk8f6HCpgQ7iH
0S4nwwVxeRY2/0tYfczjDKjE+fb62krAfhrXGDcjOGVqZ2UXUuYjbRe7lai7QhgZEC3MBg4/skJg
242YZlGu/OAlxBDCvDvt90q/76rksJEUODhTEv6hOBYT5gjhaVXSJwNh1IhnbNt7ek1toQM8MuNB
NTQwYnKSJJRvJR5km1T911wskzfrLCqRC8ffgvqiCeHfmCQKlASwduXjIFNRwPAYsZoMv0ItGtUX
+05oVim51BD1xFljA0MBfm2UaoKq4oq8P1uKcktKQ92QpTqaKiM+pqHCuATOOZsncQocX9sIePJn
gNXVTVAO/0D74qQWiYyOYx/XlKn2wjdKokxAg53pnkO/r4LO9L2LosRGt84PYoo9ockbNM1yKfy0
1lmKL6qa6MuaRTHn/hKDhO6EtqskoWXKUKJxxsBAO68Cl9a4/u8NdnQ8f9l8zsvXPretpIdt+Q+u
NENU4LZ0sX6m6/mm9z39RfMG/+Yw2JBn9TmrCjyGF6jcpt6MOTeyTDJipklVWm/y6oxb+Q1pUEBY
iJP2E+PiTzjSqf7J8d3/2LgK+YMoI71JPHC2ZKHZaIVLvjI7QyL+fN310N+fhhUav2m+9f9x232x
8Yg19Q8MSRw1sGuXoTWsC4OvkU0dHF7cHxhFmK6hLhQefsMXwhBxuGDblP+HPlYonx811e/Jlw1z
KUlqlR14qKB/90KsHKAA02q1Th3/QOA/dayAwyC12RJAVorqBo/59WAkGrcrF/hRVQdshqrddjkv
O995nzcTS5K8MPHL6z15XTXAzqCc05BhAPpIRWInquuln2eralszulpUCbGJyQAph8+73EvuvSQO
xrnJQuq8KYgsxovrMGaSk5/+Vt7dor98vKDHmbqCFdLI7ahHNosuV/W4mEwAYtuiee0hyYy6371D
+YWWceYi3W5YU8uOmJNftImTbiH89Zgrp6Kr5A57G3JaRgG3u+yFjFNfuGentv/+o/SzCyoCf7Dd
i0FpZugKCq63sb/OMhqxz3IFjskm9MUm1X3sYGDF0j5J9eKbFqSLkqT/qFxFAUB7c3JOXtLQl/DI
c+mJH4pheopfYPp5WoccLLlEPspsebsvX/RO2xk9xqJYAEDdEeP6z6nWcFxPWxMpPxs46DCXU/+y
JZB+TgWH/OdJIKRHVaffBv6FHQ99YBoIE4QIST3FHHtDj8C3WR+dxWNjvWuQ133gau54rQE0LChG
kBuxSKMn3WrukDdfOqdc1Fk54lxqOb+IzrYsGurJeI9jwFkr29Tu7j2McjZVanoK5P7nNPoZzvct
aZDoGZiF+EwvBqv0Ql4H10GT9+8dUR7pWGRpGQf3Gsh4ebO8XUsbzr2oA7baJLCoeFxSeRsJT0ls
iWu4+5VgcX4NREsW23IL2lt/dYRMud5x+7WhrEiXpPe6PYvU6Dzinw1QfRimOZEZmZ6aP7cvS+Xx
a4RTJtpjUX8Nj7IPdJlOUK2uYPC8XJ60DtSjCs0pyPhV/FzpbsGpWhBVnj8qUoOtLoecO/aE+IMP
b5xCSNrgLZRdipAx6XFv/ulwokwtADGQ2hq58lq+xIl8myq/gBVsnXxsAQ83EKalywoH4opFouaS
LV0iF/gsVycHDDE0yLWl8X+v8L8/y8mH/FRdbEYx2tfrLDNzFB/91HExjNkkHwoyDsIPcxUx0C42
oW/gAT7vHHlbkACKw9S7iM/KQkLcds3OWKL/miQ60fg4lgBjUEWYf/LImcm8svSvg+oLJ7NiNsPa
O+Qcny77rOIjvzoGE3ra6DlGJesKiC9V+4e4nReIVF+hcHeetgdClKeLFOoOi5nlfAvh4SOftBZi
GFoglWf0V/fPTK81rBOCki/35HDS1UiYZnvOwXnHnmcA6HRjLcobBs0TFy2VBP4zcrosANH9xXBQ
yQhjN8wN4zi0B1x7H1sWKWTGrpuNRN1JWNFezdp5QIesTZT6NdbTsZqBs/bhOqPOzebQs8A73tOA
YnDOunv4HZVx+Xa/ZX4yZZyUVZnPkeizvMn3Itnk9hgY2GCuXm9aoGCGW/DdnaZ4dM+Mg2KmBibT
LgMd2WmdP134uHNum/2+rv9mREJk+T6B6Vihv/W45gqGCD61P+I6iqQJxJLu1ToZWnDNYgrjq/Ds
4KVyPJS1dn5slqclK+sXkzyKKvuVXYG3PTcXRmYa95KZHbOfKZ00A3B2jKO/v4cpRc6E5jVbHvr+
rldudsmY4QxPv0WJ95pd7Axh5eZOfMfKgHCRc56BCRatG20bU2jFt1LVrAx5B9BLy/isP3dDNSGg
T1C9WcBbPadxc8uD1BnADOnLDruQMQV64mGOvRxoPurBhCI35rBmnEmtrNtNbQwF8zlibh/crsR5
0+Ve3DFsWCKRYSkS6ICwmBIZWu+28xxuRErICHj1MckBpx0S3oQcIpkffaeYmwIfz9ISdILX3WiR
Vz4dK5pUKZhuxz0pkrRnY2B2pS+rvDhtR0gRCg4SLio4XaWGwDGb5qqGQ5gEVakQmlkpVV+qEIAL
6DLwGSffWLjJz+v6AdpNtHCavt7BBXcvzRPkP9UzYTAWyfPPvIlLUKJyaGxRD98kNaGIzv9Q5NDK
E+zk4jq3zyVPFv6EbEAnjnd9JrJQkO42ugbN6HQCGB1A5VYS40r1dUAoA9ue0dlPa/pP87KfQlhz
mDndEZrEy0ESJR84XoZiTSv/69qAbpsSbIk5v/vP6N4gEJcEN+YyZCYsUqbre1p+B8o8eWI0nPDY
wXBEjV9cb2KA5luRXV/YJDo+qRoNW576t+lNo+CYJjItv6VnQuKYC9HDRGBOWGjt0P+8QoLO9R90
RElmCFub7IUFOrkZ4VU5dj0fYXh1wSbeGYmFyU9Y1pIZUNgHKm6K6liTQQoUzRYoxG1J8CXElR2z
K/fELlNlDAgIzJwEY2iMdToZ00WDxXzjdXMI7M8JgtAxRPAgXPPSYn0S3snhIZWUptMO0ZosilH1
vCmjITKhcZoeI2cdJl83ZyDQUcljzY0ymOJhMKtvLH82Bmui2dMstJAeZJH6qtkhYIqPw8rjzBeZ
vcIXvDVMo/z8rgOKt4f2OG6/edQtT+tQIMubSd4jH6I260tOipunMnPm74xCt4+5yRCAbxCL3WEU
IrPHLk1vRec3eJfthI7PhVpZgRenjS1yMO7o8TPKiajY75O9sg/iQhyzFl+J2NzEFvJITqlbcijz
qgmKtyZXYueR3qxCTzmB7Vv4/MS14ppconXcgIK1TGP4r74s/3XjKNqSWdMrFbZhF1PNctJo1+xv
/3rYkWEwQEkc+39hSCKPdLGnv99ZZ/itp6K/rVZdUpGleRCZUdJF5QTlLrqol+OymBqRaX8SqVAO
jHOKYt5OXyOQD3uqLT07MKnB5txnHNGLfjryj5uxjLkh3JjaZWiphvtzxVwwHEsjRUeZZCPmZSsq
ZoEpaPfWEv4fPHb8HJfl3Z9YM6l5xKt84KMwhnfy7qtdFM0A7/xcUfLndVSp63T4IYsA8K77yBHI
ZkazcrkyL2PU9uAekymZi1PaIhGFpuq5G8A890XGLaRYpTh4aWF5D44fD5NYpik3DB9bPNOkKcrs
14mwr8hTdtSmTo+VWdPZLkNnA6h8Y2p4nDwh3H+i7OoBksbE2FNQdDmY5MoRdPXDhRz92mxL5Kqz
wHs2Fk85X9jm2E26ZYLtgnQm6Om9ssv02uIU0GbGtYjYERgNMp4KdJu1tuBBeb9OVMLUR5BtiZWF
MU+FOdDA5m7VIMYU3oioZxlQhPasNYKp7jh+YgHxgjxd4og0TFtby2a1CiHbs5qnpDJ0jekvDwBh
tbqpfb4jLqcHgON+dtMpp/U3NWz4xnLiDXGuWNnGh2fF/BBDzfqALfpLXC8LEzEwNqpEoJyCLiQY
crGJNJk9RFQx5FEN2Sp4eeLTfVhYoIk7vNACi70QKOOGp+mPJtpdd2XeZQYG3rt/p1dI3KImk3GB
pEw5BAq0iYHvSUTPKAGzZMv8cHd6tIVo5Xm6EPB146VhfcJJtUgSvhx2yxsfwa408xJWz7z6CtCX
jrx0HHG0AxCf9jspFZSL4ivP+Okn1aas+RErf0yXNh33CFcj6Zm+YiSIf7zZEZmD7VAISPW4r6Dj
F8wK13gyHkQXimJnmllXgUMW/H93WbF3pQb1fCOLS8atBo68bt6lQvoNQ9SsJb0LtGw1D7NUNxs4
gkVO7SlanuFTSV3v/c9HObmnWeWs2WolXA+QeWm8u7z0sBg5FyMEMKJsMsVi7pHH0cZ2rN5z0wIU
EBn22Gq9KiBlS2nAD2O82WBvWMKGDtzsYpCCA5LHYiz7MzaMttjeKS+/3YFSshwQSE+yJKD/cjaD
S48RwmRMAVuqdooItow0h5oVdXlRu7p8HaIjHhM6Bsde0IV1xnJBFc+ZNsEZZeLle5YP1K0em1WM
9grGX7/WUmNqv72j9ylKEpdENpxkq3XVjI+JQsrcD85L+OinQBMcH0wS4zvcMpJkIIft/Y7pSgXr
nUBQ5gtFbUWvzkPHWGiehrN+Dh4qTPy9ZICDE133ookGwvdAiB/QCPd8GhAiNhMFR7UP9yCVWcAB
iLeE7oPxCieKekNUrtB9VKfy1eUxyIcbXbhQNxmPRaUghJ1AkiXVUiaEg7+Ss6U59e5qhFvxxb6X
TCWKOsaauzNO9YZRvXXy1I0s69ZMWrxrrUn7EhcWgdAf8kvZd+h+qUwgydQ9CeLcNz3APp/w1NQ4
pR8wsyREjXi6GPRlw1uuzQ8OlFjh+hTOfJD6XB6Eg8R348AQgcA/Ij43plll29zlywRFOZjJZFrT
Ok2LBBnH4nBNallGjOO13k/bLr6j8vpEpkAnYzh0Irs7gZpd+RfbI+/wMjEufYd/DNTGOqCPtTRm
ahMRg3PalfY7yu+I1dGqjv7dExUiogBDNeghHzIeWw8/QSQFQ16BsNEIr+HoW45E3oS/q0YEvaW2
GmS4i+q/okiS1F1R4fxO4F/l9Qbzo5da0RqTazHOmFr+Dj+D2px7754Fwodoxi22PP+3r3Ic8VCI
isYqi+PD0tAj8Ql16KuzoET4OV+XETV98Il7k9WgxEHyBKC4oZC67tJw4gBGcn0gQij269HKPEh2
ZzcUMi7DCNhYLddhNwinqQewaHBz6lZpQbQ0HQfNLB8F5WVUwelzFMlu1z/hnvpwg+XYO09GRPNg
g0Yo7+NTG6AUHMvtXMg5iN+XU/7cscovaRxKoHRTmlPwspSMPll5DFKW2CcEfZOpSgntSzAEk3vE
02TwWY9n/NqRdnlRc9w57yyDtAng+uZHFzECr7do7Nc+vabPvctXcFKkeg/z6D1sBtms6JBsGyGV
3B4Qes/xIiW+09EihswZYcHfbxsnFhPbsc08XEqGMTYBAjFSApeZMKGNa3G3aJNIwDvp+VDI67Ks
lga6E1MMPpasT6I+s72tkV7PfPgwXzlvm5jOPoT05BAdr7QnOAw8uTiL2U7Ustj94ZLd2ZgV7N07
lBcVTxIEJfjOpK/kNv5o8NfpxgvUVS1eDHTBcG+h/ecYDypiFrwBhYdVq+uphy0kKfGcJSdNRxZL
VCiBsBKCr9KGkTw0462IZfD0y8m58vJ5d3+vzmboJ5itCJKMsZ0rW8CoksbwdQ7JsVbFQyOEdo9O
kyutYBgIhPIxWL78+ccZOGRK5/a/Tvsox9rUuf+fc0NqPvVYpJSNryuBKPg9LVIdvvGVXyp7sWua
eUdcbxxvYZQ+nmVhVQTtvVgq+1XuoT+SHPT4h2XUKWatAQ5J9ClpT6kPbZfMd5mjLBKusQrIReZg
Xux8OA/bjFfNYhFiy7X9W5b1YwWuR8nxc4bZl0spk+44IWufCC0u8csk2ffBIez1un2alzeeJcab
YOLK/l+9ExkhKOyCBQ7qVifGWTS+JYr5WtLaxpg5OXgyfi5L5TjXOkHyKpUY/zRRSeu61MuKDcx2
kFXGMpAeLn+8SoQzOkDjQ5P+g5bxDXwmRfYLTO4B5Jjsng8Tif7wVxxNj+5i97UF2ZKDtV3mB3UV
AoiGVpR/EABIty5TRPiWpSsCDOE79c7ev/XEOIgZCcNGbqvljkkFH8kRjAbXU7KunXwReiXojlXG
CjD0a78q8heEXb82bZRU4Pc7swsl10R7lhTEEO7VZ0hikPvqPUawHsoL/xGu5HJYrqDft6tnPBre
3Y8UHRFJfYo3WrQ8PcsHiubntVfcuph/4gJugp7dGvZHxKc77UvUcRbi9tUOVDSC3/ZVrktl18dJ
PoVi00IDcf0dn/ZiXZMxV2Hb4zUjUnAkvi0ZGcJHvH8HURQp9gC3fsdegY/B+rlJkRm+reO0mg6F
AErhr7JnFGIX82KNzV1iz5sloiragFSlkCx+Jjev34AWggUl7MhPwWlXhN/3xKrbheLX0bJLhbNK
HoXSi50V381GCItt2VsvCUcT6DmztEVMWdP1dIlcjVFV8kBtyY1moh5XTg1+b1rRepiikfwKPXBO
LEr0pjP7lPXLuW4G+CtEhA7N27gaXfRMXPruO9+Y1hlSG91r6mLAWe/FxXczP3UhOfte7Iq0pp0t
4YTdrWK6SLrqRbUsbsYGK8jhNj3+j52oeQwdIsx2tioGTotA/mOF4ovZn4AowHQzjjksVadQmmEP
Eir6kD9JgkS6m7Wnlq7pQGdiKfUpyE/MP/JL34rJYesvsSdVmMKZBJdAM0qeGvHVincIdptTVD8z
MaLDbEoaVYJG0Fq9cBZH8xzQB61ZgaFPBB4eDuxliPSx4F21T4AEp/Qogio1bjRfDORSWJcl8epL
XGdVpDv4wnQkU0snFOXHbX4o8zUDqz3i8KYL3N/znaiUrbv8g4Ob4Z+C1I8L8DnfECqLYkrBCc+F
JybxY50risfgFP058UKsFXEJIjNtfVn6e2mqEM3H0tIMMyg+WyML6HWgOhKVq6Gc9OOQ6vm0S2Tk
HKuLOcDwcyqdNF3WlcY+TZz98IZpfX92REtdZAI5M0L6AcKDJWcDoAftJc+lO+NX6HgY5PheUITg
hGgyjHdG2GsK86wDfh2oZnev16jDh4NMU6jXJkQ+TZ+qLPK8xyVG9K7eKWmd9dphpsTouQkix9UD
hCrj0LB/0LEZjoZZrGMTM0SGyK7hHuXHNtwFsuKgBsAWLzGZVx8xJX/g8rXN9y6WSPZj4x7882TM
2DRKKoCeL8VlpfocVpYM9A6qbc7rSV8UYj3g5Zk57BSCNGaSVKGLIkmjaxfY0we1E3gMI6X+ZwGo
itlxOBVlQ10luFPeMNcGRWvOx+wrgY5kix3sVX5Grcyk1kWhSUCSwHTO1ASRufHC311GYMGE3LuI
xGNhW78hquf8Z0yUrlwkQuAr2/jvxnrVjMj4BOTbveTISQLG2XyrVhnsj1k0knWJacVOsJbMksB0
O1R7TO7T3YznTKlOfqnU3+wQGDBtkbc0Tsc0irjPqQTL6+nae6Z6z+Fjz1lkHoI7CmjE6yTV7s3X
Sx9/gZkLKStjTT21L9n0xR4Ox8yG+z5aKMg/489bKk+n3UvjNHMmi0Y/MiuBu80nwB1D8jDrT8h2
2vQFF8MVunmXZqhpn6zhLX/bVmF7LGlWmCCwf9HzfJfTlJRIj0g6yxjf15r64oEkaAtQL1aUFWgv
afO0BCWYKsKABXgBUM7QNb5FVSoxZCPmq3/FcdhJps589K41fBgqeOWR+VDfbNtsRSHttcfMrnwF
FbOrl+6PA3lxgGlEPKZTe+NX6KVqmJHL7hcGcFNnWPvRJcllsLcqiPvBMMRhCCzIvRH5qXX70RvC
zPNFKaX3vAGx9EkCQsFLquX7x170ushKdXyVEKmx6sYBh7l+tlj47V/dbSRg/Vo4AtVnL4Xtgv81
ja16qDByOFgll9pd+gJYeJhxS2GsGQJTUFjgj75xJy2Z7mGI5uoGzu7fXxhApeZU65Ku2L2PeJ3V
8PtEj4XeL2JEKEgyVDCQVsbS2LGtMyYhXLb18/7QVf9sVHuiWS4aI+iWJfgALdRFsMAYF2hSrK/b
GO3djXc+U6esRMFlvmUSb8B0pA3YZmTa7fZjexxXKR3p78ADSV9f9u3BGjjwOahNFRLuRe+5Nby3
57nQmihTkh8Ok5v4Dgse/AmMnKp+QRjHbi5N/WEWwXEL2U4um5a4e6I4Nmf18BRpGoQwmNvT7GTt
rpszl4s2ZMg879glY69lKiarB0VFEwpo4bp/eTZwe6Wxi57XMHqXLxd5eCWxK/Wkf3fapBJXdDYI
l1Q7rJoNT28tp8tt1k4rZr77QW3ZsnvZEVJ8qLMsNyirvmdzmoKCxyi/+nddd/QqcfGOkux/Xb2B
7bidwscbRJa+MM5W8OPSxFV5gr+4GbhaUJi6740lMJD51DliWdRLSs2cgN3o6hG/T1AleVHuWtNn
75QXUNEAItZOVz8cXEAkj7flGawQBeJofSuuf70sk7NmPIV5KGxoWIFpSjNsK4LZgFrHZ3xHnw82
KkJXJZeDHp4dtlD9B7eLhQx8rIrZ7kw1yG11L++scm1nksRzNv7i7iisnVHtYleXymMTM2I5Z+Mn
GpTf1NLO2SSFY/eWxKCOKp3w4QkwId7kyyoNfP7QrDmW00I2mvid+TOYDy+t0Maw7mF2IG5g/s9c
EMXlqcy8DTNH3eX7SJ/woc2NoU9ZeHjJ042MMAo9WzeOMME1K1nohRGOD3svgOq73SlkJSMd5yqt
5MdEBS+LQ6anx1m83PLTOuDy0IYxd8wBLsS5n2ZXcFrHew6trEANx6jrCrUYh0nT96+Wt3fqbtV8
OCeHF45Iupiv3/uXqgip8zE/oJY6xSFYgQcvhUrlgLg+qFs4KDZ0vWNc3C2GiVEPKOe3a8xL4nZS
xqiCetUEYvzM2WutsvAYLUeOS870AH/SyiYPPEzgymwLBlqWCCgOP+nnd3d3TZ6Qg1Jw5X7XIf1Z
gz1fSFFtZN3lYGqo26L7vQ6DYJJcI2L3E05AqFtkNOSMlSAG5DV/qEzrf0uhqZumDiv+GtujDGMO
w2yNqDCT/TLAwGhR18kCCQu8xIa380bkDbuU/ifbxJUP2TOiIUL+4KH8P7kpeUXDxP5KgFwHqBjs
KZrCFx6O5d52GdlbW+aKxWhQQBPw2q0frhiVR2gYJKu2olw1VExFK1Wfd/gg1eYB7g5qVrNjX2Cl
/20rzQMLaMiOufnbqH36+TFtP56B0eAgHDTC6gv03kUZ1huyUEchBtc9EyTjDPmOCL2zPZ53oNYP
WE6Mv28E7/ipXbjdnwLWNjn8gHWwXoTFyPky973z9G1eJ39PCANcnrcA6gKqwso2wu/BmvwDI1pC
jdkqNWOYMCRpAyiKBt8iCDLb6b5f9nFt9KiYbU7Ns/jHCrlSY4LLy9MlQMoj/sv5p2vdkDv56lzQ
WMQYNTqhm68A9yBHV8zW29W1SXXuKwBzwN3A+Qu9A0hbVbHyPucORdROFlFW7PzWAm89vgTl2Ylz
DJPcTp/JRGWIzv8/mDQ+a13LDgyyIiWWJc1V4r6i3smZrQ1/xKFUbpgONHx4/MpUupnIgBejbYZJ
N2DeRVQJLhHO5majHqN4QO+GmlYv0ZnSco3R0ZeZfAfhuVbM/YuUc6bnYrG4BtJONiUO5y9YWZxl
5XsxG6vKuW2uEFrzaYi+ne4hW+DupxTP3m/OcPZa7j2aRsPY+yuxz5l7PNgJ6LK6MbfWtoRIyoy7
DV7R2h53AjJCVLd30k4NTmQMF3DiHtXm+RfWqVmGZwcgBCK8mURUpn72berP+IHSmKXZ82xNVlb+
7pFtcBYcgFrozDDbqAMxEjVW/+PpOuZkfAg+kQII4owSuxXzhqSmXgvIjiZfBKceRA40JVKMU/Wm
qZOOR3OMC8aKjAsNR95Zv9bztf+loTQXbr8ZjJlXB92ps6FbTYGnOxsps9jb3WTersNjh6yCFZEo
uLHtiK70nChTtwhfWDtUjvJXMlfZ+CBPTve7FhZMH98gCqDOQgxgZak8INXwi3ctYM/ds/UcLBYZ
/Ma2KiTAchdzqoffjSOin/IDwvhOJB99inrg5ITssht/OHaTW+pfmZVD0rkHZymUSB9ljLg49mqy
X9rkeDQlSlhMOadrCMkeuLHb/5gxlAIz+OuOoNnzJ1HaKG1Hjhz2FJ4zY1CkVYGOJ2aV4M2E4K58
bUfbPEmiUiijn+4q4IFprjRdYqU9g/5wq4kRjxEeIpE0iMUIafAdalrOAwacYkKs+3eeev6JysJU
v3CmIbYsn9vryTwhtYlDvLwkRKsmqlsMKfKg0KlWbrBfKKDoSvF3z9T2XaVIEVbx7PpeRrktf3ud
rvY+ImSMpIh1aby1pZUlNVvtx+JflknvtqiZHtdY3qxl3zuUvQN8F7rkp9N5u2KoFLoXS152nH6F
5/ZwqTPNsFBBpG4Cis24OKSVhjaCH19E+olLBRR2LjZ8vNKJZ6ptLei8YMt6QS8PRhe294ZOtO5T
iAdt2ERPv/iaH7Z0Z0Pv9y/PNASbIK06bdBcYT52/TGXvjzWyW3Rvx5TtrTTj0v6SYrvW0mAaacm
ALvO/o5ujnwCjtQjMPQ3aI/Lx56hgcaoFsa48vEGtwThbCpV+PRe7NurubmAT/+qLyCatrwRCNSg
87ILr921rpPiVo7W96ahKTRCE7drKqQsZiQQ599uK00ZQNxM+eT1DSDM4y5onZram1rcoVnqsN5B
G9yqRWug9DIwxHGa4E5tsNoH30jse9X23c5X45R5mZAdZEr100dFuK3rC7FyndfIT6y5IwuuF1hv
JZgrOu0tUJGdXQphg76cUAd52k8fryPLsmxQcqm1MrNGeewFhjXwHFgi44Aq3YDZmbRxiH+s6apd
Jb51fUzMP5gxcwkspBWxjJeU4xk8qDEieQ1jR6BZWZzkWtyk4art+AF5fUMl9vcvRrd+kP1n9AML
SHsPS6s8d8bgI5u0bz9vuEWAhN6jssOjEs2H+y9qs/tX8fZonWw5s4mAcSYgzP8ZEMbEuHS4r+UW
RxtdRW3wg9p2vQ32MHP7WVBJUI5gHc3O62Mu9CQOVINtuFcTEKXABnldwqgnKHWbzVonODEmWia9
0nz+gpKBtdpO24J3twvKCvPtXH8hJIrhmykWYOQesdanAsqYvheWjjbf6CZTGRffW3oWVkHEmPjD
UrJu9cm5VksyjhvLgTmbNqXWf1HqKZBZkVLboo0GGjgutBUrbccXx+u6GRhTKZJ6nqX+dfy+x+vv
nJywYCtQEn8V1jT9X0jafeox18y3jTX2ss1wzYmJ+tEF+oGxCLbjEa54hWFcf7XRrdayVFy8VFdu
L3xz03qsnNVp72j/5WuJLxS0aCFIloIgLidTNNh/DIhqIJy3AthilRtNBhy4OcN0FQNTIw/EJlCD
bhdw8MGs+1k4foiqDweyLj4gctnnDKDtRZlNw5Q5HWRVb9F8MKACfgTg0t7eCg1k255crUof1aT3
gMIcydkYqM3/Olu9eMlDFTod12tet0BH1PjjMenMainQqK0ALmbFsl6FaQSkBmXTI9PmOg6Cjk2Y
PloNUMh3o88p73rs3cxXWOLXu10gWI6138Coy/+ZKIH9rBOelW0SfprK2ZZxe+qIycmcZn49WRDI
vuv+zOHRsob5Xcsn2ok3rTtXR65raZIro/eJecYwLLq81Abg0Zrc2NXBI0qFvaSI7lMuEamC/CAS
6l3mnlBEJidB2GGbMN+uHYy796aLNNyH4t+K/jhWsggKYW0h7INjhxVyyVn8HxX9qEygYgFtR3Ew
mIOq+dRnZ6anpWVhDsaZ3CXJfYyevZgeA+07Xr7gECG6xFnGA38kDmzeUF7BjLU+3juBW2WARLsA
D/usobAQlz+ChWY4qe9r00LhLV6jp1Ecv2d2pKNXDXvQvqtIe9hL1JScZMytueVqxtBs/xHihHCq
UefkhF5THGqnvzgiQgjx/b0It5LVJJfTSIoPMkUpeMaw61u4SevFnAhvO2g9qy+nASQZTpZSKr22
dwbcf40x1fkfJnhPBi/HCZh2MLi6ydeb/CoyQ4sLfioy8NgJNwRuJAlBtLHxd8bw24ijgQCFmvk/
KEW7F/1oQUkAt6cSoN+AXDY7lkDXAdQzSa4V0iN/doro/DjK1sZt3bu3whEZXYdTDDHCk6UkDcpa
QedDuQ0WKHsf6XvO8uLHMgQxfmkQbEULA3+Y/GyLcH8q09QUODE5DMDrkKfJoZF48NsfG4ZbtN2D
1KZJh1pt6FzJuBEVrhMuNuSvO0DDvPSb4yDwoffu9qgnQDhYNz6lRcGeQ22jozAWR+pMB46Gc4fi
lBBd5O1f7lDQHTonJm7bnGvNl5wl/PocFNUB3r+KDKy5py1FZxcErOHS/+66AFlYZTUqqp4bhYRK
xLFqNZwulk6GZrq/QznXK63e/PyIpiWge0HXkakkKpHQRFMlh4Me9/0CYV1t5nRm+cKl7DN8j/8F
ACE5q2LCratF+nDk/CGjKJ8lVcWjl6pzGLKVzUmrk3LI7CpjHbWo2HzhzFCXUfvklOKvTU0BE+JV
I1cpQ7sdShhaRiBpj2idRdpn4J2V/ZsNc9rH89UfDDgBvoV3SY9xljT7bIfX+goY1Sy7z23K6Y+B
cbk1kh/FDTaL583Wto8c/tCVeoNGuP/M+cyfNCUsosLvrIoMLS9okqT+L46yATjBc2+tsNosNthc
KFMrqUWSaAIANakRj7gsNhFibayaAG+hbf502XLEmy6J4LeLdeQKvmfSqejUVVNnow4toZJQcfBx
PSYqiYUPZsbO97AlDQCB1Fdegnn6mN3oRutwjU1Z3maL/+KYNDber9ehkbDLpSgxU3nLhJz9sCCN
Oe80rvQGEVUqIgspxwahwfMJIt/V+oDS/G962TxNsZ7x5ACswMX1ptBAgbaXPA2MmDZBz1pTkGuK
breHHZyte3HWXlzs1Mwkc0+y2uPeMYfxuNSqPMie2kZA2MdkSuDskC4X68Ud0HNPPcW++fQKTILB
DKySVXwtEN/oDi5wgKeo8jvMDrQXwcD/1OBCSFfhcxFplMIcsjNsRlVU4d+ySokoSUStdZcs36BS
3aMC1k30lXze9fPxGQWbD6NlPfMVCoHTR+UKsmv75hv1ldICFVS/Sx8+Gfy6i8xCTBBXPczn6Mjd
me2NAir/WRiEfjcfGXTyi+XYYDsM1AnH+tVuDumERlaJGMB7tu+9OWacLZZYs9g82kVNHJbVJxxU
k/019nX+pECcFU5GZzUA0blz60ci6ZDYUb3WfPxYPckkpYEruPoI+J0Pqus/GwG/glj+qj0jp7F0
jiHvxdkmTLUBRQt0onFojsOFkSAsUu8a3j0UDQXmIgRnzQdB8yUVgvpKd23IOX6Mwp/D7zpjEkP2
j+ZRTvl4WrRowg/UKa6vgZ6GB+LxJV2+Dr3fk1maoMWGPoUOrAz3A4Xx/itajuMyyJlSFysFLBHS
N8K8fE1rxkc1zQ73ou/s/isoSK/MAmqGZajJQ7aHjyWz8EVEbTLWjeUKlNnknOAfYmJ7bAXTbuO4
DrugiB9H3+LXZpsnkwKO0n+f+V/M723fgKIW3tWHiqrOB01/NcrWEFwPHqiaZRQZrlI4sqoHyw8w
BLk5YwQmyZTMuch2neVW1Q32V+TGu4c6IU7LEoh4b+PPzxYyWCvceHfqqxB02liMMUkwhXiNMY4R
4ijJ9vfRf6+vMGcID/J01PExGZNIOoLLsCp/OBRyJUH9ToFfmyE5CKXe33mAiqLilsyR8XkjGpz/
zJsbvljUlprGnNqUh+pLsNCGcPqSWl5ldoAbamQtJvwgZVFOJSzS9i/dqbskR/qjTX5fCM7T0cV6
uNu91uIazOVewKoDF/yYfOnS2Tb/Ese+EbAgRtRUPJlSY5EWe/93uiLYB+vwYP9w777OOjI/+wT9
gySWq8RszyYQAoFlLxlOeL1sbblGOVc5sf+PiNh+M1mMuhpB0bT1/5fi/syUVG9tCXme/YtdE/34
VNL30eGcyswjulEEhZrpg5jCvLCKgtTAbYXH/yey56bUOeSvb3Ah7ITRNxWqjN5e3A1h6NH26Kpz
I/fOIG7ga8R3bElhmJ/kNz65mUq9HeJy/cRGJJsbql9HEbrDV4EIDkZjrtE4p0rjwPRfrkC7sMv6
a82CvAN75JN+Cco/Ww5e28fUZ9cJMP5xlnOfsB00902aScLQ7qe1b4aRDiWNSOgINRIkZts0jjd2
JFqhYBRKlTumbW8s7hOdsZe7R4sjxB6Yykm7+s9daW4inadqRQ+GvgAIo5tGKLSGfrfKXcKo2NNV
R9btDrN/s2BpcapHFKg1ce3Xkuau9WOQIAIWQ0cJObj2GFCuKQZUEOv0Jd0vhofWaPKNL/ie7TJx
2+q188jb9V+NhmUkiniDZpWwTUV5G8gjyCK3D//Av4ImOVWNFVI3fiV0RdDyyWf40ANxYxkn9Qvh
XJf940ApdKJWwO8NvvT2fv6cTqMnmN69yTmUckUZkmTu7hKUemopL2KiBRUWvWg4hKXgH++8xWvw
08NrgtCWu4bsOx6jgmpxM7Nv/B1WcdGVLc1R8UY+cmDgr09hgRtGscDc1loehmg8UO4Z6trwvinn
llKZr72Qh0KTlHYdlSOD2r66b+A4H8VQPzUtzBErvOePwhrfgvuwVIeluDHPsl94BPLCC81GmHIH
H7VtkXuDOFx3GgqxOipKZ62FyDIrMeE2pEMb16abbbUOKmJxfCc+HDywdMvdHQ/edgv+omn6nhKT
50LXhC7mAGx8Ey0lCvRy1KwmWTyIRoaeUIJ5zmrJCwFwJe5nA2AKubXbjJdPOOgH6ytXEofL0hE4
wxyIUrJojOCSgXtcTydw/iK67hlUyVqkMAKJiT32eg2LRWgExi6uwbs3RLD7Y4jXB4ELmnFXxdhF
iK3DnxmvwiIwrzSFPal5wJx1rB/m6FrcMERXn5QTjH92o2Ew00BnAMVkKVCATWwVRzKjx+dN1Uvq
2qUKg4vILUCJ6yjryisg/AR4mpTzq4xdsKhzHqUg95zZs3JPt+i3m4dr/ZLOGy2V7vFHTRcnVjQC
XuVGG8e6KCTgSUB+rlLm3zdeZv8KJCfKN9Vx7KdisEkINVrDajyWFbqD9zC+muhqM2xMDW58VMfe
vzISt/1Pg4KkJG1LMBWQGho6zElyEHjOZC+/wKFXfsrYrbApzDjNDun7JmMi+9veemCU5YWrNB0e
wEta9eZreLUpPrKTYtxDOIjcUpEm01Rnz8HlDljldgUFx5ze7V9rX66EeO6F7y1mZkZTDdvUmOPj
3eRxm+ENTzleu+Nv+9APdu5CC0P0WL2cYEr7JWl2xeEaseDi7CKiEbx7tiCDNfFjEIatoMARR04w
VrYXWrZjtYPL5VtWkgiW6NFKWBjV+8YIpgPZMnZDsusSTJZkhBIP9kq9d0CtlQasNv3LAHBiQZXd
NyDsA97QaPy8Pqr7NLO75GMIUKSihASQTNanskESBmePUbp0Pr3W+thO2Q+qg7wrm8G/GGUBrC3L
pF6yZb80YA9w8+mOmtb63hcycG47LW/NRp7qYzBMykpUkZtYJig5Jtc/OO4sCe9NOIFuiG4bmwSu
0Kbv2GYDiivEZuH41UvksEISSKhPFbewXz9mGgwJ51t5jVrH1T4f2cfw1/l7vfA66DG/T61HbCEF
up5ryQdhBAJ6PzRv2I2Jmkhl6PCCkdlCbRku8BUC73vmFXRiBMNCn18lgIgCLOcNoqg+tJu+LAh4
dEkFmtFhymauzTROP86DjIU2SEfoOPW2dKy67/HfnqtPy5ZnHaQmXonhfAyjYRdVqDx5m0TIvajs
Cc0lZ0PqN+ZEqeRzQ0a0BkMqKuqqFv9Pj0OgwEY2V9nt+xZhZhEhGfSAFbIuPbTyhiHMiucv73SE
q/oXAbM7U3ez7F2DXeNplX+l6fvSOwYeReVf/bGpm9T7QfxkWImJBowDNPsKNd6XWoprfUId32zr
xt/TWBkBPXUxc4W5d4hDzSP0DbCv5LWUGytVFWY8Uj8r8e8mb/PaW3nlCOAWmqs466aAfLei1K/0
cNQgn+D38bg9QpwHuIyvX/NSPi/zl1c5AJfE5epPEC2Bidg6kj8ORwNHAIvk7nUp8E7p+6XbVYoU
XU+tfGltPgp5LFBnJbMcEBRbbHt6H/NgtPvGhqj37ak1ScoJcum98LwAVwo7oK2TZ7qSaZ2vbeoZ
XgpKLFHEBGf0xxqpNf8q3hNI9tQYCj6EkJc/nZ5wumG8v8XDowN8vNalCwT+rNEY9mDvEqvSDixs
aVmK4Mn0j7DGqljFWK3mrzDuHHGc11X6U0hDGvykfAd3BYKNTWKoDoxMK/IbCHMvVOoaWTbt0/Q3
CNbOwwcteAfho/sE5DSGs5MN3vQ1drnPwBAhZx7LGCRUfdOTskeGa0mNBzlXZaZOFc9xRuIwlVP1
gavBBZW+9D7sFJH1D9ezSvg//kvjIm/FwXL+rsdXo7+xnvh/fEpFyviqlR5n8AA1PvNsuyp3Ak2k
uUctdNQgEPiqzXvAamlb9qn1dq/xI9MeKBgOeFkVT7gyTSTC2JdONCSl81rA37dcULPRJyAIbl3/
1PlG2uT2FFMIWZAClGiG77crIT9lrHTZszfaEJX2m+vkCREGdSrhp4JX/5yE+HKpeA+YyJR/PG5e
5MD+PBGWfHrBkZoE+JTrJKicEZ1oqwhnyyw7AulhzQfaCwjgb6JWYlgkwRJim0X+ShliiHt66tYW
N/f7+i/VMaXkMMNlcjoccHqIU84CafGgZcD+OL5Y5BIIyaTvcbXBE1tsSZc3fBkxS2iQ/i2fCfzW
ZroJGYvzDbP6fQtSpGbeoH5uNh5TBsxgU2Ws65QvHmhW1L0+plGULIQJy8k74fn5j1nm+UrZSOwZ
78a7CNYsyx+B++JNqlrIOgLXpetdAUW4Vz2jM3VIjgwwCy/R7L/FUuKnOi0kWzhnf3uM4cyv+MU8
S7Vz1xXF2YRx60HXVVUS1KYXFV7mZ6NCDXKgFRMO6SKsmM67ToQeJXaJ+Mn8inCO5ETy0+h4lpvK
0rX9BMWq1GtPi8ez+TrcgiA5fONKvu7Ye9DJRdx0ZWEyl7ay5t4s9fsjXNbhKMXYFZm7m36qLRMk
rd90sIUJKj6fiXRpKUkWJA58UO7lI88rsZF1zwTmSGtaXQv88Bu+YQgpYlcGUM4cEdI/YFZhbdUa
28/rZ/PueiCoNigdKz80bokKCVaZRmL4hxXB2Ey739tL2KVT+g0ljgI0G5b0r5jasg1eKf6ApzOo
AkpOxKQ9PQzGbXkCYODV3A7i6fVxrAZZkiMVplAktLQ8bBDIDF2Gmgm8xFV3aX20H9O6bY3aXKph
glrWsqSbCHspKvXUsqqmnaC+t3T64bYZ8dlx7DG+qa9YsPPwwHBpfWO4QaT3+OEHI4f2BRthUxg6
3g+y71KCCdc3skKpDc0Z9HZDRKifNNWQebC9jseltOW9rIejb/hosuTo7IUiBEDgPpxCv7+SjEUV
XCjGu8b1SUYwO3dEU54SSxk40Kn6SsGh0anq2Elfu/dd09GBjUmM64U3oYwyonFZJcu2Jf8tc2Gl
mpFD//NNRqBtjGSCjQVilouHK0TLMTNpJcgRy2i7FUUZXPmx3fi1YCY3c4OOyaRstn1ZU3HMIzHZ
3jg/tExINQQ5OcpvGIaEKnyNisLafIKFCUABjQ+pLav9O5VOKktkvdgMu5buOraZyfW4+BR+6e5t
mwaZEtI0mKhKmtyGPCiR+TLdEmHnRLtbHQLRm8IUso+OGQ/b4cKEKjjXwwQzbcC7Sb27n9/Pp3O3
uc4stfziB7CpBt7EsEtcdFFHoXiC1peeCEUuoJvr5cT6rRk+2vizurmumTFhyKMvVdx2oIBYh7Jb
paezPPktmEp52CJhMaqixWBEHU2I56SyuWfRkFEDeLVNVOfw+n1yXEsXKkS7d4saD4Q2TsVSKA81
X5YkBvkE8s1+FUwIWFdlDOFi8iYA7yNpoPGzW172i0pQZiJHQ9okGKL1qz+q5B64I+CiuTjbaVIX
RsTwFUKB4ADlzkLVCCa+UCoMkMBnWbn46IJMmYcFrLoLqX/5aluwB9ni0WRdNdX0rYmQe1VLLeMi
qXJNXDlNPnZiuHl6p//2SFpjzA004GPZYU+FjBsUtlc+ONSD/ASRHrbvITen3t8zjWsy7YUv9PJb
ENa3Kvi8ztUiHuj1jqqhSgd6Q6Ojb9HdqeJP8l6IrmMX2WXwAN5x2zeROmlO20AL3+w5fhfJGIZp
D+lE0dBbTNpLXDd7XdmdqttOIboizxdBRLBGait6V24MpiqvUvWu3F1Z8gBwKLsaVXaTjJSHb1AZ
g/FNcAn6zXcGczSYnkxi++hIhrealc7qxL0h/z+LrvFtlba7qaijWa6mOZgeAh1xSe8L2VnjozGL
VGYk1zG4RYuWhCAQ8UKl/k438qIt80WD9BeDxxc1fU+sCSClTE19gW9Hhb3QqT+b/IG8vBwT4Usj
rappSInjHRFqGgMjcUsbdipV8+0tKvT22XfHL0AwTQVI/d7eU5PXaia/OGk7UaTm9110l8r+vk7R
9FrFyEG77xDPJOo15/MRMZVTNoV3IvgVVeSzvRbdVQxiA60MhguqWYTake3Ti1ur/5D46p6VXx74
i/ml7OK1oRLQUIQsQostI2PnBURpj5lG8JglOpoiyXrUf0ayGrRosVqMeuaAwjeEYY07mSZg/pSY
wPG9WR1kXtPmvmpZ4Utr0/v2MFKKNYXjXGUf1Jnxf188AaslgiF4Fk/KSSy/EwLNF9gP+lvUiSPv
pN3Iq6YBKGv+f03zo6+nnvD9YXzU190cfki3QJrprQrGvdOYXKA97pxlVho4T1W7GwTS7duwOWqf
D+LJYBBNKMxOavb8qDsZ+I8bj+fEfnPDm7zGU3GG+54/+HRUNhk+8uDPC8vGsW6vORzx+uMSY/M+
wJJ+6hsL+ZM63XoS8IbTTrTyY77Uuzn8irP5eC6sFyEs2Jmg3w/sPsDtMCCewILDGMTGm4BMKDZA
TsQGDUgvilBkTWwnylN/Eqi07mXIiTVfXf7RPvh1h6F5j+aNKsqETGbLq5RZiDSZdJ9pCnOcSnWm
8Xj3asO/mLXlnKIpewPDdyLS4pd9rdx64ymwnH8rpHtZGi/J07sMm7QjoJJmq22Yu6qc5fbba6yi
kcMfSzoRWCPPzpZ4lTk8oc3mBHP2OsjPVr81/qEJLMpy+7fmFFqxXNCVpJVemnijpBHlzJuJpnaE
sfVmHNtmqMhFnFdmNhXorHbdK7ihy9w/Kr2ZZ5FsyHNf++rkH0Izq01tT7Ov7kuOZj8+pgkqH38e
/Gz6n8CPsg091em5ZX8YXQe1O09YXZafwYdftM+DZJ2tFVmQlxq0wG8JeKmH3G9fymLfxRtoxBZo
wHVT3ZZW9JepM9rMIDotJo/NKI5yTpOWMJg0GnRydW9rWrMWPKCpm5DmIkebY1jriV1n+WKsE4Bp
P5FGmoZ3g6E04ClCXAOn9ZDKZO2/yQCj2xoxU0ouAL/vwKH9sy3QFnRyeAJO8y/PLpeuwxtdMGmn
3hlzUUDddCQoHPColfEugJ6jgLcWowZROKc+vK8AT8AOgLpjXATWazZjAgGJi+2WQp4FdDyDtF07
4EWSXhehMCjxXEnFY6B9NYqBSrrfC56ku7Z4NhtND6VbLBmSIXf0Ru3X806NrG/uhxcQmX2WbRMC
vZv9rscPkmKtZ4dt5ga/oYD+luTXS/B5BOD/2VfyqN6Dk3MkxG3mKv7mpRTqWeH7pLJZrwqeZG50
Sc2uFY6zSLOdQkfNBcI8f/wN3ovKvYCak/kkaEfVF1NO8T+es0xB+StriugoywcSgBMLhbGPh+wD
3VFYqp3mg7aCqyJ/MYu7OLU8U9HRop/h7QGT2YN6/RyI4p2jFQKWaXRz2Y6H9G7QCYdQkOq91dUg
r+OXX11kEHDf/uJNQ0WiSUDQchPFOxkgnvylarlIwJhQUXLGb/lyck2+AYCzClS/vrJfrHeEJhmG
132QS6KGHQ54n3gIEPmMP6kMTzYktfCLZwZ4DyAW0TfGvOefRjAb/kf28z8BqGqlckCZE8Nbu0kf
e5w39jUPpvhM6qmnIQ+nsDJzv8yomj5gu42eivFZEw9yZIxB1lXBvCgQ5TrcZM1pNsQUoim/rGWf
L4xevW7Qn+goh+QoTJ1pQvsD0C3sxil2hyCOuT94Drf9qoZxeV2Mhp2NIqZjGcS49w4+L0IkOznB
3yAkaSORlzp77DIxsiwU0ruTpLC9Io4Ctca/+k2uMmV9sS9Lz4CNDtK9Sw7y+fXl8lxj86ASsagL
z78TBV3kbMh/wwjA0CjUBNHME0tHWWDXCLUC+7meIp6G5bmFRoaOyXiWY2RcqkvxkKvsGPosuGDv
zHu3CxVD/wPnGztaJDks0Ezoimbm5gc0jr1vdMjvToamuLz+PA8IkFOP43S5brRWLeo0O3Xp7RZ3
WsHCP1wqQPVvpnzemibN+BqdMAijCBs8E7G1Pc6jyzRthky4pKSgCuJ5rFUY+MPs/SHPfwKBf2o4
X746ubkkJHskYGr3IXeBkwhNTq4JZoGvmRUUllDKnTKuHVbAVqITvlt8AigvAdfgnXvcSjnA4Lr0
28/0L1Pa+6RHRQxNEA5XGoNhMAcJeoF2PmFsdSK+uh1MX7pags1w1XDMHhrJDXrm8+FDSYWS/f10
NpDX6rqqdGalmLJ6SVeuVomkrAxPZiJ4Q3KD7loLHjiSBezhuPxmBBr/A8BxDxGZTBQfs6kRQN2i
ehTrl8yG6kW0umldNU4KSNmfXXcAQnw++/VAiKtcBAJFXAuWhbVMVzTVmoCMn75ytVzsJTUOIpAW
Q/x7+5ZTwWU58JXR8c1TPpNFk18GGywR/k9rRDSOHVuJuf19K1yOR+Nx9W1FwvVMhZW+HH3WZi6j
3rlJqFxLrAmv4MjqPHB5W9CSTGa6OfA5YRCkwJ4gJECFGVpO1mDhGS1mI9mxlKlVwkASErf4dNCn
xeZF/D5Bb1KOvgDIBBMT4kJHdeEYygQgaf9ZHnvqd3pGrUvlnpQmS0G7lmQZhM9QhIpbao9eBNuR
V/IU/FL8+1VhLF+1+GV81sHgEm+2900nLGl58s0W7mRJLnTeK8yxeAndzD8Ztr5a3nWCx1jRzuto
Jv7tBPVVr3mnQyqmCBzUESUx6+YRN9n4kVRG2NUhwzolUlrGj7B9cZjNajE+10PlT8QMtannpAks
oYrShHmHIw1jJbtTQuJtKssHL6zhV+qROJjB7I9ZPZlKw36qBIXGRFSoKGYNXKKdh3oVr9aJzJMR
UoD6/wag/gqsGxLIaJ1OydYRqFa0xLfglvvhBOFJmkAE+i61CoyOJ2eYfiQU2Ohd+rQyZIx+RopW
bOAqxR4LSFSbN/nZOCOBv4gajjoEURJQJ654j2Vl11dHoqSGukdAouPF+CyQOogKNDdjaWm3u46s
g5AhW+iqi4qKTwXbTk3NaOruMJ3H7+jx4YdCyeEzZM2yOHMV0twcC0gh4WhmljvJMH42qZn+uCM4
D5n8+b73EsPnQ2/PzXQcimZIISGnxL1rPMmLwb2EA9q0MzulN60tpmXXTXB+nwk6AR4A4kckTmPE
GbTTb6Z1c+VqmKusj3eSo9eJep5w02pFgtDUbiewDDiI61u0rqCIXuJTFwKAtcDQ9hEneqva/7Eh
OdUReuJoZxTfV/9uAYpSFN0nUfX8Wr5eVzvKbLRaHj3tUgVPBHDVgdnrn08vnUg+1DYYKy3SUilP
njmvC4YhEyAxyD4EOdv45A1KgnPKC1R45why7DZtIZmI3LPbCtgNI9QRfVEWPMtE+VK2iwoadp9+
yjiHonrKNxVDLupyTiTGIL/NMGK+iE1iPzcjtdJAx3Q3EQpuIPjpKU+5VbflNxxWtyy4xdjSQKkf
VSk44/zbLKOxegx8OqjvIXPOA3NMa/UFkKM21voUWmKMMduryIBit64oZDCYHIDcgNTNNhjL//9m
JhdKgIULtidTsGJluCCB7GsF1ZfIjvyWCB7rrjKhkyfxKzpHcSsQ9QDMfjm08DB2Wb/IsgDI+AsN
ypOypzeP0fvc2VLQFlll5dtk8ZarRpgX1I5VXeJKYcS69C9gOakhD0+1eTdWwvPtbQdqOqpgNNK+
IgRw4aIXNDDfzQdMCNBe3FV91tHlFC0zpNZuqiohVZH3pM1z0f+1PeWhC6g+ibK7APMi1vHUH8E1
vww05/vQCZayDMFOyebS29IgwMlGm6T9Uw85AzABb51dqAhixahuinjYN9gDgS6eLX0+xKwDrm7/
0BcxejKSgXbZD7Szi45lKuoIBSzmO5KO0WFlYE5/TAajSKt/16hSNBDdIGq8Jm5RPrbrgv9DA9Cw
7vPhc7ZUSM9ZGUOM1bxS32m8IW6V8cYoLv6VPJtp0lySBgOOvw3eB+KsruDKYk/Kq069eFcFQec7
typyzd2ZXpZ7GbAFsMMUYJXDw4QJKouU60eO0Xd/XV/np7OrUC3+K31fmXKyCOkDacaPrpJ3mAkR
k6FzeK2PpOsrRf4yfODcqbgmGVxYv9kNwcp+PdHist0KWr5qr0zV1Uf05xNoYwyDY/mBPlB7th3B
SyXMrRAeyQ9CVfY7jXK+7/zVtX4ssjsKKbNw3qMcs6iY2dCjdbCG3v91rjdD7CsWNqUuCM1mgdgd
Q97elf7qlUjcF8HkD1oBj2HnnK2SeTiG4mczBIuzb55LyIsGYeB2lA1tNFzOOGfzCKRGEbvrstp2
ThKY847GvdDe61rcxhKFoLAJSdlQ7f+o09pTtVPjZxrW5notS5v6M7H7Zzp+AF3mskBL9R02IyNQ
8GRRmasxKRq5Mat0CPns3PvqtAGuW4Zwv8zu9GxBZF2t2ykMKLrCGOO/9iLG8OIcTSBpuGpJVGdR
LmL8x6IiHYX7cIj9dkHmof9j5NrIbgb/8CHAZPo8R869VY3tE30Q8sJ0tUCmCtrgfXu97YgNVW+2
Sw7w435pUyzoVHKFQzXrA/2qO1UJ09xGBP+TckRlkpRTuZi4xjh3zKUz4hBSkzg/AJd62qwm4gYu
W3c2wjjjKNWKxFpBpjLCTeHUtnwmCAsRtJywJosMs8qUTS21WLQbA4saKCdQrKrbDksFYVHLQoEF
bt1VFuAZTSlmsPFAaUYcxgy+Zlwj+c7jq9jro8T3eQmN15ugdo7X5Ms5C707TrTHtzPOkK8iRALR
VLVzAzlZPJjixU5JOd3VJFZumx9vuO+br2mqRgxI2mV23CiSRMBRHR1JSVYHhILVCdVFKpP28A7m
HqWoXcH/INOM81KTZO51O1MqdC+6mW1e10V+FsPJBBY0Fy3A8sO2yEOe+RmjBcumEiVoC5xKM/2f
klFpZpFYnv0ls4tFFvRc14aSro8sTCzUBx4P/5SwYLCBJnyLVVzwG4q8sPdaTu3pOyJ0AHiGkz4S
OxhlKSWxLMLypSK0BdBtU6Dm86S0PjVQB3b7Du5g43/+S+MfOA5TnZs5MncNMRcWuk/Z+dnTfRiP
XGY/RlqHrnt8iVtxEX9jpF75eRWR200ZBvpbcB9gyLBfwShIzfQ3NGBcWLcilA9fSwb8eYhLXmzi
heSoCv4zyLUSoQe6eklMxfnLFY/QOQdCi5mKx0cWF1d8CwpGlZWTZx+MWkKDn95mGhM7M4raih/g
j1MqROiAzzo6ekbJbkVFkUvcNGXoB8INQyDyREt/pMNMUCV20ayqFi5lCHqVLB9pr8/igK7RfX15
cTkoXU1Zo88/SDKIQ59zSVNCmnncHAyKJrpSlrGxB1D4aZxhLoW61+IcVnuMv4d8j6uGPjojSl7V
TBHfn7OaKT5CQUbksC6Y8YOsycwH97lZYtKld+H9zc3pLJTQYg7lmrkw30qaGzAF4fQ8+WkKKbZ6
ke2iF0m05b64r2ZTkh72axTonPa/hoLOj0mwOoR89R4aAeNmNyZpW2N4HZ38w1LJ/exXk3otYUgo
8u5Fo4Woa8IRf8RC+L2Sm3uUOuh8w0GkQI1YCPn4lSmQeZQRCbuydPLoPFLhyVfhiwH/I78GQqXr
vLMTr3JAercBto9eHHTcX+AIn1o68GDwyZclD0WJnD5b34rdscq9OXV5QmgsbbvOUq3S0BiuAtpb
J023AHD1UD9wlkSiPsUyQt2VXdpr9Rz2r9kRigzkHmA821kpEzvSTD8IM/Ac+TkdDB4eIOZ34vZr
qfUhsDOA1fQJRdBc2SvAi0nxe9rUqVKmXwNzNG1M1zbStKblmO4Qf9WuyH8k5aUb581QYWL1W2zj
c78gYIujoNKvdXMtnFEoiJ7F0wEoP6hihd42LMFp3D3VSow8XdgUPTzdeiNXVLp8dgM5ICHG0Vx/
1l2OkH/O+S/vkRWUrQzEbiG4ljHvumUWPDQx7UpYoqkXqsf3Nxs8vXnjP3On0BKxFCoWnkvVrvlz
LPb8i2+FeWp0S9UBE+UAVS9Jz6qDGD4wqbCbTR7tpoB7XUJK4K4SWAi1XCqwu/2e7Qw2WDnrgmPS
+e3eto7du0jQl0T7KorDS0OIG8oK14GTxc4lheMa9CmM6ojXoIU+jiRgPt/gopVZJtS5R+B1vd0D
zr9fGLZzxSv45oaksYMzN6leYKnrbfljBRv9rErC8VxhD6hO1pUcsK75BGYtX2+QyKrsJwt4SE2J
Yb/MDH5ZcvM39LLxK5GuVUD7vO1L6Bq1DXcIg2VtYIf48uk31PHNE7/W1F4yKfTVBAgHGOxTLm/U
Zmw3XWC0hk6ZdZOsMYdC8/+fJMvTHh7ocQasozuAPbc5RiE/zqVf3F0FDVc6M3SY3rQz5vV6dQ2H
FxdDx2twY/U8t2WEt6/2TchuudJsZtEidtPiE/3MqlRwgiMXzci22XXHC4GNQ7NwXgK74wgvNjJB
0ZK3nXRFihKmRz97iAi7MfUfcAjxgQjKy9f/NNG0apqD1Uzhtfz9LT0WbBzu6SFu6IxM+MGPC906
AXW4VVuBQcVW7wXkOM1FqL6a1wpKDmmqDGj8Q7B807oK/sMxZsbD0yqlos+nST0mnu+LcJdtHR8e
Vf67EU+jcf+P8vDPDAV3iTu7tlJlgouhNtMlCHM+j5aDcnW2n+bnf7XDR7p1mLPWDyNA4OphhIWZ
JCMh03evlE1UzjkW/OjTEjoJQ06u2wVL4mz9O45H3kUkvoOQrzNi19mfz6cis3xfF6CXyH1Z2rW5
HgDd0SSfOmZ8zaWRvd9u05KpKcg3/rybi80TbPmUvscXs/1ByiVIrGGL52/XI7fYzSXRAosHy5z+
Wz8Y1i/9tSvYyAp3Ohyvfdewiy9QYPdL58df4u2fXNPnD+htbuk528ESaXsgLXVEwl4EPRy19He5
BZVher8pHc3Zc4kHnDSAE4eWM9/eUQU/MwT97LIKiOye257DbjNCmHSz2f7VQSpBGiQVGdIA1z5P
CWaD2xQyin4p3R50a9SBvPD9+Hs8TiTMfcF4ozg+T21gBQDQkoDOJO1HxtuwmXPMXYNqCPebcMNy
qEhuNafI+BcoDd30t6m1/UCFjsQyKp2ZMJs7qI0z3jAtmoLIpW7jsmsi+jNHYeBaoahNFvuMQmOZ
WWlyxl5L+XKL6niNxjHrSX0G0QGYaD+D3VikfuLm3aa0JgzYkxmfo+NRG4LB75PeYELWpq6wRV0r
sp7Q1z8uQZccwowQXTI2V956svTfwhtl1gRO5XkW4t8v2/wwyk/Vxyg6b01HLw16ANiRCgd03omF
Ttfo8wpt4z2Fgvj2qlF/mdQogV+OC5coH3Dw1RKgli6o5cg10ckLhHTCA9SLBXOw3rkwAFjHVABK
0p9uWwkwPmKl1l4h7uP4GzzBx98GUhRz6N0KpV0S2MRGOFuK1z3xf+92UCxGxcxr6qp8QQJ0jToW
q9VMLSpLmm1DyORAFkvA0GQIZKS5AOviuZdSd0OlgOP/OD6k9/R9ja2rj1eFQaqMhPmim+yxm4rG
as7+EUpqJC/sd3UWopj5IEsmpfjKB2bybVkuBh5grnKg7WtKaIIs+a6tHu5WiOEiePM1evxeUwDr
mLbyiHqJ/wzj6NJJRJXPvHbEEQO470IoiiOd+99F/pbY+OVXc8vWSt6SuXKsVk8qaPvsMoJofTYB
rX5/aWPNd+6AroyKyhu4Pn7WX+ieN1/MAwid/mOYwsbqIQtJcJrWYFDMuD1NU50i6GqEnYdRPYCy
A+PAM3jTbv5Igv1icxwMDJvJ/gz99hzXZpPUIf5hQdI+HoAasdpOfDYm8+CnztGFeYh1ELT3vVj4
K/2Tp98ZRWpv1GbSWLmopnBehC/nfbT4dI/q1WGNjBRZEndMvoyjgjgxP4nuI8fpgcpRGzSZsNUp
zvENpaZCSM/zktRIjNjtB142iE/qxvz9E7VCqENdd1Pt2fLMnd80B5uicPpFIy4udVLw9IMtCQAi
PwgQsic5kNfGfo8ANtTIVLBkIH21bAo9YhyfeMmd6hOnSsPSN/Cw23i5hbtKI4plLtV389QPNqDG
MKjQI/AUrailTHKKeBQuVnmG+MuAMK0hhMDZ4mn1/zOKKJ2yPcdxGgucNY35dMeGv70Mdba9qQ8w
mPXykGXgv/4fbDOa77oSpwxnv8yXrVSxeelHrG9authDaXuF4KMOFuZjpWBsBa8Cu3oIuSlq5my9
79UN8sct51TrrcOe12RxOaXfTqR1WatlOddQksA3aGIMqSyQ7zuw09eZXn/gkz1ue+HA3L61vtfu
M/O0N1Sni1ygteq5n6DoIG9gGrsrDSkNlZ/z+x6cCfbSgwsb30hedlMr+ity8thJIsGVRHIkijaM
Vc+cQcuNooSP6Mv/Jj7For3v2ZXRY2HMwz1rF3HwUV2/vpjqB+TJBsrjddypnIA8PGSjlzdi++BG
r0R2H1cFp0MF3xXNB2fbaemvPKOKEPNecI+dXsd/2mTARsTaME5qZR1ANLy0ZxX3erSysOiavG7b
3OCL6p79cybq6PIH8EEh513wsL4VjCT+bImpigWAC1cUv2cMtP978gkgQiQ0cAav9Rqq0ntEObvk
ZFjuRcLGDtZoijFNnSFCqSpd8YLHY814x3zNwisRyDehS9/nucwZDWE3qUA4dzZAsXNlFnJwUZV/
jzXtpoQllZUOWjwNrRFnlXiDj67WHQcN1b1kGMComPuiEtodC6DT1kyx2xaLaxzfjZuzclJzrq6Z
jK9+kdki8xvrKZ2k6FEP+3ceNtn1RRcxiRkm9fyTkLfWIIsec03X5B4fUcsIbYmqdAuArSRrFxZX
ucnWSKBX+8/FwFBPdf3W8HhS1JkQ8RpFIjUvsHOfym6f7C5t94x4lx/OIvrgpU3PJY7s2bIApego
mfbQdc2A24vnwmCyPcj1t14gtgjbFv1a1KHvZdertKKaohuy0vb7y4E/ISRQGiSDQTvSbFqPsu6L
/kD/yB0lvqWiQ6nZi3Ill63dkyFaVQw3rcn6AGXUumslHa78yaNtPoUzYHTuX4jaKvS9aadeCDCc
XA599+40/2gHNqFoKVbpQM2iRqJ8y+pc2usmXXOihN26xlh5SV43kulygo/Nw+yxGb4676eW84HP
inNFMdQ1rHQI6Py4dKXMLPhepgDh8xyDCinolWg5xRFWRuAIHMkdWDOrfTOHTSaqDElOpsA+sBp6
FSrll0H7YOKz4pKDRuoAkChTBfcgCpZ4dFXVDTqh55lo7MdIluyEjP/TbQrtUTRpgq0uvTX1d0Qc
90eyhnbzMJJCnukC9Z4twl4AmmWjgKiPMfLTklqIJNrdQ2JbXBltBePr75lXYOC81eQx0z7ubWdt
lKmyUe6JSaTv4GRYuZJOHGFjTJ0Th0odZxFAef7hmfe3g8Y/au+RQApladbVN21/DG9XsVLaDbBl
nOjnMb14q68oJJPue1Bn4/zIUXO1z+ctHpVeXlrYJm5SMu4B9Yw6j4nfyC8oA/ZrPPwTpl6jgUxI
jeJwq4xYaX7U9MbzzXygXQU3DekOT+Ruew6B4zH6f3nhf1mlKIXGTrscZ+mdu3RzgUyFaWPYKCbH
QbUBy89rMNU+8fodxsd06Lo8R1AXH5al7kS7nOSb+98bz948Gm5uhL5q7XK+ELPPrG57ZnNMd0GD
/tEI0nhKH5NoJluuWGC3gIekGbAVuOalaikYgmq11xl4wB3msjWGvhGgJdZqZeyHahSx6FyZfmRb
62g7GXHd8fVzAZ9CCi4rqVBF7u2gxCYSThgMXyjUCE7QOxOUaeE875H19ckiRbFtsbmxHqPlX6HF
isVJoKryByx4Ufn6zKr1m2juMrPkUGpu9uXyQdRDU1cq3FytnspTRDxxz7oWB4JtqsTznMIe9wKC
rVF2vxVDNFYC4WWCpsTlXIymGnSq6KSnsp31UtYTzZcd113PMfGEZFloKESz0n2uXfcSa3ScGBgg
XVCnB+UBtxIi2r+LIifpdM3mGGluIuWu13ibi0Gn3PLidKuYLCaquom+qGANVHNIFg54ou0M55Zd
12NZoRb/UZWXcxRvCh23WsaDAFqBd63j/FUETU7+VYI1iXrdlmp1MQQY7LEDRKqBT1CPtvrcduBy
Z36gn4kUkgVby5A/3cJbwfju93Xxk9sjdtx3doRVapvDdc3v6MPclpKvmC77ml7xBXEQgQdTZsaq
0NKQe43MKnA1fbXdRQk608m2sdcfwQEDbj8PEk8o8Q8FHDxHAF55BePCyzQj+G+u0NeFGnPnPDgA
AT1Y8xvkWwyibxJbfYsGwPebeh9XQYPCPubspvWjxFA8pdjOxW1DIbUFkXnB8OWz8ojiaLy9Pr7Q
pfzZp716Pj+u1HLGO2kZnZpzxAB6fV5yk8h/FSdixDko/bqvj8006taz+yjdr6NtdqNTqB0QEgvC
XZ2OyWJIWImNe/iebx8oWE63lhHDZAQJLKz27lOnqocCf6B8WSCSxWmI03x0Gfl2WXLagcJUNnSu
woEkXd4ilwUtccXPI9+Vs2i7usFwRxKeNz4Y7K1s86nhlLJ0QCpQeN5RyE24YTC9uEgzFYZH/+Xr
73nE13HMd+Wm10NId1wUG9scNoGw7HVbLdJ6IRKSfMJmXQQlSEMcyuVLME26rvXBT5EWDklkaGAd
Sz94xkCU2o5g0Dd5ahFF7Uh1Xkk5Ra7jpImXpHD40hHBFQwTK60+l4qU/CwNh903+8yf4UdMktTV
iZtnQP0owMwKc5tUoa2Ik1edBPGyTlRJyDXkppAuR8MybmRr3EaH0iK9Zjp4qiwnrrsfoqgd25Ba
ZGKVIx6883sYOZperpI5aFMS6cbOMlxSCoRTmOAITe6Bf2sCuN2N9edXrRKZZaGdnZPXDUukphlV
84Stn3lB64v9USWlWjfDiciKaYXJd/lCGJ0geN/HSfqk4paNpJkzyU05ub2hniUdjyZlmKY0xyrw
agGJN9yIiX6JuQrJ/tIgE74YTHEcZKxXDKSbZ04C+d0htV/iKPHr9o34vAV0ygfoQ/A3GUdffULU
xpRvOh6e57goAKKhsE1DO9efYS1gprr25Y0uqvbAhTe5XguWzckEZMoaL9su/HYOnK0OI10fscuJ
mvmkXvRoPpFS9cXaWq/TjkrS4g0MQRp5l4ANbHdyGDNL+f5vKIwyQhbmXKMLFejkmQaER4rBswm1
nwmZP/nruqUyD9YBG+yC6dnFwpxNtI15/S4lqwlyXHS4oVXh9MrDOpmgIHTqoHjx+ZUkP0mUml9I
L8nGYxyUUO20vv97LQQciKohSnmC7VfOrh3r584sOUyYHx4/UWlRipk3YaEfMf/gxdoGFeyFdANj
LPgamC0RhZ3/G0YGifjnivuOOG58ZbyyOpg1VEDwt08/KaeJrY6PUk6BzvfVy50llvB7usgLVlHE
oQo22QCJy1Gw7vtHU3W4xrC0V7fdFf0PtE54oQAAHlpEgRzn7KtagXZ21JLb4ZLjHl2A5slc6Wzc
UHRIB/0c0RXxi5YqEY2VJAId43U+mCeUOaw0Jd2J3YIsIhVkXK3Xaw/leWhxTomjmRRmdjO63p5A
xuSBcF+UWe/7OUuCMZE4vOfU8f6Irh6cb4jMrLC5cTt2zUAfgrmQK5kcxBpmkaOVWirX7AtXHY0M
oFeRpZCsIbTZsnqVgKMSZQuJ1946MWfI7tNVu/SJHKbKbWsmlmZatgW1UoZjZ03/CsIZRywubRoa
9c/xDvqtmpvKCA9myFIFoI9kwg2u2EOCfQj0sqscRmLBw0m1xAwIgUWazOMUqKRrsIRdeF02Gej7
n/QKF5Nn4XOqpWzfupAPcisZK1COAajnQ2GbJPa2FfZEp/Jh/7g/ngxpOAdxGH/+pGdoZNm/s0eI
tc6jOHDdmPxvNRYSJsbvVsTScFPCREC0MpbxbfGgzBP1l0j8AmQu/ku61FNReJpSivmkNubZUUTB
KrRqgCSkql7Nt0OYILpx55f1E/2/4s97YplQHTxq/AylrJBCFffhuCJGBhIhrErLl1S2crABZSEQ
NZwV1QypQ/bQWcYgB9QuzsmzC0SP3ZIzPlzQIeXKqUjMn3I4AWOZ6icrAuV3LinwAX1NA3dP1SlZ
mLCs5H5fmfWHBtx7fvS0y1zlAPE0oYUsxp1MB1FML1XSuCt2AgB/H6FppY7cEf27BZ1fAQQZ1yHV
+NpW7xcjNnggTp5gR6b46nzNvf7QQ/7KBJuKKjZ1FbaJOXutMOGkrfqr8q1h1DddWdEh9CV2/OTn
tcxZwgvAjCOFPJ9wjfLULJoHUlzr+k+9R/o32keVARCGkqUPXPrXaGcrykKwKoa8SYL+ky9H9WbO
vXxH0bfaj+IXGRVsQ+4LjqF+yRuCNqRai4+UUs2vg48PqqPSLbK1P1e0VgpdjxCL+Jrzb+7aTZa9
csNScBGQWImwcBG/1mB7vnP0PHcrvH2c3DufaQikJ5sQp3XbHTf0Khl4YgwnM3S9g91kmaAETL9r
J0LKHXmTqiEy+77o5USh5188Qcgxo5R7MzP2W8vPty6G+3vEXb/g7KD1HtTGTqP6oc4UozpWFMyQ
bYeXhyr7Fc6ynYyIYyPoOutKK4geC4tvEV3sVVjFB5vsaIS8/GKuZ6d8LVBMWiALhFoxCmXSK7Ux
Z+qpzouoIzpWZZ/N8KyOvV0va1cEy5wuqHHDv4MUAwq7Nwaqi1EHxfi3h8JocBw3rXRG1HffpRqL
Tux4KLpScso60uXrKyAR2ZVJjz/tdc0CxylQisuYnWnFsnDevrC5Ieom7vOACu9fxlUEr8nbkoQ/
5ne/twyFFVKlTUma28fgFsU+leQQsN2woHjvIQyKmVzvEG2JdM8lKskV67Dc8j6uPKmgztzBURDd
hllSDu3gTThr2Z0zofP7oRrWvPH4VtxFgH43A/GzDfdGBAGWoGyKMLlm3v2OoqmZVEbLmrpyjjRp
TlLFL74otVa1fsxBCG942tgsP58+5Ev1F1uFYajA6IQJrRbtMZa8j3zvyeXW/+oqKmspc2OMLpgG
FL+ykzhOV56V7eM/NM4E1foLnKpvhyPmF7OKE9N1HEw/Yc7jvt5P4yGkNaZ2VIXacgegi1G3tcjm
9upztlH4mH+wrajYv8IAVbuCmSiU9Iimye9/SPkxFjqJzjt5Sa1Rs0RXYbTHBM5RNao7B86ARhtM
B+Ue9McnrwzrcVq5pWc0uZ9TtriphgCQbmywO8j+MwERUO+/7oYi+YrUtpyzJmQ9+L3GWozOsQEc
miM8wbsMQqwADODWK4PBD0XhgxJBJpFJX1re4Cr8FOsrLiCeBqWs0MkO1W0bZ8R/L6+bPG9rG2zk
EQHFF7R27qmpp42ZU1Bbbjj3YnL1MDIGR5pWRUOl245EokaPPAoKe63VZMfZovHXc6Or2RT84TJ3
NJO+taQwJJYSRhGfelL4elLtE2ZSuVf48DfF/35MPTQN/xKOQPQhJeu4UMoA+KbQARdXuBnDFxok
gsuPf+dYzpbqUxnVP/SCNnVrMTn9r0qRbZ96ihng1MZM1uMUK1xUeXvXc+QGHlqnZy7yWV0VQSbH
o6Ud0OGnlQM2op7Fv4C8ZS0q36rzxHAqxHvRWWsHwLPhoipKjsxXRMASZ81FltYcYR7FtGqBpjIx
MdVOkeBhgGHVspa0S4/9tFMuVbboqIEzNCLxrRG7aJ4KR+cTorl4kIcXaOp7mBtiB5qEQr5kvlXF
4d1PvlBCHpohMJOAuKaxZP/sxeSCX3HJ+/ZGmGduYMstUk6hvY+NctjsGF43NK8HE+G9hXocbaNZ
xwfY1bs5Kg/gXoCQHOqzYcFPDOcsce46ZskLjermoEzSGh9D5Gxpug7aU1Atue5M0Xz+4255MLa9
Ef5EZeRv7ztLzHfSWAV8WBqc7SYA/GRbA8iHT+AvSfz/xhsZn0ZaSqHIWXkm5UCTPi5MAnqAhzTg
KcrHJgP8Ko8laN1dYMjb2ByFzQH0/fGXgceQxtE8lvyEI/xNR4bk0HyyVyqLfsGi74JuTR5LNZQ4
tbeiH1egvxUFV6uwgeEMz0bBq9EnoKVoTWmRA346TrYqG8YnV9+15gQ7pOpprNVBUbYq21Kpfnyb
ec/r9aSZpxr+CpE4qF01lHRY3Unpyv0DpKNXf1l1ee2JXMFI+keDsTMevC3j+nSBK4zX2xVlGntT
XTk4GOo7v/HWiNz6m6iemXvBb0uDFRxaSBnmJxOqUS8YRwJIhaPq2wqTpw8fp3JjGGxTvzajfwES
2KBqV713bPgo/T8xr7evbZCWRh1dPFtNb6zjQk+7nv5HlvhG+wJ2ZVCXewUU7JMev2oewY5vmbMO
mcnSfZIfIY5H/KdXNC4JJrR3z+YsLF/Fqig+/Zzr3Fk4zKvQ6FNVZ71XXngOZZgoHaEt84cSeZ7r
HJ++vdYaD+XQr5nLxqfFprdN43iaTamEiyX96qZwL8/JEitPs1Zs0Pq+3oIXThn4nJNKBNCV3hgH
ADb+0xF/Ov080UJu+3DW/c8/4eRD/X82tBPXYxQPw60lVOF3uegqB4Mc1WcEaEZcTrDUymtGS/HN
tkB1LYj/gk0uBotpBR+uRv0aaWDGGPcAq5CzfFUzqVkpGOCCMrg98cX/rZqZpeWXrDZF/2rkp+nr
uQsmEnfI51XQiqv2+Lo6Mr5uI4C/kaLnOnc+M095K5FTEynBKj3rP9Z3kyLKBcoHx3bRC1LHW6HJ
G0KQGS8MBxV+s7bNebpj3kPrbFab67i6t6wYbOIL9VENfdRMQsPagpW0RXEHa76Y9qbP+3gWPdDl
4Ae/yfNmzMC3eLKlUB6ERoMCWljcxsNXNCF3HwvVWc7a78LFtQG/13pMPdNZlbzJueeojc8ufJsY
eSipdIZR4fgJPq+gSMAyswy/Vf8scLGrQ597V2pcd1MuxT0zLamtBdc8cIJ2sXU8zENKLfm/Rc1e
krXbrCvrxbY/w4Zflq/kH5ME6cvdaW0HqnV1TC18ADYoShlACUFWUmuBnu6oMG+0sWUFhq3SpDWz
bQ1zjuI2yvMcp2r4ipwgt6W8wb8i9LutQSd/Mv/eeGQ2cglxwnk/3o07e0t5blIPYEOn+SQh7xf2
0aZ8xmPj9KSQF2e9J7XRardA7NC2hnNd42CuLKFLuk6zg68ISmsSnziOOROTyzUYSTSyC/2h/43h
7jSGlr+G4Adpg7/Kyk66IDSJQGFgQclT8mTxP5/11yBtT5boIhqFdRYS8yd69I3GpxsPnHzP8/K/
CBbbvFtHrU6y7Qij/7InYzaMzNx5KgOJFhpCODIq6jtb56tUceRdqZlg5T8e66u/k7fpc+fXBSk9
BE5w0oDyXXivTxeSrFQjqVzHoOG1cvRLFTmqq+t+bdq4IFG8RELYvJ4g8eOaVsahxNoJMFbSt2C7
fwbL3xYhaAz1mVCksTZ2X2b3QH8r0iPvowkKtNKG2lGBwON2a1WHWNN4zwKRoqN3zw+rZOCf9m5p
qXWNAIYQwWvXqcaoMDn343qJHzwyvC5BwGS/AnkFLE0ZkusFk9mpAPbbHKfwl4w+TptBp/loTG/X
el/1dTeexpNbE1mSpfo3tYp+M9HhyOcYR3ewmtKLrreKooLI2IX9XNcGFg+aQQD/4F5TsZZVX3wr
u3UUpmCbtIMBPh85L1cHbTjEah6O1MPimocRbpZlvPQgd7x/n16c1dbpddSa7gXdx1d6PcRdu6xa
ZigSsqVHTBLfvhcgmeX6AutVLwZosgwjwMtISfbuGy/g4H291rlM1lO1DQcr9N7dxDdtNokMI2xQ
Say2ZF54bwaQJ8EchLVVrxoIf+N7XFWUASSYvQrucbKrzb8ee815kXRUl2e/wuquEHiBpAWDJnwq
QYwKrK66C3fUu1l6KkPX3xEXr6IZpXi74Cx0nHKDcCZJAihCNkcdyzhM3SPmKiDeJMebPrdNkzeN
wAxuAUTi4Jp2paadWmmBBpJ5CgU6PZ5JthfFA0fqaSlhNcJiuni8pAIDGzctHZGGIutouXOnIwM7
6G4E2K/p69UmRrevWCDS4r6J0AUVlIFGwUrel1J2FodV1oj/IoQnxSHZOuoeH4JpBNEbKODZWdDT
jMw6jmZ8iXZnC+1Xk8ss99OY+tDl7wUWqdkkzD5GmwoDM2j2FZsYEcsADQJWFjw3NwRwLPzBooE2
DUrcf2+1PY4vM/8WGBBcX23RHMP+XarQRVcN3h6uePy7ooaDa5pumQzsZ8SSxaIRqpgG8V7FotnA
6DNArdP+p8MdRKz/ZrlBMOXS3FyWgEKyvmipc8REW6qA3Kbx1Cojago+DzYt9BQF9mqxJbYAGzYn
9ANHQTE+oCwbNcgxgSnFczwytyRSe5vNiHyTn70nXaQVxXVJsBNNyEAQjqvT1AMFPTGF/UnSvhM+
u1IU+qUK06cBw9nmZm+gLGsam3caYpQEUgVLKQeflKAcuLBm6oBshO9inLJrzETbUP5MWhDdChpD
H6BNOA+dKZ2rlnLqZ2ZnzJXHhw0bjBqUDVwGqI345Ke+Oe8SA7y4sU078KdO3sxg1hluOJd/VGPO
j2RXPYkIZ5ENa9yM47jzs0DGm+hNLvaxYHqJtQ6HLFwR50Fo260BLo6noiwbhbUna0huNX4D6N9f
XZjGcaHAHz6xiG97uX6JvsOaROHDoVkaJ30SdS58KYl8KKJUru6df/PRHkdzvWK4ugKJF0P9Q645
i8x12RICGMJJtLJI6QBzsjE3ZbPJipIOhNsfr1/Ci2ivyWVaRU5s4pMZJBqbt9mUhotjDAn+T68w
PLTWad/9ofqFyUW4vAo/e3RRFjRDVvjYFnanvjhpqPHFquV0zvLRra4n3ZvZuvawRGClUQw/UvG7
QwR7U53+pIDWU2l1dlESh0GbKKuqx5rI2WTkwsnGt9CJxkvAcKuU0caz/CZnm+MpweMXdPNUuqL7
7e9QT44AiI/Vh+LfGedJNUuAzhf6LBlJ7qmq8Ha7BkMsNBqqImzDVbX26cKavhk7Zqr1dL+4sN66
zzWI0gKfw3YV0Z7zFx6353t1Ow5VM0gm3zzhD+P5TwtTnUvCV35xByGirUXzzrHP5btJ/91tfPQR
qyqHdHIyNOi93hHHTO3C/GOqW0KcjazFxBokqwVm9mNBhwXkBO2SyrbLq5pV5A6d1g3KK8Ioo9j2
GryD1pEN0r8fnSDgBsMX2jH5KsReiS5m4wK55Vj7zAin03lJFTjcUgclD7RlOv8klnhf0muqe1B2
GEmgAtFt0XUg8F6QRgM5f6cNqmoszQP/1II9jx2i4LYhxMbVJVLcoAsd1nBsMFwgxkUqsKmV/ldu
myd2GrIbAQNU8WWkC+QnmnGtVHE+5qdhk5byibNDYB7YL8ZC9R7AdwCxmehG+265ectdA2zwcBGN
yBpZPxgnUL4h3VHD1T25P6x/5D8HZQGweUhoku0UwI+OPUIclcaldDHTtbmVgzLOhUc1rRlL22D2
+wo8GPCYjQ4usUlZOvnrVkiN0xUV89yDCfCvAbshy3djuVRQ4MFny/AQnl/UgO88bXU3fiaku8uW
+/lLLRsZQkBIet7T1cWKH8HmzGbGq2KlQh5QPZrpp8cy/1TZjUpBsC7ixRGdzAGyU1n6vYUpKL0j
xotJWTIEDWvpjm61dQu0txmfAKQwrH3X2AAfselsVIocPpNLPus8TcRNboSYwmEQt+rzh/F/bSsf
jsNG7LDn4HO971yz3hXNLlTacFAEk8T+4UvctxS5uo9zkP3BxUyLMm7ZGFvJNYMNUc1GXliIEqrr
t+4bEctD+IRL2s/bWXTbvG9VIR7teoD2hxx+sySnVSjch46FnXcBIX9fRpd/DvqRTQ+qytayO4yn
h6aDMMSEooprq8JWiP03R0wNdenSn6z5ay3RWJEQyfUsst7nKPMbrquoGTjcmmK0quOVgzCSDDc8
A4rj+HhVF23hsnRWaGavbXtDWiFSS4LYt3DYqDwcUlMmufeUIDced57BHWqwQyjTABYoD/5tnQOD
E+HVLrrujoFshUoi/2JFxXY0Z1D2rU6wSgwU4UpoJcwkiMZjx1lgnvQzdGjmWkZDO50SZwZsSlt3
WC5bdye1stcu1eJtmSSsx6eR9H7nfACPxnc31KLDteIfTIMC3OdAeQ0gHX3upvULcDWCLVNwP5bJ
281k/ysD9UsQvMZKEFWPPfpUa+aF7SH584ojeLjmtuK1A0hv3hKQC1pl8zuVAB8UldFfqYyfoWoz
CeLctKogyV2EASwdhA2xIg1JYKvyq+tczMUjsbFpdQF3dXKgF8gsLlUxxQSZg5lW7Bjwcr/flzmH
wFZM0F0AomMuqu5Gy7YOdd7RyWKxaOatHToopwmwlUpAwDl1emrLTcrdDcWauLms7MQFK/NjLacu
Zaa0oJI0Qt+6A+jt+m2coPn7P9ZT1jwpz7LOgs0CAjhyhAdreWQSx90l/5Q3D3Ks0EA0Ae+3sSBp
zHNAtjkz5MaiIsewsB4nfUswWb6i0z5Q3TVhsq4yuDkc/22YiWo9TYu+QMhTW1W8/kawucNcPlUY
5JFuysohj7AV4D7vZ8FN1NuwffyNqZ1FGOR9uY+vF80tf9wN+CUH9/1iSTcEoF2lGP3v6JJU9Dk8
Dvei/0bLRjSPVPjKvPhIuQRj1ezrhap52JpMsJvu05dst+hkta0eAlp9A3GynN9R8wamA7db2gYN
QsS6lf+gLnryhgW2ENa2nisstyDEEo9SZRDIGOqs+O4WR0mIFZyS6WrGFXC2uDMxKKK6dNGi9o1o
yLOeuWL2RTEzlclSoDqhbaSIZ1HV23cvJThoTlHaUEH5+pZI+mV9GKXXOJnrJIFjVA0IXJOys379
RIrMIXMM5laxLbUnwO0JkCaO5ZaxmLpvh3oZsLK2Dfa02tn41wA7Lof+gHITv54w28xbjqjHiMHD
aCIT0fVMa1ZhnEu+EyESjbExI0TMSOL6U+O0o14Q4oLrhyvhVH1Bmhc4Dxue12WzAxKyyHXYJLtU
r9z5TmH0aH/UEyEeto7mrD1EMSuvElFWgQWbsZuHOg5HnNBk78xzHw2YmdsdIGww0qPJ8P9g7T1M
vItt+dJFXHYJhwLPnz6Tk+j8FtWzbU8fvfJApKdHGT8DbbEigqAT6ECdQMgHeKKqoxL1nzNcSJs6
MDo3pvea/l4F7oVdPrfA2NFd0NbshAr91uqiMLyqG+1M//5/eywvJENgB+nibJKT/K75Agc2qFc6
H/4xnCWdYKTUlYpXmu2AvifZ0JEB4JtMGl69qiGliDRnk1AKqNtjx/gUNc9oUG5A0Q8bszqHL0qC
szGQjFyyqi3Wfy5mHjhz4Rqax4O8Td5F0HnLtGe7K5QUDE+FKgujVf7d+Be7Tlgqt9Jlco2usjSm
VbvsvzQwUvt1Jqw5kgn70eSrYaL6QtdD1qVpdi6Z1/1NiPgS8MXiaYFVQg6hCRW7SBdA/KFbEuzt
PAE3fitjAjARjE49BtKdupxNdJpe3Xq/6whKW4fTWGWyBjDp9aDqiS4l2k2iCvzcNxqsC4VKk0HQ
HZKBOkVRbYQ8rrDCEcG5NLaTXj+dyFBWv1qcFq+weC6c8LHkSeuIWMxouXQItAQlB09ESabYwBQ6
DcapCSy8++S7u8zf6sYoFITU+je+pGhJsvktEIQ1O0Vu2uHa5CzIvZcedoQY0/Hy1jmM4WS8oYeD
vUsZZ9S1lU70ZcYapRgEpplKbWCsjdyWbg9PsRQwWyaJVptd6Hefr9CZoxEAtQw4gsAWB8BdB+W6
C3zXld1+0xj5kBmd2E2Ybtot7xxdaJe3bhWFyiRraJlPEOp0EMFAKd1poQLBAKXGwKDNiyJaRi61
EcwhxNx/MuQcpFXo48hJ23mc7tVjfC131mZdjydqWAEUtE/c39UZ9N/mxr3m8RPQRyg4BP+wQcfe
feKmLABAa+W8bGBn5MsGlVe+Fn0t4Ocb6I7miCS6QUNik/FsyOU7VZ+kTQgwQ4d6Q4AdMpffRYgi
vXDzTw/mRA65QUSvJDbF6e4rfd6tPBO+rMNJ6DMwdyPcByFDK2kZCAAH4Up/ftRWe1myIPXINr47
KTYhF7cKCCjF8MPqlX7/HaWiCJJp/roRWmc0/aZ2R1519nQRTrb6T7gNW40gKJxVbXEwyD/RR+Sc
0T9x8okoJ6FumNdGSdOBoiSe/zvE3bgH7G8fzeKbOkUrXYmQ5OgP/+5typ8ncvy8uXQXgXvH0S95
4ktPS0nKx69G4Hw4WB/42yR3ReCe8MRtxlzTESMd3g3FxL20wtgYpgVUIVCuP4jnMrPdbo6EauGP
zDm4xEg3zYjzrqAxdjYw+CxY/RowSTuy0zLwC6jnESIHpI5Si6kYIyTjc+UO03wLD3YI9D9hW/ea
iTlxY1uDy5OnzY40Gcvt+oc8a+dQQgLHD6r96z7n+XBX7+CrsMW/21hpJXpzFDxotVo9QRHmQGL4
itQacdJYMJJcbvR7/jRf7mpKnAVvh30UMtfYBL8NmxVGcqCF7/JCn4jGq1QmwD/v+Syt94hsWiBb
5v0PoSDGOF9TOGnAKsWu+6rVdbDdS0jcAQ3ci/17BNUqafjZWILov/qn1Q6qDOwy2XOmK0qGgN+/
r/ZotocxD4EgxOrhOai57xyPNfMvfrC/dixLQ0hYU69ipqxgZMEooIaG5alz0A25JPaId7UM4tmM
ju7AYMPm3GfD72in5ErqXvC2eOiytICAnwdtJPLT7BlUxNabbJU23zsCHKPK0xFPHeeGu6+GyRor
lA1yM7BiGGw66wVCJIRKJRNyIadi3et1U95icfK5KeLfZ+JDUJz0qk32bN3hWkIqTpxjnbPieS+9
FQu0sJciaOPTRXJBVhmQAbLRLKV4ZU/k26FR3Pr5xNBEeTx9D0TVhwKMtb180GbeNua72QLMpi47
wAxE6kU01d3MJv+TaR+Yho7aWQA76VWsJdQqAIsMMNRQYxeDmxg07ufoCl2Z7fIZzPhdxFAX1CwM
q9+O4Kb5yIm0nfzIiJp5wBf/dBdQz1pmmOA/04kHupQxNTQ//GlEChi3wa10ydtEXRqwZy02yghB
zaMsB7YkwmsLNGIPMHEtCj/xH4xJK2WLpEnGToRjt2NYpjsfMuDgsB+VJexUWFOpAsp4b/kOg8B5
A/pHn9OdOlRHhf7EYMJX1ui869QPs4mpZbfeE/9j0l3IZlefsYLqH+rj7mo15rRNR4pnKALkjc3f
hcPAb9/CjtGCi49xMb/FUBdOj1KogwuLprxHwjMArwtqv9GLaE1lhqHQbgPOUJyH0mkj6lRTWarG
gWIVB7i/PRKHQQx0TY36O5z85TIQqRWw5jnaErkl11dk8dIIpD2fyYEY0uWMFbfe5c7RI/MeCnrz
6kFPMW67u9S4iS9r+HJEp2yMAo9UuyimyNER3QBbHvqpSI6gGRzE2xuh4Kf1PKAuOG57HyMwjJ5j
JDLywus8umNV5DSawpY+uIm82IriMcqSi51pS3Jh1Lxpf95sAaw/t8ohnZXs8b8wVNNKnNZtpY4a
c5tVvgCGag4hGAxloeljPCrzRihYhM0pKBvNniDv5JyEW1CHENe7Oma0XSY5YnVTAOTbRwjfpUJd
15mMdiWnUJ2OLYgMzm5zU8Fu+lTUM1KY0tAPGU+lXOKnadEpLSI+wdf3OENe/2on15mp9zWTlb5a
5/a87ImQ4p/07i2dkE9vSJ8NPSZqJ2WMfVCYUJXqmWNQP1GzYgs1JpjFRhajo5d2jqIgFJjXUWon
UPHAB2D8gto8YrZZemcUotpolr5WtXHTCFQlxQCZ76ASkX5zAqfiWzJiqeHg+bVOMJKWXvIj73n6
Bdk2NB8fnA3iWee4lIFkg1+JTouJQiht3m5b3vGWxVMplweuDFpWU2vHJ1k3K8trNDLy37W4m7/a
l3syl+dtUgiNC2fOVDdaO3hlrWwBqcHXrq3Tk737NELX6ngS/yU8VesL4nlIFycfwa1FExwEouhl
9tu39htXrw+ROfUzcxwIhb7NAUBy+MZZq+ZKxmuDLLfxVrh/Zj7veQNU27PmIbvwLl/crOk9vFaT
v+hBHWQWqElIHnKe7HTKDCdxIqpyYEi3BcEHRo4ZKIno2oLKueaOiCO63/bFe1Klv9ifiQZ2wweG
EJc+v4qN9v8o4EBnF3PePP1UeHdanpQb6+lFUtpdTQlE+PVhxNVuGeyt7Fb3+7BnxTf83KF+WiEk
c1lrqMRcOuFkhWjsnQq0x4BPdX7WJCzuUUlLnvup6KhF5j4JHAiIboI4qsfKFeGkLlcFdvdB1mT2
fpVrkJta2HNXMETu/kjn+/pmI5G1uRBeC/6hA0emkf1ZaZwrUhDnKvMkc2Y1VDp92O4X5HuFPRqo
S4AH+OlS0ScEV0UjdOQyyVI9lSqOM+uAFZ2oWony7/u8IKcrrh/EdWolmKpMIagzmjzYxIfQohv4
+8R/iRFtUq7ncT5XmrYvXLDorWH3h1QkgItAb4HnV7QumVtJluzl2Ocw5MvRqwG4Y2iOiiOBlfNm
4OnM/BFb0KemLVOZbQ9isXg35NROSLZeVMTACBa/rNHKxPfOvG+0YozRPLtQ4fFxkiPHbQ5uKHoS
koKRCjiGtcTx+Ab/jKE/MhtcBdVUUmWXDdxa7kojcTNUsal6SZLY42szEH2/yr2GHSZfZRFWn95K
E0/tZ45/7glnPCrKTHt5CFHlUuXAI/em9H7zBg5O8C3BldvzofIXPtyBLbDqZR3juipV2PnijzUD
aErW0qF2ZW35FmM6fCay9T/PtLZiqXbL1neW/C+Uk4Cl9I7AzG5miMm8iqteLx/n0tImxvRczrBl
l+gQHWtTVYDlSgWD+SCfmY5iwBcOXXBkHLSq2nDuJtvx9gl6fNSkZg76pSHKCA+wh5UrMY4mqFjF
TYVJcx3gsmhxxwYBLOtx0v49OpK92PGNOK46hza2SGIvkTdZJ8LQNUvks2SYyB7qQbgIt+vFOfYf
D3X/yZrx2rXRW9sVUL6111xmPj6+742wq8htF1tHl0hWewoE7gWxXkQuKkIUOO16Mj6oN0bz5s6w
0jA/YaZ1nc7cWvShlRF69UacWL9j6xIKxlR+5e//Zfq/n5kgI7JEnNV/B9WAQm/Zhh+TAvZkYhgv
FPuHza1qLt0Dt+5t/AIn8PV58fEkMNIPIpysXpsUBJj2rSX4O+iLGXf1tELdnxja1+wfHSh9L87Z
dGa3jD7ONzoE0o8f5awfHCPzfg+vU0MujnE8ZJQLECX44rRygmY1Fx6euNi7rexTq7YRs8i7Sq4Z
0+hcfMgpGeArP2JKE9UMnzsjQ/uCpCw1LSfTiCVaOVr6ZT/vECGBGXKkHGoWLFQ6NmU68N6ZOwgu
/g4gRPehRKKrQO/vK2JR9HjuI+iVuT/p2AtCn80teNy9lQq8PTksrTSK/Kbi8BENXumuAZq2TBsY
S8y3IajY2lijmKMLf8RiJAEF9T01tcDZvXFmo8te3ALkusrWd/1okEjD0hhYzi9gi66RQqPsbfj1
2CBw9D2Oethj8WVKRx6fj0CA0CJHf0Y73qk6W/HJCOjcDbXpi+IE+qCqrpbPmdRqlKPuplzNTMjX
/TUcfuongkkS1F1joVeU54HcmTds7orlydPPyCVF5S0uvXrNDa9fntjYehE91rxrvX+E6Qfjgavn
DEs7RNlMFX5AvzIQKUTfWMyq0zTmySsYIVtvUZaJ1RToYRGk9L4ageDG3bRZu5HUhM7tL1hOM9+W
UFTejNwmC9uDZ8Z+Xhjrjei9U37vZWS1IGMdoUhKCd3p490/e0p3JUnfb5ZFbw2euHukDHotYe9q
/BcpA+qUlb3HM+GXhRh8WmZEGEbSB0II3862ikdbLe7SdoqZnYqsbEb65a4XGr/zIPRdtZRBMzyR
16ZzoC923zCS7GciAzwqrDrReyx1bhj3Jlbk1TLcVSp7bDnWsylrbGVDdV1A+7RIl2/Z30r1kPpu
arumKAbZ5+EVWxFo5IzQ/u6ImMzoeqjnHmG4pRRZE4TsTCujIAjTAZcHI1x3EtvKHuimeBZa2BOl
vOOWFFllvla6xqXeZ/sARKfmo0FlzsOsRF1UPC/eHWUIudKeCJNJ22Z2jXzZMD9ksy2S9QBhdOy4
g8Lmddt0KZLdPb8bKGtJ02glhpy+HzyKNSovAgVV+etG7phDhcFOTfLzyOIQyoqHyvLrMO0mcw6P
u+4ttKh+YmQcSIVkQfYV4R3RQuzlTN7jHgurpqD+ubk3dq9eQBq4wCdj1PH/xWuM2riDF8j97WQ5
2PR2R+T0kWiTZRvL2T+Rqb2J2Qbc7dkRg1q8TBLKDtTs2qaODQo3U5vIvbLf9FdZp8bv0JIDD+2w
7PwX9iHKYAQ6dDkznkkeGXEl86FkT446IoM7fJGjKn/0KVaHRl437UcN/U51f/KMcjD+RipOu78G
J3VUE+YFL14fR9ZMzElifHmylxY+xIxrn7AzL/SV7/DycTO+e/dyH5vn9o+vfghiT0zx2QpG3uJN
5LY2exsiIrXHaIJu9DIIvhP7mQQLRFqtIRDqtXBqIZyuS2YVpDmKTIuwcE2ehlLvNoE5TtHufS/X
OPHcXcx753tkY4gFAGWjzYeHlyXbCDB1LfKPPN5GiBST2uln7DwxFxtCaKz45H7MDuHeINDPvBdS
zZFIyBe1xEnEr82fRk+hLQJ1KhkdtOChTKUsTDdMbqTrypcXipDxYvrk9JpNNPLAU6PpeumPqPEe
rUXr5JndNDFKg5NJsaOmpBQqJdmhZ8UJ3knGuHs3qnxoHsuG7P0gdx9fAnd7IDFodcF/4y81HJBg
oeptFoOWhiMFlyFltTPErceE35q3BoWCbE3ZnwUdBWQyLu2TsM+SCRq57UgCq6YTqpj7JF7XOXA0
+UWiBNPXa85+1SQtpxh7fs6o2l9ax8M8pHmrEN0hb4mfQAXgcOHrUlBobgRICaBQ5TeadnOZ5g/a
no8hILRL44g4+cJAhY7rpHtIw3BG5VSfKtr23oEIJ8bme4f8KMlN/+Uquwz6sHO4RuHebWWsRf1F
6ESnx6h0gIgZKulL5RW22tDsNdaSR3L38pl9DsHWZQaBSF7c0GLttXncYmN7VmPH34y/ES8svYid
bp3r8GM8PFXDKvEFfsaVXCinkPknE0T6exbzWa7a90UehhizV3wZjds+Eb19/LfECzMOX1gEPyTE
4Hn4PogyjucQCc96udhA8kN0UWDGfrJ/D2gyajS7uaSCPaGOYFh3LzqiqqDfrgJXYjsEsEW6nWk2
9GWgCy1pecWORWvNcbX9PBB85opL3Isp/zahxj9sAazGUuzPsJeb0yqAEfTiMuXg50bhl0ynRsHU
YcEJVq8NXp9uJ3LVJEA04GoOK/ROQeWhYkr3IPmhL96zuGP1Lc535l1Qf9wZCzxxP4x9i2EBOmd8
F+iuMOqd/wnGLUY/xQJuxAyro69kqPY8R05wM/xZQctBv/dgBIkM/JSSv8M8R+HJBfCwV0YrZrCW
3diVMUj6UeqBgA2f9RAKC0/U+YuSmsgO4I7Yu2EK6V8+mrN/uywfd4QD/ST1mLQrRMjXnTbO2HzP
4s8l42wZqja+N/42Rhsd7wPPGmK44s1zZiAmiwWsytWkVeR0AnqaF49wtIw/aewUPBg/PlpzhmnH
TzAkzpFjlel1394h1Va5JQjG9WBPOUm5xBIt+DbYwjgQVdTyCqEA+Z8f+SQm79k6Qa+6fsjV12AO
ySOKwn9am0xzp3jljK/zaHu8jGjq7kjaSDD8ZuLEdr3zFlxLm62Dhy6BPF9n6gwsQBuiq91sWmaZ
G8SS7zhh3p1dRU1pKnc/7PSkE5m/ue+oCx8aIpQ8pkZoFQEf3FgH+X6MC4iFfUkU3Q9OozZqkRj+
l+J7x5szQh24vRrAEvXM77QZzcInFr914sPSwaif05ZyDBsN6oJRlWDawRl2AgrQXHM9a7b2/6qu
NwkcZdxOqZSil4JKZy+hhoKGgNEhR9jEke7fCVKCFlHGJjjHMyR9nW54cHlZWnfSOQpE6l6S/gBj
Lz0b3cvHVv6msgZjkODPO2YXVqsOQ38bCWa68VJqSKWgK8qLNVfFnPm95FunVB0F33WEwUg1Gg5s
kS014WFbLlDZsYE2s04/VXAaCEruTfFDnSsQjPXzhPcjSHg8RGJhofRxYZagqBjhRYyxLhmD3/Ov
v4P0oEGSgsqXqhgUYwQ5TgGNueEVUlbJ459fqkOpz4kPe8ldRNBsHaLji8sZss7TXrF89kAm2uW2
jhHTt1VYL/rMgOKENZkK739jnB5k6l1wSh1RklONg1GlxupRo6IkKDc/88P1oxMc4iiwsxfl5D9O
lV5YmUlK22UPr6ZYd8P9ukz2c3SGsh7rOSZOONsNoyzuInN8pDzvZuiEZ99RQVaeIYiQUVvEwGVX
tFEVprCktcYqIMisvFiRslmyazS39e04AuRm8KecxTNWSjycuuvXVyb9pFkoUEyG+rhoc/uRWR3J
WJadosoYADZEcErtcsc+SblOFCObZ9eVMybtcjKyINJb3hO1YUhtnwMLbMEZtOQf3nXVBKRozlLy
Egj8hpkIa6Ifwkw/A7BN50YjhUeJ7IpDIpP0/UnzR9VpNuJQBnd+2jZ6mdmrXpj8Iomj4hBx2dHs
4gfOMKzEp7UzT9Jnywo0W+XvbGR7OnOFhIrmF/dyCHEbYrW23d1tox90sssNRv3VGTHKRjq5nQJc
tzET4LM9/FQ0aIDfwGB9pv24f6itfBF2iYzdN3j7yat7CY+cv2b2GT99xsNZ/vs97q0m1d6DlwE4
ak1lRGlJR9Y0d2Db+aEFHzLU5rd3cxfG4OPeIhyJEgHe+oG5dBDN7tjfY+6QE99xkVLS6H2jvR8M
+7Z7+tZmtdxQTfJ8k8T3SK6amNoSH5r/pFyPAc12064GVWFxoaq9Q+udaKhAzrjCx1KiAeH7T6EU
EE5bkAejet2kUP2cw4lmpAvYi4tCrEiMETTuuV1TUrKZRxFPGMHOVtD7A7KAhFg/xsmD4HMt12AQ
RAR0LnCyUF2CAR5+ASHsfrdORTnY1Ie5GftozD+HRmdv6MQTbuzq2V8o/I//D+sI4nYFvQjVt7KS
TzpA0mPCdiQ4od/uKZM8XAHdoAcCbq2q3nj5mKMPpToU/1uLCfOUderggKSyNf082XV2VkcOm8hi
4pYq6o+YhP4L3+URAuNfrJf4qwa8DuCu7BXT3NE6n97wm8RFE0hJlE3X/9EMAwC0lY5l9xTGhxVu
POpnshpmO9f5SHRdof0DYh7tmKAxOvMADClleYk+rHkAFoV2embDRuDq6nZNbYo+R+KoMJQ+6CkE
C4dLvr2J/mla5cD2+oNGcCMX1UkY9HdEOQTrJAgHX96hn23DMrD6wBwH/YBTSCTEqMl6QKUGlofP
LRjqx6r3vhP3J71JeHS1bowg47KI/ZHYNZOBbzqOwHod1q2gR8oH9LJw7FdvtF8N4e82QZ4xdDdJ
JKMUxTpXUn6ddZGx/wvjY1MeHbt3CokQmTHTdCsv6r6i5nKheLp6n0lfcZWpOPeiF+PaPwZA9Do3
EY6HbmVRrvmIBuQ1fR9dljCkIvkVkloLPimQSnidAakfwoPiDXRkRzCUOJ49fv1Z3dQ2SulbEcG+
Oq8JVxF5f8NOiyCHgg3kPA+QAbYdhVT6JPG/qybE8Jj5BiLPdZxs34yCk/cMiFwDJAy3Rk6MWoA7
a3DQheBV4s80Lmjpl0294COuqbiwSO5EzKCjuepN3pHQTTS563CvKm7k5rwqO/EQN8DkQ6rpV7FZ
xXp3H7TrMLhXrVKFlMOqzGWDoefVbYTlpCyec4N7G86/k4i6YpVnclRwc7UM/pY/gFylS2633VIY
MEcUUIr4HrN0ZWWN0kHl6ITIZR7/2AqoR+W79IQDfhOPFSFJC3mPuzFujSEdmjOvRJ5Ip4JEiWv7
zRXf80Cmqq56u5UcPozrSYRywAlO7Yc7Iw91BSpEmEzYFvjrykQz31Gzsh+JG59oPISgUapMtPyB
0p4GNFrAgGht3SELUQ6AkNT6PEqcyVbc5HWD/UCcfMtKxDKGXU5smMEvpWP+afbMnferzsTGcZpI
3RMP1wJjrPFm0cagykd38eBfXecIGd3eHV9cb2ReeHKyAqIyan6jg8KtMbPNLtKXbTCBy2JE2wau
yjbA3k66iL5151irPtAGmgKfl4wxgwEaeLXO5PBli6pshiZ1beEtwKxC5RzuDdBYSUL65XlbtBud
Th7bW4twRdunWIsDvEUnQX4oHFa7jGOTzXrdZ6o+ZZXwASizi/3Y6dNwkOUKQHe01RjYlp7iH7T8
nvz+ruwqeJg82G6C2LXCdzqs9pu1lEbkHSG00naWNZfh6wXDXBltmO7CJ7tu4sodPEBZv+9ryCle
PuZYE2RPQsOVbzFa4LXBrEJEQn7UEu7khKZiUbWEPhXdO5Ns1/N3YOlJ8l/hkUZ/3JxROaOvF6ow
vPYMbYEI5rkkhPNQtHv4iwD6FxEKBpTsbnB9QwaPFiZXTwjzCe23sGsXsr1Z9N2Bij9DfEpTFTAe
/lNEYiomUZ7ytggnUPI173YSJP+sGexXm5YnHMsJQg0SfbRHaLaukXeez2Ty9cUdFp5la8yBTSpw
JzPNZChtxd0ItHRvF/EbTx0hP1MK16lsR0ju6uXKtQqt/HezXIWodd33OAHzqWEOCw1ftQQ4CWot
xnFo8T1f4jyypjtVdZGO6mVKVWWUr2gzFhCEiEb6ZDcuot2G2LkiUYcYbNoxXNOjCij3nuyPAWId
K8vnrcbuLp64GVUBT786bI5hrZR+AnqdjsHIyO7+Ztq1aCcWnkmDnPzw8ncRP7JY/Ie8zq/GIexW
6k1Rja8DP5wPVWIyi5ikMy+QbPnUjzZi/yNcDZDMy8WTLSCYS42Nrs5QDdy+YjS2FoTyGo+HDyR1
J2o2kRkvf+HpLxkWSS/+q1DJvu0RMJxiVl4T8fYG2bga8/24pR+NTF1xmFeidCNibzGdtOeV5lZH
KvcUxOxbeW/KcJI4cgmA/ZP7AfU9TJpjrKJehDiuMdJXOJfEGMisBQNwnpINRa70I6MYt+dAZfF8
EjS8AZLZdTKLZD1iL9g7ju7pxZ8SqkH3wTjjfdQ0sqsPSYN+Q2TEZ65EB9k2aTJp7fTp+Wplh/2p
KD9OD4MkpQ20jv+Uk6KOf18N+JDqJ3hk8auL8lcAl3QQ1JIDHQFuUNeSsqo29P2IKjdFKA+Dw4CT
Aqu+1BB50xNtuJOWfHVh94Cq8UMvoKEHJbm6ASLvgi9iAdcBzB/yCCRKSWbRaiiMJJDAChXu7QGy
rt7h/ugVX2Z984gPgRm2AMpYGIhirhiwX5lhhbh9Nnc6QbAvCRNNTjLyBVjqMuUCV0oQacfm2QIX
FdXD++NI3eAAzadWxqS9LtJUhb0Cg4QOWC2C4KaDZxVXnXthd0NQEdS3pL0oZCfmnMBqNdtOSTTb
VScLh/br2hqgn2SpARvhWDtyrNfwkOyVZgQVYjUi4eTeuMmQBIVdWL2geYthp0rLkVFlSnW6cYYA
vTX9VkDYZSXEctc5TXX7H+UKA4YDq4dElCHrrdhGK+0oJZlPok5mLPTUu8ezgOD8RtKDhZXPkCp0
9hFkmZjLfMo5B9BMHOiKek580xwqmkG+tO+qtHA+Q8ihyFpovXYsrlREvDyEEeBrajenWultlA4b
Hwn12YdM1YaFYMPW52SbyazfwuBdM63shILXyPRt15Rnr+B2KqxLpEqpU0ecvcNdBZ1uey9NX3g4
t5zSpzTbOOz2pSuQ23naX1ZVla75MuWVRFOOFAwwIH9M/JDZGTB6X7RnsdIO/1VqbyKEKY7dr8Gv
hcs/GGQlMf4R1F6UuZPD+bd1XgIpl/t0l3voiFxdnbjd5A7Q3loXweklopo+EnMjJOg+fhywg+ta
XrV1XFHy5UKDmtYFVk2pOmCM2+UJ5Qt+xgGEdH2pVsp3jgljQxRzvUU5CE5MItQrmkfOE7FBRz+R
eg/QOsMAGDviwQ6yUMzoRqXIvgPtzxA08OJUjfnrc9zDGDk2OZ6ugYne83SF72xDXQhz+bFr8Lap
jHxBLNzCmzYqaX9AvVD83+de+HLgKmKxR4sxrRyl1ctOrTK1ErNZs/iTXQIOePWO3R+3UhZWMgfB
L7cUuYAaQ/T+1q67Jf5JWNDGfhQlZ53kljIV/Gti8Gnzi7PQD8Ba8Y/9ZZN2eVkwdluFNMjMV46F
cwxr081l2pJ7/se2eSmNeYOdrFeUovt+5CJtr7DGoia6e9ERnlykYRfv900z3He1QAbECoDTA3mn
EsQPy3VQLtKHuf+rW03hdmfvIk+o5Om6lEOauq087xNxjzaafbtzjqvYNZGguDkpFjGs0vOZyXOi
9Ocq9TIoNR+5j16yi2keY0sUo3nn/zChkWhn65HTXQzkSd3Yqyyp95Sqet+YD2Yy9xiQAPnbU6eK
gr0K2ltjxdeKPzicfpCbr14xnwgmihTgNUvzqIjHPswrEWMJnq1/xXLXf6bXBFLPfs0dHKDcqlvV
qqDe41EKfFKc4gyv4SuUootCF07/sc//o5IyFasLzsjCMoCdHqCoVwi+tljH+Q8BgecPPvF9VJpm
MhYflQdgrjuLve/ooOwl6z4TR6FFHbXSgx/+E1IaXjrAtxz4TmJjDeECaMJAATYGov8z6QVcL4CW
/dHmrR8lRb/KFKUpn6ATRI/2rl/NlocX3JKiae7nNPfIRZ+jLkudX6WJwJiEw+pZMl7sJJYj5iZg
3Qn38ROj/MNHT2NglRsO76vKzSBdkMSbpGo53ocuksdGOC5jUiMOJwI6CRKn879v5dDYfagDjRsL
sppZer2WE1P/3YOZsPLUrapBUW8UFcpdDfo648pQPsJXj9BDBFlDuArrzAnQSRcJmAwWez/vnMAD
uTIazYYNS3si2oErxOkZvw0n3ZKtSSdPSwWhrhV6czORDSFvEHpWUKyJgF5CkyktLX/p67AMwOag
ATZaNji1p+hwbst4p1SXl++RgIbx0lyv/yl1zC+RabpQmhhCPqezEFz0qevz2tdUe+9DhIvSk69r
c0eXTQCMXIzqF8hU9LaZF6cZrfkUrZIlFGSaIQCZowjkBp0GJ7IHNKLogZEoUBV1drTPZ48o6UBh
KZ/ZIi19ztxdh+fTf2W+UdOlZz774K1r0bqsHxZRgdE85/YfF6MGCMhNAEQ7uUIFBTOOsL5x0nKA
3AWtZ41Wda5LpH/3kP54Z42Lzd6/TWP81uQaUuU+Ss+SmnRKo4SJ226D7BA+DiwjTieNjoZaTaq7
mhTPfbcKsc8mBJiHuCDP7lM+Lnmt3ZhOZisRnBh/4G53pHSTQRu1Lc6A0JAx2XlOTIb6XaK4jJ0j
wkXduDMNME5Z78VU/7DfkmtjaZ3GO2FrrXFRvkTUhDa40HJcWODRBYdmGAgs9u14c9+jCDdUw5pM
AifHmuHsZfQzJ2Bu8bLSGJk75hsifGn+/d/Auu9bDiw8RsIpDEWru1FhC2gXVne+LXzNBT+U8u44
PiEeJyCir9V7NMW7ev4yHyx88QOz/cyWPNO3s/pu+80tBxk6suc37WfIFzRRR/C/OMDN4+uK8qx5
U1YLq16m/RHrq0pf/bCYEyqpSLP7q9bDcfYL6PyO63xHDKTQrIGBqYqKMYe2aR0vHoQqKn86W4f4
lTAtcGZE6U8FFwUjBa+RQm2swF+BowDwmOBqHdm6sowNzrnWQG1zJMtzk8feEFft1Ky0E0RIWspU
fFVyYrZXOXD4WhE7qupomJla1rejRD+E7jaa8cAXUTfeYGje5c8jQYcfP7K2S8vALwcqELm6bxMd
yYSaHf6YyThMxFcAqwpvXbqpPrDUZ7sGCWmEAVEAcimovXWIAM6poTnCHluWe71HwdmJLMvG0Pa3
Y4QVnqCJlIFDxnHQZs+XY2HIBil1knhMBVkH6MVaMoSKeulT3fpQnUT5prji4s8MXRSr9GVB5uT8
uZAh408MzJe4QuiLFliDYB7YmEgpROqLLdQFqHzEHmJ9cpcKgVC1SpSNwFgXYUX0kbCesWzcStXs
uAfBvml+Qk1vmSYkvyCMX3tbS0WiG8DABHJMTk7cwkB7ejEl9LlKOle4MFEwgT/6oLNFP5J3SRwf
CkWbhKdFzQDu0BYf9XojGEvHsTRU8lfu8+k7m446+dAsAh4vKJ+bseF5fkDgf9yq+d+uw+FaUeLh
BMQ0QTSsTjiArQ/Cq0b7nefkFVSPN3t9OKUI+iPpLw3wPl50Iba1y8itp0cgsWOxh0YniXjXzFfg
7x8n/Lg9nrXEGNdTNcSFX8MhEVDJm/HDoozHD1BCjy9uWBqC0UPUA3aKnvLY9NvAQBxuAz0sRCZj
zeI0NQ6uQOk7MhXyzMspYSV7rkTMkCfxaxE219rTPuMl2KrwpH3vk2BmwqUi89A4NzJe5zPp9hHH
tiF1ZfWZtXIWbESX0J1R413r77QtwlZlOc7la3IJ5clNwUbNkavOL0dCtJEUdGsUbUjg48J7HyxP
JJdTjafsfUYE2MJQCvSclq1WvgiFztdUnwYta4x36kuaLm7lFDeM2UF9epNyvlm9wKByW5b5clCS
mjgaDEHzFE+wl2kvFFya9/PKSUvgkbjvRdu1hd5DY13JeMYBkpDyezALMJMvSMfx/xczIQeAh0le
CNHHofq0RbvmFIPcxG/Y5f+AMFgpZmxRQVGj+UJI5oHzKhGw/qkQVVEIeJFRMENTPvbeSc6M3j0i
S1Va/8JFTpfvRzRHvfLNP/zqlv18UNL7JR6xWwI4LDLt9Cwkk/lKTTndCfV4x7A3GzhA6DlKexXL
yQrGDn1CSwk4FTaj/+eJiU4dYmRY7DcBbVtQYFjhysSqA+8OVtl64OTF6do2k6nwu+s6XdkEivvP
Hz36mbTJRjG2BTBT8BGrVKPn1/JvlLnllIGWTJzgHX8yhSq4UOJ19kTBg7MMrw02AQ0IGepmUEXr
5RjLBrBs7DXqs1agw32JaJiTGIt2vK/0kFNWU8fAbpzZ1DJbM6wlyx/CmjMQLqkA7oBzXb+6GNom
9XDGcYvhFX/yggkdsaCthe9rbeOEC4IGZJTAdwSyVu5YofGeGWWr9+OezxlIoqZzy8Bfpj5s+aso
eWV+xH9dz2bIaMJVuS6sttO4ZztZzD7i5RZA5Lqqx06WQ5tLYOPwhMn9N11799P509ieFF7meI+y
6D5JGdu1S9PdnB+rTyqpn3JnMgtgi3ZGuvFEs0KKo2GRt2U/vvAmR3lSarAmm3VCMpitAiBDoMiH
YMOTxeXA50fjZ2UQybfM/Fhgokfj5eNnE13s71qc+xvI8MrbOuYnsIAoN0uRl2RywtPll5VBfP4S
j5PRC0Q2tNy9F3DCjiC+ZMe36auf9oXa/SOdzlywhqfyEdMEgWP/hhDU4N6kz1IHx/+boekBVRjG
mws+rEnjEagSbPOI7TtyvC1kmJrzaMtZ2gSQC3IUrI5PQBPLk6LaTlopGln3oyoIBOgRbTPgA6xV
9ynJXLnrjAbO6VFnJfMBdyZywQnPB15Qs7JmiO8rurKeOh5nbRABXf6Nc4NMtFMXWfQaN07EqGSb
LDZi+sGwggSs0Z/GKtjWXVTsomEm7ef2D4pQxh2QzGSdC2e19RbS7EgushnOAkM96KTXLfn61p/p
J4u3qYgL820qNWp5Xy1DKyfMfzgrug5wb+MUMhTe0f1DZ/kGEwjVrZRsB5giL7wWmx6LjZRW1fRW
zcTABgCOYjt030dEgCReRWp+3IuutXs38A6xmhYPdB6YhLJFx9D6jnfm/uN8vVkadP9RFkuU0Otv
6VhDxOdfz0ETDKGuFUCZtENS9q7Hw1+dPxaKpVfsCZ+PczdW0qybw673sqKVaSGH60sf5ZwK9QqP
4F7CCFc63PI989I6AWch8+gMyrxgG55ZVUjmWEMUuMfjuwkG9nEdpKyNRtPVo+jR4HiBi7kqooco
JntgEcepEzxK4z3FpdfCGWWJIwKaA8Ud6fIN+aXQ3dMMWARSVDNjxMmzThTibZQZBJDZShvRBJLf
nUQn4ffb9WwvQ5Zsm834k8L/YBOcarMu0eKwTY5etxUxoTlr7pzPtAiWM75EhFR4JCsbkyi3L2Yn
aPUzCIUvHbndF2txVoYxeTjntBFeCScN7blwZIxytIApSpm68EjG6OaQzm4jgk7J1e68LS50sAfk
xET2t3fL7+e7RHb8zWNR1nEtc9+yGJJcUfxkKI1ZqOXl4P8BsZZbHWJcNKDhUXgrJZNrQb0FycsL
T69ptm+YNNnZHcGLZzGUqhQcblY8rHz0crmOfMY2CfoUvXT1VTNq92y6+pqH1mxRk6+GnRvhyEEz
4DM4k2evFrGGM1RY7lhenSYJ8m9Sb3tghGuJN5waU7bgf+BNp061Eh/rpUAtfeIlTOsroXK7LWdz
UN+P4DsMGZrcs16rfFwjca5VZ3qR5xxe44MnOE40npFmEQlslYStPtfB8Y1nQCOyJ+lt3jYGG/fp
KYnjkgVXHw7evTSTnClHQwfPMGbxliU0RI7Tom8vezxmvy1v1OeWgB28j3kZkH2OHg7fVZXX0ZHF
cWJoWihgAi+XPmjM5hleoSQXNu7CDllfJzuHiiSFCyYAljo1Q6YWy6N0dTYFrJhE3BL/C76pZEWj
+bbYC4OlXhE1OVq31wtEE6LdUXFtO0DPQxwcanoHtutgBPpA6JITdonGdVHJgicpAVm9oTdabLhE
fZKvKbiV8TgFgJoTrImGpiYXXx82ZmQm+H2G6v72HBGyO2lE+WdBTSE54BKb8AQmB4Cnfnzg9ADT
LHafa5unSQ9Rc+LIS2QqgUV6p1SHkvpDn7x9Chnuye3oa7LJ7YkEA2nFdRHydshUCB2uzIckhQt+
veWdZxy1pA1X0+qmGV2vYNO2D+twfNp7ALpPAChXJbl0cob7TGI33pMt5ENUklR0cZEv6wbn62TP
324jnPW4RIi3irokMESpXdkcb8Ft1AOMLywV88lYU4+6LlJYLRcQLbq3Iw/Qi/zFlXPLP/q99Mik
LGu/rrZ4B3pPZuB7RyIajyfXpGWYapaggxi/yZgtQl+0qqZ67XbNkJP6iAklLxtAqv0QIQQQBHhx
NdKgK49aeM+NUIW78YpgFZl6R+/2H8TG0ZcduNN0NxR4iJ3UOMsx5mVy/ol6n2KPdLbIt5bcRPno
KhwvLYwx6OkYvwDT0bOkW9/tQWW3qe+MrxM8+O+mLtGkuUNMoS/9aBTH51vHzCAYwBMJKsIBh+qN
Jbhc6HSqOct3CY9D9YBvUy4U98XDL3Q0Y0tdyFw5IzifwibTSFdFVJriBk0hfS4kLqwEbZiimoOY
cGbZPP0knGsfzbyg/X35HxxQ1HB2jlCDWmmAaiIyyK7H0zOwPsaOfbeLCthJKiK1ecQHVHQOBf3g
YbJ/4kkp4XnAUBymQXZrX7fnhX7gWcVPnorN3aQjsBISZ4mGdh4iAoH88ji7Ok2Yj7BvojZd2PJB
QE99n2a6cD2PaOQ/UpdVTh9kUTlGj8LgKd2gpLrNlLYPmznbbRHKS3if+t3pD5ymqci0oGwaG+WY
cyQnyuIJiF4mLXa2OapyAtTD6l1IfyZtF1BeS4L5g2WNM25SMY3ZOOERsBTnc6zBwl1EsNfHh2cc
sPgL8CcvNn/96YoRnLb8EDITn1JJNFw2pyazNiUpO38ab9AM/nt+2bPUVICTkYb26h/1WiDwxBiR
6Yij4asPjXSaPN428n3LsOMBx0DNPN1nuHB+d51gAFLaU4f0QWbOBNXIC61zKBYuBUTNfgFlWp6e
newwbybY53RTgpKi0PeMXRh36y5ShRFT+c+oavMjVN9UIWW4GoTPKUmvSfzwaEAm6BWeRYQ0Q6K8
X3c/EQ7n1HTIf53D43XUVHl1aX3je5nnJgjP+UDN3eo8fj4pt0jSHeOsW5rAJkR5Q3p8Jnwmk/MX
8GAjm1HXEDcYbPC53ZJUAEAWd/2SH/EbDeSG4jBQmv1KmoypSAE91d2p/cfo/NY/qwG5ptiA5BxN
NLjfZAi/AOr9uh62naoOKw64RD8zUWUjh/UHZzDWEsrC7M6DuJyXGYzDnYaW+5SiFBnEpUggOFC3
bJyc6kAGhQR11/5p17z6hxTkNEQQt6qTU5KNVsj9pK028kVDBy3aQYSHiqO/DGe1IzenQ1ekJ/I/
CTuwxXfogEb5tESdAe4y97n3r8MtMZtUoy9F2PeIkPyqBFpa6WtXUpmmDHdbTJP3nEiKIlUGX02P
PMH23XETjX2jgB9UZFGRYFo/XWLiytQJ9M+2LG6VxpfrnD5S2hXg0LyTuAWdF6brGtFaDQdZdawB
Zeyur4O+4rwiTGmKIDP93l2i/lbTDuIig6qw/xv2BYBzFo9vvAFsLlTa6BAxUnjnBRRDJ5CXbLPZ
q0q6eWNABZVHzZxJdvu5u3xTWNkvkzVWMxERLE6XHTgQf8j7ZntZZHTOcAF4jEchfep1k7EwkxIw
iuHp1y0orMOeSg+kVl3Noc/cqC2M3gV+/NX/au1ih/7nO7q+PUKuH8h93ujYklfTryf5AQDH02uo
GrVzJIXPozhtx6dwvbREfTF568hhy2mAuz0KPx8FuK4MKvndGD4oAy7WRkuNuy7xV+rpqVhFi8G3
u1vgIP1ym550HDPjJLXTF0DCC86k8hHaqENAZNxKtYcNBvX3BGplC35vHT0OScl8onM7HAXevhIQ
dUgzzz+64n0RC5AjifnRG91CWwssYWXVCmyFHk4XLld4YS76bwLIdhhJTcbczWUwXPoesCuk9eX0
Syz0RTEJTHc27mfG5GhZPLbpR6ndUJ/dzGmuTZkjWPu9oZ/a3E8fz4tb/RXE+tg/vh+4C3xyNrT/
DGRH34NtvNVNf9RHw/T87z74FiFqsG5iYaPSun2KJr9HVWNd4HX1h4YeH/YuEzv4DY72Wt+uPCAg
ohSnk0Fuo3CO1xWZXP9y8pd2UPnAxYrKsVlAEkYwofJiW4ZBGJQ0TY6DYrt86dBpXG57wqK3D/ZO
20xI/fFdU1cMWB83JacJKvR5xecqu49mnNvRjC/L5LjlYa9FQQqP+pJTF98rXqfkwyl3iwXpFwZn
JNTvsiMxf5PaGeTWxUYSu8lJv0FE0mtBj12F0Bnc/HLY/DQbE//G3305aKTkhfwNV7cx713RTrw6
vd3E2OmP7zsnvegpqVtlKJpIISNSSiluuIKDzg4wKWcOt7s0RIW2rs2bTxFLa0umA3KZCAVi97TQ
RJ7Q+o16gSRmAnSTHty0mlMcs2E5kMxl09+x7CGFsI0OMcX4dxL0bl6zbsslqzZoPZTRUFQ+Cdc0
iAuUCHjRQwPI9VNgZHTOdug1QB04+81JYG+QnzKA3TvWJIq8DEW6Kj+uJwcoLHt83vuYEzt5g793
enMFko7M2j8KQH77pwF6jh1t9J+ySUK4N7EpXJFcDjAdm6wOwOTJU59wmRQ8h5SkzH7qZrbxkjwh
m+zYTeYP6w8h7iBZ50rdoNv5keWyb9AIk30SWXxNtGsPjV27Vn3AEqmcoAA3PogT7ZmXiv6bMMxY
6t/hAFhuC1ff3RyNVF/YqVYLsLQKrST5fXahwosGa0T0cH8ivbronNY2GW4plcYzimGz5UQA2jJj
0gkGlooSJg2A9CA4Sl7W0IDTaeY4h0P7tNFHMAQSmaYcR+C0GpTQp1FzGjs4niUZgqhwS+t4yfcq
GpCDU3zLgWIiNOzoQ0FfQ5eGxuuNsuBbdhkYXHzxhfSxQkD7QHgflvkaSmlTqXtFnlAT2mM/LgTs
crPmsNTVosGS2QISN3idCge3IQlwv9mvcc75ETs3e/utoJ3DZfTCXaId09rDj5M+ouXQ7SqQ9WXE
3B0mCRVgD2vaSOVqC0VvDXsFGgSKWrtlNpwF4my9Snqneyz3mNPV5R3CK8KJKyT/X5q/xAwGxZnB
DvZ0MfKg1E1iBOVkE92OJwaw2yAYm2Q+pq0qFndiCGj7b3PDWxBcCnLPn7YYTcssTbTK3r1tVq0U
zHeyqqdd3eXK/dDePD3B8qt4Dg2+aEEZfDyaq0uprlc8u7y8lGvEDg11fLXzk0HuSEGcMeel3Pek
7+vF3bv+Kdo7EOEATZ1Anm+mEIqa9aUo7w8TeyfuwRqoqwE8QnpJQbbMWdet6qY5iLI708d/iywq
r0Yv0JlGH20zA3NsCPORyPVnRbIzcfX4CNBjlfbNWl57bcyhi2yx6meHOihgkDoLI4lwzGWWj/Lp
AxDfnL6SsdODdg9H1z75kShLaIvVvpz+Ohkd5D2NM3Zifqzgi+iJDn+HzulK8iP1s5J9rSvnPkeV
qYGPXOb5B/GalWkBLklGcZjmXirsZamHnCj7b9geZV7DzuKEOgriSkdiLDnY9FxeNcX1HYEFc75G
N1P544DyePGbq+DK5aX4/HXihAYxtKWFh4oO4H5dGVH9rvnXKA5Vx+BuTQmrQy8UxyQTa9+YI6Md
Po5BdVtH7EmDr26KpMKJc5a4IuUpJauYW6Ek4o896+bMWnJEWWlUKcPyfpUiPymDfpSiS/Vprx4o
pbPCXQuDAq+EE6U93i3IBOiMAQi6PfQyu23fx5qHSNY3eBoJ0QoYXTO24XVYC6VcwqAfirZEnxN0
5TT6G2WdHnNVI7HyK02QHVeYxu+WarzW+2oa+15gNWz7jzmJm1MExKJAg9lxe6t4R/q+hVChdZEV
vQQQo3F8eF0s/5j7tl1gIgJUEStl+8OmiHIb/3AXvQ/2+KFyBzNzZT4vRc01Cs/Gc4h1oL9tK95Q
medQ/GX810f/C/7hR7U1NSySpEbMii50ygS5+HwzelSz0OURaUmYNExNGYsTsLJeni7Tv91q5/Qb
TwAXqSiGro0UzyQs8hAbiDUt8f6g4Phr1updNPmWlyzOzuhYuf4dmG5Na6jPqz6IIzJqYQRpYOeD
Vq+Wn050653MQr6H6AqWhHqBu1hFhTLvB+lfZRA+NiGWGQGx4TCKwzYRKsJF9Vh7qb3p1QTJapRi
yupJeLv5y67G/ZgOFGwYMDCk9IRJ7gMTnnEYsfHbGO/48QyUC6oLFnXOtxZlCsvtP68yIMS5F5oa
l66VyuxGZUuhM2u4ouD50oAUI68FLztcrsGERViqFFhPG7pvMLOfbu3g409Suw1RIqQbQQYw1Bus
eV2KWz4RUa+QayLF/4bGSaN/lHFHg09LE94tZkjJ9QDbN+GzeunUBrNdfngh3Wk58rOFOgyIeSfS
4ToF7A70b2/KrF/mLrypf+V1rBGbV3A120sqmsr16UinrXvemf2MW66Kzx013Vtvv02SjiGnOC5G
uAghrQLwMZTvuw+nDLVOA/iH1GsCSFk5OMhCSbGgBU6u9/PP436q0UpDLAEamzOd38SaOxXWHcWI
awHmPPFl6+u9cEhBpso35Mm/9y38tAoNeZbUeFlbEduj/jZUUmrZfltxGOk94FzWk22kOMLlhGCS
pLqRXv08+k1MAg060twi0uZNUjDb199DrGdSHRcAV4I0rvZRRWfkHjx+Q6gE7XBS5vG9KH2BkFV4
DEhlhAI32R6XUVt02ZRRKald8a5uhjQtVqZi+wB0cfkWB2oekJe+Fx1KMx7C7Gq63SAZes/3qgVo
3HDQBPL236teIxFmtTcZYjs9fwL820gjuAf8Vh875cmAXxpR/FfdJ/Ifr/Y+NLoc0xZhXuMx/hDr
1ivfLwPT0k1x+i6NYtyLdWXkdrpAOmWuuqiEqfde0zj+H3+33Q+7HfE333LRUSy6nMV3ytWdhhDP
C0M4F7o75RZKEmFTV5KgNLF02E5XkZqYuTUapc3uactlUWZXoE/zHLeZtJxEee+o0/el04Jh2Jfr
RcU9EvKIHp2gIjscq9QEwI2/TY35t8tf5GbZb24OKDP9lenfKyYnJ3sP/aa3F1GeQmJYACt6uYg0
Wfv3jwPYExgxMVV38UaxnNlE/GN4VGn00MFWOvYmsjOb0L8CUICZvP4W+l9TCYPGVslmxEyX0vvr
3BFL1ArWGUSe4jirqf1UD2yhM77ekKF51KsLSRxjz8U+auuDcJl2qhMzEI5uNgnn/aQovabKjVnh
lxlSJhcKeAHEqn3eaEsK1/no6+Tox2omrjDar0BAOeaw7QXgBM/hMM9BhrQVq4pItGSV9ssnocgm
+JanFtn7JsDgm0K9c/pGJY6XiH8NrOe1ak3QQ2WCd6aiiQAb6MrhIR0pl6mlZkuycxPRuY/VbkLc
IAV3cvyCbhyc261FttRGYglUdf7UScAbngZg98kGUOl2zI/JkTfrN4Ylz23E2YPZmf47QX51iWc8
PxyYPe78DYWgIhPOOxdxitibJSYzW+GDHFebledpVZ1mrT2urz2beEImOifv8dP+ANIoIXpCOFCn
Ovpkk2PNqPVl3YToWuyEWX63CEfgtrhTOfIk0TiLyNXE1ksndteKvNtH3B6I4cr+y04XJUWnfdRh
zjHunstj+TD0WcQ5sgVXcxhat1UC9sHnVF72OYtvc8MU9a9622e6MAt/ZYHcIA7Zat+sRd6vGS0Y
W/i6r/qUjc+aW5nrMVQ272hOPvtASXXUovurREycpK8M079gVcjNXYNN15+r5LKVCtUuZ74ZZnCB
SiJXpbE3UZGn6KNKXovOljfPGgm8mn5XytB7NSaaF/68vzYVuAB/vTV7/ZyaR+6v2+I/yRavob5p
pKOqGhpK6fU5PBRHF34ZvdETLVqGRCmpNToOpvYNF4QwDoQEyRBskw3mrx84DmmC9j7X6LOPa1Jm
hGzDzd38M//pmJ1BM8JOm/z0aBGL/Zn3kjif9o3Rd+jH47VmborrxSQRPT6ZA2MMihXDcBTx96vt
S1nWcsRrgGvkiIbL7kQK6J+yDXmo4LpvFm6+BmiISOCxtIW5Y8Oc3kioLPJtvkKVc8f7v/8U/r5t
jFVnxoKcmizuJJ4f7hq4YjLhOMXtGyVURIAR5KiCucELaGh5VbwMnFYJiygopLgCF8UBBMyQKWm3
U8PyJJ7qtKJrBx9yS2/1oiSCUrfOA73MNheg1BOJy+dlSTZwo5BOVAwJ68yvXZ2DWnWzDO0DbAyT
i3njsS9s+aQ86wULGflA+2Y+exHzcM01a/Q1CfrOOdu4dL4wtIDRIA0uUoOsoLRwqYLw+vnFwGz+
hvImfYkDNSfc44ftQfyncld9A2mlDLeWfQnbwtZJhjvK0c/6NK2yHCR0alUk348WZQeitXm8TYUT
T2oJeU9MzArGkAj48cpKfiVGKa7KH2snLOVgj4V86w8rOmntbPqHIci7WXRrryFaNS+02NdhHvzU
SuzL5RlykIe6/chrz4q3BizE6mQblo7EKYcTtTiCrl2badh8/WAup2sCj4II2y1++2tH8RX0AB7L
1r8IHC5kEwmLPui44r4nnfhJ8C10L8DrUGewqQ9A4xu/e99dVaEL09cdOCBIRL3QhrOKIiwIDgo4
6LkOJxWst5Oudb8kmv0DYoel97JDvB1Djr3IXY3QlNPClM2rmPfqE1B/twbW2kr6qez3tS3JLppG
pUkWJloHm9gnuHDyXxy24ZfWwW6rwwJr+ZZoHv1GSsrFA05SQZWMTMslyHRjMMwG6CEIAtnrkKe6
JtbaHjAuvPkVko7YA/nY33vi188YuDQSynQyNiuF0VbF9owhAtA/o8Ldq1h/68pB6rHVJI9czT8I
UQRfJ2VWMhG5/Lb4U05IPuMUWKlnuHca1m3E1lM1sEZQgjz/47FLN/vxFYaEnfO9g5BNI6nlh563
9AR6HxIzdBU0P39K4tlEWjE1uEoQNKWc9TK2zKdlrqGQiOPVp/j9fu85+eiPl8Ps3bBNMPCiedf9
ixvlYv8/WbD09s9tESknZ9FNgoI0qYkCmkfWrrVzwl8gAtBNP1A1Yg7Kc5sjFR+JOCq5pJ3Yn9o7
udKVC/lF+Nt19HQ1YHVlEZ4/gm7pqPDUdBzNK8yfXYAlu8+kxVpoxETAaKogKS3a6U9fCY+kN54C
LNpSn2jsibwvSvCuO7ZYXw0hONxtS2+AVaK3kOcQ3MEuLDW6PqTtX6qQU37bPaIX2Py84cf6/Le6
HMM+txIHDbMP9gUT5k0jDPaj61sRJSNs9DmFNdyNiSm0PsyIf2GjtkuEbeRaNOIaN8mB6y9bYGwF
Abv8CvKSGZYa3mKiYpWgzbJMyft4xmbn7V4N5XFtn9kpXg4liG4y8Yo6WVy67qQ5LHSp5fqEwjJS
dTxe2RVWJkbRezlBM+ZNO2PI6BFTzHH1pLnQQaRBkbirWP+IB4YONmzKV9KEsXL6mDsEam7kmsgn
PIIfMtmVqjwyS+sC3PFVbZa6OOjZjMP1LgFjwueHWtObY5MZENWzh8NKbxRCwEn+IlMJPSXzujNH
cn4rj+a1HMnHydgYxFkMKow0DEV1V2LSwDr8Gtr1+F02mNqCssXD794saWm9xz6uEwn4T5LhQ8IN
ZFsWiVvEmPoND3DQsGUY2Nt2Og0HOixzuHRlp2WIvUFizw12+okZQZdpsDeUofXQjUhGKyp55RQ+
cSP5JgO9TrQcctzxPU6CY52QafnLCU3ayJyMLxlLdcnY1h2dbTTKop28cTZU+dNPmfGYkex3dFU1
hgCtm2mnw3UZOQCql3WMydX1WPfZAtIrrontW7H273VDmUX8ixWYCE6XtZot3M1IDE+mFE2ApP5U
3CpRqXyYhJCN92jeDbJwRM9OOvgKi5HMRFb7uDs/irzHfVZcSxOvssVBhj0FUIkdHO71R+H+JQJq
zIuAghYugfqN974WuK16Q6O8bP0azzxxpQ1aTR8E85fsAFWfEYBga+R0oS2CHSuxHic+T8nk6npy
SPQ2OJyI96Ut+H/lp1mq/Sy01+xI39Hp+Mjhk6CL3Ke4RghtKoGRMFU9oqnCvgkdlCUcK2N/aTRP
L2knrQ3+z7YNEu+QR/6ebP4DjMTO4oUWdbHRFq5zJt2DcYLPyMdpgCs7ZtfclvDTPCC027tQRFEC
/zxPO6syDPdX62Q7F7hruKmlXDwriFznZrbgGex6jhHRlz9RSdxcQFVQrfl1dtTrDyNhvlOTpxKL
Sj6HwExXMDR2jb0CP0fSJEKhoDDNqu9EGW5u0RRdIQ7b/qgZqxKdNryIH8PDXCUBJQXPmwrM7A2S
exqkY8xrJfJ9y9ooNOuGtY73bZtN4+RylHLp/szM0CBw11q5RLUdguI79A4W+k+/z5P4YDNvREf6
60Uvi0MrQx9C7mAc7a61I+QuSBn/SAgbHqj4meNZ887FTU6RhC8mQYNPEI6w6Wl/afIuCkHT5p8Y
4xazjR6XFbQxmuW3/Ckt98HQX5nxMImIlI/dXuBx+BjZSiPuHfludw+4Kt1wcMdsFshcpjmo6aGX
GoWqKM+koHe8twuZsGuQB2moEMlSOEP3EgBO7G1LyfovPaVgBHFfA0ANRJ2VMYZ5DnjPIE+fEU5m
KtQq88yYsTXg1HTfc7PYxbtJ7YgtjlEPYNEiq9FYiftCr2tfx+51+nRDBI4DV+WLlzgMo+Sr7r+C
HSsXqIblgBbnP3tY6Xs2m35iSXdwM3/bJ6CCw9jscM9t/h9/GevUY0FDCBiz3CTW2g50gSoku0+k
SbPyLECrH7B7Sn+KyYhiiEE/AiLvWaf4hsLm2kxMyG/SkwmV0h6vZkYdbFXWaWTlxhQKYAzzXIgE
d33r0N98kAhEN1HkL7C5m8NE6Oh9AWW9l1pzGXzq9iGUDoXxHZ5BK9YGGZ5Gg7IKfuyg7GhEJPxH
qY0551Ml5b7DIqFtXHBQpgRKnreG3C/U7QHqsP4UozIw6X/EceOhcFZ2Oa57tCTqSDzZMAuAEV8b
mRzP6bMC3DiCMI7CVP3wxSVUaoxJQs0ABXpk05uPm5lTOaF+44cY8FOu8VW+92lGBoXHtiSeNBLh
u2BZjUNmBgfww2Ex4VYsgeSifbCq1mimVI/LUHEgBUdlyxngScQy1cjGDnIh/m5gUFAKvZdtZKjP
0XHFpPe7JsZ93t4hy2ZpxSrwgnRrO808DHkPEEOZBLx7I6r1EmMocXG2cTNNABp4TT6hkmZPb0+T
yP1+xh5F55liphGtUlrIcURcNt3GSSIQAbgnzHjWyLUygdlYgwrBvt1YY7pnDHIBFY3GhpvTNYJz
UXr3iC4/0smBzl9k4IhvgHDvK2znCfvR1HmtfHfigCAvyRQipJmMfmZ1J27Ht2tG/BLzAF8bEON3
hgFEy+gGwpU/jhcixXPL5Str+2S0u3FuzX39ZpMWk1fldMpLRdYX+QXSsgM9CGhg+TxWfht2TG1S
x2nZZsvmzh+tn+x7ifryeE6UUY4id4Y33v34rdVgOLZK4t0l2ck4rl88ICRSMu0CPIHpxhWyH6q5
eYJUrfy25kzse2jP3HLAlQCTt4fUzowb3XicNrJLAL281Uqa3/FJSGXWDI0sQwPUZ+tNtnXopyP7
pR2151rgc3V+pF1+ozbCmW+QwqeeSjFPUI9E+o3hJ6yBsNGN3d/TWEGFyUOYxNqKQUzL4V7VwRfq
taCRlpH+ayA1gNnDALuLZYUIkBu79GZex8SnmzAdHq8RM8FHo4kF2BIpreO0+113S3e203Av8MvL
SYvAH+GQYbLFhJNj/WCks+FsKET7YzrmjRTmW97XJFsQ98Hv9HK8mAIviJ6pXkBqg7JpUL+BsHxv
Jj0kp1hJGOG5iKjJXCLlfS6O38RYjTFrZnE2px3IJHK4d4jel+bKt6sdCMUXxGKK0TLUvc7ePnaO
kRvCdxZfNhGlNby27jn0uz/qO6gsenPUOrWWqYvnmU+Y/UYyWzfn3ymQDWe+l6/3JoYUoCYtJmFB
uizAStCcqoULIyvIdDMcpf3mwVp1Gz8DN0r+HrsbIotvee5fpoexlqD1xVj5Gg3h4d7DIF5AZgGe
ijZtt/tuoqEPTRbk/AID7YZb2QC/3e5zV9k5NOXJFsfgTAcBCrHXCCG3Ar9++Tbuu9txFgKnvc9E
7CuYrvJYadCniMzC8qhqqNPlVBi+3KwdMKA6ol7K8hrpIm6jfd02CTtQINZkRBYsITLCWuANIChh
SMLuMWdNqSCLoibRrTOKHYiWLxOgpi9zCeU/Q/l/+v062k11sKdut4jtjDdKOxjdEbEgS6JCPcFX
EH0nw5KqAv23dGwKHpMAT9rt0iMC5nX315g5lOXX/AeRCU4pOpu631X7rHdwBCgOANpGePBWvsur
L9arpjwG4XTf6Bac27xD9oeUTAmiABUm0VzBcTfTxymfpDchOQ68vRKVwwAV3Mez8ScyA3tu0vwY
9wZD9tP5bqKQL4HHvYNxstPQUMhsDk0aklFF0E/lvLBJ7A3OzM0eZr+RJ5siJDPevvmpCRDR7vFh
6xLd507TcNQ/vd5K5UIErv/o2ZS6a7FhgLPxZDShNDZNjefFvozS7fLSHmoOqjZO520+4gDthPli
rYToJhwbygaItzuYgBZ1200WUVdbV9WaNOGqvvS/L0Hxw4Xafl4LSpDTzeUICtxpYozcz447e1kQ
S5QnhYTSBI6c27wR9iMudsNU2lTnvnfeU1ooJrLD5qQhFvsmYOHlJzVUIgJdm2XMo3uUyBjdUkgl
RhTPCsSUa8EHseklbIDKNduAjAtusjOOqeQjTGgvRehnC1JvmzR58OWrCmDx4X335tXsKxY8dbP5
BSLf+zikw1K3XkmtK0ZM76/2wwS7/5xbUQGzNZvIYR9tivll6TFfr11wkMDOhe/zaX0lVSF3WP/c
9iiUVqTjy2cfOhs86hhQ1n8JLpC4J5OV/XsoOvTabBMB5uS7/brSJ7t5tA70TFVqzAYWunij9XR+
xaLrgWSPINDgaKyhJOPUEfZMnxpNrfM+2Rw4noTd6dLmv+4ml8HCBcVhV9f7rUL+hdu6pdrLsfgJ
iMTQod3BA2IBgysEmeDN5+dpSbEZPq2xajzbjg0m4O8ivQo2Xhv4ixjspCxdkaT64mb9U+VJ9hYm
uHmI6kg30yMYeoHUCzyK0Tj7xBKk5ZNjT6H7HQ2AKHNgBQGrHhR6dzrU/lsBjfQWQHatKARujOwY
RCIhEmKAO/6/SoiCoBJqkv5xk5l85I3thzQfNbZ9JMI34G3G+eRpOCwAoJNln3RMtQcD08cASEMX
DXWuCNjoIFbkumU01dbU2xILKKJxG4T10kyOrqFpgqv+iHb8gPnidFpKNiQPlEy9FPY3v9Mymr42
vsc9oAYbEefraWhQHvmH1VXpa+D/hApZ5zUoY6GfQm0vWvuZJKbrcXvQnjX/9kG3mxzG1TJov5CW
hsx4XiAKKEfaEtY70rVkeoksfQvG5A4Ni4XBpBcjeiwoCG2GHsbq0GuUpp3+Bvtcv69DmNI/JoHW
a/93DCouF4di4YxgcDFl6PlvPd17fuPC5z1XcY+KrWdzVySXf+kQLUkQJfLJtu1qtA93AN3H50Am
DWaIqUtVFBdMgxa9kFb6hLK4cII4HZkB5vJ/D8bDbZx+rHG2fgDeaeKu/H73NdSJ4OqoZP/ajOIQ
PnmGPBogiuCVOWGeNJzB1rrv0yQ0txJHZG/StucnG8EHO9BaLo/NwFrnROwo8wBtYJn5h/crRj2M
E/fbU43BXITfmDDc45LcsX9Luva5UcaQOUluqorzosXDFBuTU1adCUwQDJUkqoSfg5Ne08SQ190+
NDRSPE9Rafu3+CSu02BJ1PzLQuwK8IkMAMEvXlJ6coqtzh6TcOeLeKwzHYTJSWuwolv2TKinZNfz
cQJXjJFlYNn5J9eytQELrA/Gmw1jdLqPz0pFmoyi0z5PpNlfCfXAZJDP1aXCeEan6CHILAy7Qrf3
ptTuMb7TitXPXNGWibBWt6Pm19X5B4Lip1eEAr5L9kDm8nRJ8hF71eTyIZG4zYaCm8yfwf0R7TlE
Gc9vVBqB9y4C6XhrLtpzIHD3JllwywdKS/Gsu66IMc08oVk80n4a4zRqQQrVzza5UiDkVpO3IIS+
17xvhMGlShPrGv9r6JZuj7geEPATJnoVMF1yPDrEkYkCv9v9i7/IMEaQAQjrD3sDYWE65hidGVRk
f/Qfqx9yh4vwt06rHr13R8fWRmrL3goErv6SHrBnZtIUQRzin2uCLEdRpzjbfGKwor0/QKVsq2YU
9yWCAeDQ76s/wGSJ21Zf/v/Z3FgvQRMieSoXWS8/Kmxznw29Tp15Z6eHjZt2d5QaJpA6IEAAbiwA
GfN4XXX1poMzqiPJNV6tNABff43sKtui2ooe/Pc1QGWzCE9PPW3aObH/z3ITCNcZnkU4HzbN44VE
c6y+OkAnNT4YG1/NP3klEeNHf9zj9NWV88uP7TUUsDGIjjcgPwJNpPQ13xFaH176mule+nJmc1qa
dcBqhn0CD81Fo4/4z548EFHNfSi5ip/Bkk0U6FyRo0DNfM2Xn51tQAlRIYggSpQGFJT91h7lrcVW
ig2DXdVmcVe2514AiLPw3o/mcMmTbzPyq4XI66e9ejWFP5U16kpAKSRWMeeNGIY28GXvoLrgovJS
ZFvd0wuUXx/Xh4Q+siwg4G/HOWwYhGCtoigQHAtHB4m3Esc3pk0KwSe6gXtVHsl6BtMINjY7AcEG
xyJWJZPZMO/+zY/6N3kl/yaC93lQqX2wFPHKcuz+snXx1j4MbFOh3VM+MSo6OKUbfTUiOa3uYNoO
Uc1ZLClvMUUW1Tp+2BoygZPmLp5FXqX7Ofb77ZkrXwPE1DiEHjjLa2u8xj32WgBNTQ2EgDe1Ynvo
Tq/ygKm28BnQIhryZq2nkcThbw80npOCLhJkQR81xajbcqYfeAPgdfTv6YjSot6bQeIEc9iNcd9J
WEJdDE/+9oDqQhKbcJ3AI8v6b+EMdDiNnlwUhNVoQuyMIWKcG8HFsUHWksMdL1p2+6J68qUc8uUs
MeQYKZLm974k5ygXyq7uoH5ZtUrLXEBGWKBJKYyjJKIjCyvEqXbTO8ZSJJot8Mr6/MZRiF3Nj83U
A2F9G3vpw0SfTPyYUQVfeiK4+6TGn1oTcwru7042BxHFQfwUjusLRa/u6YBUXl/d901HJpZ3gNuj
TeCwJofS0MgO/KV/7DCs7jL4yUMCa/joswSezBKuWTxqkL8nhuEoVtleltpYxcz52Cnq3BxHSci+
alLO+YzNAfptlTj4jNsejD4hO9cYs/lrBRRgbloCz8C/NUBUY+gQHykZQkhXLWdcVxU2aTJuE8fH
Hqz7HX+iQKvPvZOtjnwvBCgw4x1r6I5lBNoY9hrsrscccAzcvdkHvC/Zxl4HEYi/hTDkSGmg7kMj
UaTbkgZah0aQqfdj1Vr3pk5JcCjdvr7N3Qom+9qrW93M3ztETrNJtsebJeniU3TcyB0jlv9oxn/P
kbVfEMYD8jE11tHwkDXX8p5s/0UgkdUjK3gbHZGKBHilS9+KGZMghj9k7IwTgqrSwXeJ8R+LY4Ss
AZ9SNrJqaf4TWHYeqwwX4I9ali9LYkFn/heVYEVASDvMwNzpKadLMoKXTUWkJOWsoqjo3/GTI2Wy
w4Hdf2lpUwH1PJY8352TIP4n8drd3oi6/Lq44sUmCs0Q9YEqHB6Bd3G0N6/1SjSD8W3sObuuxOOf
Z+05mp578lllUZF8GBjYDVlTprOrVgZT5aKI821PRvdgzRzzQWxNWOpn1AEX5QCsTsOSZ88X/uXs
FvsLbCTqd8nN9KGRElxoR/0S4z9iMFD+plKm9XAyIho5W90SvwvsqZqavkJNm/6fqZs919ujeBTI
CtDx39KeVzN/PZoCjJrfiqJ5trcJwVZrzK/AOkZXuIAVGXUrJnelKHW8uVm+kQVH7QlF7FqhsseF
jVqWgAluM+5a3J+yZBSvbMu8mc9cWMDKiNviEmt3DMkcsDUzdv+behk2jWlqXVKxyzORBn9/vFEf
xRtDQhhLezH5sesizVYb77gzPiFTweaG/RJOUGlmkHJA1mbVEMT8ngJ7OolF5SkctXhNp2GFe2cu
0m684r6PEY/5M3VPEocwOuuuNd7hccgVNUtXXUxEl+V4xCLf5dtFhSxL8xN8UvI5C+7jbfyTKrWG
+soHR+ZIJadGXzghA37jrGVX86shh5r9H7xkXMIPj5n2y7vdsVwEQa+8hzkWigrb7pOLWxrH4W8G
5h3C/UYlJTAxSk0H31aXgNFm59zY+F/jYP5azc1TJ+omEaGtKfOR/jm/73StugxQlcm5apc5w2CB
VpzUzTa5Bt7qf5EhFtu+8/HeG+5Y6/RkBgbXIaRVvhqRRdGvuy4r9+X/7A/8+vpWDExtLboa1LFn
jLCAnPYW0G6rKK1zd2971aBgirJKHD5ZrCkdq8yv83jiKwScGsDaeSxbtWooOlDToZbvh4Z+7GIJ
PehqvKOCfJHKPgN7/8lrCslcqxw224w6JIeqBNnPx3tAO2oauPU6lGd9FQaSc4waleyPlLrK8VFM
X8cVQTD+rphuIB+TL+CMNGDiMRHl7aMKrt41BPNWGrX95Jg8meJuTofL0EFskm6qZyghJDQYg3AJ
rpAQu23c4OFKib2sdrrSGkUuyhGKrPT6WT4wzWzOKxIkTxd8YjM4869GUQM4SeUWliwXHZjTeoIV
hxQfSCdMj+Hsi9HCD/Mio22XUID4k+o9YqMY+VwjNBVO8NyJ9wrOScUv7/wr66WBVZ2JmGURGLSh
39CreHeObJxirJ3+6nrPrlpglSx17hwvgeASVl6DlH59FBkRUO6CQ+JxLLb30LeriCaHBywAfcN2
YrXG9zeewklJ8qfUQ1U/w9/jMylQPHbs7GFdD6aUtBiq/W8WAun+ALWfYjAN/REHCbPiknRmkXI9
HmN5ULlHJRz6IAgb0Fvfwn3LUXQf1Z8T0RImlkcgTPFaaZ5IndyOuveSSXWje1X2zPyqdNz+wrq8
Xan88MTZ3nX3MDV4LudNEudt9n+CLErNZAsCB0XnsbdKYvvldUP1/Xx8gUG0TgkBDVRWfEcBXLSF
afd5y5ASO0StitOtLivc6AL7l8Jn3HF5tuTKHAYRv0HBhHyRHgesFRv+PuVVbMEbqgfPkTAVDuFe
Ki0WZ84rVT5uSkYFZWVR2ZUUbCUval74RWf0ueOF8AW8HCkLzQb9JBlacHE3UuXHhiFEPzUixis7
GP1Kk3QRKFzTVsqwxMgVih1IuI/WRwyFl8g1zuTPjjLCJbPyyD5hPWyhIHpEIOpIxAbWIeqV3twh
9xdCd+0BodNAY9JDV3SsEQMbMO5gbLap8A7E8wmsLpF5goctw0vmvi5+FceS6wBGjre4yFINoNVB
wdwvtXINb6b37Febww/IpT6QVm4+nu3H6eLmAhfVAcy0dxcxeCMDW15NWW299lPRuIZXXjoFkgm8
ZoKXBMMZF2tVibVDSzYcxkDWorAzvSfj957xCrt8PAMd/YSE1rjMTzzwBWRugjjZfon/kyX7JftH
duA2V0yvLctHIHyPwuSr+C1LEhyEaZDKprzdSBvMDvASt3Fj9qlEsBol0HDUOQYeDP5oK1E6SiDO
F/zBnR/SFpbkBuh3ncpnPxqL7lZD/XnDq3AHzHpZ0FOLxDyuipB6SEV0lo3wcRg6lvqljtDCsk/y
lA2oP0esxEt8xdOOsp0OJlcDx5VLHrj4UBMxhxiLsR/SafB0bRgxPcPL3b3ZhVjjC59bC1uWezS/
klr5n2rLMz5/F2QbnH4+pSUV6dB6aY3ugTxQmT/3ksBe/D0Fb30ek+VJOuA+p8aRvlNPrUURIHdJ
2UAK52vZ4Xew0XDpGkZxYJ3iBxPFIqthdhAdK1fhpNTJRCpzA10rgRy5juiiWWZdKCxLgko6lh0X
UUvIIE8xjxVighaVjSBbPBzq6QBIxkL5PupVjtn8sPGW12R7sPjgviHsdVDQD5pGorQ0/p/J4+AP
h2sD0pShMx9YqI15tIYAtE1111fxVfiDty8SaLl1gASi+QBadDkeFhVqHSbtl8BSQYKda8BAd8qL
zVp8nTaLIOR96njHnpG1i9260c9ylzY5vDKOBWImwcljnn8PxI6YYM6CxY1EaP3/L5eCnqXMaEIG
SWMDchOYT466FaKzsgMIHcru7iIbB3mUEsE5E1f7fJ9ugyP57vfbqGMvYp1iyWF/Q1CNIz6oWvNv
h61XTjUWNJcc7hPY/urPDrPxSd4Oa5eoSOypfsKe47eyT/9zkEySJZp8CqlkSua1F1yUAdh37uIB
gNWhwybcok2hBRHPiKdg7pbT2T6wdqmZapw10QobI6XzbFgQGw7bcn17jEDfyI7gkxg+4EhHYkKQ
q9V5ZWya6xIsiE7tUqBYE6UqzqGx6OmsG8fN6RKhiPOjHI3ab2BDKXGiEZRjlRU1MzKFC9SHSXvH
f9v+etMLdAb6vIuN7uwejF1lD5lIeTGnvF42Jj+khmDUZNVzRH3haOR8yFfrOYGxfP6/dm7vGYVb
iX74VUb1d9lX6avmXT3fHlz/Q0eD+Ik9/2kFJJ6YbKDBPWnv09KBI2kmMKOD9s9nb+AdpJKZD6rs
0hKC4dpz+8nSEXIGRGm9aZ7257nwFug15gvBeD8WqBmiTRGxBYzMsl2WvR0IEyzl6fHXOCs+lq1V
xov8uEJJA0/r7Qs7V+A4XZCagPpXLNKdot9fFKNXZ2+o9HFGZnwvU0HsGGudprzWMO+fj6u+ZmfH
crKIvP0vGDRUVW6LBjvMolxjizYM7vouUXzt58elkxO3bop3xAkYP7hzOyAk7xFIHIALNmJ9RiW1
Tzb34v8gLrSMXMBK7iZyuf73o37xXZNYzsgIJlD3anbFfBpQHZ+Krhg/cCEzBRkKnFw8xKkp3h/K
yBeGTrUVwweGJ8ScME2xaDko+8Ae8oip7rB7tyRrLbvJExKB0UJrZyjGcLXWOTQ1af8gaGqXdSbu
d6PZUQdm7dgTR/0wPKWiYAUl/97K7yb8v9WKyQmL2tpxLOaSPIKqc9/iA6GaSsjKUhE7rd2mLX/S
HQRktPwOWXdNbc+L+pQ8Utzf5Rox7nL7q4BYfB9GaY3N3mKiuZjrerR5FMLrS+ZLSbxxIpIHWBWr
oJmttzYld3mgcPcPCmZw139WkZk9v5799/malJMRkf+3HmiG+w9ysDJhbmNVCUlEY+t7mS/QwsSc
ITA7Gi6YKiSO9Ns33A5BlY4ot7xoSaNGETWIxoS0QnTAMEBYSDNX0WmxalkQHQgJzoGct5gxfD4q
Z0SgMYIjD3VDxTKn/EsTMpm+7di8X3Zw+g+S5RaLjQGCrXASRRyspghQleT7p3tmUIMvCXDc/qkd
Hyfg6VxjKaa+2OxCYI9E6woD7zKvyL091ehdSsikYLi2nqCa7+jhUVHf92DbmOMdVr1WrI4koGZB
JI5l6p01fEp9IlRUc8I9DUR3JGri6OfBqZTAQrKVFRYUpxvx1gvPO+pC5cABkrVeZaV2uY2kiXZZ
biAIA1oj3HRQKGpBkXHfM/5A6vuXEXJT4XpFlHYffDI6K2C7du152ZtSCflyWCYUrXPdGfUBzzqp
HvmVkn8HePYb42yFQHmO2lH33r2W83rL25o2osOXViFYt/CkEDr10PU6LY80k1zJ5X6dDuZZ3tH6
RYCDMamnR/s9rTefFLRTdm5NZ0oAktVHotGUpxr49+wFSnTuOME9MqCxKXHcydTzIno7GlvU4N68
R29/wbnAdK3NEY9DYqt+2a2RJrYf+gtUkktuYzAqXRy8Iym7lCPJqftykNhcJiKMLtgbHxJnVFxk
XRHl779xz/EJWoq0xnvtt6WXKzOUoSHnk9UIpmEgMvIsFD42nAKIzHs8R4hc9o4PYLTHkDDUEwKC
4op/DHBbMFWnmxmY/x/vNOO0rWEqoKPe/nnWURWale5riQv0ohSY11cl8Yssh2nsUvC0gqzw09ZV
7S/IrCKJAcqc+oJV5OvTsQBSINrQDwyfTulNmOYZygoha3B03hkDZMsyE3E9TMNY6v/IoCzKQWMk
Fv+wXGMNObiZXxCuGkZE9FBOZWIzP7ew7DR375ap0xTBnpCfu59nile9y0c37hnXAbi4ON4OoYEV
2MlU3croHXW+Rk1OntMHTt66kpaTTOIrRmtCaV+O+qjnC31Pbvs6sYIcLM/4t4y2m2FAYAzboVHR
0P7ALkGpuBEjpKgEhPpjVCD0jo9LmNjhqykVLnKX4/2EIoveXI98g8ly+2vTJs6JOqsid/dUr+iB
sQI0aajLYut8SQCC4kLyIjxg/7toIZhkRMvX/4+dAwaEuqwg55sxkkAhrS/09mHqYiefhBMokM/D
kopOoipk1hfNR2BEKl8DNWSNzp1LW8dmCsdVKKvXHGw3OMrvbEM4vG3OTzKXW7JVBq+JkCKv50A2
MAI342F9HL9G7BCo/eUXNVio5TvmI+SUKFhP5sE0QF2LJGwJRHb71NrlEvqenVKjTESa2PKnTdKI
reBi3BUl9vMJ3a5bykTvgprDzT3bAoqBxGvX8YyDD9DUkkgws+47PYy4g+4LXFqx2HizNA2tEAIC
izIAE7Wlu9TWZz6x1kS4DxCwHjVmajKs4xhfnhsEFyC/SuXtX5F0kcuWnbJTrOEVMKXoQkLsDe6G
cooExUyMD5rJDoP3s8ETR888dP268EqeQv9PoAFXXOt8OoeTq+eFyMYxSAp3TDzT/2g3HqUGXiLE
eVnjFBhquZZZc2fZu/KzFwoAAColgOQS8AS0tPWbGSIJ1iHwsjZrYvPXHLMWbldP1wL4Loly8W/B
LCtw28ylFdPmWQSjOqRlV6NuDdCu7dOGV7SpJxCknWJ+wMIiGQFydB8Gc/Sos1E+y07ticYu9LnV
PDqKL5EKSTkGNLhsMGDeWOQ0XIFQGxo2KRvDIvoWXgMFG5Sftl7b7ua6MyR+DDEyy/tvXnnFpiJ+
cp8CC4/6fXRzvoFsbOrt0XngmgysM+vhkLeyyfKxSwdMVsz6UxsSfmbegQy4IrQUU8fIB/36Xe5X
ailMtO5KFFifaK6GmGAwn1MbVrmYV3IpodS/Mtp/2nVSy+HQWHTRjsgdQh5FIiRPaWqPyCBkmqQ0
HF19I1LNv+BILGFWlCJlKAjcsWTlExzROTwKVyPR8ewZrC//1D8KDmlP0CJ6M/WMitQGd2/XjRgP
G7k+0HyiOwCqgXxfrQlUl9f7JklEEZHbBMdt9A+WhOjbzLBNJ0n+DZId2mZiXVU/i8tbZ98hig32
rliXjYSItmMk4aS1w175MRI1tEUIVQzq+2H+Rpv95U0FMt0IrXJPnlztDc5uREKcr8rZA1lid2bK
y4ZTYKuzKczp2IhapGGJcwh4IVtfI0VjTeaYoxpyYQToXGJnCTd+6ie20+1cq2Pa64NiAgmBNRJk
CcRQH7HG8h+EhP5Gf2CInwlkzTX1vEfKvhAUmy9pPwZy7hGlQQHUcHmvTsAYGjZ3q+IdsthD1miu
dXM//8uo/aBtOzrPe67izTvrmhCqCaXWXGMcydsSvWQO7y8KHwXwpMzbhJrZxaL//1aZOv7DffJz
K6j6mUoC2hijcpg+cjhXE9XQJS9koajCul6k6VFngNQSueSXc01wH5C+vkiTjzOrIaLpqQs/mYwr
jrxaFG6bZhT6XEKCv5MjmD8YOcVikUeWWv7iA3orxcNL/ubz7HEYf6XDYGDuCgD+EExsIIM6jhJV
FppC1V2++omZDxOI9R99XkhDazDqNqIuzjqIKBEByGcn0ugdcW4ugbxYTHREB/EqGiYeQPmx0pmz
jv5f9DvMt9PsTnOLsnuQuy5KpKChECPmP3kMd98d2v8iMRyI9KdHbOojlNqgvbKKpm8IxftyY8V8
M1P3kr8pQ0x+zmBTytw4DGbLBEhEWJIbda+iRVf9WKHy24lYEcS1OIACLIxHO1L1yTfDMfD0rTIS
hsr5bEHoenJAXXC3/hqAPVIqqCKM1auHYSyJ8fkGXiU+dvWHu9P8Z9YTXAcvUPhAFJKxBWxtPXYT
cHOvlIo8TenjE2CQkqdS2Gc+a7C3qTFKgj9kQRJaJOeWpvXLOBHU1ptlDkNAhwH4cieKgQa7Cs73
HHYVTREQEGU2tYRqaoCCXdtqVJatpMhluK9aSzQ9/Y8hz/3bhcxLCeQFZFzIOT5X3KTKe48Esus4
S9QBEyGa98hr27famQrj/Mvz3rmpGIaNsrQqzz4h76yS8/GUytQCHqp3WInGpCBMnNUe6zGM2ZDo
0ith2XPIuoHr+3boM6Bg/1A8SS2YWX0ChoQvrTmzST+rCZIWT7wpVbDSabXq2awPsxmb3727D435
VgtFtL7hPC9cyVe4e5Nz8dRkJFuxZ5cQc6aUsyQ9jwrGI3o6ETzY8VKUIv7XyH5tdJfMLT6yDbVp
dErTjFEREFUN03+iJ83qTNwP2tQ8dvaeTJ1LAjfGsDnGTQRmNW30/7QZDw0G7xtf4G5fAf/HIjo4
8kn47Svch3AWBucfnVwPnkgv0f89d5aCHwbXEdv2KFCsksVi3Dcv0vc0bfX8wNHrwP9Pgry0B2SA
9NnbSK0f8QbFodR2jOANrzb3XO5WSKcObwXmg1bL5jdJ+nVuXnDa4gmLFe5yZCtWicid7NK9xt9q
Fha4qg2LyOESuzuIw8ACEuRNFV6Z1g/IeIsriPZ/Ies6Q6UNe7l0o2CDm845WpG8mOesIzPqBQw+
AmtgL2dn+6GIP/hWFnCQ7YCe8WMblqVD61k7BRQnXcJ9ZlDBnLAKyUKF/erWCYHRcsDJyWEGdEa8
rW4nR+Kls7Fg5Lylw7KxV7WnLoZpWsp9rWjQKRgHaqYrtQBSTO66wi7MxlWS9I66Mv3toDw3T0S1
Oa+zqOOdms0hFurysjZ3nz3R1IKciwvLHWwW6RhDASfzXivVPTvh9rSaCLF1C4dVwopKLqxvzRu9
csrpsX8mt7rig87wmPQwioQ1+99IQgozV7kMvGuCe3TBnYsQluZnQPiRufZRGnaHuIo5ObVSZu93
t6ppg+hIEREtEgyL8m3Cvw/J3Pp9qktIltFahUqhpdOtITTfKUvUUKAeyjQwcRl/3DKaY3+H0aaT
fO7mR0YjuUlulvjKFiQZ1Urqa4hQxu8Kxtv58b5rnxd4QmFiDgxlai2RtXDRhK70XyLGxhXuHWJ7
8nrH8J9tLF8Kd0OqCztLTHTR6ROHtp/GwbeJuFKzMiX754BXv0xY13LmJQGoGH7wAaqeHdgllL+p
bioIzKdLigbPZLxMyzCzkFwWoK74PBrwV1EbmMl7XyAdxCZ2ndxSm690fHaX16DI1oR84GuIb8sp
fr9GYzwrDFMR8dV3ftq+bxb007QGDohoyRPGQDmJbIZzOIN6tEjLvj1e10h1acF1bCa4IE1TYyaL
sZLSe+1BV4ZmPZ002+La6JSrmXRpK5nvneYNvhGNs9lL/ZNj8EbtpQ2/vshS/YZ8KfgS38mj3rr6
bHGw4OWyenPn79oszkxJkQ7lZIk7k8jA/2gxz+WNEO/foovB5uEA2a2IDe97bEXxhKp0ohaus8jY
FNmlxjwqpz0WOgdtAVk0j3iDvbSgSlTLrMKiyc75PoNfw3ViZ2ttsa8LFLSGvv8hJuhelvVUd3RW
9z2TvXxW5LuZ5LaEW3Yc3DhiHC/q9xPsKdRMkYkR3iaVT8AmneIbqKbwDF+39bVlkJWd5LEttDB8
I2WQAKKSV2p9PvlpMoMdb45p06ZXfZbg4Lx00LHioLz5OUoqgfdufODS9mx1ydl4RwUq6pIS5TLU
i9f8FDb1pOyJ6y8j8dEpqXcEzxNNjfhhehrgfKJKVr0z3yYRP0ayxCBncN0pdQVuOA43LIjjStiD
NM6RYuChWs45uQtT9Ds7aMmSxvjfYc4Ja/TyaZcjPsDDc56R77gEeW1yHzGsIIaRjSIqHDBN5qcw
ckOQp4Q4koBkPof1jMa7NJcPTKB1amO1nGzqUO4bTap/ztr9YjUZeuU+Q26EBuOEMTSZiEmOEB8V
XyxJd5LggefbGUTbAC6b2tlkU3EBxE3kCeAQhI68pyzYnmdSatlVIpUmRvLpxGkI6eEWAgOaNnsy
eQVkaTigz597go/kUVzgtQJ+vwlWrsOqkFY1BoIfokXo053ZFGlhoGzxp5fSVvSiNGvi45oRPVQB
rv4l4ly+N1VOn740tLIszvr29l7f1P5W2jRxT8nc2VtaR3YVDvXcWSuDyBUevWjWlP6XXsvnJXuu
3IqFU3HrIMPVZoeaNXyYl0I6DLJb+owj+g+jYsqydTz6We1RzrK4VfrccV02cErWXvPQ6XTrmUMN
+ATzIon4ZVw8D0wcjAu5DZdUTLmy1Yl09SnnIHIDcrqcqUXLTM4S8gm1CfSLXcOjFlfe4AYljpEz
/fS3y7cL0yfjiSMHzJnzmoGqEaCRgQknkijvg4AbGvFu4VjVx0KQvLwHku4x/2NV8BRHk7W6jrjm
xHKLPT3GPGd4npV/QnpC1yndBsy3RA2D5Y79IJJQ9aK54HuW98tQuAUr5pV/1Mzw6/foNeuYy57X
X12Ze4lEA9817Z4oxypZGbBBg06K36vENk4GWuxnLD53Pj9HvqA/sMoopv8iWHWgYUTQQgJY62ny
r//4LaUeXG0hGtoiqgR7KVQLCNrZK1+lQDZSQMAgUm+BZGBgAgEYU0mVQ7IanM0XykLDfXHFjNi3
7ApWs97LBOSVc+KAFUGlpn3Q5/K54/Lbi/Q7KSHaESl6/oiDuAi2twPYw4Qogy/BO3o/U+WOjrCv
8JepN4Kd0VJItkBI6AQ8c820FChMwwQbZ8uS0AYQ3xpkpX2m5zKmZbgN1I+DvQSnB0J5xQ7Ulk3h
XSMuU8DjERpw+Le0e0vJ1ZzLRyvxTym2YszQPBQkzcRXvUNggPPFnR2Ujva2TqCrP7JqBxbNQ9r5
icDZ/dI6ulhrXmdztLz7i/o6m2u1OPGRotfYdY+cqwXZ71lyX+OEfHGu0ZN6QUpm94Y2vm2/F6cr
PujmwWDQ/xbA0GzqsTw33oTwv6+LlA4r2n1SpqyXcadbypc8puD80hNlCOB6rgFeTdtvNENl5m5V
lvErDNZImvocitapTA3mqI0J7FcpHIaJs3d+yb0fw75aQf90llmczGlq/GQV5kj1SrFMAfhtjmFW
SOQ6+UqSfImjeQgDrJY8BcFRo+ErRusMDCH9oDbGDBSsGvo/J4E5kLu/JFvZEjB9FA/1v5FyLshZ
JlhBXD+Z1GLKTHak1d73NlGxHi9/bF2DVksdJU4TyBaMf1loWzZ4Byda0OoT5BK+TyPUX2fCZdjd
J/YaP8xOLqIymSe3R9Se+DzgkAsQXK010ztxwftURc7MNQ+NzBxpizGq3v7CePM/oWpo/ZKUei8/
dAD2iYtX4JD6j8zlh5AoW1ij6SkRxSzgWGAxVp2YOYTi70ZQ3zsrI2L+6/n6OBa8ofJ7vh216Pu/
tOgjR21CO+VzsKA0HG/Ei0pFJZysRR5voQTKeb4V4Wc7ol23dF9jrAAvZdo5rAmbyr+GbZcKVdFb
ajjwXrmRF6iVvMKxXXSLxa0hGrBTUSFaE+0CP36tFTywIk0m3Z9bKuUpKxaRsr3X8i6GgSpptmBu
3MQ1ArJmwR7uE2N6czJJnC0H+rcbkxCIhIHiQFsuGY8/+pTxJM8u5OFzUXFc4DDHCkONpVMsNjhi
3CVCdPeWKy3xm20FMHboYphi977eUscOLoG8BMoj8mZqh8bANCx6ag++y06N3I28QWE2DQl4H+GP
Ci+d+Q3bgsoLg6dGZSQr9u9GO3ebwhwBaKM/C4vcEQC39BhBLirQTMnB1rhBpSNBZHKvh8db6ipQ
hXz0Zc9GgIUF6c8l9SdPmFPHEmjomokejpqJQOVNRLxp/HKPlxzkNaOIjqn0JSYuO7WWVPZu7Vkx
SVlFK3+5Qs/edi+nitTQdn5YtFti3Ka4kVTk/pfI0FBSltJNNPUsNSwpDSYnEE3XSlDIa6ql21Cy
mRgPhj+E9yVKd/397Ncr7BWZ8/WGxCwgL/IdX8pcurssdE59f2wuKMj6uGbD5NgM3+qqyigP1Xi8
DtYORGuQfmOZ669KOrXGwp91AfpoqSRTuJ89IoFEfYoWotBqtlcUoE0D+417fQqJKtn/onsfc3yO
6us9u8meNCcwCn0RB7NQXrfnrIOFkeTvg9xi2ZxtKLjgFvpoW2DN+wo3V+pMo24Zkq4B4D7YxskN
DVLd0crwnFr88nSWdmv+UEhMLHNIpGil3z0UZhuFzAi27AAhMIs4DXkFtcMve3bIZBzhGWB8WOY7
FXs1wkJTGSLf2SRvWG4iDYViCZFEUCv2LiXI6RXlDdPufz+a55EPyqWm/5/VbpgUzYBvqeW4lxpM
HH5fKdNT2N4HvDUriRwQjLTK1pb0Ty+nuklzMRN51eLbqYaCnNFfGVN90dyuG02ZMV2LOAovex+P
BGmfQ37eaOAKZdr0MVonEMkhY+NSBP5n/cjWtO1Ciuq6wzOGdhdnayaU04ggz9bpkCJRwMtAoD69
YBuMTjFFOP5LLlFcyPyf4INZzWvaEm9NyEx7z4xqv2IwTdrJVx3F4yBk/62KBwoTxQzRqKoY2utO
8p+MTp/YZN1v/sGVIshtwrX6mJbzkZ7iqtccSRivFf8bmyuvhGF7/+Vt99COEA6wpoMss++YQzrB
wYjLp1l5Q13020T9nXjQxkthfXKnAY/dOSfBsLiQ+6AF5xJF3DFJ58N0bgGbP/07lEFu4/TvKjvE
OIlT1cGg9HUsj8Gwc9pPprz73MMHGLYjGbg1aFfi6amh/BWS5vCSruFewpd4O+eDT6+CzvWtLND+
p/JHOwLebRrKVziuClq2AIduXWWXdQz/QPWgdhGgClmQkDB1YzrAvNAxLGvwLHBpCnwZAgqPD/72
a8uNQRshDYtNoDW7Ix3vdk3iUY9NUpFSxnM3hz+rm/LcgOmv1y4ju3ua/cwLoDmNsuX6Sx5Nekfc
wX6pPdNpyOvuNvuuYmlomWUWnDkL+Jn2O3eT3KhiydavsEcAQu0s9oHbKP9f/QfPIR61mYRcV6vn
V519lInkhk/TXHd+BAzjycgAYe9BUCs3xET6PFdkWOX5pcVkTFhYCNjB4LeIDT8Nq+SZCSeKkjt2
B97Vf7sTX4UzoPDg6fjpDF9DATc/VKqToYrUy6JTTY5jojTdSx1HHD41d0hWytgu0Vmebe1b5ekE
vz9GvUn62BtCWfD12fAAAkfx+jCuyJOdhAXQr/ucKZEMLo40dvB8Lj9yR6IutiQ9SLSP5XSVjy8z
/5fCawmKhVxLqTXq+XgYojDHmDgQIyEZcCWiWKQRAskD5iOUkY9Xe0P1Wj59EfCK37zm7uEwYOgh
Y6GwK4Akfn9twFmGF2Tpl3O3xEzxEYekcNUHa+lz/RforXcGBscESptihoXXt3jCphIUUFw+hY0d
5iH4En/XEU4TXfGMVyNRs200ARySrf4VsBMTLZA4K0t8mlE2omw+683C+9oai7PnbEqM5BwiI3kB
NXIq8QhPHxpOmYceDNwuqDQr4Z3a3HZVsv7zOXjFuutSTe5GnRRDmNs0AI+NPNFyIXgGL9OkoAtm
TFtN69mMZ3udclZGlOd0cdzuNHnb4RFu7r1ZE8TIrm0/c93Ipp4hb/wWvGW3iwLYYH5nv9mgFUdk
2FCoDfsABcat2Gr7ApJPz4947zs6qwE9mgi15WZcgT6U8NJvvUUFcqxE2P73ShLjyY3isSeZlM4H
NvSy4hKAZQu+ncYXQki6FyakcYi6PWw9AZS05jrtMRd2MxZzWCKxZKMuVG3b+LXpxlsGVDXuRLyX
q2jcdO8neTj9/+pD2Dun8Bs0mexida77AGS7YiyElLOggovxcv1wOQUBx8ssXJAmXxjTQsdZc002
fl05MF40qRMYu3/PM8oVLuu+Q87loNPaXC5cMOtf12sg4oD2Z9FH1xQeGS8GIbs3rbjiJQjhZ7Um
Neo4Og0juNkdcuWmj/xYSFVX7qc+Ptgvonm4Bg2gU/P1fX13HOHKKzQsViFXRsHcORp5xwwfWCVr
muMfS4dCgqzAXrc3JSgVgO9OkenajlcKbbfOi5czWNa98/TlHAbmVAulve7NUIjG/EfpDyEQh4Ln
qF8AwXqafVJoJipdMPgPLFeVDA1aby7SCHw43JX8SGeTZ8fvsQXueTHr0UZxBFo991AKDM1CtfAY
Txq+TI6vU81k94iXa4phUNOnJr64K0ru5cdbufN2e+m1XwsCsEaFmf4t7olOlOsOzUSsbBdgI8UF
LHChd/rxVDs6HMuWsvE4+4GklD7i0m6poFBFhNYLHg1ZmJV2vdzjoAwRf85Wzo89D2BwOk6RpbXH
MsNxNQ6/of1hXibzfCD2Q7MFq+09VmGgRDMYXvkokKJ5OLOnY8j5Icfl3zoD7ySHX6137tiBDUoD
Agl0CR58RpMA7fOPZi06ezIPQSm9Fp6YqR8q2UG7b+dhA43nzpRHL2V+DbqCkpXLroOiGfx8Zczy
Sp7F2hFF4nbO9CYbo/NKR6dNtUo0kscZLA9eTkPnwsvV1HaFjrkIiwJV1w/58v1MENkzY9qOCtmI
bWNM+QQwynjVGx+JPS5HdRIW5o5lKzpuocoJUj6PnMF4GmgncDoDFyCeSS1bEd6rvOxV54qsyNcU
zgjNnSFHy0/eNERVKT9HfjfzV7COVdHsFGmoLoKpBjywLcdFUnAM9NPwz4Nc0n2EY+weK/DJAVcz
e/VD8Dg0JP3OrcXaUlmMk2oj0jlsVVgEpjh3DEh/1SQjmJP3eWCKy/OdwmopPyeVR84XOAbd3a1F
mKbqwcVxr9mHXRBB64/1Lh3+IBqqtWG3JyspCVFU8mxZehN9/B0tD5AHhsQKSVKNwrKag3TbuDIf
kHCl1kv8JEPsy/UHOdgBlEZXkOE6FcpluRj4xousXiyNiVZQaERDJSWdRUs71JTcvk0MO36DOIyt
P1DMMwxUADENhgObf3SP3yq4a0mTppiMVZqyEYP20t8PnnLoeIb7wte9Jj1GLHY5y6j/uM/6pow9
VW83fk1zAziYSaJu/iK9nU3CsQ0OPWv0TK4WkZNALLjdVOKskcmKe5BqQMmStlIJfPlPfZT/ISju
/tDRV3eQeHqj02U++1TKuojWSmn3opHvivMVDruiRpWYzSVFW/nC/IJOzisnf9h3dg0NI3/hWrcS
lVSpBhST0V8gbPmcuXQyI+Tlxzz7zZu9dTd+uJkPnyMYu4+ixcEOdF9ZGz965BIkCkZFOxErHYUZ
Z41tuzdAhzLYnLRiLUdmopB/t4Kk+jsdEx8PX4+ImVNp8ge5s9HevhNo8RI+VE3FsMlrNc0EUxYG
hLxLPoklqhv4HNT93qoGmdGciz2e2VMC4ynKfPFbMxBrpYunYiL/GUk9LpKseFYnCzg//lbOU16f
hkeqm51qLu/+tyqetwtAFEOKA+r9yFx6vbMVDIvhNOFlTIDCA3VC9hxCgbfsm+I75tTVV4x2hy9F
XBJlqu+8/TQTzz6ihwlQdh6TxNvFzWsW/IK7hUvyYcA4Z9VHIVyNX6HQJ2h6liAaf3jSXvR3PoGX
+FpTvOXHU1pJ5UueBZ7O/nypKQnzrr+ixknyntMJI+xUFqNlOwjTV/MnNlpcRtA0QRjGuhqm58cJ
kQjMvGn751idBX2b6yPgASG0y09iFRWPekl4ZPCSL+vvyRjnrixHQvgpaLOXIK8mqQaT7l2V7S6n
B+DXmqb+/4PLxtrU14tQ9BejzehuZVFIhhJbRovLYfEI5ZjAWFdV0lYoNVuR2Qm6NxtjE+ituvEl
eAw+cW0A0RGN+bfv32IVQz5ZgfOdV4mfU9r+jcQRxnS10wjSo4dl+D8V0zq2ZPPm0PRny+aaIQyw
71FXU9Ge7e01D8+4701/bawPOxAQNe3hVgX9a0UhO3nL5Yut5jv9a4UpNU23AyWcVAYuhqWvbRqW
gZMaaes4hu0WgohOmbn86kXO4WctLZYb6K/aeOoarbp2PX/GU0w7dsRCJHgfLXl4mb3uXtq9C0FG
vsoRW31D8rM1qHPtI0LuPDd4fHS0h7neTxG8G1IUxX6Qx0WOL96chZYpCtmSOC7FCYMyDsr+7AOX
IMJi30EZMeX/OvoEKTJ69onqm0P2b4DMSeomK9W/fZq/UhnpC1K+G610VR0VLu7pqcn/BVHlgfKr
PecKMqjCiUHTbszffoTSfkl6k4zTtDwhuq4odcBCNPepQqa3B5LZz93b5giNNezEX+Vo/yzjtDjg
VKto6wOYTJr70rqA0pV+MbKl+5ATqrVy+7+nnGXR8kr+EP6J55g7E1YKYyQSrpDJAqOEmgrhtU9l
8WN1o/TiHwa0dm3R0+em7QVg09i3S9OqV4T/9pvKnvy2yFiOh71ObTQYmXNhLg1TqHm6RuSgpr8R
9NLMix1SnPSQjMs5/lhZjtWv512wqVU9iXNh5K/ZCsYYUbpf5Qtl76utb//OfhuNZgRMf+AjEVKv
A9BLqA3K8s7h2+3phIANkZ+hah6klLZvzJc7OxkdQcXlXrBpI6KtxG1cH8Fz8X4h4tTYqHkFGFGL
mlDumm9eS/Id1SpK4ckAYPJphWmBNqZS/efKzk9eNp4SftF7OBDbd6zGzwtnUO7Xuj2xYvkpeyK2
Mz9By4TJ/30rrg9JncOZzvZrSzlLUSAiihCXDA47S9okpVesq6TbIHcXqDDReKg2pwnYXEAt0NV6
sWyfCAZ451XxSqWg00Tr6tNq84BeQuIlDYqyWCP7Rr2xpy+6ustYZY0/AuHib1rhVQD5+zQ0T7F2
lJUQTefS2vN8SVWyBaMeCWcgdvLzL8AwVXbCQqg5KCttwm/8JvVhn0NVSyYdsau9IQjwdceeidhX
ZBtG7LNcGKXJUFjdUK5jNwRLlSUuF2cK2UxNn/AJjC+NYykKpoUXDlEy5+humbuLWffwD6dgcKSm
ov+mwvavO/mP0bRqjLgpJlRG0us2qwXQxgRuJbHesCdFVNFu6lTwx+joidRU69jtqwxCrY1iOpBU
9n+XGBVnpUGTrUjsydyxL/aj+oxsYOt5yxJahaC0wOdVJpBYN6f5mhoNTclqQbPwzOMhUnwPRqWa
rJjhl6AzY8clZgJv94/5QJxfRYK+8lGM0cA8h9ipkR6fGf3xf+xixM0azv8exDpYAveDIFsG3pdX
+MEYUdRzz0IlpwRfnQuU7IETKh2N2ttOtqVxUaUx5Mq+JBfD5W7/VaKOHMEjcl+F30nxjm3Lg4Mk
g3oyjO0V2AjA/HGFLcEB+uFBDBhr0UynhCB3DNs7pTaq+HuUNH8C6iEcUdHBERPQGfSDCP7wSoAJ
5uC7NcxnDkChKgiXtKhqkIh+IDQ6BsFPlJtnEjTiIYtjQWd4sWKO/WK/wmGj+838R7EY6ZwOd+oR
QDWtVm5Ff/7wk+g/9bCFzbczPynrZ6lrbJNh8uxNhwacjOCI1vltd6k5bUKjb4COsfdRFHYhhrSf
TW540yqcyF42KyrlVcF6yM0Z/NT3OOh73VosyrmucXNqZkyuefI5Rv5HD64OhazaafbvORFlg2oZ
OI5BjdVorKOa/a1yETAEF5D7j+gbjkbO55KH5ps1vTbkIKm4lIbHI+YN9Gs5bSZPz9rP8OjZj2Ku
r4d8lY8H6bdEhiN8aLj8WY0bemBrb6szOScay6bCezHM1UZMS4u2GaUgg5ajJlHE1LhmE4KkLXvN
vuKwsDe0/CxeaIwZs9Ckpi3Hxldq+anIhOzsy7d5NkUYAMWe+TR9qlzVvT3k5nw3R0viMdFmSAKs
kANU9lnDwNQ6bmHwfnJzEk3ogJBmWqV+NWGkulsW3CMmrNJFAVZsgS9rV9z9bOsbjj0Z+qBOZ/Bj
IeF4is9AixYS8haCW9T0NyYzFmpfLSpJQQlpRJvR3qe6M4jOlG2XRqUpItBwfocCc30G5qpV/oay
sVH4R5AWS2Rku14JiMls4mIpm7IlHYymCg3NjDv/ZO49q/oboGeDm5uIBF7wBh3mXX6wjULMxWlS
U3/SHG0ZDXwZdeBR9C6IcgDaFySJmSmofuEum0SfPr4TeKEE+XHeHEjhpnxCO05cwR8SKU3hBrAq
s1C4qD+VZPtRJcRDbJC+CzoIH0CymkkuDQnyNVOayucPtEMB5Y1JK7yXtkvdihvba8avLVEjki4S
H9r9wiAtJRNs4mW8at/SWhIldG2zHXQip45OjMEcP61yKR4nmFtYfD9qifjruByK08fhKvSSITsN
JXYJZsb6qeTZDm5r7iZ7AqWkxsfUB5crPtikvBRyIxKROky60j/PvkzYhlOP0jXVudxbJrCrGKxJ
oeQab2Ae6tx/GMDIh5A5aWWXEMXOQC2rAdqgbMsaudEATCACd/Gq+/g/tThwSlq+c0dwEUYT8e8r
lym7qBJ+qldQXaSYxCgU0ZLbCM7plbSNLZT2lOSdq/wsAeSnOglMQ2lITNqkHn/WTQw8fnQWK6gB
6Eka2W+TabQtC1trwvdqTi1+ddeSVMthouLggsjW/Y7UqWvT01CQ2wi1b9AmiYZGIUHEcMsjNBri
SnEktnZmZEkZ9BkwuUEOdE9k1rmBf5wSggo/4FWIDJXV3wJvlObIbyglWKNbpAy2cci9c6KoKe2h
QOXAzc5J1JtgZl3SLlnjHxMFZ6Gl/Jp5fm+Ecn1tSnYmmE8fSQBDxf++YxBGA8wv9fmdxnPBfBHP
CqeU2vcKo8YQ/UZPB7wqOdcKyAkKwZBm6/K1nD9ytCcNoyPQRxBizlX/1+10svDeePAyRbF8mByW
yfdLX93YqqbMZ4vFJSI6I0lVuGmWGSxFSKsVe3/1SnmHBysijHqD2VYy4Eex49uuc7OEHHO58qF8
L/ssEKRmF5oGkNXpfP8SoOKxwCfjacWvF1xy4g2W2wiSiIfcVLpc7YKrLDNVmj0wFScqpbM9toU1
QopHpAEiheSdRBCuIKb/jeDG0nBe9T6PnJPkPp5EQgeSavq0DOv1K7fOwFg33rBgja8IOV380rt0
NQkjx0bBFoyMrhAG3ChE8fuazJS6tkivePUfubB1RVBKe8gmlti/0+j3jO86kUQgVdiBo6CIWw2f
955ZBA0SucHJElsTFWPsOQFQ1VG9EBWe70XREn8Gh47are/hFJPu0NVeQ1QnL6UX4Gm6L6EBe02D
Oy1073T0ERdNIb4jOWyJ2KQPjk/O5c3FuXp71+b3rB97OqY0M0WkaxN1HM7ZM7RuloQyGu60vzuX
jB0fu3FGZXcsWQ0jdYi6+ySt1SKrUYaSG+82o4Ies5RXJZba3i9wtJc2HfQxy2ohOhkatRSxbCHy
VeBiwBAgHNklysdGAn5zJ0SMFwANj/BPmA8D0nZ3zV9OEqKFx7L0whKqAyeYk4eP7bMp4v2BoP+6
eWbe1LklM6XBT27+Jx0/8ZNM83c9mAUaexwJJKIT8Uz/LOk0UFzno40V+06D8fqdY/So1DsqYeAq
CUD8wxb+629XQyVpdQK39rDdeiN0DEYOpqEWAIIWMe2LSf78Siia86aYbHGJTtEWyPOKMZhmqvqZ
98ZV+a5VnC/4uKlYtLYb3ic5gTAyRKjbb8gbz8UhnQuUkVqq1JuPDcpP1xwf4trDHyMemEZVELaG
9t4AflTz09FaesHuKOTD/n/TNvQdTLU3tqd0lYk7VUW1E0SOp6Kkv3aOz/8P4F7+bNccmHWeh2XS
1GAoI698D73D4PBM4moqvXL7EDlotJWaQoT71PDxFIh5fM3T63riRAAMRlk830o6bt826aSIBNPQ
nz5XXUgtkic/1IMMUKCa+vq84bbyiTckaNZx8kVpxLNmbu/nLVhY+GAPKP6V5k01101zYqELcBSC
TYK5Tkk+zejXfL0z+Myffeb92e1hVN6oRY14GOOI9nhI0o/xotZMuPDgxb6FUUUwaz2qbMNYnTGs
Zx38Xw+FHVAHPnv4CXntSJ/bkUJk7QO1rdBxipHM+CMJlTvGyEvgV7hYNgxAYWo8Lhn3eHttoU5u
spmhLnF2xPugVPWNuJmarQVnLLaTseIBgUjArD3tmJpfi3Wpj8LYLTZyxtwA/CrH1saS9HzBjgFC
gFGRCLvKXA130rdQvySMRFFPFB5Ogjh/zuZVj8LkCOoq9yN6X3faPgCk8W6qDirAQfFEND52cHIJ
kkQurd/Ax7WnTayVwmReNhguJ0iAuw1eanTSkRJS6glJrKJY9SjTcO21m+bIaLaOoCHm2Q8jtd/U
ir9HAoXefGBfpDQpdAnWxwA5HCuv+R4343FyQYZ00SxrmxJ+f8Pr3ULgC3LHYT10c4YqYa42lnKc
R/PPqmcO+aszG0M/Ta0nMJYkrsyf8NeapdkS68+JDUPIvOAUMgjRf5OLQDWMnD1FVXHCinZjbePn
F2Rz8yx0XIJZZ9lUi8jk5GU74vGvb/m3Gz1QlsrMe2OTIsWypS+vfMxEQvJhxsWQQmfwlRUMOCpY
ihWRm9sR+c/xEosIzhNsR/CijETU0XguApQhq4DCqZ5kDNXew4nXk/VXMSfL4HbIXSKlg52ZbSL4
tPn688x4nCHsOpUSCcJ3i6gZk+mPZ1aTqqK2NKIZ1yJ5MMhIhlUdVMng5BsnBxKqaKwrcKane+gi
V9CIE+S8BTVqf/9mFaM1N/HCKVy+Pl9+g7wOqagRPjY0+111nQxbomhnBSNVE/HNMoKyVGbI262F
aDsaMW8asebedu1bZTMcNi2f0JYaUMNHjU7vQ3ci7W+UD/+sqZFhLBVKxxUtoZugvAmQ7DSyQvgZ
St31ChUNB+Vu2jQKqHtnPhNJRt7IqBesHdcVxr6RV9ndSYUCuc5PcOdQKPweeD1qfcMogxd9Ippr
IRht73Ynk4BzFY3ulDRMWBbp5a539whl/qsRu9NkDD/Z37r+vwwstj1amO0jidzri/IL+VgoHJ+S
XY+tJtv4h5nfb48kcJjMmh7k9GwpgoXRnNKg2uvpxj92s7NxLB8bIW1LvmsijVfA1qf+b43v1Wem
SVWzuBKw7RV9/NcEOUX9MrUkcOpfsgEgMc2lrLAO6b2ptCTdcYtPrLUm3BiGTe4dk5gL2qyQC7q5
0js/vOcoKYEimIVYZo513SvTPPsV08G166mPcyO8ITbB1H8LrXibPqKlC5mh+zF7M+QGY6NMOkM4
s6TOT8cjeD44/Yk01vKm6pAnT5ADKGQKtmYe7l1b32fqfCXaW42AioFutES9pxJtiIiteJhhejL5
Jk3AaGFdq9IYx7ylCym7vF1vWwX8A3QfYJ/H3BouPxI5patgHeKIEeQkyhA9RciLAANDbWeYMQGR
re1zvFHTeFheGZKpomL7KK2VCGXDwoSbNFFrNXhXs9IL43o/M1KclwYOLxNR8VN/H3WZcTDwBUaq
8YnwmxhZ9/9eTy5O5d+Sn6Vy5FvHoN48rki4NH/dcJqxO4y6aU3OACq/j8ZUXj/AY2V4nqUqDk0T
duPv2CWTUgOTzW2k8fOxeHEHfxUtAbdgzTfbWSshkVxpTZrfQ6kSkejpFpTkmTLIyqLbbDOIbrAW
meE3a1/1009lLMxyD14KAALnBm8BWPuIu2j6kQahI2R2BfCgjaL1/RiUFj4sNx29sM26JpmTXW+5
ARiDwiZQ/Yhk7E9vIxq89chy3SQ4/1IHAV618ZuzXVcgO9Y0I9rZ4hPKd3WrKt40xkzsDd/vNAII
W+IXOPGctFZcJt23Kbk0FfWEISgATwq9fB8FMK+trtqQl3LCkU6mbuN+3yt1FFCXqRjiP4spNfeJ
igy+ITYXhRpVzqe98GblXuR1BUTD+xVco6m66Jk1HoAGWJ68X/0c7n3q8dQOS5gjsHMfes+Yk2Qi
Tv0HTY3b/6+8dywmmHWVX8YCm9preQaFTj1tB3vT0gASTr/6Kz3CBj1h2a5k6nHNGym68hTi4HlS
R8sAl7F4h8kJq9tRoaz6DaG5uJb3efLBy1Ar3xqyC+KezA//ErMkVricN+mwvbFXV2GUwqJ1kyJ7
bbQ6pCRDx2IbxiO+tzgLN7fZRMsk8RbcLhtrftk5M8tzx89wQLBf2XkOmqVvwqFBRN2YwQr16K42
X/4VQV5g8Wc1S9pkWM5YIW8V3LO+18wloVsFVv3hpEfu/llTizRnrHfmYV/4hQrHwHC7vzUQMkXN
rxIg+tvXv44FBdbLFFm/xrC0WqnPOFcbaNwO6TyPoMLGW8b2WqbJ0GOZQllWR8I9KE86yL8kjSNK
WLxC8P5KwxLScQyRIIuQwYVkgp3oUv3YFnTbpPml5epiM+hRNlhfBgG+Pbg9IVVlxWzLfIhWjY2h
8ECBb+Zu9CvK84Z12WybXMhbr6pzwFXe81l62QQRS9eEaKa1v1SzN31J9eoS3UV2xH+SajEp62Wr
jfXk7FYlDfYyww08vsAHstrc12Hf9DflEEFBWiTsDGgJ4z8BL6q07/X9k2NrTXayiX6oLXyS+mnh
7H3MMjz5LFIwqP5O5KVGqjwIyD7frJzTAo42+1sG5ZHfeDkL8y3Hk7o+koDdj3oEYhTxl7r4yrJl
r456E6jnhGFXzHwWhayQKiJb08xHLe8fk9YTNZdiWdhv1oD4EmZDFGcdau4PqdgazjMX9lqcZ7SF
ieF/EvAcb2gIBlLTruK/7BKxClQfbfAcAWR1PTUZFlf7rI+am6NC7Y0H8s3mv5FdzPRwI0VT1ptt
9GMGc7SrBBN7uoh/meg7puzpylxYHgN6Od7NzUQvr2ljQGmysCIxuTCfmEPh2e8RZ6g6ASXjhsmE
gv86inaGPZSj5pHUadjTio3sWRUTv3WYBIQJzBum7G1HnWX40+6/LPORlafmj6CteLbKiPb3JkgR
vrA81BU+rj9TxcFJgeF3UwarAvmIqtDTuLW5YcnkXRuYfd9U9FvQgn446J6bBoNZCz4R+OvOr5ca
MFOGcYaFm+VxGSc3ag9ZQ3nj0wta5Im+hyJmN32dBY6A7TkNJXsBeKM5d1a+hTpAxVmkLdAgKeW/
aRAeu2AP5uS/rvD/nW3YWaAaHnZvWSYZT3/bARJTIzDEwlA/uweHbjqPg8R4JF/Gq7nq1ZU65AWw
qSOWSHn+v+4ADoGCoqrK4O9h13VC2ryZycnDMqgTyLb+vQomYHJ/QUo+AUBh0xlOtgMzYjJW7k5J
vCzo1K7ysS+Qi2iC3nVmHMTpMld0I5BjFGbwhtX4idAeYt9sOmR87QJzBNwfKTOd2dxc9s+LLtaZ
FApamNQ3oDCo8eFx/Zr7Yx00M9xSDqYgtkZBsDVHP/rXScFJvgjxn3+psuY9gycJjQ9QT8CDj4BZ
/sLtt2Jv2uNVgM/3ydZgqe7ASMz0HcHHjnBkFRsg0JkyMSpWxx6TgUhPnjPyOsWuA5HcT94Ow5uA
KcurqtWWWlDhMyL5Lcpih9ftH/hm1PJqX9w+Uo36hWNtFrVEQdsxGiXhFDk9J763gyu9J8H7ex9x
9j1o2YDgYHkUFKPl3UV6LcmzMf08eUhrc3mf8zUTw7B1+BxCykBWykNLRSXsrzSqDFfAK4kntSZW
UiWPVSiMF1Ln91JudwKcPQalKPR9FVi+b+VzmUdEqZIFQ3Nq2la2U8c9thWxciRZvveDSuvf4Vfc
g4WwlbxZVv0HGEB4alHqgAJaiCRS/LBtEgbWm8M0a8kSeuK45b4CIDBumHiDES62InjWwL1z6eI1
mr8uxR7YmvL71dtUNBr3qjPR/xm3YVmE9Oz74RbSR1YAHm/k2zZm1ypqtkvSLu1BuY84l9wor2pk
0YGU8PNPqd9K6LFQ4uNny1T4W0y8BKLjNeMT7g1ON8chgbGAgbJyuNf4OgcLggbeePQwB+2Fa/1H
POr6cR8cJboAdRPj+TXxJVFbT/oW0CBx/UCdTyZB5DYfs5DkMnI4R/zBjFrjQJ8E3iTENrk9Q+f0
KlfpHrh1iATQdWYqXfjlWUmb+jWSx8tLlOS5GRX06aeCKTqUvkTLH6zhlqQys9lmgX8oZQgA1Ftm
+eBRKIPaDM2L5/81RMnNtYaYlRoLlLpBGg4ljXhSKXGD/QrP84yjvlH6Yj2W/vcSv0Po3Ht6tqhu
nKUS+Ru/FPBymZ5IZxfW10K74AKHjTe/R41gne6R6bUTvhkRghywRxWILTlQtlSMBsXDgDJ3uD5a
t/0qdVaecDUalgyo0sGk3+Wiy5k+IfwXT68LHWmfPW5XUpF5SWgvRhZYCh9Gb+kB/YEw53V0NsTf
hPs2SKPr4fE87jhPrRZYcOrduX+aKVyKlpdkN1xgcnC41zRDswmvPqIDuoJU6clM4HWGk6jQDY8R
1MnzGM+uJxtSuMQDJIqMDTFysq3TJ/JWHUX+HQtKVG1fFrpZBWz/kk+uSxIG7tPsfiykhlo+77Eb
f7oKQ4RmdD8hOLhs/b0gjTUz4JETZy5c+ugAj2HLmHDUh4dymXPxGinALfjDE++JZ8jTgEKgZTlj
a7e5kjpMkIe59I2CLdgEpMPT80rxgwlneUy0PaCpJeWxHLQf698KRFrHKypeXQ66ti3pU1Gth393
bylPgAyZAMtYmWDgiesppvhBzqLM+1PpKLPGYQjPinndHLw7yb9AlxUCpy/1QnqMn4VMwAt+6Ks5
5M7ao8by4W3NDY4w3E7uNyJ2/xjzo+KgkaxnY0xEgHty0/Zy+mlcUzU5ifrzPK3gRenOdTPwfhkK
bkyYwjcNxBYg/4YEszU2AXAycsKpXqPvginoLRHrIl1RVBDal7p17A6FkEPTBO5zbYLdUI/Dbqpb
5S0xh5Wiwcn8lHauo1DIvq5GLII923ozkEzia4pGv9ZokS2rerFo4wemgBtp3xyjt71OMmvZwhL/
5eQAKggNwjEfDnLNBMSBvtBTSG6N2Efu8wkM+zPiw0B+AWa6D/QJ+D/9uarMASTn/a6GjQx3p/Nf
IAvvIUqD7YZmWZXC5ztO8X3RKlIXiGKWpa2K7SHHteQNAnDQukTKQnTGHFp2IDb1F5pG6CI7HLlI
ns9FGtnYWA+v2F0a63ORI6RsWYLw3rNJQ+/zXuyTZOwhNgcXqadcVnvIQALlmJIpBRQ2IILbNnom
iwECt69JLS6IqlwZEDgCQhvBscOUHSY1wKzTPW4Z+4ZGUqiGqyqBSx3qXBTLhf2ief//xLFyji+t
tRlspocIoWHtg0Km00ruFmI+b9QO6JV71pg1+hr1TPKhyJF94e9YutSAu6hmbUjWpZug7rDb50D1
iufSENkcRMnQHirElP+s68P8eHsDFh/UMCB8jz9cm1TJ16lCU5HSXC2sd6IRLjP3lgHP5dK3zND6
bEROePlD28mYLvLFNC3cvwc5kSLl5nBWx4SrgvAhnzMM5WSmSqwFEezRTvdoQHSU1DZckhDyROOh
MHqvLoIbT0OiYNWauJ/k5KeJrEDSdTuz2NYKFn2P/WjY8wjTNQhVFjEgcuo6dj+2ywJoUeUfItQ+
+p3C+l5IuF+NV2ibzjxIqV3uKwSKwYjuEIC5CrzVLZGGUfwwS41OB7Mu93/YFPqpZTCxpFXrSsoi
yH8aVxFoYAGNaCnneTC9/f8E1QRrcwrUW7GiZVAIwUuaPFaXsySVsXfmXjLO/Put8vTY1m2ds588
J4tRwjPgmS0zmDkeMWJV7U82F86gbacnE8SHCS5McuzHQjr6QjmrGe3Z0ffnADprvyir75IneCz7
2zLolII4tKJALfvA5A2uG8Ho9taVSUvTicAEWcvAousxiqf2gb1kuChvPQRvnfTjljhTFPblFUs8
n57yazlwoGSJxxqwQPiYR3Gt1kTmUXyDaJFqx59ia2EtRKG5deOLhMh+lSjBFz4jjcX3Fu1Jj3a4
HWUupCjfKqfU1nB+1/2eVnKEZm4DFu4WHUxu4K0nsP+M6vrcxlJ2EMgeopSaSO247jsHM/tD/xRH
DHYy5+tpKWNz0J9dEqiRgoGI3ljds5PoJ3jSuHT9D+OhCWevpSnDDm2hT3Q580KEWWMsZ9TFmRiJ
1g0M3u04oyXeYeMJ8c5L9P1lY2Ry2v8qaQXX8ySZn9t+vm281lMfC1W/agsE3VFyd/gG3EOt/nDS
QiA3iqQ31wX9SIii/2ALCcLD3BYkcmD2kHxdKc+UyuYnUYqHK+GVmCskL9wEUBS1Q8K2RcmtdQON
7geazT8iFzn+FJi/b7gRSOrobH9f+ZPrgure3iNONVTNsjKhxLnhQng8oi/z3C7QbmkRcgZ0p4iK
a5vz8sredTdv0j2ynXtRAEmoQgIGy97l5C6O0x9Yxmdj4z7rJblaIct1sjSRkFJCm7As9eLmFV7L
pC4lloydmjpKb5xFji1YRts67cbNtmWluYvLdrsac0ELFT6TkbGIG0+Y5xldnn7kRvf9p6xKFZoK
1RipNVkU2rMuCm+mFj2v8hJPB+Jeq216EA1Eefw6ljPr/roF35zHCCR0dPwj28zZz8JMjirVUsAg
cI+w6tCMdZGPSJbU4837McWG82WsmJYs4YBEJi8g690Ou0T+cU3LMXlX5IL8c2+ypZ+Vsm9IRhKG
cU5CJ+xi6zw9CoqQSrA2/LV8lef/5kSYWzy2x6zsgYLFIsKqrHjfrF5iH0YGbw6wvyRTnWBR34k8
17E/Ah98Ra6PTTu6Mr1gu3VZEjhce6Jj8XIitYKfmnW4J5erkatugZ8LxkBwcqrDP9UQHfkkfyQv
sHWXtS438/pqxyplP+VnxcGHz1uSue1OQ1cNAc4kPqueP0AIiNPakJ0Uho+bq0RuQLfR93ZgUmjp
NC505Kot9JRyrDDh75PlZV5G+SFpwrIv5OoLw53LGEoFMJdsBzq42wQA2wiJoM07nF48QI9fFip/
kgQflwNAHEfPRWA/Eep868bobVFNEbQunmXtDAY4dFoPf329j4zCrby8oNvQFg1f+4Lbo8yLmv9q
lS38m+DtGav7kX5NS2UMDL4e0JOxLwWHfHFsuV17EVHNmme6jYiqd3fnBaredgyiHEZqicr+Hw2R
ttXJ8+7PM6e1rJIJrvSSHkp9+y6i90GawaMHn+rLA4WpieA8giKtbInLl3fg91mwpKdqJ3K/2/Cs
fMTr3X+b/G/7nezVSh+asftslkMSciZKiUx9gjol0h7xeWKj03o/xY05d9c4hTGc9YxEXt0Dv7S4
srkA62na81VmHx2vKg3Ca34cHFfqNWxly1uR/tk7bpzunFdOvLEnwM/nPIcEGBZmZr/qQ6AGU7az
FUp+WkAg6OFdUh2mL2VHVkcnQs1f8dZiv6qFvVbn1BR1oK07jUwepqfWiHfiACX8gBQ3kYJErht/
OxNmY5wSfZEtm5ooRdvW6D9PE5WOM0ibSiWB8nlrHDSRrlRcgu+Wt1LJ4fhauyPAJ7uhJrA3QtsF
GRInmHyvI0VdLwBou7RdVdvhHND4F7ehwcOz5lnFxaKEqMyopF6RSPEBiXd3i5MHT5bqH7mvYNwX
DdFf7zRGcojtYIvlH5hGIh0nHqZfyMZaFMV23I8/oFGDApjrlSLc512fvj2ijriLkakygyMnSss+
jeemv+YQ4B2u4hgpRP0XsrPgeZpawkPRHV+QOP+/qHyk+0iJzpoPGnni47abCnvp0bY8GbYmFHEa
pLSEtRW4NVORxbZ7zbsv3p65Dz73cty6WafzQXxz3roL2KJ19MvfMZIt/r9y/8WcRJSp+PBeOPxH
aOmwTiCPE17WvIhzNu7tqouKi0Uzs/1jfIIlznUSuSqHM6R3pmrWZjg1A1RF8dDMuvusJtLcZSVY
+af6wW0mgX2u6WRTijw/XuK1SKOUsOZuj4ZeivK/jins7jS6r4RHbPBJgjfq0nvs4TWB4vm5OMWB
u59W3yNRtEHHMUtx5g818dwtNC7tRBBnPcuz1GB6R+QZcGE3sEnHzrB9VBUKo9zYHspJvPePQNrZ
B4Lj3KjEE3vZDLWMS5sQir+7EadLl16JdtQ8162IqWQVL2QAp/vg+OFMfcT4T/QQXGm7VzTgYg+I
FnSVn+3aLFKcD2XcR6/h/Itai8pQr66jBGQ8ysuBVw84cVo3U79swQ+0NGTYqu3ylcvJlFliGNlC
BFNFOu/7N3wjSr8+E8wFulTmt5pTSuyCdKT5510vgsdGkofqBPl4wjOMQ+OVkJhfb4FYVaFjVYgp
CCi+AyZtis0+PuOPFV0cENaxo05hVfrvRP0FigGx7hLrBsSBaM/DesHebwfYZ9z7wvwpqh6K26Pk
tgxvksRdbOKu5Ed1W2OvNgJHAVb5o+7DbPhyIGgM4tsnT6dgnGwtO6BN75rmao+lmyVJ/j9gXdZ+
59GjMABoA4d5gRO6u0dEO8xwwwpeBd6CCFT8gTZypNwRmnxYRiTLuSUXxwuDxSArCiOAmB+BiKYM
QX5wiRy/hRUkXblhQC7k3OaF0ZohgVuJf9TzixqUCminnuF1GXTV2m+7owDxoDPqx9gBbT4fX/8Z
+pwFayHzhHfdARgLDvUOD08BR++sYrXs81m0+nirYzRJZenV9epIN8Ei0KiXyU3CTSzz5lbybqvW
vR3cwa/u/Wdd8xtlTXla2hcGeUbEackNnY5npfvTHoiKnhgajo9SKfR8L/6fy/vro2/0MVS0zoxp
JVLIztgglVaZuWvnf2POJktCDA2eEyUNWE1VD8M7HKVD89MZbI3ZdFbMIQTE8u7noONull08VW4F
DAMNODvBycGlDS5rmYKKn0G/ikbX3DOfETnhre9GIaICNcHkH7+gkF3LYu+Kj35oBo6nQxpqeu4f
0KaaDYPUN8GYLcZ4TR2lyYfY8Og8c0lH2JM27HNq3y70xmo3Us0Og51ZTaxBl5cS0naAIfbO/m0c
crGenYqlqlMy0wvvW4h3K6G3nxBh7ZZVEGcNecuml82r4YmzSiAZDr+25PZK9mbX347Sgx4Dbb/h
g7CtatBlqg8TIzg9isRggQoVgQ09zpRCzfVNDlmcWMzEcpR62FVThkeAIi60LyhZlYCBOdIerloY
LaPFwnixe8nEU3DbUUtQBQntUytaIOtH4Xc+7H6o8bUjATs10Q9HsUp9/FqaCV/PLlDsyhAPhI3K
0Vd5fR3VSHuAhEsIr/B3hGPqW70idseGuC/WEzD6yZGEe/b8WvC3rOjAlVqOaZgOjnHQesvW5rBS
X1Z3CbfISfjNut1cGgDNvqOyjVvp7DXQ4jdwvsfJ+WFahB8G4v+m5JPI3Yz9IG9vDCSY+osWg/uG
srYikNajYHjIVd1fkHM2lwfKb/ncm+4Oh3OaM9gph3De6FI2cW0O9vYzxkAiG3vJAwYy2PtGWXP0
Rp3IUIjKcJPpE/GWJq02suw53scHXSD+Di7DFh6+PcgFFJwWvfC6er9MgNvqjxhUOmwaIT6vYHVA
5mGTkTGFScjs2Vf4HTEY2SXdMZVKvRrj4aACwFV91PD3pjo1LtjKmDidNs61e+90wECdn6g+xIGQ
uzj9fH7mc7U1lB17BD8hIYbTZg3IC4FC40ZTdloOuFD5oTovYq8TFH2WuBjlB71YNr/L0LOBWFdR
GBaxqmFaJov1jxP6SaJQTxnqsjpOyXeO8Bj6WaX7HaLw+/mvp16hzzEbjdHyfd5s4zrLNFh9JFNX
Fmk+gbGZBk1O/qVGYmu0nzFyfibriRtD68JDlvBk6H6LO0KD5MaDjT6vT0anYB/UZcwgvy+8S0sw
+pQ65IXr9LJeyQSRmqgas1CTBMHPkR+3oN2ustqURoqnrvLF57drgRKBYxBHO4VY8Q0SZ77vf8lB
7C1dtANnwJNfTvHPdfEltL1CXZu+L0Bniu9Yb0kO5mSx84c/GLWPxGH42e7m5WA7jBjX6FOTX6CB
l5IP8k4hqlkXWKSrk0G4UKrH7YcLLgKobEgEmBZeUAlTsoE2K8/q2CLwfWmA79+4XLJqcLGPdXMS
IT++RxKKUZo6n8gPjtIFb6u7B9jR++Vzxx2AbhD6SR/fKGMQ3BeYRuXRl1nurUF1osFVR0CFJrhq
vBQ9wy+qx3hqxQEw6ye+SZd8oB9Yya0kLspYRslVcBc+OHlYmlDu9tAkiBEoKFnZRWZX5bAt+nVo
ilD3r9wwaROpNdHmx8to7hH7/BQ7M7c98CadLYb0GcetCx/tNPaS54ZM8oQuJWPBG6NmGSd/XRSd
iDSY5vmmyt0+ox2ZCX+nU1PI5MMYJspOsd71R2rV5H1vt32l+VEcth4pME+j+dVs8ZPjK4HV5f5M
abhMpswWsbX7+jrkdnNza7XBSruPD9uRGdmRR1brC1VlfuASXxSt9m8DHKrSaHn5ByC8fNNJb+lc
RK1mqwbiNY8eKkifdHeL5ls1k42oOBNdA+4GFQQcV3t1fsqxWlW3aLJk8eBOS9gy5SG1aHo1HeWn
KPOsHq2TIpM69MUnZZmC9QrY+d6yoCBvF8cqiuizl0kiXg3g0gFdUYzVjaGrviF1N/8Kj+o7BaF6
NY90eh9v0wnjlObXYu4bDgc+1y0DIrgXP5/u5XqoAq7aRN+HKUMlwUE+CI7X+GE9pjHj4qpru5Cz
w+zN2LyM0v6A5LtVzJ7VjKF+PnKSomlf5FSxbHZI5O2o+CKSgZTGk1OXiSV0VWzUvEBlHQ3kPpha
VrjneFaGhcRYcuWv7ItclxMAOSBW1lCYOcP8FQimtJHaUchjgLzq7Um8MI0keRQcrBn+7aHxKMUT
R/75n5LuaNN4Ki1FLhpCt1h33dcNUf8n2YQaI8Cl5/mUV4uiXzOMVixrAGP8K9eMDtglBNwubtT5
/oGwFrPtZ2s/qiBvtp0/nFwctNjnCzy83obcwHSaSkqHJdKS4ZIGUp8+mbd+BzoBeG5NcR5LCuWu
5BJikd1X5ZG8k+2sHW7KiW9INBzKJ4Vd4WvLnAek7LawWyGit7eE7w9KXYylgl/7Zl++ShPbL4fA
sl5r2je72HaTHRIYvi1RQFM0n1yvCk2c+m1U22oVvsqIH2t+1GEtMNBgLIUMe+zQrIoeiGVNtZOb
QSlN6gpGSAD4D69+C1QdXxxyQq1ns/SzG4iWoBMUvjPz522egZUzVRZpn5CnTaEJfA6h31Cx9BhX
f3w1BRRWOlJWKSAFwzFdt/Zzz406gsZhAvZUiW6UtKBfR7NQIKob0ItG71p/zpZnNNcBSytTeVv8
9MlqmPSSfVXwCkB/kvhgOsXJD3dlnwiYTc6eAuq51tf6IkYtVmdwfReZrvqGV0TZDzc8861dBrp6
vSTTI0pL7HhnTh3AQiCliVJ0zjaJBhw6ieDgoX8LCesdEI/SOEv1yVCJpzSTSkTMeV6lKaWVNtAy
FDSDb1/1Hj14+f8tgxMxvag693iDoKn+gQJ9qeman1Xg7Cazf0W+h9GbmlRtqP6elurceJfQGyEO
JIpQLEu3D3YmkWUo03s8SJxJddxpYBvaPOXW3kclY242Bl/Rk/gsmWgoaxnGrcURGphvwO6mvG0I
TuxPswrhD6fFkXCQ3CQ20BNRn2xV8PCtrrw594VWvEhMl3Wkj0tONUDdAmAiZ3+oytgLeV7aOp4k
MR3dT0OQ+nPlr4gtdydlPlb+hyaAoz+V4wx3EJ+F+mXNhps0CnXOVaFnuRWG1dtcSRlyDY1FiHzA
efO65IHRNOFXvfbf5PguwGxplidH4kHCL1dNIaDv/3pE2xlqV2ms+fgR9s9jSxEtucRNcLjZdviX
qo4SASsb0tBK/DSG39bIi0LD/p3KYMn4K6zfsM6f2MWCkbu4mM7PLtQwuhwZpe9UG5dW2vqgEzwH
52zGTcxTS2FAXjI6SQYRkDY5lSlWDtS6jeTDzw/gide57lU/k2lXB/MzYmKJqWbGfgKKoVSeLVad
CTuwWxwlAR2yeoxARWMRTeHbPYXcHSupAqsl8PPQgKpoS6ywVWfFQVPdjhloVeQv7zC1RJCPTkTy
sn1OhAnlQ+7kGo/BVVvSQEt/BQ+N4jiOvHKytQ7OAquvNJrgdLfe6VrIqOlGoIp6qnKe6J4socUH
tbfYAkcHnT8yWWonfI3mSI22j4HLTM4CvcNuyPxskFSaiE6IpOIsAEykgPs/88X/3K3WXzQtDiJd
CdZIXitPibVtqnPJ8m6vpJLXJ38vOm7o/k0C4h79t/N+UywTlABdl2n7CTrNpKRac4SZkpwk3xtj
0kXQgha2OVZpYTymrXdul3FDPI/UeUjZH7DZYDmIUqOsr8wlWlHm9o/8DEP+gnuPbh/xNN6feZHf
S9CmlnKC9f3vFOj1aeLPcSH8MWm9jG+qb6ct+zzF9f/n1UJHF9RhpCTNV1vGktrv4ZZclif55UWY
5nGZwxzBrQoQ8CaFDFUa12tlkYbxwz0TttMI82ChtYJhI84Us9TbXPSKXh3psC4jofTGqatU/Vr9
Uhidiqzdhi2M9t864HiustmbDkiu2fol6iLeP5uSGEDRONocdM4aAU8QdTxkAHdubF2PgDUbv3mR
u1H16eTu5EIM6fnjfDBL15BL7Q2Cdpa8O6aEEA+nFgJaniTqJrtxTpwEzkNaMwnrsroaMCncm1k9
c0VPxArlQXojT7n29yCBI+7LSsZm+jVOduwsSThA7hRxEsdZZwHqO7lHx+/qVDKnUMflM0pQgJQS
n7gY/14F52RicRDFzkZFX3EmItg1Y881W1avquGBdojh21WxJB/OEDdTnW5eWySfzRj7GJM2YzlL
vFz3/rRCSqtWNUfGd6ifxoPlnh1zyYqw5rxS4XMmbbpsw0Xq5P777BNu8g708SfpitpFUzweRPQY
oXVsObsaVDmaOjaamOZoi9tIk/2Y09uoWEx9Z7BAHXQm6tKhmVDbSmPapSuEn923bZcEKulK2zkI
0DiuIUoLVQUWe1UgwIRbc/KDKuuInG1hHTfmjN290K0RcY0w/UpWjb8uhJoYrGBAsFiBy8AyC2tY
Ysyb3H81zJWg4oz3QAw73YFBVs7GsQcvoAymne7s0yTQDiSowRxDHI6aC0akbeZPSFCfkAPPQj57
fNZFhG7a6bMbUZAmD1Q7TMWie5th05kDrfv149HXFlr0xx5b+4B49ZCGsebwIUxy8jKrvwJugEj6
1YntaLiZ5Y4d235a1AVYsPSd9WkbnfzVtuQWyI0v9v2FHkoi8WacX8YOkPbFuDt9AJI4olU7bg5S
VfjYM5ceBPVLoir3lw/b6Tdq08lLdTVgv4gXtL1EkGevWJI9MvynYfIdZnXKW9uecH1g4CkVSiZ4
K8bQCNS6e8zTyDYJ0EwmXwUzRxVUwzrsycz17eScS7359PcJMT0DSnj70y32wHE+lrHP/uJ42T0g
isijo1WQtSmq9rHdKWMZcx2qinJlKOtlMFfYI2gweC3sYmpaH0CdUrE4uqk9s+jmyQOm2/0l83b9
4hupmBLo6cyayFur+X+mNChbJq3JjoXMIWjOo9i9GO8AQPXxxgx+53ZYCknI/xfwp/Tttehh6Px3
3fO3qnCwWaJ6UmCqv4lLCAIfP1olqq0Lv2G8OW3oLV33VCGd5UUG38GgJC1r2CA/YYImks8Nn7tA
opmvUDhNa5+rq9eD2W/gJJy8Gv2L/T9FocpXfNCbz6uFa3UX7NJnwEsXbsIeoQw+Lf5RmVNx1Q5A
qQfIwVAE6iGfoZiJkyLWDKs1Qat6EShog7GJimGHYAzkVZ9+FNcf25G8VlHbeLsQTvo2ZgWo2QtD
t9BPQgtA7k8icgeBUdA3Sd4H28pHwlrIxweINv98IH2FHGm4A2tbQOuaLtAUGL7GcMiMWG5UxfRP
iQHwUffMWKzE5JlCbMX0SF1b7PpIxbR8BRPiHfOhe/N9/9BoYETAqS7nBbweAIAR7HgCSNyelGlT
8b6+ccdPnAWsr2o8aOJhjXitPLiZx65TlvrM0JYuqzBJv76O8pNDeCG0Xok98xBRfQbDpktMURvT
ysJG7ujvHYmFQvM1lw4TMeW9q+WcpLU1VqtLncl0Ky8vBkhZqUExTAZYxN/MDB8iHmpbkPXO3KDh
5g+RUCBOnw9X18So4RBa2cFECyoknkN696pC4kQCxBrzNpNH7pMPD7SRDUyEF77bOVRns3qGmoJJ
K8HCSXrAVV4f0ZKuGXg0S70/HX6nhVSCTHyZm0yiFMxpG1vECDWm3wGglamruSUNjqoyjIV6NCyP
pe5HCI+kl8b8J1Ey5RCBw+k6XVwCtyJrmcceOnbL23GuNJdTN6toPvabgKHAgVzjoTl9V6XWQFIg
C/U139YYN6qWS45fpxQC9T1bp9bcmA0MK3yDkYgTb33GOlcIwqLyf0EAFllo7F+5DnD+TKEH8Ae6
KIgJrIaLHRNoP66pq6tQBbRMKIa8NydVlW6ZEKy7DoC5+ZNzBUhjrLQKzic4t/xyDFBMp6vA9VUP
6CToAh8bpMweUScu2srwh8b6FvAtT87oLEhhcOh/x6AFouOmG6XpbxzLtHj0t99LwI+xPGc7Vbk8
FSmTQI3TyGDwRbA7FMZCRIGnenWI0Wh1anxOPTZg5zURcRPrxprptlcKJPUq9t7RWEGBwohOphb4
rJ/LPjtDWdMJuVMi1hY+SgtQnUdM65RxJr28lv7seCpXCwmBc31wiscO7LVxY2ZCoVQtpk5L1xdH
fk9WgoEpt1ouwJ3G+AITHDlYnzzfwacyG7haDDcASL0nP02XivdPwQL30uJvolTnKRJSouEXu36a
VooDpnmwVt59LNyuKegp3QYF/8BnUpOpnIiG4WPhhUY1DumTn14gri0tpjZ8cmqTBBbTxR65eEy+
c+/Xzq7mnbGNSqL7JwXzmkd5JaiBx3k3KfgmVfQSBNTJFCNqq95po+EJfQYI+rjGcC/08dRwJN/H
gecr994BkAsOEzu1b8Razi851rGgw7au2KvqjbWOzqlRyrfoa0i60yhhRBkm8GbuVzLsGy2x1jXW
AfrKy6zhGwJdQJ71dXmRMPgpKji1N0RzvEFOf14rUdvNVQZv9YFXKE00hqriGzsac50zfWkO7F6b
zLu0Jjs+kxqZ/7yn8mx+0Ej8icNLsfd0dawZf1Eu8yVFLohPNOuxj6CWDgEqd35+cgEKEYJMp90z
IIbKhYlL3GodYwAkAQomACWLCC0BOIHf+vtJadcG8ovmKLCz7C42KcYuwoCX8/4RCFn+55z7b5o+
ArOPXu3sEDMbkZQG4vreKsZB+qRPmqIkFT59EndJdH+7v11+ZNJirGQNB2+JQp4xpZyceInfimF+
UacDqsQk+UiYkHlf3UwPMa5A3c0bGb5NWslF70GG9zSbfR687yK5+B2q/FoDivelWk7Hg9MbHK62
AhTZuSvpFuoDjGppqpVZDOEEXKtfTBcNYiM9EvPP47HjPU0zn1eVL16OPii9+eFPF8zyGSqxL96W
sbMMP3+nRymyYigpOupw4xaky0YQeTtnLn8PRHRoNZNPodQz4t3l+cmvT5K4EI6xkXyYDj78F9B+
+Qd2ZO/ImT2lcCFQCxKO9BZTLE8OpvCk1lOzV1cwMFgYNAY4DE2ByWlplneYOKKrasqh6iNN/Hvq
PJghIW7xCYNW05Vw/i+YwMSg5zi1qOxTG/5hxySJNat9c9pxrhRHU1sYfzUBILhRInZFUQNM7jzP
AAGz1Iaie+4/cjO6C17yB328taUBSQRt5AHQgdpKLtNNd6t4hIODSvasz/3m2lzSgNt3abDQbr0Q
shn2YzorXurxHL2EtiBSHFxyR0lU9jN3szULO4XOlE3+BrdMBWHmIvF/eWdl81L5jQltaxqENEhy
Z7w14fiuFXWzxDLlgckCGMbzWyz66jI4gpxd1mYGQBDnztkVrW5PSo14qsEox5w27gKfELonke8A
9h69i7CG10DVBN1bHsukJLENZzlvBDOkiCQZCo74JkD3ZIvGeRLvpxpIxCwqAdtsvLynh217a5OO
6Zl+XmRJTCQv57pcFnmWNWtThNJypnRJJG5iOTj6U9gClB3m8y+jwfBNzJo6taZEhnurDfJYgbwP
UhZcIWX/bSe/+fanUhVWRG3cVnt21+3Z25SkL6L1E+Pg00K4pCe5i1lZJRQg3uLgAFzxYQGrQWZc
LqWsZQGvzp+gLlS0SN55xrVMIe9xZUEuyXOoapAXMC/N8wsGd/96eLVooMOBgGKCap1WmaH1N/Sz
93HU5NEPhraHI3mVypS9qc0C01PcNsEnAH+k580CLNmd+noB/4b4KVz+gkz5WaFEcnbBikRdUTzr
mssnUOl3bc1r/q0otF+v9vUpzhhG0CjxfwRn2/h8po9oZQqcWiPWWdIQq8hAnSq/R7zEQbC6fluU
BYdaEqRMbYttya0iZJ/Erv1NO3Ot6Du8EQYRrrDTQhSWp3V/cU87U9fn2MzxLWmoyUwsWEqVLl/I
LWl5IfARrpoGF9IE205o2LNEkfQcZ2JHe75rBce8t8fcU6kYv4dX3AlVoiqgcssx/fj0dIQss4r3
+oEcMKVzxWBGQCNUKoE3Efd7c8V4u5dY6YcHCgFnJlbVKUVvuyh9IZaWCkbZBdAL1I215bNghhkv
zIdVWaduozYCkvClsGccW39t2nXwFFth9Twm45z9TChYrqzK4N/xQloniLif9vcw48eJkYY69BAp
Tr6pYX7EuB4K5AnrWBBf83wXCkdb2Pac1lSZRp7/xcfnFCMHlLUUmgIp0pO39Vaz5KPreJ3clsHS
CqNvsuU0LaVHDYNowkYQDjQU2ESR0ECYCLaRRuaCdmFmwJGxPmce++yLmkssHIVQJzMEjoTcfYBG
YdH405XyeKpDJC573jbTjCADmlPAsrTVDXS2eCdyV8j6vEMbmytJZcW7TMIP8hW+Ht+TjBz6fUIh
uF+1jep1PVCUpp7LtQ38ENlmaU/Bl1+ZjQF0K1W0dQZWAK1iitCagEQdLcCvjvKiK1k5gQPWHuIx
EitVZEZ6cuIo36XVROJz3TsviCRT+HeJst9X0W3ikuMFjoWyWKvAMROIIam/9PpOOkHPLmkD54I2
YKxfkWY5tDUSGCA7BV0LCwP+h7g3/A0Q0+Js09n+cdSz/WkX3B7Yalj6oF9dEoRgo5IudKewEQ3K
uTXffjILp4louFiO9IL733G5N+HLM4HxUiFmCEYJgd7SkUOck2Opy9jMzce+adleNPlY3bBEEtqg
h5ZTfe35MwsB11wyirnyIjlue0+gokZP73G+IyW3KZV37Qgq7twISa8uNPoEZPK+3pE16cNkGppe
eYCCGP3Lr2IVUu8hU5lkPqe7w3pRJVS/+UE/odVreTUoRqKHlMxeYfpgtj6NdlsjcftlRT+Fm48c
NV9CJriMnFXwNxB6xnbHQWrUfw0k5YMoq+awp5hvbGbKzswf5hc5SjpT/GIbiF6f8aAOQMaNIJFl
M/AxgoSYOCNfjEzmyeX1+F6CHJsvuIEofq6M1QcqGhBL275lQsESJ2AX6zlBAROnrNWWF3Y8BERO
IywBGbpzrsXxwTD2ZQ6lm9gnygI2cyMQXQY6/YVVvx33MQpXTi8OC7B4FnXPmxxHrvCKBFPC38WX
jkusA1XzteZ0zMbDtLgCRwaJA262icoooeq5/tnGfxKomlAfa1EA4k1yHb2B7H/LNs3PISFf07YM
in1LwkrcU/8RdX8LxWn5oddybjUdATMCdzXauWUswYy8AB4u7TRBdgeoiA1a/2a2LGSwfIwM2ymT
sdlCpOdhK+34f8Qjs2ojCXTUDJbnGauEb3Je6KvFQPQ2N/9veeyRzjMqZkS4gP65XpXjMkkMSw++
Ip+xKuh/7WZ297csusvT3jcfIpgsVdq5KhQFPC1k1K+soO/uYjyJF1yk88TYlqX2WT8ztNKscYDd
cnhNLoTbIJ00YZ6j80zPvVRjvcpO/Vf5xBYwlraM20nrE2Vahr5CkNrl1Z65n1OfWhCqlQp0YQkH
ORNO8weSlhc3I755FP91Jj1X56Qx/fT1YWw2FS4v7rlj4oIpbpS25IyHMIDpFWZY67uDlxvxVuw8
G2BOzynOY0Kzuatsf3ziw2YsLv7BtQHDOG6l6Ks+1jtHz5hvRWYkOW0rRllINBbMj/jmuA0LrjQ6
cXC07Zr443j+sR2gQ/2ljSKhSGnzWlK5aalpXPYRpzCCy4H3Nr4DKWzrHBq7L/BJeeeHHeeNisPm
xtck/9g87THgoONVdLOUdfNiAaOb7GNFVnky4d/B5iZqYCgGVGKUAFnYqDu5U5zDEYV7P+BBuw8Q
D0b5rTX1GlZ1n02k63uUtgif4B+9WTw0rxwn1s4TP63brTSK3ywEl0fYicX/gaCdOpgIoD3oxxlX
UDwMOc/oL41tYZ1YufOiCVb1phQr/l/2Dk07WJHHEzWHlXKEvJP9OVlrju45qLQksAPSCXmwAEiR
/eCN3qBXIwz7yQaUqkjhCg1zZkrwNFmuQXVG46dA7pipMNJvN3385Xq536Q0KQlAL36ozW1u0Uty
ibtN+2DHTqOufToPvG1JGAui4LblpTjac6DA9dAQ9Y7buU1eX7gyAMJIC18nConu/MmUi0dSONJd
5O7aSa2f571c3SOWmYMyRk4AK9XsO4HCZUvrGPPwvXqNDoTSzki7w8cZqeayM4J/6tuEoLVX5Q1u
KQS6e7dCBZWywe956joZTgsoMFQ3L9bLJUrXiY5nSurja2H6eUUxAq1rM1/gmCTU0hvIC7qa7h90
aiAnlMGMluIapkRGXrHu5Hfrx4VYe42Ve1KynK3mQ08KiiPjLnwi9jP1ygTnYFpWHFd43l8ZJlLK
y7r72z4QqOxFGSyamLY/H798u7v4SLgpkE8IyKuYEOLHNqSWyMnR/iRhLDlmnIgV4aHmsaBUcTyL
S63w9Gvj+Lr5uGEbxjBQFKL6weNBgrjew3pd0DGisNwhOpxg+OqLxMbvBCZFrXysdT92ql7pL4YJ
2bpDDb6PrdBU3nrHC8Igbr9UV8pi4zPN+Fvcg01N6UNNGzlytnr8d7GB4znU1oqHqVJohHE8XjGb
SAASCixT1PTw4mmaU8xa+DfMsuUsdaPHmY1ouahCkXNBHt1eTnrzKlYjAwGm/Ay7ngczzulWIqiW
IF8asWs1ursp22Y2bNSZ/Fu/9UCjHIRxjIBAE5tEY4a+zVVN4mvMsaBRmnrGlnDmsjU5sO5yvMQv
MT82/PVtRJ1lPxHgw/x/zbA3oOzv/OrYFVAgVtxAPT4YbLAIb18Muj8MbsDuk5L2386DyZtkzYZL
vt8Q6f0D3hE1sWFxnGx5L25MAb/JZ6p4foVMcSlRxeFSlLBvgRcTSqL/dBOEf3kzZVUCK4dBjjMy
Byl+Jybp9lp3aHB9/zNvZ70NgLM19thb6V1/loCMEDREAXSOLwnRjYHeIAeVXkNe6E1gMh7pXgAZ
nAZEsoAe6jJDwt98TISowpty49jJbrJMfnF+faF6O6TG++ASFtlx1+9vsQMh9ZaUaIYFcHaf2EYS
r06yP5KbHkGbsi2nY68JehH3nfDYtuegCY4Z7wgEfufJ2kUApghsK+OpEPoKVsddEaOTMdhsd4rl
h8lnZYH2W5YbC/XD8jg3uZmfgcwO1SKqqtjs2tmOD2J9ODKCA83F/nXeB4lzE83bEIox7zsl4Sv5
7wdevNkfz3YMS5EWM8hgXj0BKODGNiiu1CBbYep9en4SGox/x6VQv5yU2MTAdIdFzWf02njbkqAv
MRGJMzRsZ2iTGjzYoFGlljfEsWXLgYD/SiTN+kXnJTwxGR6fXMWbVgx/1vwOEn0Olb9xMooZjvHw
9F3cfA5+0xAyE9rLO0QTp8hJf5rhzBnwERf4+ii+dhknBD601z5rkuLD32wau1FkEEIAvwNLRY9v
qs6mC017v+RiwL56iNIOhZwEYl3dhCi5fiFbXWYAy3FD3rpP/g+e+vMhHA5sOEwDOT0QU7DvyMLr
Rr1KHZy0LDCneSe7s2ABEcFxsxPmtcNu8AO9Pzm/VXAzsaghnfXYKrh4v+RIyoB3tjMtFGw5MyCP
ICGxxw4ZT5obPXtUbsE4IqM/57gLeXRHuMpvjlxsjDwawfrbaG+hgkLAyYv0ysG0Ya5iykfr0D9D
xgi82s6gQ3OAS/8Uf8Z9vLu2tT4l0h8FTH1APyDjkQ55vCENKW2n7AOAtSRpamRV09XbPZvN1DCd
7g23l28O09dyfmb5iS94HFdjtRGeapJ3gfbPtPKRxa5/Ayo5L/kEXmVEfEa2/S4rnZBpd2iFsoSb
2FgpiVUwZODskGxdDr1xN22yotFxTLwnK3SrwlhLN+E3L1hYTcEpdvv3mheoAQi3qY99nYpIGjgf
RoQhxlRIPBUERP5U3vfFrr27cssOoDTjMGhSPwck8EdKbJS1zKP0hyswZJXUeRF/ywPkJPzjEeGb
U/9Fdzc0fYSt7eVsGjhTT3OqArbxDNVQfsc1I1+UeWBo3lAk2iNP0C11mi+tD/ojjcNo3q3fFjwT
20gd0415yxCEDhS4HZdknW//hs74ISLLqfqv44Co67uwPCbpYyFLdefR21yAmqgTJ1oa5O4MvAwq
NPPFuXvRCa5+/rTzDeQQINJNGlGWCFRQZq++PX2cLUuaLnJ/n5rl7+LvUMvapXFd5DFqruajtZvK
k/M59DwBgcDNUhjoHtEZElcD4uxTupH0FMXb5DNmr8aNYGLvqQBdOVWuRtggUTRJBY2Bnd90mN4E
WOGuR4MpFiBhTiStJmu+rSdke/bg8vYPAUac0YwyJjrVhOyTq9NwM44MV/6V011rciMsVBgopyED
w0MSBIFrfeOLrM2mRGU7cIGkOyIi5kbY8ueuSdnjZ0VFZiqGUFhlf7TsepOMSR3lPdmGGnA5c08U
Mz7bvxlkTnFU8yyN4bmNaePyUPSWOZU32oBuzkwlBHN19zoZ+AwfegPs+YftgPvhQaDJcgduY0p/
aRiE4ja7wt8gtnNo9nhfPoq80pFTQeluCVbY+Rb92ItBYgolVBl+Z4Z5/mQ4qL+6deQo0BppYaDo
g3jJK6Rk8aSQvslh6vxgdRs+3Qudst7gtkNRe79UGBAIKeZ0KFBjt3TQxRyd/bH3O34DYlNgpNY7
XeqbAhuQBOjSxrgwqntnE8AcPcrcR2YVVjkEKyep0udMw/uKSnT2ANOR2DdJnw8p+g6w2ds67LzR
LCROcjd4pRan+XFDi51W8Dr39w3wOvhTxD1BpGMAxQjgJ9Qqe19bZ4OwRR7h3QRhRPFZzzPERDDc
dfEwe/kOfeqtHucK96uWnOJcOaZryfTSDbQXXINidUjieyuifmuz216pb6OF8PBG2v+/o0sYDClG
tQLUaGhEEL8KJuxv4ucDqVmZLgSsVZhQoEfEfW4TcfoV7sDt1KySDf+wrDk8f7OwG/4sADV4QFen
wY9iwwkB09vGypA0+lGY1SlALZv2LhL7O/xoVIXNaNQcMcgVvS+k6T6PY9U1cTZBy36iL2ryXM9U
C5ndr3LpjqyZWSCpEN6XcFrTZ7ZCqWBf2a2Iw78385YbvCIDjyCdzCwwAtW/VIXU5KawQ9RH22go
gSl0/kDhXoBMbV70f6JDwZKsDvRb3WvaXNMDe2kgZtxPoMJZ4p/XJ4OSbEVtBVfz9ylAV497Va0n
Ahytr7cksvHJOrUY8K1j/IQQ2OzD+oeDSTGY4U9+V8GYzBft/VqmDQggXSC/eL64rLSiybittl5I
hwZKEUGOiyky4deEx7BDXyt6FR9BMFrZKlU1zg7533e0NGpjdn+GvNv9UitSnvRmMryel6jAlAgL
PvjBPUQ3G6ocJzFUsCTC+aQ5B3IhhswnminuhiWD8uEkLuGE2zuliHI9BKs/18FOY8z0ogBYWElP
pA1GOKg67op36aYMbS4C1nFlwZqx6wRPVBVKe/lxeCaPqOLLTKR72fCogvz03WPn4CE2Rg25m2he
W9Zp0NIT7ATvwufmTZtLn74a9vJ5n0Yw65l5mtgvJOeRH0rF3bdTHyvWrUUaOxhdsicaGaJ75OqP
Zb6S1WAqOcogxoLjmWuKRDI/qy6+vhJxQUX5bL+x2zOAGZFJJzrZdD7gZuSm0G8aluSujrgEcJAk
SOpShXOyEiIxZIdmmXk17vPksrRH3IENLtNp7G7XWEE7Q4a93NJo9DoFs4Ac4g8TubTDHMr3cYZS
mAxeoM7/FHo6Lv/J+Z2r5x4qBR7xU0YNL3GA+uyxPyFlJpyLdEcpSeXf9RqqlHfvCQ8DLMkaoXt1
G732s15xc8ecvInw9oed5CmV3wJoyZBblbvMMtZCzTGSzns7TzHiyVQd9pEIqCT3npX0AQJlLHXA
78+RTTtZPjsx4tUj2Bnf4KW4cAy2CA7scSgOXPNanQeaobDjxTYfdV4euWficDRCl6N+TKSkYJO3
iC2SdMM9rHyeMIo+7OdWj7JCcHdPLJY+DfLoGwseES9IGE4H3z5QW5ynz3S+twXbot4swK0+perO
9L0l3K2M8m1luPvk0xM0XPRJx3npehPF9a2na4d8qLogVWr1ZPq1vjkW6UQMc27HG3CEPLHe+imz
hX8Type8utjw/h7QwhMR0I6YAQP8Jpel67MzlSQGremxDEr9ntWD80tQxA/4p4e1HH6nSW/TVsCR
zhWehr8fGMTedubBHeU2XSYT6kZHM2crfWvb1Ek19XLNuOoEmW/DEHtfP4B2c0giLEoqkZDsOPKa
WFOU2bmv7YNXMu2QIHQHytt+Epz3whcCUtAC2y5OrWlIiwQD3dKzA4p9QkqwxeVEhUi1OsXIcQsq
uIEHeUCrGS8HYkUqgIZQfTV8uDVREMRYyCS2JklWnZe3DUAKUtx4kuTeSxsimPoSLPN/1r78CT2F
5RIwkLSVHc93STCzYLGGLlO3x1o0zk1UV4V8Z7RJBxXmtHmbgXq0v86gnSIPp2M7AmIqgwjR08bw
zrUZ+8op3sCCGOhMfJLaG7krd/igaUKsHpBXpsBpKprC/QyIgqV++ejQmBTduRKbxwoAqEQ0micT
SJt4Nn78s7pHCbJ72sf+5/WoX5qRc7YXWVjKaaL/5pL9JBM/DWUIaoBDOo0qtOsj4lM6tzmnO6OL
LIIm1xl8IGfUssKnuSOOgYx8NRUEuh+M8CR24mF/TLk47BWAn+eshHkOjjreih9+Blvv6Muv0PRw
7UCHAmdpcF2sS3+u3lRr8l/+v9roJuZ++8de5Fod19WHa8Bk+Btw3QAmBPFvOqdLwBtziOwSIz1l
7nYU2rURGt8KcPXEhnTEaPYNLDLBTl1IkTa9D2txQFTbGT/Y+tBpa454dr8OE/4GzY64Ny+vXhXT
v4Pe1dk0/W7OLfq+U96VuyNvTx+LPuq83NTtOlK98ffgRZvP0MwNDcfyen94A3Qjqft/RbZpP8vY
BhnJr3BhgJKCXSazSNkgZ1rX5YGvMN9LQfllw2jU7GYZ8U0EHDcExTEZDXGjDYgQaUfQs4Fln+Yw
kBWj2Imco8LMNCQoaI9uNybh9VRrUuhJ0snJwlnEfI4cxOaUojNzpyQZS0IX21m2JOPT6rzP6B0h
4hkGI6XJl4eIAyvFuXV1KTSXX2eklCQlYS2BCLafns8TzSlpslfBSE+6RmVEv2z3L+RMWn06zCUa
cCL+NOw1FjMJmNURiCaOlrYcx5V/sRMXsk9SZNgKv4jnZu2MwirnPNNirvwZ0aU2YgmsUR7J72F9
NH3zGSG114JlH3NPbrxK2mo+yojn2rHOC4nEfUKuizbQgMKFVScVSr4xX9Xuh9MbuQQ/MXQW/+q3
JcXl1JRSY7J1J0F8rkb5871ImZy8Zd0uM9evB1WC0BVRfLxPga1e87cwL/UIKdUUMc3AYSF+hT2x
RuIUVpPOXCoLmo5BpnQgS2h+5xrRHnte3ftQFFKf2QsYPwD0gUcPUmAjus7KyYIMjrxRjt/R3pUt
W4MPcLATE7ZEXjhvunKpv0zv7iapsQfG1MB1OFetWI2d/W4OFFAfnEq8iSHBUrxx6f9VsF1LhSdn
Ud4OZ8+6NNIsjiXxs7JjN4aO7oS+63ugzkXW3ixA8DZCHxYqo6E3Ko+S3y9Bbq2sz4I8GnlZuLwi
YuwTOP+r0k4eyxfXoV5galnFJwcdnEzwnqSiG1bORtliAd01yY+gZObKXK3L1Fy4jr8XpNfakyVr
Nma9qKmZ/zPtg/WKnzvJqXWHqdVtIpgeKLFX9bD9q+9f8MzXnMRtm22lSfVA3z5+BnEk/W4kLo+Z
FNoOy/9jGjQsHSXi65yOCmZzpubCEKfiRISzzR1ugyS119Yi9YO03btDqfwbvbDz7w8pNAdWDW+d
lvjc2tJmP+VC2DJ6Dq/ERjZCWScpxkLtnDa4pDyj3oBvsKqUzAqrTWlhN4/lrTMhU6bJb1wgjHsW
IcGVx75Cf5578rgpCml8o6jJ0WAKkrkeqNpUs86YQphtWaW11hO5iPEVDoragqNzJqKhRnZ7D1EV
d8TfhgnkoktRI+uiz0iooAq3lsR+wZpjBlmCxoALlBg0f/3aKCuTsxhS6cvNfEPnVUj93iBzDtgd
DFK+WkPBdYHBdb+nffzC+KcIAQjpIc/1YVQUZ08wtwgRJa9w66vXimBaRY3cOuEmperI7Q1UNocm
owYfxXXib+C60SlEQOa3HobU+79+Tecgft2TqVe2NgIQPxXheBFJFJ2HItcKmY+LW7uIA7JcSizn
AMfK9u2KmKoe+QH80shaX0lPqF3XZUwU4Jsgd7ZMQt2CjasAMJ96uHBD8jgd5mzOMSLPdRLMo7he
nreOQTah4nFHIX4/Zk6GjH1NTuPBRpRiGI5Ps2LM6VwAA6LO1tboUVIHLyrOaWWtdlw9CQxDrAu4
oWzJ4BwChUI8xu8sjbRwZtYTziCwP4Ox+r6uTQFAs4+LyYoONmO4m/A0Q+7aTXstvd9AgSJXCXhJ
+BiEWpBEe2hlNg86wRbDnrk//xkmsMbpd1yp8z3zZK9L5G6X6rQrSUDtvU6mZUjHyLJuGwYrbh9f
ZhntNz/jA03pjWdO5ph1SVCXAa9VvSgB/uIDnul8+BP5zzhTwRndAPu4IXBBBC4oVFSGtWcPiHr8
KuV7Y0Dd6+kzt1GHvCKRwqBq9vc6vjcfx46zK6YR58RzMr0b+6ZvcfIjdsx6EwtO65kSuZEdNqCd
cBYXsLA3AvFGGEKr0LbXhp9xKWPnTVdvKyM7sGC5zR3yyuTZC4jmiGKpl/U2620dWOvbGNWas5/m
JBgAJhefq/MWwZt5TTMOvCnXvY75ObGNI2YcJkThp6caHNa52dnZdcZN9yR80F46IdeKtvAFCczc
1Sq3vv9lTqQIWZr1ZRwzV6fvGpKXTASYD/etn4yNztk5arW/UCkX5UrJbhd3V5+0PkYf0oYsywv+
JxniUPtCNId2b/G59GO+gJMvr7f61WpYvfwAuT19MU3nFukOff4VSIL1zIWvKB7/4iwtAs139/qO
BjR2IVTnj38Rytlqc9PsufeysPs9lscvBdYDNcVO2zuT8250rusiFM3VUdrsh2Zt6yEXdYBS5Njq
FsguTqCcetsdgkH8F6uMm7q1sY04JEoIYU0BRRI/paGFMrIMFYIP4i71O67BZfPCL/cyEF7s9J8N
DlrD1kH1varP4ACc//xzsuk0j7RNHMaQx2BxkhtVOvkXaXWS451Dioxj5Z+89aMU8TpK8sJvliW6
4JsXUjXztENUKLpm3KDmQ5cUCaitDVLNXaiPiloIfGooRAtxL+VLPMHlXTPCp8aEaQxowiwL2Dls
yHhtqOtfojUScqOp4/B4rpwOTmb0KsrDGAD3vrWqDxVUTLoY9WAocL6X3gdTujo+dNClTclDGl9R
+uHb4IoxAeVhpITiA4dJdcfxScS9iJ5vAFbjiKDF2fsM9r7BRKINwXnuCQ79BW/epB50p4KGH7VQ
JGTwkGNzGaLgNESis/+Hqqd7WnFRSk5/eKr6np75eYx6DXAwQWB2JQhWXuldU2GSOolHy15Mpqg9
UyEtbNRYR+0eJMT71RpvCK5cOERbu5BAWBuOFLNORkxIFsYzPGyDcZui3K0cPo2JfB9unX4WeqsY
lULNY6wlwiIvgeV1GsqA1s8LtDXs0AZZeDBhcymE+mBJOxzBkgy/hBmE7kzSHaLhg/dSdfQeWtIz
7JP9RZy0iwj2o7H06tZKyARZLiRVkTyjN0kWAuzjwf5JzZIMXvPncfkbV6bgzOaJhAmWGl0ZVsxf
U185baoxbdPJT+ZGfHtSBhHgYso9rAm8FGvGMmzI0LGPjs7HYeeXiazLs8BxLuSvgVLWr4rF8oXE
f44ttuzyRW/yfb9dZ3EuyT8HhmJ7L80XfkJ/lR48vRxT5duZCpvhFdV4Y/a4ubGq4zhyVlKbEguI
0jubggGbhqfwFST62l//lX29hwCtMI3sbh7YkZ1bZhQZq/n/kpsC2b5UygorA7sEgJGYQ+RhI+Gq
HANzJkNBu9iH9cUs9/J0QbSDoeghWLWiuVS3neiAxUIXF00eNw/mgy/uhmVwu0NMrH4+zggIM6q4
UTJRKi28AJPy2Dy9+B2TxHlSTIJm6LQhiTvp5G2Sc90O2hkt92LSeBpPCWfC5uho3GYY5/pmLtHw
g0/uWxG61GUWWfx7XiXUz4pROFEvEZ6VgW4SMULoN4ucBFU60EI3FJz/ICr4w8MK8k7ikPHk8TJT
GmCzkSh+nRBdhmrq0T1gfVHC4pIn+rH4+rIANFtrDR+01TwJ7DTlDuPozbTgSoq2TnLphlzYabXG
H4N5DdXkDkxtGrTGJaDbxBkG3WV2kcIACEMS4EU3PhEh9s+sho0a2Y2TeGC23rnrbov8+0Hjy9zc
haHu3dKdzTBq+S62BkxB8FDHF4DV2eAG+YgUTFZnGslz7BSYzx+pizDNKP2T2ilhSlEmh1Auyq9y
SQpOwpMbMr+c/NFQSpK1CEL9F6+vE4kZQPJQvOkRGvJSeUe5AWQNCkA+IqxRMtLe7UhrVp4srPjE
WP3+QEGlPtiPwA3Y4i2ckEqDHGKJFsUeQNFWAYh0m+hKi0RItBH7HCOZSOiJDCnk0jY23jwvUXCY
PkxYoAs9ENVrt2CExCZyCWCAu2cbrkVCUx+bn8xis2OJFWE/IKDCqqy9ShnO3VD8408iscyIwM8i
JQGysznSFQPITHcBRbFiZ4qbPMGK/41RxSBLI0cG7vYjyIYpv6AInRjGjjWQPq9gzlKRzuo4t7yT
OiaT2cwZT9PdqnU73kd4EzMlErKzbP82VY5TD7WhmWGC/mIiMKtbekhxEZb/kAlZQk7oK5HVPm3p
KZKVBQ0DLMyOHnHWrCTRikJIW9ZxKHcd2m0pYBqNZtDAyXT4gNaiUT1bZNPDJg9WvpGsMmQlIs59
GCQJ9L3OIU5IGpzid3l102P0r9s72uGo9dgeLf2hFaAt4Qcultyn5qr0CM7FjyrHW+Mx6fsh308M
2ay0HAqy6bFidLqp4Xm+qYgPsqS4irfTClepZgD1UMWbRA2y6chXGJeGFcvfWKizKDMDokP+it84
Rmol/pZxjlNNt/DrdWhejb9o1WxFMzmEMRuHHF+h5/bBEh1HEgvFyrB0mkUnqqaMeLRsCCC3VR3E
7f0PuPluy4u+Thj4VGWwa6hYZV8+FmMX1NhUbEE8O1OOyAr+BvsuMP6kTKhWfLYjamNqUg9N9tSf
vAgUXcDl+wrs92h0qOSe8it2FZLHmmrgHwa/w4Fnuau5KPiEU02mQA2hsmhDI6a2mz+utxC0iHaF
PfoPpJ+b/D7Z4vllzBn7tRZD8n8DjILbLos+EPOc2ONyzvplwdSZE+m3ZDjweMMJIdiCknl+HkZD
KJ9NieGgkvpl4KchAn5wh4b4oG43l4UcixFPwEqcn3DHKaw/k3in+CMoYoo7FAc6mr4vcBoNjfDI
c/79I6PAZxxeIJej7iBhq0A2lpusNBPb4dzvGKuUy25KEzlomBmrr0Fa07TqHSIPU4/XNJqun3HF
lhNI+KbgXWZcPN0dY/EAcIqr1ekiUTSSXLGkQsKcUJvq4BIlPnCP6XiL8ORMlv7tpf34J+UfZE9a
ATZefbQogt2u6l/udseuaMih2E9T2p89Bl9tJs5hzhjsTu6Nwj88wzQJrWIfzLVIjX0SXpu/RNbe
FJ93RpNAjlOrjbp+5khWsaFzgAXqQR2A5tP8hIiTi65mPUs8i45BVGi+vfuGvti1/3/yQAI7/oeb
892dz4qtFBv+l6KfOpcpTS5fYIiT3OhlLySR1wrv5lN0up3IzMQVQ8xKqQRpukhSOdOzzE/TRPuz
EHqQyp1wZhODi63qjZGav7aRfXdfZ74A+pIptoxFd/TaqWeIO2aIfvrlRLmcNeW8eyD7AeOqRKVV
IgmUxlIvNpQM6m50KwkRYXQF9onCPx6pRIwPTYKRz13j8yICPL10GLL+ubNEBsSLDNERpoDblfsN
1JnDt4UXstMuawflj+Bq4sqO9CeADH8TVdQt+CP7/suTfbVF0jSWPue3P3guggfpzyn7al7dHRYh
pAxxR4BN0Ub3S3jEm9yhJW0E0y7vfY9S/wdzhZOfuOVcjl6HhgdoHPIf+VzRdJR01vE63TB1JhkU
YRGjiovOmF0V4NyDe4RG/41HAIyctERX2ePMOYaKtv8AfuKkU7I/0AsHPZg7jEeiixib5LUNDy8O
Tv5a/Q9MULohYzq1p7nelGbFEyJ8Uv+ZJjjBLDwo7spNHL43fQb6YK56yxp5xBbOmm3jot7AI7wr
I0Ox5ONq5kbERTE5VLPrG+arNAyGrZezAjl2+smFBzl9U1jOoyo1GUCdBPQEHZqhCaW/NmWqi4bp
dKHqklnyChny9x60fAgBveTl+aN6k+i4s4mOH3BNKwFGFJHSu/9R2QuaIYMMDRZ+ihpQ3G8vMHDJ
mBi/9zkChxCaYLwdlvzUSKeWTadsPYtC+iDVzoQ9N86/8n9FQlpESpxXDJfeqcw1KXV8V3pnt5rw
T+4Bt6xWdOF9Skk7dqrjUtb6fb4hk9vRsR684rfAfpBwvOR+bXW0ntI1HktY1VCBT1V4JMj6VGGk
Tr/CKpjj/YUPhTrx80hQVVs43rqZDaMxZBI6wd+RXfHkwdgyJaBUobqSCj6/frISqLfIPM54DyoN
v2JqvP8d6qZUn15nIGtP9BYwlMB4z52Ff6hOALc7xiwijwp4H8WAhMZFFodWdmQZNDvWtgLYgMuQ
NRcUXMyjH+lYGze1DrlLbNIiTYDop/I9mHlXXiDtgAysJBrj8/2drdhUziGJT4xu26F+qThWnGBV
xhzwSkdQu0rs5XWl6bKlbHW3QbVs5jVlQE0KM0Ov8KSDIzU5REwPfluUdOlIdGtsyyANimRzqyik
i1qDx/fZ+RYpe6usGB4GLio2isL1qK0qK6KlUwNsByMD3S/86fGXj85z6qYmT5y+bwl3Fm5rcUy6
FnZqUP3WaApS4nPK7fbovojQl4Og/021OB5xRcqOSNDm1wFRG2z3b1iiQgMNqyfNO7vEYFD6cFtY
cp30dJBkvOwZjox+z2oS1oY3YayiVpn0QGg0uAS88pyBPIweyh89MJ5fqpLcM0s4xuL0LbdxWf5H
1y1DQ8tGXXqtOpc+CiStlTRC3stwoAsxdz/+5EjB6WAV7kpraRNiVwOeTz60wuiTy0og5jMiV6Re
yWEncQCkIhvAEOSrM51w8uiK+adVx8blMzVDuQ3lxTJECnUSBsb6r34lZfgI5Zp13nZisy/cgBT6
ZeaUeiTY1uebqgSUEqu4mxK6tqsRElUC9kQRhpTaSo2a/9CHk+LV9bZzcBEWJtua6thOVCryBpag
HuSBShwQu6CKf/GAMXKAWKNr2WEgf4dY3MI5c8OuHCVlUh5+kcxsGp95oWWaydxel83aaouAK2bf
TzVgPXFm7EVxQN6bxtL0pBhs7gp6RXM5w1HKR+gbX59zGiN0x4IIm5mNenpirXyxAKBZRoEIrMTK
bqeEafhngKEiCebkOEJp5QqXa7n0IpHuSM3KIquq+8MqAHe9Lj8MTt1EnP1fzG707ErrdUrAtzy0
UdDaEYrO4nw3UoY1plCJAQyUfYVKZs3F4oUjQ9Y2hF9NQq40JHmxzV4BuZhjJPRmgEsVMUdWbnhD
n9CA2jkrE09D74Bqnz1/3aik0uQ/8TGIsMhtdaaX9qeBrT5cjEjg64wXvjc5w1t6ZG1aRCy6v4eq
o7hX5t19dy+PWteyr0cVOy4pQec127J1JTGM8eDeQF5DzCDxZMqeNFhIZNa0YMDS6z5t9V+mMQCA
lR6xdjNqJqjnXszY5vpXpEC7fG6AWnXqp3dVltNgIEUQettQZ4wogduGonprWdf/8P9N5VyoGAGm
AI1WpFD5vRh47VDw1rs7t9ha+mEaUsMcIGlF+xSZwng+TPIq4xQVkbM8NvrM8BUtrWoH9W9bCKDY
+k3SzRYpYCbZQGHvnx1wjWYz4zaqGufbYABxcJj40EXbyYlHu6vI5K3EIoeRdMMJPDcCm9mC/mH7
ms02WmmmORD+uYI8UPz+mv7GDkLq+9iItmyUjkQqmQO6qqhR28vtFpth76whuqhoRX1LUQkuYnjT
wsXHP+VzjS1jBZ/ejvvUqh37J1+ST8U7F0AAF7iBM+rIs7qpySKKUTboQgIg8nWAkrdjsB8k1jxR
MGpal5jC0bGKSgULNzgbwPTeVDMf+jq3zjsnHZI3WgMzesM38WLPTlgbLPaSIja62OTiE5aiR0b2
W0eE6Li7s3t4M/OjyRh35FC2qNDyOSn6/bHBc1/JlCFyZqgdLYjIav/Pc+0vlcsn6Z/4wM3qSF+R
6uQBD3chEl3gO/5t9K80X5Xk8XPUT80BUkur6mwCPxUSGq1TJx1G8o4FKBw5wSOGtDaVeU67uY+P
ZU7ISEsLOC7AcJlBMJWLnrL03z2MtZd6jred+VaGdnAaQB8aSjVO7fqm8vz+MFYtzYUU7S4w4iNE
YtA2TexhMUEVaMNmmdlyyjqFD2Rnq2TCUwND1K2JOKELdvk9owQd3FHQbF3FzIM5Pc79OkCkPuet
x7cxh5LcXeHnPiScbU9l3xGOMNQET763hLDBQSVydkWVlmIIPcx1karhsHc/lzwct+UCatNS62Yg
TwBXKnEWf8+vhHlgjAB7zt0ZonyQRajHDMhmauTUPg+gSePxGmfQq7Im/FArB5EZicNdBDiffXLE
+NJTlaBx3ZbSv25hfa9i8A40uhJyrumJSJ3efN7TMMN8DC3MAzNUWbVC9MY33qQDqT0Kpu+n7hMB
cItw6+APjwCgYlojvWC9p/S+5NvfECVK62dP1j6PjaBQ4Z4c8IaVbqTlsZpJGo+Nj+EhadyebbOa
uAqI+RDsMV7nNVBu98he5ApcYfv0yLH5QYiB3MRrK5mY3wXtg5NRzp7sBZhp2SUvnxzXPLV8Wfyg
+qXdvzpg14gE5ugKbJHvQZ+ftmAyr3Mqz5ydulZQtp5RzL3ug3GOIlJFjknPmUPoUgIPbT17EUov
74+BSVf5fNkR7kUxEk/Uuhdtdwfjs6AEFzej8VUZPoWG/QzYMbvOzEUdfHRlx06wUiQs3yN0IGGv
/Ps99D+VDqml3PU5MVqCVSIXI6sWXCLMieL+P2Ck9Bd54avq6/1hTy8r68y8D+AhQ1HEulqc4+KG
sGmnwaYI7wplzU8Wt31ck42iKhnN5viMTEJBFe/DRy1RaXEh250bn4aYaTTeyNdqjKcDV5hk7Thf
Um+bicvhzJMtJMjU9jn2TaeTyxS6WsVg6oqkEWHx1icYWurrRtMy6uqiDTZ6X5vvvEWnfUAYeASg
6kgGpKmSh71LCIIesM1vdpxwNnNSs9VJFCbX/lZ3KoKiLY4+eW0ld9XBu21xYBQpBOpO88W/Wyy2
nDkSA1o46Xo8ccfzPHCtgCKuD7u2j5cWdmJQeH8bJkL19EYQJxHtsMdNES+kiUZ18qjOsWS7Ex0c
OHnsGFXKScIu9wIgi/g5fHSXWjL6qujDTILmioikFjfrRweGlW52Sn6/SKYbNpgnAgmmAZC1zUZv
Fl4Y2AXch4HT9bIMG+Y3I/z8B59vTHBCsPLfcCtpftOqSoo/dWpBIh92Eze9gJ3DlEMHwpkdhnZW
+oe/Y5A4S1zXiy5zB8g9mTDpa7Q/03Z4IRbLO2M50vHy5+p2Q1UtJr6jBTtQem51nI0qQ4Nm2Vio
e2dDXtbbNxRicpEkVTzjFHjEm2P9pqw7T8aI6phJYWeUjFk0HwZE7kD//JTVCcwoIunpvYuvK41Y
VO/NvbRViz4u3P6LV9nC7sl7Kco7fdIU5qZuig1Do6i/oi1V37kFkZxLAj4d1+1BO3cYwqkfqUq8
Lmkg8wBIeIjqnv6DbGfSSQ3eHVpmLVL9YdvsG4vI64i7cz0XEU1MmsXU4rlHAipSYyjsqOqdcpTM
SrUZtyX3GNW7q2cRr6e4hgkpImG4sWqHYEGZBxXzoazYpBJ3zjvCU1hQ8TOVPA2a4LgFQiaId/S7
uy0gT5S6PrcDrxNU5pgsaCMmYMnMPod/qmn/Wdfy4fG4YjeneQRA9drinHcdiFH+rZGDfyDU6gEW
1ZjoiSH4cm/lMUPBaTdbVRf4dD4yZF3f1GMbHhuQUxqdu3Sp9xTKIj9RMicKB1zuptfnK2JU/Lt5
/lJzR22DLRLSvOZJHBwPE3i7G9JOfVSFj2LpjH/D2mhpe0hkTajldb0d1Yu0rme+9cutw6aWEieN
meAHatIybTXmPxu5nHvMxPlLbLZ6Jcjk0XAeeLUuTqWlrtsd7glvEkrfN7Ui8oLkpi7wlh8epTX4
ryO75pv+b8tNrILcg+AFc2QRE0CqALd38ESEv+l7Co2EmTmEIVmpjTBzMMIkzEXiUaVEyIY/5cr1
IsHZxKgVsKpH6s/lqs2llg8dGN7/Wy6VzR0QHykIMpfivXT1G4D0jjLi+gYeWdS0yblvDD17zJIo
JyZf9W5sjyy3SnsWCK0MVNR06Hd8gsC7VQWF8k+p0Zvt/Tr9Xrlqrmf1R52rkW9Rum469op0kK3g
+ITobgDTcml2MacrJ85wpw2y3JkYXzXoAnQroKsls3/IBqSw+Gbb6ejAXRzX6yL33ik/TN97LGta
JeiI8ij2QJHYS16IKLNHokIsCaMTwKprh0w1anuQyC0xZu9RPEEMiNACDftpNAfWg79EEnIXAGG/
SChLixXZoKqPSFm5sXpvqTTFtLBb+lyBhpP63zeEiizZS9WZ9qmTFPt9119oy6lSm63Xs3iwsL+Z
u5cUPnWe1aHEGHi68+a6RlfhORD1/CFO7nuv3amXR7HNTv5zckTB3gohOk6Fa/4hXzk0E0ufsOaM
zcBjkJq7Tu86WwSG/WpyH1luUqhV5OGX0ZTcpc4K6Cp29Du3I10xYhRadNifoXJYkOnTHa9xSiRU
KDp73WGQLCl7G7bOCn80uJVveSVbnTdjmcyk6f/OA7s9cSSNMGmGdMnrC/pbnbc39K5nZx3tPbU/
VzJm595VUnZOtows9kg9GKx+9pSaKrg8u4zDE92pN5y5LajHS4ePq/19NOX1eARy0dD+MGbte4yf
NpY85g7QuLO9ks18DvXg2MNCm/s0jSvl0HQowLo2wkKoUr9yHzaUOoWuPkc0bFA0H5Jo0JD+BBep
XN3BCAOqf6yyTAuwPG30H8Cue7S0qYagBJqfsSCArz9UNcXeS9lJ3isyRyxKSxB+XW6+9j5ipTCr
S9yQLO/q7irrgmyLBEFTj4uOqJ2YGIzb/2iUJc5tZBI1PslRvf1J9GQRWuBjFXo4gHlbKP39xWp1
jcpoHC87NEHqJ3DuV8HC3JIn8VMhYXMJq62vWnAJvTrEJjbLJGCRplePJJjujvQUUjGXra2bZrbF
yZ7WxsA8lkQGQmLr+0EEtcOYI9BxQkunJXEg1AEhJ/2FXO5oDd3MUYpsqlMg3DAEwyeH5lZP00Th
o8iZUek7s5fjoEJhFGTQtSDTI+aWgIZ1JGgtNSL2B7SNeZs2N4uW092f+qjz2FscTAyhPGJG7CVv
IQLOMZ/t+NBkHG+wqPMknAvUAEPTimF8LF1YFOf+R2bKa3VmNtIerQxZU79bN9NX1RQbOLCenbsB
fEpXf4Ryu61bPA5nR/wqxBScekarHQRRzTUkMTQ5pzRfiHGbJjYGpDnkVfxZ7/W7DfmiFhf5IRrb
Pg+aDct/pIivf+FeH0P4k447qeJJxUgsdcrpOPihS8Bt9dcHVFDnjNg5xM/+WAAhr4AudNJ0UaZj
ZlF65k4IKKTzLDSl7waXqI5Wz2kpPR/K+1A9jlFMktjw+KSbH3b/xN1hlIrOiBgBtf0J8lvdSKGN
lafv9qN9zsHJTFn/tPf1X0wg2uwGSRzGkfnLIhghJwvzWizEo7TVYjAn9T3/grq0qEEF0sHYejub
wYaris/msT8uJutIXzqXDeORaRj4p/KMcigjH5rlQ94ePA3fo6WCcuSqd9WQsfmhh6Lb+jjz6OxR
WGcia6VKOw+VlI5fhDmWF+NCiA63+Tsleqptyyhcg8N4ylpk2rzo3kEdZG6+z7Mg4eMBZzv+KyqP
u5EX+UDwID2ML2zDsnyvhTUUMa8zoBjldUgoX6QeJnDjDeMSbAsiEibdA6waMVsPJ5185H5BK9rz
LbE/rYhdjPL+8VpRbE7dY5TiHscG2zV2QeUcdU4Wa18Cg/M9gR3LQ6OQ1NN1YTpaGZ/i/QLfNy49
oCWy+/bVpsPkG5GydK0nPBMS8rgOFuUA6KnpVnm5inhdrBjeSHzKuTJVkbfCKnP4JrD2LeyCOeg6
ut/uhaO/oMF/DtfKPdp1fZaC+SOka/S2FrdFfQ6AoGU013/9lDRGD8OGAo+/eXx1gb7seGlabRDm
RM7yAt+KwVf3aMnU7o0TEqaGofQJN3NVviDkaYLhXymRdeEdyX16a6365/Zw7SsbOyPwgnXmYVwd
pFUU9R7rBF4FxF2XlYQDir/VPgdu+/2LSq07TfoRTMd9jZ7yePtbJFW9WcMcVq/SGTgIO/bnU0x4
qFi4LOXGKHD7HGRJpj/pDTX7BuPNu3TrS2PlLTZsB2B4NkpNL1bv6Cy2jgqhmbmKaHgIm8FR6+jV
QXyJw6LfNx+GgEvpcYFUszDDuk8L8i6hDBN8e9dLfMUCt0X4vVjpjV8nHfohXFpsgJ6XUf+GAKz/
uRhszaitCcwiNNpk9UPVrKTspU7KxSzsJo9VRRsRNvEn1qKfRVTSIQxxu67U+BACxq+TTzLmeq+b
9uY0lpEgFg6MyVO09QaVv4X8bqn11qZs1V7U0WjZUc8rTNQp8FSvlDmOKd9m+4o10MDzrSPJTo5x
BZhMaUneFHVr8AMcHlmJdvw04axyabCkr9eUGrVrBxJrJBf26BisYGB/+UmIg4yoTwdz5NeGnEzT
IgEmvgZABjjVqNawjCOTye7cJWAXvx5ebm2XwUCUgqfjPCydpBfZRarv1AB4qqWk780jZ15R8Sc3
bIXZghNzIgRxq89FNjG5S+7qb9/vE5A0knpr386o5qf+21PQf5FfP8tQuUS8IrO5RJutvH9u9dnv
N8ZpUbKHKGLQzlhQ8ECy8DpE3BqJC+75Tw8QN1O1lLxYM1AaTeW2TAFO30nV8/p6OV+1wN87p7m9
ptGESXdP7sMMDZiE7YmpxQ70QZ16Xq5aqUgxxJ73vSSEm/+u9SWnG1b+WapiX85PMG3UgU23W9xA
V4CAhgAGNnOR0rp7o1y2NvxB4Hi2GFFum7ZFBOGFkoOu01lFlv8eNDZReeG8eHZNCSLDh/JRwtgR
er7qy2b3ZDlR7xz0IJYiEB7RKN8QWybTvCkhFdvZMtXH+g3q2sXWjQJ1Q5FpGA+9an/RUNHekTVi
b1/f12VvBDyHuMicP8iNew6ggJV88c8H/CMMxQNrHJhJziGUkOXYxZCFOtPDryqEbkaYo9G5fGLx
GR3UAtqTgOwlC1hoAy9ZaKnJG66UiM7dUzEpiqNK/nUxVVeH3yTxGhvsSsrwfKJmzOCgvzNCiq1Z
vIWvCh0t0H6Tw9oY2HT7YXQdfzg6387UbOke2Aqc+NVv7c8AoaysT81scl/eyF3kwWfL+8w/OD3Z
2623AV24AcIf2fCU2odFn2MNDmuMQaXEzKuR4DnjVvbHqdEERLeNsJhHmMIKPbfEVQEfPuOEOSMk
Tcxttoczslbu5kZ03ZqzslR5ThFqzelY0TsuQH5VMhRR0OfbPJbAzMa7J4nYKxP5+qTq62jYEKTM
cmknmMuJKFr0BGKTxfSCi/orbfNbIF+mw/hGWBlhfKM7AMgYqsTzp1gO0sUPNRT7rpVeQVMHAd3b
M9G2xpuArj5IsfiiPit3wOCqX3m3sFydZkAuK3FFQ7+rbqpsGT+fK+ZCychVUVJS6diFGEHExB87
Hi9ZmXc2hePqYs2rXbIG/sQb2Pyj4aO/gFY9IDueMuvRki9kq0VbdQv3c1x8PWKRnrGhtb60aJxa
Ne3vVKBce5Ug7EyV8Eoe/dXrPz1iykK59XSeGEgND3mMKRC07LVpv37RCOBTqZMIZL/FPt8iTsOp
kirdIiYO2jjg0dUfj8t+OVh74niwXfmEHZ+qBzp1oQR8OpvT4P6sSqaQue0lKsQR0gn9HkYhy9BQ
g9JIAhIzQhDv2Te4QsKx00dGKKgAo3VeLISxuOIYgko69x+BoqHIkzAkHI/jO2nmt23ZsaCp29OG
Hrk6Lbla/2Ppl1kPVyeSjjw14nXTpIgYqlNPVPB8tFuy/pG/AZ4K3fYUEQWpsXcTC1O2YZhJ6ZJc
w33lXdU2WjTqVl74DHt8tjENjBlLQuBlyKwB658aE8Ef46hU5aj80oE8HQ9jeBKIaLj9k8kXF452
SMDqooZjpvlitaIoHWSqe9uZJy5xd3YtJ49dwuOyH8mZ+eoj8D2j+Z3sHFLgK6qI7ADqQxv5F6fd
FUttPtGjeY05eeCCE7ilYl/nntJFFvkiMLYmvrMHBgTo47K4kG9zkinwp3MtvFFl4a9lQE07fwkJ
kBz/4Ez2XQfulQiIRXjBm7USmSSkK86QwsuS4QfTy5bZ6rWFP0yIxtkM10cQ/tEIHjvc+EHa62tw
D5BMZQwP19iiaOKw8b2L2/XyCwwsI3fdpyii1LO4osO6Y280JuAsP04uCZDyvMpe3FtBgWixNKBm
dX14KZCGtqSkZbTcyE6fOrZ6/m0nKdPU8T02gscvHRb+1QGtsMfAdRIrYBL5WM5ODdJN9v82+Iea
q9O3UY1Wo87dd0GmZvcy8gMGPTvRtMbL0rKBnUnHAu/I/n5Oox6Taa5xFQaSMAuWBISPL4kb8ru8
edpcdcad01biEUdZMxDgU8QWMLLH+ncJXh7Qs9yHIzWbLrxtsR3I6qP4oxgcpesFR7kV5R7uiXqT
pkAxkYVsPKduSpCmSBWpcieAidTy7TOhtmFTHR6pw6wi0SuMwsgkxIWfcTRebeAbZtluEc6xF/OJ
35oY/ClmGM1CEKZd7YGsuL9+FGaFSdISOv2ixEvV2db/O2/OnYSTg8J8DFdggdBXC5GhTPDKCOdj
BUnBgbf4CzjAAVK4+aYuiwLBhif3POGvGNWEoYqD63qwjegCHO0vmNVmawzayarxDwcFDwohkCQA
/vetJV9rvsenf/IvAn3byQmNDxsqR+DXuJzmttTpevM+6e9vBninesIRwynje3OE+MXO/CGEFbgF
MZN3DpkA2rqKmcAmvUuu4CbmdKcHs5g6j8aUyanm9sWgs9H3T5iQPykwsgyTFcdwccu9tJ25bT3e
IeyWWDY/VXY5pgRtyVqUdGo5yxkl6RZbmsl9EOE7wsFHDW1QNeOcOTOZJC7PlY/zrsD/iqf6Jea7
1thTg2SSJn/fsf/R3qgxMzpxu+kyqyLCJ/vYQrMA0c7idzdpGQqSfeJFip7ktoZ8HJDbfABpkUir
xwvu6OOdunEkOvw/lSzhXHw9rFhgmsaenl1r97BIYTGANVN3hu0Dq0wIT66DrH3E2DUVHSMA62RU
Jx3/NwfXFrwjcVuITEi6snyhhghBXI0OfLkgUY/oiP48iET7H7b31j85MsuO3NzlY7n+FPG+lAK+
D18gZPrvTBCths/FFIPPkDakw6ITVTDHeMolgQ37VAKyEhn/e3auef/P/fejfeT9udk69GaSyl/a
kVpt9hMmHEa+uT5kErdZqltdOr+Ql7dEfspyu3HANxsUXqJQzBS9KqGTY/fBt7WmSpYEeB87rrBX
Ggz7fsRXcx2I+yZM2SlujCU1OB/ilR2xW4XojvW0U67N/B84fT4fonsUM7yjMyOj5ziux72NpJs3
JjCs9GvxeJJ/FtpxhL66h/lm8yUgYuuu3OpBYMGYqRoRfFiAwuojuASHtIc3fsMEti4CIwj8p9ZF
BuBZ5Fj4RJcfOuSSFVSASiZONeOcwNfFRaZc1hf1HnAVIBgl0NMB7lml0cJNezM3u6TUDrZDLm8h
ag3zCcnQkj/Gb7NbA/Hs/lOY5ZzA2bv1CwyeNwzDkq1WRJ+ocpqUL0QKTs8vAmcdDjdvUa0GESJh
WPnElQQmRyqCeVy6YwsAf3WvnIUqyNNCWkMQ6wUG4A7zVkE5xDhstZAfUdj+1djSffc4RFTCSf94
1LEWwFm38pEciUgfSLlvhmA+E1/yrSom5xW/YOhAqAv/u3a6kBFEhk7T3v4geJ3vhZxWUPcep/pO
iVaMWw8gz6IOVTHZLkchfIv9TdVx0hU59P7aWF5g668M4Z5AcsR8C5kCEvXRUS2IkUrMaorE0ecb
YEF154hhk6650ZvD+KW4L1IYSQZWVNFNANbG5JhhoSj61yJKX/c1sWK01x+06vBJzVInj3MuOlMo
U052+DXijMJgDJHRKQNzbnCNx0gFoK51cXDeO5KSXBW0Vq+stYjL0nJa9pY5LL1nRpCqNc1p1Kfo
cH4c9R6fmko4SE80yDloC5tpbvbl1Ev+pCR0itVEULcJgBlhRGfZhOsWEpysBtgvya8STMT35Sux
meMruCU/xr/ceiYwJGEDvWjcOlYWhmHaw/PphPyqPCr1OZmrBj0j9XvHmLLwEByOuoAqtevZAOSn
cJy198u+1uOkWaOB+mB9hy4CkThxgif+ZDMr7SHS/gZ15Gh+URGmx3AcXJFXyhsnlzVxFO6R/lr/
8A36ULszHgH5MT7ImnKp8rBenW43qZdCH6IIIwoMFMAlhqjZWZwxTm0gX1HFSPfr0INxTZNX3Wgh
qOI0+8svGxh40cDA6leTY7HP/2a+Q5mAZWmUPsmXyuIOxy6QlBZ1ydHzdDiY0+smqWsgWhD3GVw/
3rAWE46cYntHWmmQl/6xUQLqcX6j++1mmPb0wRA1bH8ONj6KSaD6fsDyMRl+nx8r2YhtXnXABzDq
Gnf4fPcJoF5swzdwTkvj6r294FWJogfkNEQqP3z3ZToaUon4LhMMQI6+SFCnkUAuOu23jqardPvc
OjTU331YN4xqLjwhIy4B6mIOIBshM7tWdO/hTghtZlxog8oRbHoIiQvVwpVLBkMQfT0zxygE1bjm
8wrTNPkbzCqH6N/2S0eLoi4xdt1mboZPZkG6ER0RM+TM6yxslOsL19U5aItgEE0iibK9IvZXsp1E
IwvE0iio4lNRACOJb7Hr+uF49NRqICEs14gvBPIq+7lFm/2l42uMpORmVSbiGex5S2sQvAZtLuy/
1l016sALVKTOI5JU6MDo53bQvr+mpuaXByrHnhp2day6f32G/+MO/dloCcWmBHU2v/DW8fdtcLGV
YrWiyiN6bsYtKOH0iZU01HERd2eOqjzgMMF38JpGvtqhL7qWO/GO5v293HGjd3eb79uzWj9dQ5Wi
NC1SocNZMcKD52h4f1JzgmPphearD5Hf+MBhvAZvR3+KVc8/z1LI2fmV6Y2qUE2VRgYFrlLIRCWl
bstYil1ff3XUwdCJqa71iSG5smJ06Sb4gTJ+e78dMMASAE0UMmyNmST2lOw4ALIkTA51GD6J15r1
VBdDHAkUjQnltZRIP1U9/Gk8Nx3ZN1CD4t22TvVn7mR23PumpROZn8rhoGKaAK8K5D3di1ryqxY4
SnDId9y2IX4mkt1FExDB4HJfrDH/kKdIruWVVX73PFOcioDK006bv2tfyjcioOke2yFXnVt79a3v
rL47FnyJEzV47bmnBAsTr0KmXiexP6lh8i4YdLNi/KySqxx+upjvU3ltEhZgONh4A7DBcVJjs7UF
sZG7zvWwUjXr0o1fSsK7U6cFVNuXgjafLsm0qCJMt9Qt0lozFP0sTN5yrhntObl+LTOGiBJpZt8t
KdRg8c7Q+9+u7Wbsf/Ii2wQ699oEvyRUuOJvrt1EAmPHWlYOnYPlGFbCgehf1zXq1toQwf6qzkOM
QrH8rnlPM6mAoHC7gZdiATQN7RHl45wjEVUYKzR9JNolphTQebuvx0i+VjxTW3Md1O7u4wTDQEJp
h3sauDYmHK7BnkGbwv/uGycGrloFkD2pjqeJil6QJl+ujCxl06MVjPkJzAfGHyc6zfK+tCDEy9de
fDxjAUqGLgqcVRExV8OA+kzcG8SaTLEpgzgNluPZjpzBFbLGPtZ4kxrpO/UZ+EXwdlQa6bF8+p0v
L5CFmkxHBWbeAqRZDwhEwP2yS6belsMYdj6vfzgEaThTsZsKlPOMQ8MD5LZnQyYXdF1QjO+fs9Ri
K0kHqL+F1P5B7GkSL7mqUADMtyZ7d+mtj/BqwTSfd7xtH6hWyZVxENhPEH/BDLr5Al9dC1cGWxGB
zVuptfObE5nUwFb4Fwb0aTlNb3mxto/Br23Y1I4mjHjWphMgdYU7mTbo44q7f7QhGN2g7yz7rK7H
XHCFfAUlXHY26tpktvUfx6r90i8T1P6yg2IlehnzxmOEBiai8d9CFpOokua9aXqU0xzM8IdAPeMW
6VRdyc9FyQLaJ5ERZBCTPLa0uaGBpA4BaC4Oq9SjmJcp3m2Qg5dq5i9AO3mHwVkZvsNSX1SkqSUJ
KBADaxWjde9S3/o7Ns+Ajhn6Xy9jEI9QParLDDChVJcRm6eQfs8TuDT/6sWxKYrCLt3g/0PjZ96c
3MHIGBO/7vLJDP4mXVKD7kYmE/FRd9SPoZ/BJxwoP/Kkys5cvJus80gHmS8v+QqwH8PLwVKYzlvF
GROz6+loN1Q+qCgX9soh66WZ4Z4/2YHBCL3xeQWgYs2e1kpqvoa5tshWcgkjwf4j5FWXyPmCGhHb
S/JGWCSA10MAZS/gdpUqUz38+WH8cKJDMYhItDbj5SjBHbXJAaZlRp/9kWILSCTA1jNjs6P0oO+q
81eQGSPZZ/77JIOrCkaWqUFF4gK/nOF8ASb5yzaO4dqvlZqRjoGzXbJ61aPo/5BJsG27dLw2W4Gg
HdnZeIlOsgN7rm/Bb250jEQX77KyO0VelZQ5Kt2YDJRCKEGdipIU/XYFAURrCckGDdGN3Qnf/w/O
JpeYWkixdQLlRJsqnwR1/2X+uug33ggZ3hk+CpVhaDWBougcgzeHMp4acenz1nSUFaI4XkslXFXi
LAi0OSgzAUxRJpFJ1hWVShOiGir6hD04ltVf+iCUDgRBl4DJwzN98U7a+NNjDH39cG9fbmXPsa11
aNr6wSsDPIMAVRTzxyjGY4t/WnN1JgbwfD+HqaAyFUzIa1pfgT720NdgRkB0ASZMdefr1pPG4jHI
B3/q5snB2Je0kPkVmo4Q7mO8uxp3xuLkHaf2I0KzeRPnfWk4O5ba8QayVoXJAPwIRW7vKX+qqLOg
zKfUp81TuAQcWPaDKW++vpzaqwX151bBFRnPm4NjfGckuJrejrsNwMBBHYe2b6pY20iEAOsPKHdJ
17KQ2e8Q4oMw89FUMrUzDfLh3BSZX4irUgoFVGRmvkGinGZBn3J/kludhswJviJo8vWe4R4/TMzy
AZUxBVsfbFgRtrud4hFxWdt6IsR/dqdiHFmeiR7d5R67KV7BkNnYWRm7TTTMsDGfcg7CByHs4hRn
XHLiNyolc82NqArCi9QtYEcQJTP0vz+d7ywZwqI908VBCEZ8NFOzNjR9ye2HybvX2DuNsto91Fs6
YTkaBYfIDx8W3smEFbkabNZOiI6c++tW2fn17FKCdMM2DVdwt+Uttd1uc+C4qPNsQ5PyVynnEWAx
sr3TnAwwn/vU/1vFNsIsLYc+c1daDAjidEIgDPpszsGYwef1fCsod+L1hotlfAX8ok/fWZa7uzAY
BrQsbG4+3yg8cV7cnnTRBxFz2ELQOhVFyOWVvkhNQ29ZggzHA8+m9871rUKEMEIKTOmp9ysMNX6G
eT21iQ6loQZfcU36m0mIl/2jP0pq5uGA2hl+zmmz6YARIg4iBO3tcWA71LPPDzHQtgPMbl6KNoQx
GtisGnNOUvgsL24Aml4uZsbTr/tDS5qjIl49SBZR8f63xoD9xLVhZEWNwnyCEq4VjCPWdmBSM7l4
VoZy+c7cqhT9RwxhLHhERDlvqnoibkfrfPU7qhYSnkyO4mYxGrybFUepWHCF2HooopejOwymjKAI
0T4VSlh5uzCGPoC3IS+1Hs98roiO6SchZ60/2elDI+sy1T+pyVSqYuBH8hMJVYX/wa0wY24/Gx/H
xI4MlUpwhFkSeUWwKOznVnSzwnDQUiAt8Dth2UD+3mVTUoFDEsueeGauoC8hpOXTFJDPludXlh/h
8eGKIFn9eWHQ3zYcYIvi6VuRVtVEOiExIt2lUu6hp81neszh7agcP4KKvrpG9gQM7KY0+L7i60eb
3Y78eqWrkJk+GJKd1kjtTB5qbj7RLJVRhnmO7UB5IBa1iGGCKeh01Nnshw8849Enw/ia+K6KJkCw
wMYQg8a9qYhcpsyqhLfR598H47YGm+juUIYWKxI2tm6GyUKlnuhAplypPKfQWMYssp+fqsjpX8Mc
is+Mes+4ubgeb9nAh/Vy4SaGlGbiQZn4dTQLlCFrIB+6Vp9iZ1JmYMYb+8IbrcW4Qff0i9GTu6b2
tX61avVy7/gHcFytIqxqG8i3EeaqND7Vqka0BTJP0WVGOxbx4gpALmIHFKnl+MC1DdqsP0PB8hc0
J7WW5QsdcF+Yr0Rlrd8ENAU8V2FpabDQgR1DmrhhWOYbO0gxdxJiLaWVMd46e7mlvBtHf3Fq3EEz
J7fHkNuELbX5L71Ya2OtsUvBTg7bHLzWTDg+hQxpnS8HwazOqcdXWQAuG+R8WpGw9e3r/qP9cTNs
AscSm+aceFnomqavkKV1e9eQhCn/72wSDiIAnZutbXhrylPBTfi8Zy66EzED5KVJlBD1Z1arVWSn
cLG7qDqmjpNHsBDiiLfCOrfOg8Mu3E8LgkX+KrGV8WlVIIH5ad6pc+a/WfgPwi6w/KiY79WsJnUJ
97afV+Te129d8sCwN9qtEfa0tLtkz/pnHY2DWus2x8mjmOsWZ+lzsYjuonmeyPPfRE+nPI3vOVz6
pI5tuwqxUou/kjnzIRuwJg7YFNznaSidEZnw01YUHHCtCkJFfGZ311zGqj4Ksxd6kbg0xl9NMEs/
qlghh1aFtTKC5s79irQJisMQjRAyd+BIW/s1JtD+ZVIdUYlh4LanmC/jsHoFZPfQS04rjDx6kR7u
Jni/V+4FfJGSv6oc/C8t4qR44Tx6uGAQT4lJJnj8PLd/zCEZbQdcZY38cj5NMNc8vG1dgkKLn0FS
6cC0K75c08qig6Vac/VrG9y4+KZ6HHgfs4gh5tb2a3l/+FXOcs5R9s5llxN2rPQecxXSAR5PeCtf
FbpZpi3Vcsz3tfndLQShtqBWrXixU8cO2zNkleebpUitCBQCthzAnH9WJgxrjT/mKZJBd4UMLT0h
oOpSOqUv11nTOgy0RdFJn88JNOoKAb2X92xnnyoPmJM3u6tfDzarJvSHeZkiZBIzqh/Sz3NDehRN
KmUi2z4bF8AIPq/96gvOorsEqcI7893D5vY9dVC7iAsPDbPo8vu/HzL+m4EgjF77ETQfM4fYjTyX
zD9T9aURze3w8943SqYTnIiH6dfLTN2hcOZAVgv3KL+E3DJTj9I5BwXYm2ArMsaVgXrQr8xkXyCp
oGFq9O+4Wc/OzomX0LfQ0cOhmCDVTBILXpO2b3/zr3ixcx8eYLw/KmWceDyWp6zZT7ILkc5x6Srb
+ngD2fT7kT9p/r/8rFXSuosJSWxFDNZl3WtrdwqryG4G/Sud3LFaSfQcK5WvBLb6aWSe1CpgtAxh
KMQs2+PlmkL6pJMxW9bQ8CSv8Eu4JE0NWWFufV8xytg3ToL4sWgfaqV62IiuikCmgc7QvkhUAnxR
asC8Oel4jpCzbWZf7qOCMUo6VSbE/b++8EEC/XcrcuIp85TQvpOy/KIDEW2ZjZvxsysV764m12DI
m5ZTLUoK8v2rExvZhvjLLDXonhCByNhUF4XgACtxGTS8Fjy179l7o6KukUxAnghXeYoEEn1FmETw
vLYjH1XlGA8vMtGrrmcX7myuXAJJ/vthzx5OsVtNUMXYaFzHdmwxFrYuc1I4CrHHouls8hg+vwIi
6x768wfiZ7wcS1S0gFAjhbAAEMe7sBnQ+GioK2cJf/2ZFbTi2s+ytBex74A4qRj2SgbwTUHhCr0A
CXzaLEWwaud+O/nVM5gx2XG0OznjEjrwiGPR7XNmsetPjB3SdfaTXQdGs1a7ST9QXev/BWU7RU36
OFZgZmATd98408C1Bs0uJXi/mXG2BjA2xbSaXp4zihrVaYffglJKNQvebaXlqQo2QdTJFPenigM0
Jg8dpTbe6bk0dGzZpATXHrX1ja1b10vDi15UNjgTbDEl7XpJNXZyFfKrIM+D87gn9wptGwn/1L2d
O6eqLqDnSyppw4srxsSHQIJxogbIsD9wIJslEtdo7RD7+GJmraW6ZhqQAkMXCV/oKz5F9HoHt7sO
v/v7vXWkGRUcMjC/BgfZNmB5mmNW2h4o+PLMTWKMTuzjySrPZIg6p4a18kpz8WjxQeT30+Ixq0u7
92p2LB8g4Pn/REpBXDcNWNwd4bFepOM/KZRsIGFi/OMk8iDF52QbWjSFt1jSRBbrjBLE0+I8ql32
5ptNqQgkLTPnxxRsSMrl/VLMtfpPH8ZG7gM7TpAEFa5yu1kMywoGek5hve2KO5kXmW9ENwqOBX8w
HWG95Ugvyzrq7HBsnOvAj62/+yVEjwOStkW7KfYNU/OXIBD2JbhZayb4UnGo617kblL3tuWu7WlE
ZP7NV5smbDxSCKprwRi4g+yoGNNHkPw383R9d80zUwm4l3XIFh5ZyZavfShR43C4I8XOdz5GhR2i
H7K29hiwLcnkGUPosTWqu56cD0fx7T1lO2F3JJnB5WODHvrpDfapZQNaGgrxzLNs5GBQDoKIQ2wZ
EAHJCfjQUyVYHSN3Wn56Hnk2ET0P6GPZ1T/GaG70lX9Cz6YOnS0d7Aq4I5s2pXJWR6DcCgmy8Tmh
xFg4U7lYQAC2fTXP0G5jFrfUesijwQUMfi4+jRG4QTUB4YSv/5dKHjHmBYbsiz03K0WUBQX83p/I
cYIy2Mn2fSTKlnefK2oOZoQlu62DUN6lnRlG75YMP6VN+MCaUdC/qR3rt5woH5FvIlCl2O/lVhsq
V/0ZVY4e1GWIZQyuTh0l+HXzQS1EpkybL+ktcK/ueYys0o0eddudM9mqf1mzsvTB2lxhQhNX7SgL
VvrByqqqKMqFMTQeZXpBmQ9UltTczyMvkEU5NkvJc5n/O6APdAeY5vg4HyEwVQxjvmG31I1S/wHb
nepiXv+dG9BYm7+IoOcciGjoDevFPA41QfnfpwTeKEryTZhBigFvs3pSZUizxRrohNJKqENmX700
4Pf6UnikifBH09seI63gZhMUt4Yja1ZhEJzcv2kwK48ugRsmA5pDe82I6WqObTIVROIbtwVLspV8
jL1hxkb9rdvqNGh5WwIXueWgl1nvdKJZyodtU4tohpmq5tuOyckihdkZmVspLDrXVG3lLoyU4+TP
ys1b8ZCP1TAdpr3QIGjZiQ0kPsw0j6LqON5cDzNs+QhQaIzbQETOjfKdp4KdrESspaCqlml7FVx1
2n6ZPiLYvIGo0bmNaNoMLXonXVL4wFuNuYShsw1eyfdtumUgv4ri9QT9yewIFKeV9N9FLJh3Zylc
/zLyteVw1R6ieayhw8ahOnKDNzcxNV31aRsG8rKKmIy2Rh/u6sfowRB+/sX6G1Y5HhoPqUk8v72Q
dL7iajtsx9NaeCsXRfz5uoRwpPP0GJBqVMR1g26vKa1NLxOzy5cWuehuBvyFn397cumUmzrUQOu0
XcyvFx/vd6J0B7tLSJcmQq7TujpGGy4O4PZCDGIt82mmD8We0SyeJF+Uvm+Ctz7b66zx8Q+VXiWG
oJ/ATJqbrgBDJRnSYBQKsLkujqf7Bkc1A7mFL6PLZmx0pV71ILaPOGlg8hDzfUxX3H4Ts2PTixls
YzIZ0MTuJHAAfIppzgM0NBgCrVYro73hwARP97eE3hpTAoyW5Rx5R/pExf9Wy0w5He9QvCdKS12D
fCYs35WCkw36+I6OKSIDIvaDe3GMUBATleCLBcll04AWydYh0fBFgBhZqSrTEytTYDzIGn62U35M
IMT5IkTSp1/ytcI0b/T6x/Hjd587JjOY2eScRgWbqzgDj8Eq6QqynQ629gYnN3k4B7yIpSGwcWsX
M9c8ORDZeotDgRN3CxdutDHN37KK9yF1MXmLQhjzu2OhCG/5m3Ki2y5YQdqD9mkE5hg2rRC/5RiV
lCGC2lH0rJ2NIG3EKkMoFZ27jh1HQAzUg5UaVtpB+BDzjvhhOwx/G2DZIKwHhTmKeVIZh/PcjQt6
2MhLbhOLmHpfnq6oFPj5nUGQ09DKpv+sK5gqSDW56rYuEEtUHnNo3qlPIb+VYJKRRu6S7GCauvqb
LPox96gHY364CpSRLJZMs/KVc5R4EUIJoEugnUS8EgMsK+cqGVi/lp7hc1hLGWYI8/FIFhJTRLwU
SKI5q8JE94AdbGB2UmbvI8l+4RLpdUrNB73CU5LX5VHkGfuXhz9zbxRTC5cZC2+DzVspubNrf1Pw
H73GLF/bfHJWyLU1GGVi4Ht89M81yFC7OIqP5Cg+yz4A/RBWXS9YZ8DDXlmv3emueS3JfZ7Iwqvp
Dt0qjvF4t1O17S0RD4P6PQK8LtQBp8idURGtH7vN9o7Gsxgi4Br24hAJs3b436OzZz2kX+s0XWKX
N63Iy5nLcY/kN78VvJlVqQ8P6iOyIR6ZrEYJY72WKgx/CsKDY0z/9dbh4vz+UpsMS10hhMpMCmzF
06pgTp9K3BOz2aS0aq1qiorIjs6HHWin7mFKKwuq0rm1sds7GAq2lBxJ/AikvoW4ryXZqJSfz4g2
YnS1yWSK73FYjvmNbXsg0wPQ6kEnEQcDYqpAeo+3MRF2oBo7xzDHYDL7KH+1dHJKPYEOwcJy5EmM
6wdpamoEwcDu522cDEoznchAjscXVc80tAsisY4B0j96SWwDxB+ngYj3JQkbBfMIPxu62jyVTbIO
uuLmRmUPYyUlIJZx01/ubQjz3y23x28MzwU/5L+OzRbvY/ssXe8s3ISFNCi1VYvDHt2u3ODUv2r6
TC9lwqQkqFcuKU6ML3zx77ATB+1Fp+3Q51APUdgl1BiBBtRVM+zkjQ8FkVFSsq4GLK1SojBtWlHz
1SOD851q7pDYAktd/OhQXhxXPolsNDgBWeUvfZWKOfuGHvci1QH+hskLOcEXG987/C2SK0AeUoUH
TFE5lmUt+SVHKJfSydvMP63iCqI4I5y58r7VbcDqweufJFrcZZWM4YRMfn4gV0g1JeYS8DtFklI1
JfvNZ7e9Zyz+FOOC7fl7Q/uDjYiDRT7tT3SltYqZffXHzxY2VLaN02jzRjDtbnWBqHRXMju0QhKm
OJ2QpGlFpN7pPdSAv65a5fL2VD0HHDwcIzB8a+oJQy/IVFxes/ntDt5L8x3lXprl1E+EHTdW14o/
c4UpN5wmAUJnTNachrYSDvtRnlk8kxQTnlNuxzGHpEoNcPbX9+xt6WYhFRj+v/pw8n5XIBJfFrXw
7mmlEzjOCP1j6G4QphAN1F3PjLwv1N79+yty63EH0WULaO/3lk5Qp3LSrRsagdXAsBF/2X6KDLwf
qTuYyjsnZob/Wp/EjMKfEuGjiubBOD1Ae5CzWnIz8f8oX82R1++hMXUf5pKgdHQVl5z9C9kcY33H
E43YQActhAyHZ87Vo8Di1QoO5Kdgd5Qt7ON6zAuqU7/OesnMSXW/WENs9wTb+USpabhg5CR8Vv38
xbxh8IwuJmCcRCYBp3LReUhidKLNsW4di8RkrvdbUKJJ70v6wfxXpLHy6OBEkWKOAczcNEU7vfbf
irc2Xt0oeXdP7EiH/G1fLdQvKXyN4pDWqs6YtKpnxWtkkx7y3NV+qhapijOp73E6RVESoLTXurZx
CUFOJPXvLE3vFDewLjXIVKKKCjm8va/1GrGGGzIel7GBjZek1EUQoCAJcEmoAYrifyJgE7vTzEwK
Redl8/fnlgiwG4lCP2Ps+1Yy6ntDH0THLz/lFpqtZglvuLH6lorBPuCIz0oVLiqSOnVpOHhQnPMg
O7gN+xrKLKKeOEVZUk8xZ8Xl0t37OgQ9GPQG1R+yZxaiI4MzUtIp3xHu5I72RGf7ZxQXOh1BP3i3
3KbtBSOGgTqc0ss+8wAj+FCMUTeZI286By8wZja+oYwAdqYOt0AepTJjxIGEkpXPzDb3L0/7vc7C
EdozWp/55PO1iR5nGZ+bbaqj8FEu+Vaib+OUBJzZIhVVVlyo3ISLniuGltJZkMHfeemV0bGEF5/e
hvvH+P7QKWE9R2GI3hb9EHdr1qTEjcxu9MacG7Op+0sWb86yHDMSbQl+Epram0C+xvQcLKHzNZrZ
E5NRZIWn2gkUPAocAlJeG0+f/gcWE5nek06P7/D2mtpizmBjFaTlFkka2Xh3XKWDypPQwgxNR3vY
PU4zje3MnJXHf1ihV0EBw8gR7V2H6qyA/WLRmkBh4YiQRpBKGz0/roNLRV/z639kp7IkenMQiBDm
a5H+8nIe4QMTFEaRfKe7ChF0H1R/48I0D22jgi/GZ7L0HJoPR638AkkHoNrFaDCdYhpvn7OISNYq
6O8WYmcDMncSZWFP6RIpdpw8F0+0fPDncENwntIiO12hFTpkTSo8twN+KZrscSRzoa6vS98BSGDa
sGB4nPXIKIjrDI7EYgX/bqSPolv1IsG7tanwyyTooSKs34RhpTl9bRZ6gJNjVymAVjcTUqWdu0k5
ZaIUDgEINWbv4+63ToOqMReMZfEJIFuHqTFYsazOdo5ziLXk6VUOEKF/fAm0RMpAwQb5uDJh9DBx
tI8Fj83q6fob2PKeURN9nrE/MfJrCNBR6YGZwhxjJvc4qqB+/79VcbFZ0v/NCF9lzIMQ1bUKyU8Q
3+KpE7jFRe/DGsjlAGIt8R0c4mD2HgdvTFZKgt5rk3qqtkIOUtlsJDZMldOh6LgXOutFFIfV/jKS
5+o3I/FtXeIyY6w/rRnnAVBNqRVDz84OCbeMtx+6zlwniQrBtY8YsRjhQsNjqKAZhBCUHqV6zwp8
59FXCOx4M318tsFD3xj41Uj/nBX/V++id4Dhs2yyIx22BJfGWvER8i6LtkmO5dygEusRHpJ7M6PF
9XNaw7vn3/a6U5PkeH5h3oh6mTPefYV6t7Y3VWzoLdMxmrg68v9b5UvhstleU8LRkVPl6u3TjBvW
KHSZfbBqpSpd8w2UPTKFq9b63N/prbe3UGFounGM6n6CYNcYiSfxfa5+tienHukdtBgfLHEC5JoU
TZMeQeOXR3hqyZJkfnWTOT66jb7i8q0s1nPy3oh1Nw6Z8d98ibhvDPC/Nw2ytLrQzk3AAFbF4I+1
fnRxJHmEFglfzGuXdT8xk+95xDuopMi2r86vfZ8PkespLNPFrDcUgkPzIHRjrHsVY/pVyyBQFTAU
+hAb3QrtowHmOj4IAdBRu8tR7EnOHhoJ8EHE7cX2juiCArxzL5zELLN44cmJW9bzZc5cY3QlysmL
+10EhXRxWGdBfDpLZPBudLuvy5JRyLL3dxLX6J4fIgAVGsLSWZ2R5AFYpRMrCJIIm1QurHD9QJN+
kyjVD0VGviIv0v2a2HJf9hLgVvyqy8ETrJIo2wM7V+ZoA56+u0hrruO8gOuBXYatmQ6ejBDmmhD2
VkqplBZ0igzkHBo26KJNPIuSdnoLq9xgKKg9yv9KgQmeOFN4UZ1H4VUBj7gEnzwKreFqa5p80EjZ
JUrrA7mlvDvutUneH3pCaHDxJEo1LFDkBO9ecwuHsJC8R/BJdx9OeKD8G0xGDR5I2VQBAzNOZuNf
YJDpeSL1ooW59YL3V9BC5xFctKyvTlZVr13PzVN6LC7mU6jX/ftydOvexXsNTomAOqBgVPNMrMZo
SfbbQW8QMLeUX6QubJrTom6KvOShrmqH11mtQDPfoGAQ5uOvKuNfw4L5h0twbgSt/rseUeN1i6SL
fJVUoeuYfl9wXMfUFDNTkT3UZzoLE0KVSatbotEk9SFElfDjXiplys2Oi2XW0s/8PFX9gOh7Oefv
4LmX34kf6vkL+2hu+jUMmkhC3Q7S+FfMrBaNhMbUcYZWU4yMhmSzDkReKrbP/j5uEqgx8d52bmuG
zUyJeFEjRSqkSf4P8CTSM4K6Ys1dDQf3gDCsSE+GYskQUYPCXxOPtnFVn5eSu8C9zv/ygVqfcOKC
FkFBrkNYDvB2czbMLf1hpvn2SSGahI/Us0eGNBKRmlhA1VS1Mghi5kaza/8lrEmCwF4AgBGlsAaV
FVr94VCI0DjeUL/n89i+hHZvAUzDREhvObpV4/gRtaCtzkjR4LkPmmh2YN80uQQbF5OdnHBPQ3Vj
r7isHIiAonf9fjIy5sG4XxalvLx5jl2DWZemoQxtkNt2bmUGiOIuq63MhxPgFxIMRh7Uv+1S5NU1
dZh3JAKjhYiCCyaA+whoU7kjTKMtmSn6p14s2LQcQwjqzQlgRAezRY06lNvrKCimkB+6AUMle6nW
+J2gNxQjdVAFcukeB9oAU5HLZBguSxImHfMZ06Y8HA85s+p/oyfJOGcVxMSruimuj0tRaZYVuqgk
4mTp9eqFS/20z/74qtNDFOq7L6jYK3piX5RqegLNxcjfkqYW2IEXfqM12gOZnbcOAySmBjNNv8Hv
LJnU6Hmcu1MpMTrupy4E/U8c6F8kjLWk6QdokstxvFYpINT7iS/YIu8TVJYN1MGmoWkGh0jb6blG
eh+Fip321AQ3k7Rxznr9G9S1jGJtkEs6tqfNFGHGH3zYMRrGSZvieMQga3xzY+6Klm6QQaMVqw3h
RMX8eRY9+4XyinZj3sD6+MvEomNH3d+L5erCWaVsAuJCLA4MzsnCVmByAHF7B8Odrg6OHTqnmuNe
gl0AJa9GNGk2ADJUar9ndWmtl/2pIn3TT4bZtVyGKMCkqGz8P8Zp9lSu/iRQ9+PpvSnLJsWu6fkB
wmjaEpGkvxp3VeKVVVtRTOEPy8sCfPvEs83ZzSG5Estr0VgebkG7lYk9ic8q+uju4i75bDWxSAPf
QC7QxWTujo5NzFTMRNYIlxiF77uoNK35LB2OKZb6jeFe5GRq/ghNpNiKRfaZkDNfJVT+avFzTsLF
EkhanaLw2e08UjhQY6PUZ9900OJUGNnVzjyd2D64Qy+Zny5XVDE328u8aOWysbVw+CZRpAv/Ek02
g3tmjWKo4TXU0FAYPvs/0B5LZcHSPXNaosHJUkqd0wsLMfUxt98SV0Jx7Oq7qXXJt6g/byliBzsU
D5Y+7sM3rb44+N68ma0B26qx1+TX6cbHRu5YWrkmzIMk2381sWteTGt0D/JQwzUedesRIfcoACnS
08poKFEFP85OS/f8L1VX43eDH8nzbW/60C4CyJJDJhMqLbQhon2H+WIJDpUM9hkENK1t+m++ijhE
1jzE6yM7Q5XUARaX0jPdqZ/pnC1B9Klcb2Ap7rVhiiWQTCUZ9P8m4mLg24Wgm8hO9t+uaX7YzcOl
9CD57wHsjDoa0e1LP+U05AAHz1D1GNBDaM3W0ZiCo0TQMQTJS3jvd0PZ/w8bRwtc+Ft9lLr/BIMU
pHcDnhiPFcyk/tBc+LAIEtCmRIu7+f37l3VM+H+bPKVe1JQe699RwEG0+OMMMzIvPthYBdTEsSZ6
1PnEDqouQ7ZuWd58amdoxVfC87VslXx79gLNvMR/zRsTMZRUWBPZz+Lz6S9qhXq5zCbBp8RdgkqN
Y4kM6a5M/sT6o0lS8ALgpLud3fuUrXRbFxWs8Txc0fSNKrMjXNxA9FX1HereEyUxdOF2cmsqs30K
hyaOrHvkM4tqB31X86cYIitse0N4zoZwHsB/3dZksOCHeAYJ8F23kO0iYfrzRrGRUJ7BUGvI6fle
RBaak+vSC+t3OKlBHcmuVEIhk6aYJz7WAKD6bynP2dDnS/Pj2T8BxXU4wP2O6Q77Blw52amsz4Ty
SfHYIqGVmnYM/cIivU44Itk+basDqNSlb57OXZRXqLEUzI8hj7kKNTjaV3x3ub+BU4vOKqP71VMy
L26PsGQmBldROqV4Ko+vm48I7eep7aQcOrStcd+tXQA1SUKv0RkVjYo9AAcQqzSfOH7v1H42If2A
MfXzW370kJSpVzCCYKXYZ0/SxYs4wotEwpqNuGkayuDk0rRsFIQUMJTDgrkDg8X9i0wEEsMRCFTR
KAxmT1GhedefY1jWyYJjqrNPdwBGQZ40mxSR8koSYSZAs22jjisZ3ALoWaLrXYDcPxgr8MAW6X+R
itbIIBEfhDkV1t7mCDt40rj7KO8PCeAxsHnx16Q9ZftpvEydoOPYzVFT7u4EOHeZpZXEqm9Awj/K
DhA2uXXGXeJktoo5WueBRNhELP1giatbxDgQe8uAsPTXwKhPWrR6HyjJb61vaD5yFmqpLM9UUBFK
HndDvtnGLpPlS9T2jfxvpuXOquBaZi9cx5VpjKsIJzmdFG884vSakd+RTGBMHJIDMkEqniKEfjTl
fKGVcHisI/Lzr8jglS8q90ywiGSHeTP+UHEhYvratQaJ3Hut55TXVBhV/I9LV+7n3/YTzDNeZakG
zL43rrLzCaMzmBTltqSPSIugiBQIN/x//KOO7GfAwyTsawMM4FyPETDK9U/AsTP3lEPsCXz9qmjv
MeeAe65Vuxml+/n47ehE9ly1OPWPFiY/x9TwfEs0J3mU7w0wRRFm+4YXnZ+efg/hX9Od1C1fy7PU
76mU0IsQEFTIwa1rD4uKsliG/DGNBzsKG0OyBLiwMrvFwSjmazZDBafnQpyQVZyXHdHXGTKUqvqa
MoVjPF0hdLv72H5aUc193+9ytWCwPTD5MhNzM3yk05bgztHMmdbx/8dtk6K6wemimLR1hRHHcbUw
fro+yJlSXu/HHl2hRR9+hlIG1YmrWkuHU72PzFYMLseOE5o3bTGNaVbaVi5j+mbJcyQ+hWWuIRVO
579AH5Hf8IPmM3mlydECNlCUWLIKnkiqNX3XifK605RMkibFc7Mcl1uy0/isB23gbvhAa0Gd1DyF
+nT8ImB6StkdODkp54YxR3VHSxC9sdzL7c0CA7qXyeL/y1uopft8K0qnzbA6jFyVSqN/hJ17cp9q
9tskePXgiSFTlJp6KWVNW/3/0OrxtmRs8EUfNIk4gupRbuV+Xjtp/jAlvZod6/zniA+PGiw8evn1
59/jNkFj/7R0ppmh1aMzA6T9MxdnPWP89jPAJcYKSJvNasjKD5lnnZy2fAIk3hr2JlsQspYUFQpN
+uhPEL2BKQDRKz9T7pYJM+4vAP/nRsPz5pHyhISyw9SglyCtO3jRpi2dLwkAX5UNCFXlBRMFZKxm
7zs5zWREzsxrDXYeVGCL658bJ9w9W5lFQvmRQO/gmyOilaU+BIhW9QuZHTmV1gmHHCG5I3FvK96L
TM8KyQm4BaOMzuA79vBTFl7f/Apdq9kugHRjEaa0TOuV0A7v7C28gvtMQVnuvFlSNTLSuhD7aqt5
mmLZzZqDOWNcDlQUuRLUlqT9VF/5BMVTyc1khohVe8Nlqba5/8nQqO1kePoiO8UjBaA4B+eANgCk
NyJLIAYWAla3B3NEoVLKyCJj9CO4ZaodkM/xlNWJSJtHkYkZTM0+EONTOHoqOlOLdQolZkTKMVxk
bulhya4OSvIP+JwbOlDspGU01h45nJ/oqGNAba4Hm9j15tZPzCXCiWsuKuHUlt+KdrNWRSpLSRRO
OfGUv5dbYX4F6AIwFKnSBbQf7HcZ12ANkTmtDZ+dJJrY6bkPiNoNEyHzB9tnxmlSQX45EfE5W54/
w+ayEMSgjoYOfVBdNJhhPvCgYKBglLM06Rm3iBGQc+o4EHbb4A1tnsboh5jCo0ZZ+PQdixBpWwIs
FaSCbM4sqUIlbg7xSpnoXUV7hXWWYJjDaFIJeJuoIe6zeycvRY2K8kmaA/ZQjaaHCUZPtYzJK+Nv
LFwXLT6RlE2RA7Kw43kS24BxNjAMIaPvav/B10bvGGhLg8yUSnru9m8ymL96h6bpbFLhG17AzxPb
ugt5oVXOIy2nO8ADkmFczz59qnCTx+YirWyWRQode63n0vlu61pddOzbgnDbUnNgNrY/x/gpA1uT
sawydjRE9KlrK7kActL/IjYm9MEgfePo5vb2cPUyBCTYBTTNxMhwirBbvPKa69U36X7DD6RDsZ+y
ENf5wb9StzEbqPS9pvFvkkZHtFdIND/FsxLGXy88zZX6g/0GjQz1ru5kf8s/hg2+X2RttJxfgBjK
PcwAP2hNWGbymUeVC2nTCzSPWAND6mU9LO5IYHtSO2QO6QZqRdrIVXQQWCiVAz5MBGlcqWp2hQn+
C7CcoDlHRTkD/opfsmZN1BUCdvP1EMEiaB1E4Na2qSnw23vg3w5Asn3WpgKNIACy7NPFtmxX0rvk
KkJyendj5vqG/uktg88yrK6gZCfkM7/E6WEffYLMe8uBlSyrck10XWBknG1qi7TDUYTovzS7h+eQ
5gh2BYJtflLjEZGnRrg0TuFguuIM8Zl8TXGUqzIYzRp/sHcprqMkrajSIwNlOf1ggioq3+V3alRD
agjpeO8oa8vuj/nMJi/4yiQRFFaEJyEwpBBSn4PbqUdraLEZWlw2xqoGsy3Zczn/evbRA+DTo9x+
ClEMIDQweFPpFHk48ipBngPHS4zojm87/hqPhXBKYn42+qQn0TuOCUm75TpZmoyzMdljfch2vEV9
o1m/YXr5ByCd60NSuljVtEsdIt9gXba7ZLlaVWNS4af2KSb7gKbxIu+KfoPnMGVlhlZuNL+f2AJx
SYi67yl9ctvjytLZ5Km7adLJqxNuKlRUc6hWfX8epqiYOXVBKsZ3vs2zllgqoo9nb/Fjl1un1ldb
s3ftRK3cHsLej9S20Jk96X6oWmFLRIMTi2C2SOYATMXazMfqYYejTXII2MTVXFLYJ6CZ7HVI6C0l
eAcxvBhY425SvaNmLdfNBmxA/w64qUnRRm8qcVp3gm+oxhFQhEpJhiNyLqL04ZX2QP24f1aZc3Ng
BDsg1mfvPc2POhutGlvYA/hFuTHdT6zPshjnxUFI1KzPozHQR0VcSdscoO35vRH+LhUIIfUMrLyW
ayXpu/anoeg0b3fPr283TlaDqAF4t8yEjUHq1vSWtsUxhFjmdz5iRtUrsBc0rwWgkmgq/Fki+V9N
Sq6GSQ1ko5rawQ61k1+gS/qqc527BitEPldKVhp07AJT5GTolfmHq4uS6/DSvNevu8ccK8F+iSUx
BpNiD6JslkeVPK5NlFCZaI7CbG9tDEdMuvapT4khzggSfsJiJQ2/jjLpheRMaOnmmcuWck3ykG0y
71LqUvjomX1ZvAY/8xQFlQkZr9R/Hphw5yZ3Ghnecu5dgj1hw636dtA7d9BJBu5LDxALghdHNNRd
SJtPXk/s+QfzdE3TOT4CnboZkC9Dhz+yEwZxcd2jK7Igt7wwzKre2GuYLB3Occd8f6+xOQqa3SON
v1lKpc3hRJwOex+XL7V6jwtN7pUl8mfg03HlkR3OzSuWvFigdVFdwtKzSrN5KdVDxqEKk24jFL3t
NRXDVRyIrzyw8DYZuvVCR1one765AUzZZGF1VNnVEflXKczCBr5mHk39U4evvgmWHxwHyh9kq1UH
pfSzU5FJrmpO/faW2tKHcFyAqoOBDI1WSaplIJxFuwS/8xNc73peSFoqLmQMpTdMZD5vTEgE9OJQ
tmC8aGvIjrhS45+PHene+wEFTZe71L+wzrQ9hvCnpEKeB3oTgmQH23DPSKhZV2m3UtB/dqi7cpJ7
lzU5f0pURlgneTZtC3esZeA2yDjs/q0yzMAW69LwvYfqUcri9qUytNzbpZsXe2ixRlmiWqZZmNVN
fvjKS6w96RNje2VY2Zv0T6eivy27LQHHvnq6/bPxJUPOkCjEi5QflHuParRWjHz7ajJUQtBQ143c
SCOnaQ9eYfjCSTq1K8PahfRNljPWgIdy8OXgISMexRL3KqRPKDU1EcWOUBQwY0zu77RFzgIe92km
TMr/fNwmfn/dShqyc94omlhLiFY54G5BRTMVsMO4IFHgAnXYCldJBBY30c6ofXAVDI5bP9mbNGji
C2O+KIxGXG+9FXV5WaeUrTKBAcrdo6UxCLm0cq0JOJp7CoafmvHOACoP0n4dP/z1HzieXWT8QK7d
crHl5E/MDxHJUb8bTjiTa2fSsSMhNSQKpozpUlyDHvzoIwq7C0IJCFlXhl/LowgkFpvszA6dFSOP
IYsI7FPQyxvSjxqttZdVnN64XWqdewJ4hnDHfPcSfjtaaVF35CEY+2ZyPR7Wl0AAKUefpVDIB+Pj
0hHmJz1x9qPcNx2t9CrG8rVYHYcw+H07wNBS6kI82Z4R2DSax7341KSegBuLNn/KHavbUaIut85E
d/d497nHErWzGmMYVGR+Wc1ikPe8zD5UK1DMio62KXUseloSOqqmnuPtQA/0BIjMQkfm9JOHf+V3
g8DCVXgLHhCAovgEICq+LdKHwXS8XnjrNZLGi+mqnUuHkNJD7JQ6fDk1qi6oVExebCWxo/2Cd/md
RwMURDCdQNgkjBp30uvX/ISIlOcpnx5j3BKCdlDy/oiV/DEOg4Gy7RKK4rE3TqgHLpDZ2Q2P3Npe
3OFsiGhDlhTZjD8VPeN1W9mo3LBn+5rKTDJYhGKoJ0GDn44xf7mWcrgpyepIBQq67/Wtfr1evGSD
3TEknG5JwZvYpzT2Cic1vMEe/yc8t/HWf2p3o2Uiirw13uzlAUpGnvt4jo17HbEl3QpErlbiFyQQ
m7qD1qi+Cyo7hDAqptSl8fzt7coXkA6/CiprtIgscaebmijUIKlTRkW4yUmPu+z1GOiyMkPBqw64
Nfo8T3hZ61EnEAdQx5uRCOlf06K2xmBMUKLnNT6cn8tBS/niAeEqExQvdHXg5kx/c0TcpccfcqOV
llqHSdqBLqRfaAqxX9a3ZTsfQcfQQclBT4Eu0WvnkipS3ElIbYV4mTZNFERMvtXHhJgonOxBSBJB
VhQNvP1CHKc4q5mx2/z22A8LijNBbXukdSiRVm8H9bKYrgOgZtX7IREOICDTbr7Qh8bN+8SSSmMS
5wGxK/kwoBXXqjczl8eWqHmU2EO6d74yrVI5F3kSLwzrfSZS0dkMEMD+Qf6KljmmTAU1aTBwben9
2OK2HitxZ095Q25ahEYmxCdo5uuqo0x8FUP6xNXfRJMN0Hh7tEECfqZpLNpF/+RVv9xgVQXZ8CvD
e0ZQI3nFkDBKF/ReH1WXPfCjlZjSi7xquHbDDiTW6CQykxS1UwoTESYOItcztPOhLH4vl3+aSxwL
YD3oge8aOOJVJAHdPlh5+sjTMmW39ARMEZPEX/hDZCREkbjyCWjBaGtzWxOwxTFYE6xmjEye2aK8
LquYQvODoG6l4kJb2qu+mdaEy2W/9xR28bZZPgubMmzGB/rwZm/Pprpefn3V/N28f64Dfuqe3qB9
JTiIpHtiCnhP6EaUOcLgO3IyaQPsuabbND4cr7MEM/YULYYjQMJPxYg4qJkhSO8uOig4PB4Z9k8F
D7yfBrn90h672ZDxEtykH0y/Xu4xlxZMvaz/X2ZCiP8k8Vx55UKzm7znl41VIquqo8V2ryw+5Xwu
6bsK1MkWJ2cEpaVxuBxC0vePXdB/XdlzEKYEr/IW21e2TB+kxqmmw0pKfY6M5dq5zKHZ98yQbkRG
6qpCEc5P+fX8sCMwUcKMAz8eR+/wmSAB4CtkS16mi3BF4/KxLD3ozC1ul/4VOsfMvn2yS012oYHO
56I7gBc68XdopnvHc8VpWiFD9ja16UYkV2BIpObKCU4xEtlGcOiyaeDOoVibbmg4702BUT8jgeMT
gfS+jIt8FxXNgPTIGCJDJvSA9eBsCFCjeC5eNUFup5zk/1iV8a9N16Uc8Ot+CANj4/NgVONMxW6/
kA1+bW6Ja9Wz3u3QQi6YpWZTTSOepKpFjjV0te/75riOBrpXbSo9EpIM7qPzazQZEE/oJm5n/WHv
Z5+Ouu2npHfPO/TFN/47e7n7ObHlt+Ah4YClBR/Up6KOLzHmytzteqZZTPZgoJxjkGr5CXJN3ebl
WWUMpFacL/PmLS/+Gzlhd86wNLI/ZLRLpjIKlKT5v/Rk/1bWs6EpdWLD3aDpwI7q0lKxLNIS1im8
3o6ur7whDR6HkMeBJiDhBfiBEqNViRpMftjs2P1tJ7GtPVdKYP2+FsnNCSfvh/4qZEEa9oZCmKNk
axq+lEVxIimEGcqNslVzRuMgaZq6d1oigWdBgmzspeZ+XqIzD7NquVtlD9jJ4erPSMBPmjCnji69
ADxXuNxOS79kfdeFdSV/l0uVqDDUyphy8MUC3sDKWAgX5THHdMV9/K+1XWoGo4MbWlpTTijwQGT0
LsIhftZxzEyyGqADX82SiTx0Qnhse2E8+gjuibNf3wa261YYj8mMo+KCgNUJGWZDMpZiacKeHBB4
Yqgua+miJ9ZpMNUocH9+3Df9ivywr0AYq0aUCvKNfpr8p3O9yNLsVaPYKrEdbm0baGBbuBfMITLy
RuarhP1Xbz8kbLWIWPURpsp32cQ5ulvOrp0j6XKfsBbvTFydls9EM3D7rAZHKeLW/LG/jLLUqrP1
+5IlnGqUjcgfqCEcvA47tfFPXMLEM06oqVUsDs3JdJD/4qwV0xj7mtZGeMuYuaM6rKfLOWtBI0Ox
7yK5rJJcUxs7Wx2DAXq+tq88IX+R+SRODvZUD4VrEqtt/JWId+SYLtHwFrhjd+oLKKjQOnM8u9LT
UNkopuRrfAPKDrDIKjbxAjeB//3fb5DI1vjhdV66y46BxakibwQxZdCXnBRRNE9DUhdHSQkU84Y4
9ADeSFiEvXzA7m9bT0pLUGB/jUCf5NQJ6zcJyWHuGWlcbdhZwKOKR+Rl2MJdpDyt0am+iIsXFvA7
+d5FnOQqVG3CJ6YQeaaNdqRStHYaTioogxAsP8VBfOMdWC4i1G8FLhR5MVlABogyf5j/lEP18bOf
1bSd/UpLCtQ8MluBYB/eijCiU1r7Kipe5xQIXo/zCKROL37jCNXeYLXSGLbrqoy7zdPFIJ6A8j40
tkD+rHtiDM1SYmmlh2t5PUuuaxhKd/u2wGHfmQ+kuxsZq+oTYj5uoAttWTg88Qz7/rOfDkisn1UJ
i+40p1jsHBEG/Db6k5TnR5VG9m2hayrQQRl+1iH95GJL6SiL+4c06qlu/Qoo+Voq6PzEsqxv26/0
IN0YLACwYKX4RiokIwf+xp2mDRVdd/mbNcK3HZrV836nO1BMb5a1j/frMtu0XXTRTz/v3E0Ka505
OKCtZBD/QnNbjM33i20fBlZqX1vbwrQfEJdGpP94bkHtcCE8F9gWKV1iq/wj3LWCgq5hgB0IjpIw
xqbx76wMGbRpRu4FNiMcfI0/ybOg/b2v0DEL0dkNyKGg+l85NYPZ24iJIXeVwU8+xUYuyBXhwa0o
v8OeKpv057ILWTe79MtAkYraTPQ2OclFktTG6cljGS51A1U49kqHiU+hLd5pY3PN1kBftuZtRSu6
d/qsDzTDKFLrD5klXLk5H/yJj5TuBbQMlsFkpMD9P4ZGTYLpBfYhtFnTO9KHpBRF6TmJMuSRP204
L/8ihm0JJgOtDU4FsZFHSDwyZRCHed8wghOBG0jrjPpWkk+K342fWItXXG62Ur57xGm94B2HwIla
5pMFa6oVFWoqVPcBauK/Ht/9BygUm5Q62kYX2FHoanBJ8gpEZraHSghpcwIpHJbFF/oXW+tCAK1/
ReKOMFWN729swBV/SqqB1JizdHwQ/Mw7gvsdXF06Ogh2UC/O03RbPTVShbmgE+Ccyp4eU7+G8G1H
suh0p50ZmZo3bAAfYOLDGBAsNcwKE2VxsQeceyeZB6UQRJNPlCkjViJzXnAmhHnXJ/AxytBqTBDj
tV15vHmF1nt6TvXab0zHHXitgxD/rOCWpah7uO2+eUgjgE28BlODKfC6KgmyvCsxI7fAj1GZWT/j
UmDwElegWO74PgpF1wjun0UkYXHchqHbPVzxr0XCeD2rUVpf9grBuGCyygLylcnY4c0RdoNC7XWW
CZ+5VY9PJpaCSDQYs/HADVY8qaovAMQ+OnyZ41xk/XnHdhIkrD49JgtzbjUxVE0pWjNfVo1JdGXI
rCWt6QyfuAh6sjb1odWR+Oo/hNN6O3oqqp2NZJtM2KkGoyt1kOj/ovHhOEO8uVX4cG1O1VbthSo4
jUDDoTpRuX4KNMjJi1bdf9ufjJ4G0FnbvZowhwBJnHOS8sRo64STzaV1qDZvS1QNoQ8Ye1Wwygmr
Kqk1I/S7KD26EyKq79rVMBDG91LINYiZh2leTEaHwT0M4X6jZT/QSf8abDxWfqfvb4g0B0yiBjLA
31NeLYXgExy7JCzlw1nOv+MpysDRBIJK5ShMWraf1mxJmjoFiewzU8YviHIkYCHU/2Ow9P03MZv/
RqHHZmu75LD3YGJGKIe3nuITmcGGa9/pfllHZY0au7/+Z88xJa1Nt8CTBd3j3zcg0h6HKJNy8WCc
IRYHnjDyX0XSzNA5hy3aVUE6XbSpl/MCsLjF9kj84vOJb5UvNqG8b1Y0qhKMKJBxPwZ/CX++opWo
i18IL6kk3HUF53ygs57Csj4m1dHLniM/7Hv+x7un7oPXn59IlE214KcmxeCDefgEXNsWJ//qQe01
MqO3Y5urvvCQbFy/n6m1IGrJ3LtdIbzw9pmqFQlQEXURHPaovDtmuf0C0rsWhjwaEGN7w26X0auN
RV3ewjSdLmbm7VfsDt0gYiBVsWF33aC8z7C8VhWyCXrqi42lxfH+x0u/VPx8LRNb4Qb8yJY00tT4
PnzlXKbOAEdWqTHIfz8k4fMwY+WHxYiKX7BxPw/kUjHRG+KZ+ZzP3vsrXIMF3l5AVkPDP9/aGfZS
PHKQWQVDFxTta8C9tF0m+NeVafwxlqudplE+7sJbTSqVQ+ZPnIh1zUliaU+g4YlsgjuyXpc9LCth
sNK4MTkGlFH2UmYWRqVZwvGjZU1OmIcVcrsJEeXZFz8yPnrjPnVfTqvIExngFs1mVnkJYB6LNYTb
6QKsP8LZQX8YCif5SQzMyjdu5pGOqsDhsD6oUX5DyHI/WbKExek0+oIbTSKAveOOfgjruFE7UESj
EG5wTLrRRQBV5It1ePgqrwXn1oPHM7shUTmcHWkw6cHbsgaQFcthj04YKLi/3v5YcPg2UIW/56lL
OWpFFe7fK9Kt9hwHP4etCqnVGulPncaXkAqa3O3CS4el4yZkO0QbT7W9FnFnMrlYNzv7Gpmx/DuN
9Wp2dJiRVqFaKZvNGVkGtbsvHwku6jag8GLHKUmX2p1mHY9N90ny+o+pW14+neyV/1NOEPV4TT/3
Pav4X5bva7r0MkKzLuryF/YCK5AvjXKtR5d99lx8vBmzs4LVxnNS7qXqxwtv64bO2lP56oxoilf0
1Eb50DPHNrPVdCYWsv29gEQDrteAzM+I1BfnHbIiu6kmyxcqTFo5ZKBAh6mHvevB1ExBdzh2XPBW
ksh59SdI4IgA8U+QZGLX328fDfzKu9MxPeWWvjgPugc6mi8qvpKWrQ65/pUCh3COEd0fphkyTmyZ
+piu+0t0PxtEE+PhYN0MG62N8rYx1uCfnWzQXqjzNmwRDO0QgcLoMijQalfeFo3Yu9zTaeZS+4wE
BWwoKLtc9Y4sNN5s9jeWJs7kadUeWodDUKZrgnLTeoTiaNKXe2WFkZmsR/io3Abo48MXLKTDzqCJ
2LOLizJOYqwQstXfpTYCYSj+3MDzGO084MUk89A7WmS8SQEP+5wTQmyQEo+8qf6PcZD7k/s0DV62
KrRA7ClEdvKCiaKtdDpowPstCvnzofNX6iqHyDylLGKWbtlwpJk1tTPogei6Pslo1dfBE1sb8S/I
JxTlrRstMmlDNE+/RCVk9dA4r8GamMDW6cUW2ZZQ5noVn5GOuuhqgJ1sB+nvhD5IaGd9uOf1jQ3b
60B5vXjapGvV/vH5+S9oifqxA6RMgY+6OfmbvS0m/HjQZNnwachONaJeWeR6y/NkRSyjRfam4gH0
MQDyuSVbupXYCG13MBddYj1gbKojrMqQxXm/P/lqa2Snz8LTmC0FfgtlcjSwrKlPcNxtspV+kInJ
D2bzQs5p6SoY+3esLghNKh9tMm8Gw1SwVKZnmz25n8ULfnwTNxxGDw+3qcsO8mgpGDD841NUTw+z
HVBM40U7GzpH7WjPOLHOcnfkxn4gPuiPJ+RfN3rW4QLGfXEQm0C7reJnW1CO4qab5lLe4Nioa65o
0+HBz2cDOu0vr0cCTPn/00ZvDjt+9yW7xWQw5ilzGYpMPpD/Yserah3e1yBoGC1CGuyP6xovONUk
BjJfKLlOjG+DrE7stuu8F2fDa+i1EexqR3tPc0JulL0L5gpDiEzdm+Qt4BiEb9atVOs7uC2XaLR8
VRLvJoBKKS1KLIOPbNDTAV2AVSLPXsd75dSzxRsMAnN6geV7Se8c/UFC4aTlwJnHBb917JTJtEUv
AD3IV1USXROKXcPeskmE0sJJ1N+ybiHBpGIWcqk+eBqkenEuiEpP1oACD0M0iojQAA7kEo7xvZmJ
he5ETFpInB7hGPi0T0koITyQhQiCKSLBaVsqOdzoEWiMLdisie50rx6j26EkmHK9+JRbGVKV214A
KoxStNIYI7j1V9q+pisZ5ASR9CEHwpdlNKIBWy9UW6gJQcvABCR10/e+lXVd1LHZXL+jMKE7+aQf
yQ4MtXE1k0tzmANRSTQyL73HvDmWPhr0MKpytwkKDu/v9kJwQJOSE32M8XZKdvH0rSdetefwOhX6
A2RfuSpRO8x7uBi+Ok1BQA8EueeW9PmBQJtBpn5KLXX1CcC9x0hAZ8Wt4cBciL5ZUs5TWDb80NFe
dI/h6H9VwENEpIZtiuZ7bU7irLmKUMMaiqqdQyTigBcHt9ZO3Lc/hQV3kqS+JeSDBlozzqxVdFQm
OKwZvJWcT2K0tbIoEbcgUMWiq3lOyWgYf5P5VxrpodvTiOvZgVvHlmyoohRhEhEhc2y2Fm06S3NY
Z/UTcp3sLgUHLIik9iOr5qearhFxBsnMZoa/H5Ddu2YhDLLWAq4GzNVovBTapAklDhB7G0yLQa8c
JssKy7G9IggbJtLJ0pKAIq0kdId/daikoHelvqJ2Wx2Gd8nWX89x2CkB7iR1s1liyH5X/dl/Mxpb
BL6UzbhrnqNFUajO14opNA7kwnJ9pe1t+dGJ0oFEyfYM3CphwsBdHSnxCipDmXnhhmbKomzse/By
o6kbFQ73xiiQQzRYF24PmRYk2+31Zb0pLblH9pPxx80rosNPJERKP+CBFIFupKksYEMawcjCP6LO
77T4iF+1fT0F6HWn4/YwPjwmYgpw4VRhcjsFjKnNaJDz9soZOavef4qiVZt6sfdmM3+aPry3aDwY
YJJ4JWXESygaF4TTMkg2W/1XPn4KtTs9sd6H0kJNyHLtDGP2UsTT2fi8HEiyD4SajuJ1aEwNKOVn
3o03xljowgkbiBxMxn26bssfYjA1wVb7aEgsdK5x7X0TDJ8e1/A4cLeZYyeS812/G/yeKuiqbJUJ
YwqZd0DtO4O99AUuQrSW+dAyLTHaViQo/7IZfilb6+dQmXktIbc7HdQy4M1pHEwX5cQwkKzOWZ5M
ma8CkO/y7ja55ZSIToHhaxnk0aLkP1FpjVYSEHipxEBOSVkzFJjpcHHHnMUpBvnB0QMkC8KMb6zg
/nZ99OBFO5480q1D74lV3DcohETz9vyss0EfwzaKUwexu5OkYXNHimipDS5X8qTCKDu45b+IlF/4
bJjy5gTs7mlKtq5v3YMAFUvFxMBsWKO2U1E/dx9nXW4pwgcYq2Qkz1NRt39K0dbqTjStn3RyFG6W
H2cE1aU4xT+Vv/o9vUXxs6TTXqFiTOctjcJfDBO7DX14/NyIokT3lVzQYLGEB1jRsdjPf/ZEGOah
Ukxmuco2ZTi+P1Lsbxs235Ch/OhZnj8cYHNlGPUfk1KjrOaBZcdOUaFqfvDWj7NbMpIAcJVF6Vcz
6YrWeZg+DP7oi0M9XPpY2iSq7YzFmCQVNgLX48xUkZCBjs2DrDXSNsm0JXy/TipRkCV7wsKde9e6
CtHz2tnb+lZi5hIlE40slQijwaPun5Y38FQD76XMBFsDlCjLcntAigUxb6GNcapWD7XFUv6fya/9
9JVqWvqVZkjlLAmSCfW/vvEHRpMhFljygr2otF5FKbmc7pHAKVO0XfclhKd5BxHuluMGsoustyec
r3hO9TFStERdxp88S2SbLrXfBgEBGerxlLRXPTrB+WZ2zk8NjvO+SHk6gedXVDaf+OUI0CkZlla2
kXGd/tXgp9TgtHxLtQ1MTcYxJH8uDkRnVueYKuJx4XYd2vm0U+k2Hx/pQeFDbhiEsP40zgh4SOyM
85/ixi4KkBz2jAFLsGLJwBuqZis6voKATqhvSpEQQpWzLUCsz/WSDeb0xnYpq0Mh+yrbZjuj5Wyj
E5e5MMGRDOzbTz+BsyZUlVC/mTccp6wcZoDB03+mFr0feAn3+crIIwb2qDfVpnmNDVwytRvxsYVe
JHV4zA1KNlrWGMARqBKmO3vWAovhbdQObifFWxeETQwHoVk1PNzYCthBZpwvp6tcnY6hiKtU4+wh
lYx3SHWITeiQbC3iys2WoS9u8rbKj3IMBHHgMSs4WruuXFtBqHSXdldeJKjIGPhzMZ5m7V27LUTJ
vvByVZXkodVAWxirKY2UUsprBx6ehh7nXK71jea7aS3EazBbvxk4TF98utQxeVWCj2lrgB2zDV3i
6Q+ffls4B4ATQAS0EasP/6rbO5EQE8WBHKXiIMFz0Fw/K7pgbYhmyiCnsQoYaUKJ197KwbwR5Qng
Di+AqIT847Ms4UebTrcyREubvyHriRSjg3a1finjkchbjBO6r0bA3n5M0fJ4Wjc+8JMnBEJCuYYR
oHn8gz7cJTflI+Yi/eBLZQI142YKQN5g7JChp9bAN6aWrkk38Sp+8fWjw4w1loYB0xc/s2endAro
ma+sSU6xeDAKr6/nJUl5GDwqX2MWXX1ojN6WjCJ+XvBtIWftq4Y36mhqdq1/jImvW67cJbUk/QtC
GtHaJ2zdGgzIxKZvpcVg9gzP8mK7fwbVKBYuG2pZFu2QSmMvUlwsWpziq5uzI2DEZ/u47juSjSKg
GWjFJNHjPL25PUyRVc0ZHaWm92tFpcr4lORjHqM1c09AIpiuS+qU0rrMLSqCk5as+eOyMLcSfASj
iLLkOs+6EuiET2PXPr1OobW6yOiMacfWk5MfrsG0qgmO5sd+u66I1KdPPfyy2sHl6f6foEXzDfh2
sIjvoN/jxWh2eKSePkJLOLs4W0lcdQN0cAOn92KNh1/S803Yv5zSgO5pDQoociRESADGpNtnb2+S
1zOc9MkDtYq3iFEk1iboHBhOjP5x6WZV58y3buFidHdQMLKiEZmOIBsYUBoVwZ3swQAQVwpfp5Qy
Cloq3+fHI9TPRuP/Fq7tmqcdrwOCgzOTF6zrSAXF0153zN2iQodjSD1/ZbIdeVwID3jP/lAfwVP6
cu/7+TTAhktitFvgtNOB0Welrg+t7AEJlHsdRdfJbTybqkHHGrDW+fmcNY85JtjOGhKOK0dS6GLB
INwDoo257aYMqPJ/bphEuodSt+HI+A3/P7wBful2TKfESildiALIuG/uT7XuCrLzgsqFICqCv+oT
Lm5Yx8rJ4iRsXR+VywWTeLJcNOWm7J3LSeN9JH8tMIilOQCtvvU59Ito69oP8ks9QN1bbGyPaEbe
KtVWFoI5d2OhjvDGNbV0/AJ9RokjPTtxjxJHZia52JjbkqBXmXKrXCZ3fbvVeXTi/P1ywUe53SKS
cDalNLkmRH71+ySH+QAT4xpg4A0dNriOAMriVQxH0j9hQ8is0hQ84BWZLQk4IZVUv4cLrq1R0YR0
uMfCohw8PU9FWe7Vfi71DOC4tigRMuQ0ywgyDkxfBAUNqD0Xoh++TL7gtIzwuIXD1MklcpiO6a8i
Mlb42eLAk1ngUzyzrrHAUj4+oKPGMPwu1n6yz8YgIFGtkC5unG/5ZrCS5GiX9cl3zS0UvZXzA5XT
AgouYhi1OqnAlx9iTS2Z8G/M/uAtOqhIEmFtDwCLc48lxw6/qii/CVaPnUmoNHVej1hATs7hufu6
zooVsZ46g4TABuZz4f++x/tPy4bJVIaBRixO/V34oVADbCtkjIH93P/bNvzs8sRa1qvho0kXqfTG
yEpm1SUaUwMo4mGqPE8aKB4Hqr+gJQnkc+Sv3o3nF5ZjfkNd9M9UU+0RkEtk92PQN00JRbRiSafC
QxV1fBkcVwsO0J94d4am8XrlPXukVlyC1c/dvwOhxIHRLUyvumGmOKnCGfm5uHu35RQ2Rde/CTUa
fMXck2obmHRYnJ5/pMDqdHudRWXWWHg/XSmx0MzaDs1JU66bZLEG2lcFipFTRekp6TmnZI3s1nFe
cY4uSJEJ9fgbbfaDLCzWTplUtZJh551fj58qIwiJGr+wzyROgVGEovCyJyOxmiw697iVENBjUb03
kyDNhg0SM5NW2mFsmRYWuKFBYPM1TGXE5HuJt5FHq8Jxrpwkjeqt40XfFyUyM6G142wIU8v0cXdc
8qiPsK5ziwVWoptOhCyjG6rXha42Vpx6mJGWMfaZoSIkG2683OuYuVSQgIb24BCCS5sIXFWNqEW+
2ARWFMt3IqQ8TwuQkD9z7EkD2jshx71Nf9mMKzhICq40+UlUV1mard2GpP1592hhqdG2X6B/dGWy
fyj3LjDJaCK/+3jDW7AtD6tmikB7R5v1n3gi43w4Vz4/NNRs9Bzd/jCmDfKOt6p0Gcuk6W6qrJOd
3ZerxDuuc3JKf8DCQ26O/J0XQY+dUE7dQNfc8dedRus0CZ+yIy1c36CCHkzY4qIKrIH1pSgDUq4I
sgOhU9gUYKdqCbj8nQWGGuVOFo9sPh7jw1UPl3ef0CyODacm1IlH/FYx0PkJie4uH7cMEs7MAXQP
iXYY7Z2rajFfVZP5YDu7kEml0WCS/kxCDu09h5zHMoOKTomvj4sG7I4Jifux6Cy0ae3Hkp7CGfoN
NHtupwrvCu3azXo+eCKzffPF85F/cciGAkNLRRKotU75tQtXdlKp8WfCq57PPzM3fklEhBrJl6n5
ZpgIrs+yZupT7hRwxUuBuu9zthBZ+lUFEHhmvspcM+67+qU4EQdHGqvlX99/aSWvbvbhUrRCPtwZ
RWUyXH7BpXlNeDLzeZ6D54WIHfkXwn5im/tf1EdnDNRNP9Bd71IodN4TAYRFiuVeQGWXDj+b8GB7
DLTQAediQ9d9o5TqmxNT55S9Ue6DCmkQGc20XYWjkXRKBTdbUBv3FvW485wCA2G4Wa+GkV0h1sjJ
tTjApwykeNM4HKV+F342j+ZspLc15H2v/3cMo2UBxh+NrBnSMmNMYtUR7fivhH/QAa9qFbizMYUs
7zvwfDclx5wU+TkgXADMoXSo1QnPTaYvhxhpFRPn2xVB1M5dJ5bumTukM5ftq9m0TFCEI78LXjKw
qy5mlZsKX3EcVagdDR20WHOOqgs9w6s0PA2xJPlUUnp1hDBtbs2kiY9AsX1ZPcyOqSshFWoo9F2x
gNHI3tuNwOH25tspdJ7JumtpafMjHuN5WEOqOXCt9PgQGVAGq7eUuupynC4iZcKSYcanqX8Qp7D6
kwZ69N8TaTBUuTQk3YmZ4Ak2M6LEGczjo9XZx49n5pjZKwfCoP75CRZBRuaqgaapmKoZ0oRIlsal
bCZJdIcIZZD0zeCy0DyqYPSojWETCXw0wDl8DHRjVfCtp3q3eTh9JEX+XoBdxFcJOWCVf3cRrzbV
JufqrgNOFlBYoDhwer1CAOaZTa4bU/uZeTYde8NDRz4p8xiPFVe0YMileukjzLy7RIcgLRO+/ikc
Tuh5xQCAd6uNCuGBgdfqvO8J8DhQgjWKCqTDJruUD+VoV56d3c0OqsKCnanp7xNr29U8Uy/HEgHf
onUg6K02bQYAoa/vH13tZYbQBd7dOB0C3XwVbM6HOz47gRcMla6zg9ifxv6ZOHcY0E8BMwpa3QHq
7tggXA4/rXKAdRnPydAylcxz/uZApuKEQsAbmSAY1Q1Tt6c7DlMfWW9Jf97Q8VAEOPO6WcuZOa0Y
demObJ/ejsUaMXjCNSJ0n3BcqTuqviSHwcYfDZ8J6BTn/1X2IO9N7ZgwX0PRarjLATpT4g+JJIMO
vTA6SllNPi33Gc/N6mR/+riPAd3u9JKqCGsg3uwrtlfBkf+B/NxEIi8u69IyyOmLR7OeRrO0ltbV
U4NaYjs7j8QOaMc/GmZ9LSwYrPZOquTks+9eDmZXAVr81LH2kDfwCUWCxxQRAJtpcBaobgXSg3pU
N+N/1DVMTIBHOMjTd+HXXAMRrB9brEqYC4tgiSocFdUg2c5J9rTsGGzMSi8APEKOEJDIGcV/G/lf
8wXvBk3aUHlyMY7jhSBn0gXlOwIFS8j4c+ukAMvKwfK5XPLzCJulwDy7SBjUbVfFjV/Qv9lRo8SJ
3TbVXXrNPwbB44+GjBTQVZ2k31qvft9T+5OZKGszONRJFL/NhQJOFHRF/attPoV6u0HS/FJlv8+2
psBYf95s1hQRsqW3gq0XYR4SfrkrU50IoRhvXp98ZFdUUeWkQ++71CyfBpbQJxr4YTlR0qTuq6P5
z3TLxC9J3nnlXoLPNwCoKgtbX+rCeH6XZWTn0lVAKoGcAmCciNPhoPtNnMvAFzY6GPr+T8aIynuP
RDfEdXiUyCGkWWip+0+iTTQ1gGv5GNZa9mTITFQC8m21b6zr48s5CpjxsZ3g9kaNIZch5rZF9ai+
CaXdfuRzGottjKEyaFXJ5h27PjPuEDlVPXFwOfvw9kaZIsa0SxiJjOV5MVDxTrrrYnQmuxrXfgBj
UXF6e+vuCtJrkkODpgZ4XuL2hb5YMliJeQ1zC/NajaXAiXIrpnk0MCUpKdTKqsQwxFBLywL4aa46
e8oeniIcI55L94h0pea8mQvpKX2YVzhIe7kGpm+Fbn8Mc+4YVPPZexhEbXNajEqurIKczA9XEWwP
CHIaB3z45ySx5qxVjh+e8NdahOPaHZU+UAsVv16mGFsKXRoMjm1YRYO8e5++9wLnKGXG/43nHSxg
FUWDAjlVzGWkPYTiTqIr+xpxDS2XJ1b+5vM8r6SqG+2I/ADYyDvPU+NHgyIbQxsIRYP1aSl93VAl
EDyEy0Y9G1hh87r08MlPcKTIsCFq1Zj0M7bVidr2c8RdpRzyDs/SCvIADq068PTdbLf7DX9xSLbI
BFBXzhBasAYf7iG2USYP7mq9umzsYxo7siTsoFMmDoX78i1xllCeI1tPfTI3bjOKP1XOdjkeUUft
NvGG2LurivLnSQqWr15taMk4ZX5hta808ComMaTCZ8pJfYzhugiA1Iw25MoDSp63HT4fFN3S1GV1
ytr+f/XfewMop/j6DO1cbP66Eyb46Y+ZLquBeFIXQU7u2JYgVsh8YKCbKIr5gYzjLmUrTJrrMqu8
iPsm7TL+49NVqDM0DqDTBa+jczAk6BA/nGT8NWZeTPAwiOSusgAGGSmwNjh51dKwTAdN0Jkmvmdr
dp+IqoRXQzM8dUXQ5wweTgLl/HXMrlMLdoL/bH5SZVnUEz3WNfqYe/bmUsqov82op5kpniHCi0ir
DeJxK16FCecwROfMrWNyFZeetCeVBa3joOJtqVlF+lMU6msBG9xb/0D3b3IO/4NQmmpouZBGX946
auU/8OrRoB8AK3iXiACO85VCLEB0eg09XPJu6DQo7ujSpapDvsiGSbbCue1vf7zWT8JHOsRTkkt0
76PppCw2j0z9LsS90OPiTJz6DiYfTbhURj0N+dhoT9zudQfXR5cfks9y+dHiUUBjQgpj/rx2XdNb
grD92vACwjCN90eIpM8AvO7qUZKG5LDHq1zyZRPC3ZAGTh0p0AyV/8MLJKedvt3Z41+as3vp+vv0
RKJdfr1N0yqIHdtrEX5ToYfhi9EjOWVUcAwiz2u6Zho/k94tYwHdeH/WHNzTMxV9uf0qFjePkNk/
TUcF1fIrKfoUyYtoVoBGFfY2aw86b0HdIkKCVQZ8y5tiGZtkAUEG2Y0bOWwlQGRSj17S9qtVVEmt
AzSz7anp5jakJoXQxLhPqJ4HY3IVjEeIz/tgr6cVhFfQT5O+brM0eUQy89B1f3H65kTqYQMOoACm
Nbpf9fdyKQ2QT9rMhiiPpd3+mcGvcvbUlRAiGjQYmS1Q34N2xFHg4voyAujCisq0J9rQX9LOxFIy
oLlT/341wa/b4FvEC388pRQHOZrxRqaIviFLf+96zFXpVg+JFlFxE+ek7P6oPLKyuLBfGqqj5AEa
ddv5FImkaVA0GbmNujNVEYio2Grq1zQMj834Se7T4IHGYTQl7iNBUeXDFo8x0gx+5z8a1gqXONM9
R5GO6/T3f9IPk3+Rwz6UaVX4g2WMnPWgeL/RuwH4lt5kysFXvvuTId14+2dQp29anw5miSOw2Mbb
GGd0Aejs5SN6itM4XSJ5VfCDnB3b1t+U7tjHWCTvXkg3VsNl8EAL94bCEa5wEA8V+2FjawEj28tR
afqDgdhNhs8FLPQf43O4vacK896on78i471Ns+wQgcjMDDBBO6dWJ4CvCPa+wgFRpjQsUEjcK1r5
BU5cDaPTZNBR98n7YJn3DDHLTr97FoKbIvua5pdCXDQjRPnVWfY65louR/qqZKpKDF28hy30CTXQ
aC/DzQ1Vk81ATIZIljLMyp1waj669moIYGwk9lWimrK3MJNQznlooxUsk2gkLsb9Ps1URpkylPTw
DMnQL8hgUgtTpBKHpFoQjwFcBscyTZmJUC9YnA8T+ayFKJY0XaHB+O1e6VrKwnM1ARdkHYff60iB
rkpqsU5a18pCXOAPxrHcGENJDVxME5RN1m1tilJaS3aIRi4pjQTmuAf8TT4lWpzUJx9O4p9ThImY
8pITyBWlvGnXqEGOMXTp/DBRXnKLF1Cr2738BmaEmQfMYV/3hgkYEuV2VIhj6blT00CTV+QBuAJp
u1iD9URCRZ7hcsWf0sokB31CVzGQNSQEggU/gQJtHkyRfE6GhAh1suCoiDMyrFxbJU/vaQCcOFhs
uaoucb48MK5z+OfxaeRhzZTkpvuOAQ+Ohhuj6ZxjqNxXRnJHXJPDEi8nZehF47bSH1wViq4VqstG
a7ppArz+H3+EMrPH2p5Wc2w5vnpAZrcCKcMuYi+PnaNaPNfNpSrGyLOW/0sBWz0ZvGK/oR/rfF6Q
3lMyCJxI3AUT8QKWICzKZkqYLtwXPrnAqnScfzAxwkoDLvg5M1GwvS2fD0/1gxYMMsC7U0Z58QuE
HQr/QqLgi+94KQGoXb0ga7tp/gk4nOH61dyQdi0Sfs7+W75rJAoX9nF79REySTiUXK5z++ylILJX
t2WegQnlPCXBabOp0OfoXfcjCAYRaiC/inQ4pe6N+AG/w2nrTxhaCdrP6gqVk/28lTdmPlXsOPsN
76/r9PeuZe9xGS5mQbcElIn/5VwgwpeFr6wiquCR+LAzsW/exPfuliQX9v7qIVP9D88+4H0eKmjk
4Qt80bhueXDXBKXGPdB1bhqoBJ0WBul26aax9kcV5DbId2hYhhHLPze0cYPomUzs2uQRSIJBHAYZ
z5Dnmz27KVq3xIZb2cpKLjVXlR4e4n8d1TNtZ5Xi39tcO1eTU0kabojCnPb6VuE+DaiBrho6N4/H
ibyIYwpGX2q6yjYMhC7djl/CKupmVUiVQowhbO3/sm1T2AMbRXvnTb/on0FIPWb16ucC8ofBK1v9
tBPkYKi/XlANnaVyLb0nKHAgGeVwqtIgElSswPFVM3+8urop3Mersx25XXN+Tmo79Yz2V6dSDSs8
1kkkc0rzFGopKmHyZkS17vWOHjS/M2mfX35nwUqzLvNv2RWZrFnMFmGICnoUjjLPms8nLAG54jnk
z8VEPJ69euAaRhoT4liGHLpVg9OfijM7mD/KDH4ywynVDxtlseH3aMV8LRVMXcnpy9ki1JRMlp41
srFuJaht6OZmX4SS0WBaB6/Cs3n6UBmFyYuip2I+vApaxI4ZKtQztbw20JutCR8SGI6vxNIOv+FX
M7t7Ew+B1i8JBbnCNMe06L4Y4m7OnzINgdt+o/suOIr+xXBfEHfSk5kSuOlxHpEC/lL8ClXQq9Ub
edm2p+LBEyT6V9Q6XUejYRRp+c9Ek0n1ZHDtCYbQTC47t5JAmskaLFEvvqy4jeRKLxnWQGDhrOSX
4BwPiwkrYSQUTo6oqC7EXPTsMQTJ8wAJKe/RScWt+FGklNlRiK3TxzmjMP/Gm4s09eXGzK0PokC4
/PEHsnquIrLiT8JMao+ppuYJ2aqx6gyTeXzq7Nb4eoSrQsjguBX0TrPsXcO6ONcJ2boeo33cDWMV
eIB+iDDQ9EfdmEcpGVP11h3YiCSMJeYc3JWXHqV6gBwu+nkdNlwhKU04XLGNLcG0El1qQJfe82HT
hC5NOS9EaVUSHQ4dWwH7NQQhqxRuuk0SJv9/GEKP9kmgzG5PtsBH2vee1swYAh4K3yPuaDXiiqMw
R3Dvo/q9Mg+gf4awYxuPHDhMSv3cHaA+mEOy7tn0vThQt3+6MCJ74GUYDwwnXzjww1gNntoi4vsG
tngOEFxF1JqUdiNZzhbabtrxLK1bM2+m/kpIjNPyLzpZkOrPzqXa3eclU7SFrGPUi8VwmBKzLovo
YenJ49IMY/DOOoBOt/dqbA38kWpcX/fSu61O0+uklDmph6EcCRM2xUrpE03OL1b1a3eDi6Ei5/gy
EXfurwRpyVhPDCDDjXIBohfI0JgQWJjsDTbKZDYKpkt+gmHq1DeCweziuPAfwknKvSmDrlY5it7D
ow0IX7/j8aWPA0m3LAJY0wFyayoY6TzJPl3WSgFWiq0G+HdX01FJW7qDoV9VKDoZg4CofMc3A/9m
mAkxrmcYgnoJceTvuFDgXhIwoOLDzrRfHnBKQQotuthOzt+tuKNYmQuObK1bsASWva0uq2/yL1mL
luxbkVKLuVW8iQHqUYnPBn0qwDELWo1lwoYdwPmUfSmDQWXKRcKFeNKpnxXBn4VSgf8oS1kBuqnq
aSkA8tg/j0VHi3p0fHVnEGD9R7Lk4YvjOkQxPo8kRE/ou4wF7QkK60e81b5u82fnQNV9bYWJSJ46
Xd5tp4eeY0UoulyNpjBI8y33ORJoZIv8W/dXmxZ4O7PC6RQKdiqSgZUmDYvmqUKOkSo0lzmFVvMg
9mGeWVGmJFmVpwN/Jy0NXX/ogb4VboJNcVQTYTjNefoQ7jWMx36unU6+OXTla1wUJyoiHspQAkGZ
mLR6ewU59GpiQNSsv8LIEWsBP67nXcXTBK4cSbowsNLT8bgUK+lcSQ9cGWjtWMIgUpbhGvGuoUnC
4q5x5hqPOKwHbTIdEjjBAIeZ5g9NzoT0vH3NHA445Fjj5/INzcy8UEDg/y2H69bTCL1lGviuycde
q+8TcEl7VkbZWeYUtOVanfKwnkEsHGkxtl9XR0lcERUFgS047nnitJcbmGUVXFUhFU34ok/Q164r
psFAEUgOduerJYHZQxEb5sKgAs7VIzBY0su9CbD49rgiCERrTsIXk0c4sU7X/mUXN43lQPxHj9UC
Ta23GawhAFAT5DdtlkY7Ean5thvO9ywsxECyf+5HINywih+V3lrCp9KDaftvh8S5cx9s72PUX+iR
UVU1J7ZjOSZLhk+PSYlkhB7gwosJ+zZI+VkrwqSH5WEBljdAOCVxlOpQX9fVbnVTUv6xEqluQamz
vYAA72wpaYTeuT0FG3yp5FyMkYQFut7+dyRnmsYcMhqVJyjjFcluABUcUZdPzNRelWz+WSN3fzq8
T3xbcw12AxUFiGEAOkoTqMVC0NBNsMFhCBoOwe33sQgqxV6fb3i009BIoEkOAE3l4iQZtu8ACD6e
VhfM6jIPASeKj4z8ZNgGoDETABcM/KaADv5TAgXVnBapfPjje3te93sERDLnklT71CTRUaQb9R3G
bnbrI20rDuGo/JZVlDzsctcuDBsK+K7F/3gQiR14H34W7MxOC7RN840XIrUgzD5tlvrgg7eMI/+c
llcVLsj08yDN8Y6Bt7ykZO2BnXPVGQdxBJxdgbbbJkAp3wObBnxw7w194RHEB0qYCgIJVoKH75UE
z3sFXXFDk5Czbf368/+7/+8/DanjVVUcllvXmsHjQuLi52Rv17ud/J6qgz7wsw/qZRtY/R3PBQc5
FBQPWH465q0/oN/sDhwNg60qqqOM2jSaYFkkvfYz2DfGQpwwEuo0jLiVbDF0jdyXCtT8Luly8XIA
u9pKiMScTI0wAJ6RA1x5Zv/wOzqHYjCO3e4vbKagADQxgKuZ8HbgDbFLuuw9m0XxEhCdjx9iPccc
VCyZViyWKS9TlLUNxudG1141tc8bP4RCmwYyvzXFvh8ZBTgzNrrQdIeeSWFTmiD3U0sYqnW2lxUm
9Em/OooYFY5PRzb3pXapfqztpNmDaQXjLLXmPQh5+nKhdIBvKVRtu5FLyLAGjXBwzM1hN5xBmIXu
vODQAjE/NAUMxbAcgj/cFtNVkoowI09qD0C3RGCcHqwOSQGgacLwYQw72Mba9HW6eneR2G7fQdCb
2CBGbSADVDtcVuuRb0TDoS/7b3QlR1ebSjX7Pe4NrVwIDyQnS5OYe2v87aSoWmXKrwUBH4DIwOVl
soYxhmXdvIweU7I9uXeOVUBay8gLKq3W+ua7y43UIZJqAxZLGAt/Ks5Qg+X1sbkPoLsrhO44hc1N
d/eD/Y9lwrFxyYW5TGxalDQkmpMAtkNREaG5HuMfYdOqmGRJPKr3/uuHH+GwqGrhm8lLMRtLfNud
YZe9dBn4utCgWseF/OItBbqqk/ZVbHilH0M+DU4P3GK8C2GaL0huspP2dkjXQlSuAbCazupkDX/2
TVrmbY36sqmY0wTHOlPvtqWjxO8fsubhkK/EP7o3hu4XH45Egeb7Ywb9lkX1m1nlxuthZi/X4UCI
ewA3mRf6ckIa7HCkv4eJvTGkflDfCm6VDSvMF0/FIfGBKcxC9CzgNsC6tsJjmj1DjkAy1W6Avdx4
Yl25M7VQVV17oTrn+fORyOLKpS6GKgmse/kHfRzAQ+Gh5005r9aBs1VJa3qWbxKUQgQJ/lkMA5cv
ZX8eJqnwG+/HD6jxj6GgzA8bF8qv426c67q4V4ewTNSpJcuM883H7wRxVAMbIvKEH+qp8P/QuXRW
8vsuPdfqmD1kVZKKZ+z5n3yNCw8qe2GZzwFbw73qjFzOT6548kurAq4uOt3/RHjLpJPcKJ9lhkpQ
hapgDa8s6tyM1ujl9i9tdJrZWjCwowrlW/IOi0gREBweRJonPQk81MYqnA2b0DJo7AVVjs00ANv7
4NqFJ87KAOILwq9aOXS2YNvsa8MIz6rMnpZfr7AdtYQV1AmpkWmbO95pJoFoeC2am/tMvSim6tH7
jutn2/Fs7guiykXKsQJhb+AMsDejVlk2qggW2snAB42R0b1BKT0ob9Rd/5+VlpqG+KKDGl8t8y0O
/9eSiyCs01t7FigljlSAuDc8OCH250/6sOiSvz1/3WSR6P/60zTIRviMVvdGKisWi5W4lBolstDh
VrUw9XHZa1nGa0X372n8mwlSXa2fZXocPOu+Pqcr22SXTI8PtJta++MyTuBZ3HSDvwWHbjD2Owxr
xx0jBHGF1D0Q9p7OBF/g/6AnzAxL+LEP5+38oxY7DJkmHm3lf020phexPvTZKVDZSlzW56t7DV+V
gfkJhjCVobq7u1eljg4F9kbe31INLvlHgnJx7mnudtrc8DN1A6seNMUInMRNRTiEtSSyDbiFIiJn
U1OGRkatGPssd2ox3BNIbG6fx7lGz0ak5xI7BmNcwc5pBrHk03xD+qXXJSWa/ULt7yw9Y7bcka8q
C5bjQN7TjgrUOPx7+DUDEDSlXHf6OFhz+aWrMpApwAnEEstddlRSx21DylfObBmETjc4rQbqo3n9
haz3LmqNP/K5S4zo4yljMvAE95tR8LVl1Hf8GvXbNq2bUr10mtVzTEf43toK5bIU/YxO3D07YlaA
fEM1QE0ZlRyNZoF1fUZrudn/hb2bZ93HjMqXUBVRpJzZJr7V1fVfkTPnve9KWhE/YA/XKGHhYQCM
5gWqO62M4Gwg+IkQxkD4CantWYSg6zWA+nl7t4qNl29T53Q42eHnhVGF5CFJSxoLe1b0daAy7wT3
d//ZERAT9NO/WewYufcq8W3t9lvtqgmMxKbLL5v5wvSKOrjDTNOe+RQmub70WD4SUuZoD2oQ958K
1kG06MXC1w8SlI4CQVYuYH9p8eg7JKnXJRWdkDqwlbJ7J3siy7jrxg2GCwikYzj7cA9Bwfgl+qgv
i3RYAzIUgyiccImenMWkarVazhuRFcG0KiFHuNNUnBGttDh+zoXGPSvV/1eZFpmhir8HbK8to1wF
uj0UYfAR47HPE7NXITAbZDN0X7WZp7YjI8gZmqTx6wX02ie8Hb2THKjVe8uQBRov8K1J5Re9prAD
mKba23RI3ZTortKEL9bY+jPapNL6t9McDOdPmjMoha5tGw4BXg17SIILqw4WTfdafl7Amw/acbrF
SYSmfV3lQnKZhJusu37fIPTr10LfATJoKNl5wWfd7UTMI9PfCDWxWcf9sCzwYQqrEaF4ljt5y1XG
4laxCflHwlER8LxJcZiUcrHVQrv5fT6i/PvhLIA6Vzd7vLFG1aTJusHOPWKdkKF0nlhPQBT3qd7s
CTW5MPk/HFhzteKJv29HS3lxOlR7NcKGL49C1S9ibABKK0R3mmAArTFEbkbEQb4hwGF9TnPdVk03
q1xs94uGvAXD93L8OrmV4ixzh0dzTpCthz14iLp8XUiF0nZ6ZpVcaC6bmMD+AIxNQeNwnajkANzb
BpX/7evdHrDjWJ25JYB4PiV51f44Kdgzr31bkYDZmC5AxwLPdMpmG4S/5qqru0VlJq24UgDU9HLa
yY1d+g+eqqb4Ez35hIcG9inecYBnMMCDlTAWqzRV84g8KszWNvDKlDlbfeCTqeKW4DZDVScAqNvQ
x4e5QqsBsyI/+Ef1xi8qs3UdUqWpTp0lf0phV+NEyVGUHf87z4N/VCIc6QrBYNt5O/a/WKPb5DhT
j9gfyCwAmjxeSiPm2GNel1AIP5vkS0hpftYQ0MRSGqXQypPyBeeBPMVFsGm36FU7zSICwt6g6VTO
3dmtX2dBgG4DyWlHisPXBa6r2OSFIvrFfGufeYeY7fpXItu8uY3QIwepwDW5lMX4H/ditSQqpyp5
4Qw2adKzhnvxns+hoHAGr012sWJBNQt9CYDdKdgC0Q+PA8McC/9EMhJrgD4Tp16NyXOrrqox1d4t
K/T1RsX5SwSkB0fTdc1DqHcu8W2lS+y6KA1hEah6AhDgOZg499UOSGMGdaC4ncwcagfoOBpMxR4o
Q0o8OwgsnCPRAqZHgdvwK43TbviHbsrkE76rm/Jis/L7kuPs+5VuSskZbVkqC4sJa4OTqgwmh7um
yf/2aCoyZaSt9Wcvv+4x2sBhmb5ZqxFttMHx9wWtADA2IpIt1hOmdX+iGut19XTxmamxTWGpWxz6
25U5EQlH7id368FCC2yG8ZXRKQN/CIgzt0MKThI/HpU93LYaZ5/7acwrI7yhjUSvMJmb70uR7+VN
//ID3lDBL7a394GO9oWxv4Li20ry8vm0KyEYEGXheBBrpwHaoeK/4wIYjWM1M5KTXJVUy7aKhbEs
meheNgSSe9pWQhQCrHEB6ZQoNIe54L1wIQo4FNPX+CPiIJLCR0z37w+N0crY35HllPeQphH6cArF
hCPL0kPXPOH/4bLpwsQ9GhBz8syv9gNfCEZGfVgoPUzxiyLkruE3PBuD5ocliyusM2ChYY6iz2Ir
xBhBTQHX7W0Oc8TgjKG4Sg8RTjI3AUgwJ4iatfdSe6x9Zo8ayY1EL+eXVsxzm5FNfov80poNNSRO
RBBMDMettCZqmMtkg6l+iPfRuC3FdVEuP1Ma7wHYIiI4n55CZjWUPwhpFu/pT63HcvDBR8s8Y0N3
jSXfSEth41iQJMEv10Ci4DaALYcIOjwWDEDs5AmzlkemlKioHmFyPzEdFaYo6Tm66TdQ/6NXgEgm
To01PjdNEkCLdG/WxYUYaA0Jt7PrDzxoTK57vJ+KfREK09yCkCZ+a8ifE9yqXO95h/1HHJyUq5XY
rkZvH5LcO/CjIiiPj+AdySDI480QkLK2TRfkmCdAYoVggdRnyd84RYtAVv1zKbhmLiIdmkjWiiQq
9wMGr7/oL4cyvAlEmh96+uNLhClTc2KVezSkFvyQyLrfkfijdQtxmdhcBu/SzJqW4jsGj7srkk5u
y8i2njEIzpvdigVeYgDxd5LsyBDYNXgWzKBLh0Y5AoytgtqO0hs8QdUGicPbPIQXl8bUwWa+l7d+
jPeGzSZZ7MA6Tj5RnLI8cr6nW6luEBaOnt6Ki0QecpD9b0pdk6kGsULiuxU8wRoPqgckrQBxF7kP
JCUWirK383EIVPqBxjTZdcIIBjflzXg3XiP4PCnbJkZ2eaqbIOWQtq0AE94ud3CrjDccPWDi8VHo
O2TZA3TiocBSQwaKvLWszO0wC+bJjlhMfG7/jwDdspyZSs8UZKl7vM6eNn+gxlEmVrMRlUBRmGkJ
+snyBeYzFYJ31eGGTYDDkL+Sc2gz0CMYlPMVQLclFdzPfKyU0usbh1kBW89D7DlZ+XYaHahBy3yB
gGs2v0f6Zs3YHpFkuXO9rn8151qc3iGEzdlNIbz9CaOlD+4Gpv67YQ0FrrcME6cJcblgzUYYwTKF
UAykqhYgchFrLa+/t0iT4DipDnuxRBbEPeCoyE8w9DwnpA5VVdNycbNvCKsJbULdPk/lTSR3geRp
UMl/mj+EI/XvlaJcMVuLPaHRneVU9+HHLxA4OcoowLSxlnHvEgyo3MXQx2NuoIMeJtz/SxDL6sa/
C3ZDEkzobe8rnbkmF62rra9TYUYCil2RChGdjOM9zKuFfWmqslz0GjgTAVLnhHOiyf4A10/11/uY
jEft6X88LzzmJz135NTCUJS1GUFlUa6/gslc93zcYJmEC+gkOdtupIFNGrlcV0kfxgfpWwCFY++K
WqWaQSo80bRMBjQ+DDUFc6ygi7NAoPmnU2ChecbQQA/93hMpQXqFeUx57N8UFxQE5hkmDpxqoUwI
6u1Ijw2ouWYeqqe1oA5Pa1ehdeACmcoURjUZhcATEuzUovxEt2x0Tk9BcM6kUCV0EL74myyMKMRj
cUt7Hrxjg41G7/nLoUFpCOwA/q4BA5uTOGmtSmHG2nEYEDhQvVRhK4DN88gu0UDWrJWpWHpxXobv
zLAlCw3ZLLQj0EozZJkO6VLOD/5a4bFm739gEtkwURZqt1CPJX9zDXgHcijaOizNLKpPF9IlkyGV
gazY9ixytr2oDddynApgaOyW2OBwbAYOZEPmqrGQ0ND1y1OEXi0aDgoIn7ioquxUT66pnTUD50I3
r7UCu8NCTC+ed4h+RE4vo84DDj8/ilFXgOxmqfGOxNkfszaEMw7tiV/VFZGrGLVPW48A/mrWhMQo
/dDmq0NOHbtwel4zhnQhpO+gOHJi6SwtN6BSSjiS2j+lj5CmLXY05JDRSPZv/NIoxRzeUpyTFhNg
P6xz6SzZJw9vFE0ZY+jNspSD9bXOMVILeFQ+DswcMMYZuCBv6K8c1qWLLPPNNVYOzDEf0v3R4mbU
AD9+u/b2TuuKjIvaNZ9C1xhI+3OZE8UAb5cbyUHxv3XYvYplSDfKl44Vjt0LhusrQGvJNic5p1Y7
XOqHf/PU2kNWXHVYhurrKtg9pomztHJKQbcwLKqBbTrKtEi17faiwQLVCSxun5c5lPOAUoaCk67p
ikIdL9iqkT9KNg87lVWkHm01DWAUt+a5dY0lu/8urduyDoUfS4bmORISZELrel4dmQwHwNI9sNcW
/yR3LUPrmkxQzsAyZwNhhb+ebHbi4UYrYEnwl9XggEb/5L8YBjgQ+2IbHtNUtSC2P/fwIKR6afg1
OP1n6DqkIQjHtvMPu493wKrEZMOPrPEObWgTkVmSkC3709zf+rWG401ae2GjqHwHhNlLhgBoIwAm
s9F9YzdoqXqTeE4r0nGRxTO7R9oiexluRIKVzkm1z+ZM9hKLW0SHXIYMx1BPSTWl3nwQCaYGtHRq
uIGwUcf73KvLm6ouP9ffGMjAvGTh/Hy0mDNvzL8HfZXpRyDAvgVPkvVXHnXV3lgD/0UVyiuZv6aH
2ur4AwJ9WifOnCMZYxdlh58aPLE9uILnbIXXLCQjus7RSj+Uet+qCjhn5R4PT8xIdBIdP8h0tPfJ
CagxJ12Ymx6af1S13+N1odZzodRcrKRTFpFnOhnvW+lAshKRK+rA0//mmF0eYRPXBPW/uu3baqRC
swe+4MleIESgTqHDm9D8pEpHazymzOqA2fW6j+I47yluIr7jmHlPDyfoOgcZ6iqyMTaFyIeASLLY
JI3pYdbNyW91J913CK9SMTwc/cTMWU7U7XjmIF8r34EX78TCLj9OkFZeCjo3NfqHeAj3OCUWVp0s
ONQbrv9unlQi82HNBQNYHkuQwSPOYbD1syW6IuMloDw7LMEBakgVjP4ZlU+6R0LIp/DfOKorR2LU
WhzzBiAn3rLCTTutLO0F2qOLi4qe0BLTQeTEZC2fLtLb1Xu14vrosUL/dhMGhMKbKJ1gGj00QN+r
eVivjsDWIZvwMdqjDvJNrlAXYHyJ/7EFJFHg3KkSft4X2xvHd0UlNhndZd0Yn7ybEZ7q8t6FZMSp
Vd2UZBM33uH8FyiHhn/pgQC+A4eQB2KCA5bxSa7B1mUdUx7BzSJaBTPZiz0FwOPwY43DxPhLZMi3
G9QhZDlYUNS71NmxWRaIftCTBV2rJcgR5MhAOKGww0fIH0bRNdjJ8AQ6vg/OknpIusbnxOXhFMsC
k9DfcyDkh/yU9MCPip9q41FPPsOqG1OiyDH4/aVuJEgs5Ug4AUJFLJA1RAAc+qU4+TEYf8u+a6Xr
B34xJ98IX23cSezR1ZIyGDwUyktCvDtWCTNdrw6ETQNdopmi+CZowat3B3eCix+KntGkd4z5X2/a
Crq34t23xvXcX11vhiUdqo2gK8HH5bKzyLJE/ZDG6VNgD/gBqwpuSqe1J4NPvCpK4JMExqpJAWGM
GIUTNQezSz5x4xTnw8vDsP8zkMi1ovU8ahrsetftapk7Khb7IgiFm9vK1Eq1UsS8obvqbNDTTTp6
+5abY0zk4/K/wqUL3eU6cmMAhbCDaPClQALAlYM8d3eSddXrZL+GVhoZzw5v4aak3/qVlSIoIe3f
gt2TwVxOe6dM3c3FMMJTd9FKbWo4SiwEwcJ/hw5PV1u2es/O3k6SVHhFWeWNRqYTJ+cuF1aYSyHu
g1MvZpQFyE7m3qeAlCSsZ02YQn9Zg9x1NQDzbsNWpKAFQ52oWFYjbHLfio2AmB7sPsneL5/IgKvL
mwC4S4EzdHv7TCcHnWyBrj4TjJoY7ZFoG+CH947nJgGYBXnQUSgmOOczCBDvm1tEAuBIBS8kKsL4
A9NmnpKomRn1erOzFSBVR4X2grmDbBwkwJP2967iZXzLW2zrq8B+Hp/4JGVjPbChWEMZtnCJOACI
5cVTXxByICSbUr6yVozTXyWch/1/PZmxmode/3QKPV8CIfWFW+gyJ9MBeW7RrBWjDGDpj3KDJ+SR
pUwc6xDZFKte97oZ9QlquXyyXEO9zWQjzc3NIhlY9etyQrk160HFwhSfgXnUZt6/blcRYywj1yMz
Np7orM/h6pkJhUfBuv4LF8TcAYZAFVI4BNjfvimv9OdX6sSL1KFu6FLIHdsQ05fo2lQZmFJExuaN
AezZSzWQKa8a3+eqEJHogogSDmaEtJkiqrt99FZeWlF7ndAdKcXkRrT84Ih6i+XeQK7nodhfoebO
Ax3notgX2vfaQ028QFL1NZG98Hb1tNf3dmq1U/C1GnCLLNfPgOpCrhf4oyidRvL7MLdYZEO16UTc
AePGW/3JDNZ3AQbWjUrXhIFd0QLmA1j/T/IDWxJb+FcTTpHa758ganAdZFQLuPh8yVh2hwzZlvWh
t9TejoWYpQHOUVOXxn5wtKiovHWgbINrx+FsQ6rpo8us8CvM9PAA0j9hTWz0GTLGxR42CCtG1rts
Y+0zkmXVh6WJPjsOCkbChFLYG3eZ4PDMl/yQND4sB+ra0X3EnfF3KGpx2NNM7/FzBKx9eeO/Ii58
5gK9Hm5d7/Q3182Ge6LoAlBa7pHun12gx58XAX8aRAvw8IOXtmbsKgQW0eIFi3Ux6Y7G2rcSRw6B
GamE0rvMTimP7U1BWoQId07h8meCTp2FqwGe2wHDfls5I84/jJiW+MeAQu7ckGYCvrpviKGdrxel
WJUroJl08CO7m7v3wHZ0jnC87kW8Oo/hN/z5eNjZ9Yty/ei0J4H4/eoAdHP7eXrCE8iIExgSC6FC
Z5l5lR/QK+RRtkfQYzE0MMAHP0VToljWDGeG87Npyep5KV/w9/LqrKiXBKJMrWZoDzzout2rtrmK
+y45fqOUUVTKX3QxCfnnsQlxOAQyZo6Uom3M7TiXlAz1HBA+1nV5AC07DJvkmv/MoNg1G50NmK7H
C6Fpdjwyh+bE4aXhVDXlZRzLea/v59eD/SkK6sObj30152T0CcQR2PZyhwXilWCOrYhK9W4X0EUj
G5qgviQ05BlOVuMpB17ZWvI7txaGcd6EHkkcADhuyZQCbeU+MKGFJ9cl1qiou6z/z0Nn46+mWEey
WmZayprqHr/wk6BjXz6exjAdssfhoP3D8/Foa3B8fj123HXIugf/5I9trxx5nn51rdDdWQiraska
iqUSs/68QaQRWOl2ewHLk+EXc/107RqZNQqnKTzezJ4q+CW6/WnTzMYlhf2VvO9bXYDJzTpk6NNC
6nxwFx//EtrJHn69wsTrPsUaoo/N2G9fYV0+fSUlravUhljl3l5DSQpm2Xtmq1cwpeHOFHgcrNr5
cZuCassraTzthn3XM43pc3GzlBDtVZPfkOCptguagFpHG6iKARtkgKATl6S7b6j1rExKMf1dRlO4
usX/68TTY0P6iNHqrK65FtzO0BQK9I+BpRsHajUy9NIvzCmaYmtD1uF3op81y/ZHT88jKiKxUDDA
fLSqkr1Sx+RjphOs9l2asMCqIyvUvP/q4vbmadaLw64OLFlAectOotiieh+JGL8fdbBD+6i2N1bb
51hK9jWmx6dqwpSmEBV1j7sFYLKhJ9CBte8JpsryiNWlYjV3ReLGAzz2ffx0/zWSiAXGmhgW1zNg
dgB/O6W3BhzvqunmC7RkRSA5BRT/lkrH7aYcmm5VpL51NPRlfsGhAjNlXobGrooYEcg8NwZTCcYG
btW8aqUadaN/1gTIJyf3xKMsWSCtCGBEI1ewxLA0En6Ew1Y8hHGKEKs/GtO7By9oAflvv7wHaGeS
96JHwFmkmzXHbDqlorsFp6gY2+JV31D+ngq7SVxWraYw5xP6cf1mSQ+eTCs1pxISZ0WcVFsQP6Dr
AbzoxAcnhwY3R/ZdjCzXqfVmGDShSLsffk/5TmsjLOvgfY4uAx/KTA1qyn9DZM5hts318//7BpIU
N3DdSQZDKsBer5C2WSJ7WJ7EBONtYiL2RB5lXr3DFvOTyAWG/DXcBgRkL2MHgOqWqIKdKA7wGwjm
UDz+Slloa535Ag3PWxEA08kbfypNREqGXwed79vRw7L9BDq0UEBV41Skzj0U4IqApHA9xjeNax7U
OCCGOgv7cGQ3xxi6X2wQfe78nyjHrLkjmq8WOYw1VN0ka3Q6mrNo2cfpkupxHcTO7IfwCmrHowj8
EzcuYZhfzZiIVN+97OGEEP3a6fly15gwNcuJiF34d4rJs/MneNmazFDusb67SO0FMK9assCbQSH+
q9lgCHYwLOuQtPE5FPiG1ofCqsUQyG0xMZitJuz5j5TJW3JBWuWEQSUGac8iXU5siN4iuZDR0xqz
aCR96IZFI5DCGvwFiKy4CxbGzMu4cZZfDmJvUIADWqVOkGETfhXtf+fWWXmtiWeSc5nTzcp8Gwsz
eN/D9ndpIEGIw1fo7yRNgvsKXGoLIpq6iFPLFji8473oOHWmvupllIzDiDI5tgo7EHmJjwXOuKga
zN5RvsBhbEkrtD9akxW8K0Vka0HFR3yd80VCDlHHpdVLSigPMNonqpayreAZauD8dKxf2P6MXa3c
if6n0hzNbwkIOHgKpwkQruqhaW15YlO1CYMMS9P2VTcv5Q/BJWDDvj29xQfYpcFVTY6fViefy2d2
htJ2GtiFiYO3fqgQPs2er/PzJM+uxPyL2R2XC9ik6ASuDsyQcHnIkIYmEEK45KQyPbW5FvDRO3xf
78Hh8gmr+RQkUgVbJiuA3uDBH55yis5qzGgS4qIv2A3BRjmqwuTHa7wPC55a76BkSZ3HJv/jSnrV
NdLkSx3no/nSU3/BI7dhaDIOHoV0hlG5mA2HyiGtbvc+il9lYNLcthW0ZQwXMsSowpvIcGqPssAg
IwCwUiVrqfPq+z37gIy7ylQmnyDx2tS+uDgfsM7tWfGxnGpO30MCasYhax/vfvq0BsN3sXT2/q7B
DPWD6O3ggZtE7S0b5ByxXWKGjWcSxGWT3EvWdtmLFp6739zH9YpGruOf19XID8C78Mly3TWhEZZY
OHg+WbH9olbEmm74D6pE1tny1BRSyiAflWQMr8I1KB9uW4eWaRTdnUicMmRIy27ZaG912z1u33c3
sQUCnSnixuNvgZ9PCf26xI0XLZ5yKS74RDIM4bTbRtDvFJE2Wga2SRsiLfrWdu4pF06DZneEq8mS
+DxVoZOn51Rev5JWr5tPHheGFiCyCPffhUWk5e+vA4E7NkqZzOvpYtmZJBi+kICaviEQeFxfRtFR
P41bWJAFf9JCaFx2HcDPJIx7SLUOgQIdwljvuNLnqCy3njQxb+AUBas+MChH6DY1rzCSzGqx1PYx
3qmCqcDXIwKK0M+ep++fCUjBxe9vQ2lMcih7mGGw8WifON6vIyVFEd5h/TauTSxxmvTfDk0WaXlC
7g60lw2ZlGln+SJeeO9St2Q++dM4ouAMKxIgN0RmkIPIv3CP6UNz9UT1XVLo1+UPEa/bV2JJz2tE
fL2Y92K+ELC/mUbx8Zop31xQ6xH+PhQW3e9gID237VtxCMfjPQa0xg3oa608NhISCs8JBTLe+yzz
dPfBrsSlapM0qbV1XIQNjM3abYcAlGcB3Usv+bmDgn4f1TfJoYQc7Uk8tPsJfMAbDwEq8jxy+E9s
0Q/x9q0tRLR7P9ne5lzHimYl3IIlc4suPGkTkPGDqqbqtaEvL7NGBwCRiYeQc9hOQV9iSG6px+b0
ENDepiDU2BaDrqYx5S6qttXAzjUrefFmuKcs1r2484FA0f0bD6PxwMeeMQNJToWjNRD+Rh1FYCn2
QM7VTg8c3ZKZyszFi5K05LeldkhedwspQ51QjIZi0EEALqXibcl39JpM+sLYQ2jQx8iJr2x6Txkr
mswgyI3dpW5YlOKAL0V22leByGWQvlGuQAeIWXTqvWucALIjkZfWymX0CevLgH/JH9M09iGuk7eO
f5GRc+iw1N3gLBeHwzBfZa/uIkT+/geQ1vOUrsG73IyDjAhEt6eyA9JCNvLOAeA8hvJL5MdkQdAU
BRL7EL1Ff++cS8KsXIfF6TOe3dfgjCLB1rWld/SXQLjvKS6xfGh3FS8p8hkuiHGfl98SNyRStOd7
pCtKs4S10th2/F9BBSAKYQqv4/JYXVRk5bhReRXrvmgkFofBCzwK2RznWbNfV9Sphwi4h9wsRBuU
O+rr3u1ZAKYvUv8YnhdAP62arciuOYtvKYPYsEJaEPogQ5uSMA9p27F2kt5Y/Q5DI++LYgHDbdr8
7MGi5Q9cj82H0MeGPFxpXVMTqiAtEAx9qfwOT0qv+2RZ5mBat9/4CelKWi5/MDXwEwqIZnhm7qea
oxkHFVH4Y0bD5XjN9rIOnwa/0bH1V3FpEpbuDLKaDHtwV8MNYIeaudZePbpVAOGNPLMcDB0ftXE9
gc0hf9uXbyRNLaZkm6znYd8hxxqzOblzaY/I6nvcbcI7+XQug8iNcdaALww6EZrZPdlLKDie6Q4A
TUHuSm0FcReJ9kl63dmM9QWldi4nTUvyZHscuPptn0mUXEdcCiZh8fPfef8jCfSpLL5UDnTtfN0p
t0kRycr5Yi3G+6PAsJfGXzogofcbGgnhvdzxHantCVlsuQFFCqNIiUcDG0fxkKJ+mBrnj22jvYp7
N0/NLAOijETvVqBoiYTvREPEX0EIGqngcJ0ZjXaGyukYGX8a7nXvtXMTee2DSa9WM1CzNI7CcUpd
XUfWsU+XOTakou0/nRBG7N85au65koya07hgARHJOIY/62fC/8UzIftIM7iRJnAZbBS6Xa1LZ+ua
puI6ekgp41pnMZpLhQz2AqDyO52Kn4myyb46N67dyycwtiNAp9p7VsTxeI7EIKc4Dt4SzNEPnF3g
fvHRurtck2FBxfiQdEYkMtLh1bw73GWpC/FJfxONKAu1xncZdYQsd/2f4WxgGyVFtsUljvcO8x2g
SNbHmCvYLFCFz5MY6OgwPQIP4e70Yw1ErU9xJixMvd+yvCHvcwJjuzR5nzqaPkupoSOD6tSYAI68
9tboLr4FHVfeLKRCDHFbdUrCDLYDACyyMcdp9ZsK2RryLunY1WBc1/CWU5oYNjgCItJy+Fbexst8
v9ikfUF8mt0tn9ro6X7rPca3OQRK9vurmG2xmI9oqyqWq6B36OTJXFxabhqaFUzAZ6a+bhF4Nkes
6pil4a/pa7jI8psPfp+ju1t7URElw+mCeBEEUMudEgprKhShpWlrCqDQDqEQKDBU7ljwcOvmPSHZ
MbFxW4tgJ/mYv5b/0bwamDvstvQUayS0rMPoe0ujlPW5i8qAOA1koJoi0aUQcIKN+OfmRUg8G6IO
bld///GXDJbaGUkFzjdEumGJDGJu8/Iq1KVFIQmLNVazHTgZlqbEVSsr+Nv7iIeJ/gCXSShbzJlk
sH/HmY+/MfW7QJeJbXI9RYWT0Yfmg3UDmUSJ5DOhd0TTsfqOU+KqoODMmUCN7rV+kBfxNtQ+SOyw
oxfCaJfjnljQaOjd2A2aga1Ife0/4MA10G7wEMF2mjg1kl6YY3ImrU3HfdEbFpyDmZulicpqLEkx
tA19fSJebx98xcrCAWPjWek77oD2nbHvkKci8DEmBjHtFFfOH2J0a/KcR+Bw6mbTpeJJyYwcGiFv
cB1oVkR6qOs2oRThPl4p427NcpuAXcmfSQi+DHwqwVhyQ3VDfMJoSlh6tUH+dBR+N/LkHW5I39by
ZrDh9VXbfAt86CNhHBlL8dqBBNndpQzR0lv9Z1pnP8T99lVYjEEc+26hm+DwoZP7kwTTL+ug5hhL
NtI3Ar2nEk/UjMe2U562MPH5n2bkyC0HjMZb1ttyE+s9+ETAwT/3SSV4U33uaVOt0o5DhaxDCNrn
FrcwsZTTjNvzERSS6RcTS9GRhfGxUFEW4etE677sEfsJOUvBcHV+DU+ZHOmEz08baLjBEOXD/k89
vNmEToDuKZm3yYYjB2oy+TeP13pVebupa7fkvYw1UBLRMxZIZM6ASbdwgkb6VpnOnx3YZ4YHm2sG
jgOXiCAT3t00027OJxZ+y9gSVwBFy7BpLP+hBnmuth/I3eWbhQF4Lilrk+r9mkj63e3DG8k34hfI
T/CayKjfuUFjMVN8WYwmauNTNj7raDix7FbKFEoBn02TkgbiDx+ruz+BJntQzcbHDVC1zaaIosNw
xJyqqSAxOyE8nOQVPpck9fczEpSwLKv8waqByx34p5Q2NTS2pSfcvuOQ+UVfNK/i3apDYMGJ8P7j
j8Kr9cTmBQcdEGSDJUGAiZZ3Kga2bFoJw3hzt3Bg6IKSGsApzh0FelmhtDDp6kvPBxU1Lh7AKB6Q
1x7lgVjsz7Ig9eqzIUOAzULKpOSBXb5BhAh75T9g3vlLbW0/C3Vi20dSGuEZYfQYMtVrfvh0meJD
l3okER5g3WlmLIXjsgFBAFoq2O9f9ERtU29nwn42EVVjSwCYFX6/d+WdvFw6JfxOnvomGv+n3+L9
u/Xqh7uLRqpj3GpRupgrGEW9qYB5p81R2oAbW8UpXijP0eyompRedy8a/zIiEiyFzG8WRjPexCB0
yxyhiPf1hAYosSR3XgJD1KPskEqyisq5KyjFXZgkw2L/L+r+d4rkgke+WWIlKiw7tFDr7APiiDV2
b6gll3phLvo0B6KvOs6OMgTFbBGTovANQQWR9RL//xxP2hY0JeVGmeG6+ipCfNz6UZemeSV8Uqbv
/xKGnNT89MTOyAI43wFIJjWQWVXVQo8mMSu3RGhqGaumNczilvuvCGsz/090JL6FpzIJ5hcwG6al
G3tlJ+iIgEsObMpRFN+PJhvlq7qpZi4pQj288bboq5JvfpN1lBC1Obm48eLaudWZEODFrr7/NRkB
BTnf49qagcEhMJzHfAQgHE4vjd0YWVSzAe37bk2ReHyw2f0TDOvBQYIeRCBiw53WPyiYXBOdWTRP
NhBtAAb5TgNXG8b+T3GnyoNBzyZEVwkJ2jvFGM6tOZQw9d21M+kBz1nuYWXEkFblwoWSKJOdl/28
i5VNYLZ9wBJwZ9lgVvZBAsEuCaNo/COR1LL6v/28OZrhSVhUptPabtUB8qx7UvA5TBhiB32cW9Y2
NhO0dnG26oKF0nF49VzreNYFNtW6uYdH/wXx7YHNvX445S2+kQw5c4Qp8aNM8gq1s8fq8sU4wzyJ
/HpuJgMDkcqgeBrwwfTdXe41BUgffTkl0WqpgH0Gjw0OEXDEsDuuhoHh0K8+DM7fgc4aeGXFn6sY
66by1vTz6HlEHmq4zOc8UFFiEZQsYEWpQd3oR2TLXIKJAtF87kDk19DsXflsjYtbKbH4NWQPrLjm
dO3pjf6uzV0fmR5VmBhb3fFiibgXyUNZNu+BXHJN8nABkIUn0oHhoRUDl4l6nariXvoq/QPntQrz
vApy0iitgHmyMbot2Daqn1yMdRCk17agSRxPK5TFz67ScBgbSB2r6oH4OL5kazBHo1sk45rCoVON
3jFrqDj2SU+4Vp6QMJb28c7CSy+aIcziO1+7+zWV07pxvdpg2/M651Ovx6+KnJW4CoiJU2FXuNUg
YJLKn+3JVXbLeqqwUwY3ti2Y/HR4IZu9Ur+dY4XuEnLsY6GjJm9XCoMwNJ5wskdq4g2z/ZL4pPwb
32XUh6RbVCstfchohvUdQc9D9XoE8fdKZnzirRbLlqJfpqdSVUzeoL18ZT3Uw7oNvwS6sIMgXhrZ
UbtqnSAGJ+hOJSpLPKJbiKHQOpD0pmYoC7nkWDWzER4COflEnV5eUgbMBmnSbC9sR/nzJhbAGMON
A1aKkgY/i+waypellbBzKbOpXjYJ4BPX9ACB1ppUYksXJkATAoOxsqk2lOxdeq/l5/Rkbps4Xp0+
Iigd+bOYqwg3Uqrg/eCKJvOlCu7qPn9UhFhaWVZP/St34gmx1nYccdp7ynobzQnQdTw+PA5PzndY
RQPpE494Y11QdIFgNXRWkgmOeOzHgPOBtOaeWix8vdw7hbcuPXfDO/3mGf0USyKvxKCk1GxCVKvf
ow/1MevuJtbWgC7BGBYuaJIaatqVyfmZIXGGWHBgfbLLA/naA5MMY8FOWVZYfOJevdOhosBKXqtn
EniCclTOGPPNMmn9Ln3doXaLAk1sg9oNIqRUjs6apex0TTXBA+HcNWhzd0r1msRnGb0TjMgVF6IO
j6odsSienXVQjiUIpBc+EflNdatLCWyC7niWa9qU6iovn3dzwdEoMyA7aolK8NPWvGAqHIGu4l3S
D5H1iNpAuaIDIbutcJwHfzE8TRUA40M15167kqSF2BgSmb1dF0xrpOPstTOFWjnE1xQQ+WzaTMQz
La5NqByixXdKrC2QWd6GQ26gm68Mk3dxj1Ih3mBm4Gjaln1aTP5jyGlJd5lyIamjFuzzex4ciMG4
CiH/x9Jz99mCKZNQYMrrTAXFaRnCv66mK/rVNenwr2mpmhL5eQaUlD3F0usxwZ0qv5qyCKEq6IXD
dqh0fVraUhkFyFoxUzfV00fP4EhyJbdgMKLHjvenC0OEbvLKh3OTW68+5glPltXDkrOwHBFVjUoC
p/6VVPyaMEo4hr3FgR+XL3J0MiNupC3wAhnwdwMhwKT6xPJ1KN3F7jTIbekMOLEVT184QWz5N4G2
WOuoR/qqiYovZNcDoLK0CqX7ipq9bp+DNtnhzLi+eFf+UOe6hmU9maU6sru+jW7g/dZrErSNgeLu
BnHQMtye/I04JvWQ8dgU5D1WKgTyWphtJblP56IdRCN6eH2A7OmLlQbxeTScRtgiQkfOEuPaH9f+
l3HfZo2nBZU6caGGW+ApW0F2aaYjrEvjoAt3/WpLxPwK+iVpkU1QZbtEaXlfy+vb7Os1YUmfeG9z
QEZf0voGTMkALUT+HcpxcT4fTmJcGaCyTr0yAS8D0nHOPWFllx55J5d8MRZQhUFA4G23qpoWUXHd
ULyHR+Z2rbZkfHAjXOxQ7u92r5UrIV31PbKwa0xbTrjGoOGpQDxzFgRVv54LDByuO/M/WUcZJ56v
LxLA6WHUIyvm/grUcnIJn/ymn4QY54k0REch+/ho3HGKXggbi+nsmlTI0UC/aX+Id2VGLawt2xFV
Z9KEoii8jl4W2dH/lp6i8jSDJfhiQe2TsjvOBEUQiktnYm4/UoQ+/SVTZq4NF7H3LogPUD+3vAde
kZg5+OhQCyIm6KXBw0TcvmNPm2L+23cozh2ZEsbaPHEoPB2GRWptFgcV7v/SMeQOtASIxXTzGft+
aQVt0Tquxo5mrnRUZX5+5zidkOWf9PQM6cQP5FK04F4LQM/b10Cd+WcnOHRGnTpy9nKh8ojWvze2
Pgs48cHFGTn8YUFfpCzrOauGJJlcty2hbVneE2PCo5QT/yUck5nNJJjAeF1TsmylzEawefky9JYL
7TVSm/1zOo2eC6w6G1lPtS+bBmDR97KMX9nJKnQqSg2xeQkA0eT7ypWgz1fnGYq3QnXlkCDnM4Fd
jYSDgNGU0Y7YKE2ZTqR0e/jqdKvoYsNWc2mmbGstjHmD7IZ/3Vyarkzu4pQIAdA3SuqJ29G+0e+T
qBp+VxoU7Zpf93Cov3VbPcuj34xLWVtlGVXvApvbXimq7x7WQCSf8lZaU/+8HBaIsOl0YPlPN96U
Ndma0VJaKDSIBaYv3FNIN9VKV9l5AsJF6XvV+1p8xuauHAeiNy5FS4Rsyx8n/qnfYp5iS8c7Z1RS
uK/bCQ7xKOzkUHV4sIJs7qRfTedHkofJwxLACdzs73ylagBI/6QKtN2syyclaW5/pcn8k393wDLH
fbisosnqnSS0aKya1H46l/5JLeLJbMQYOpFGffQF8tfZZaJL5E5O1YICVH42VJu3mn8pU10xancu
mXXYY51uRkjdAdWsKnt75I3Edhgi5d6pH0RvK7feBHWz3wHhoprbYisaCSoTiuiwvll6hjbEfI6S
NjBFtDnX2T55vZet7fITY0rgN+eW80WHNA/wsE3Vxg5S+0TRfdo4RBUDWQJasB6N2BI309uBSiQt
ixc45BlfpUqGv1q6oA57fkp+BiRLQg7JYcwUbmgzinyVX3qiA9eUjTrNY27pcMeFWSx5nx0T0fZk
Z+yKjJJbQdW7B4qFYGTGdbeiN9yPMkNB92wM0Qs2pNNE7adrPrdR2CbM8Lh9rZ4eTmxraD99uZbT
z4BFUr0ULtBxsf/TtNCBoZ/Iy6TISM441gMJf27MviGitNYWFZojG2ct6POqytJuStX98HWF7tyC
8Jj5G9a/CsTo7C70ioFnXzhEToJvR4Ohw7I4IYBpj+155NjMGv1AoOXaMvoXxIUPdcf3ozYpqnIV
XHJ04T0rRZCR3SItubmIYvMJlUKs/WeB0v7/iYRGKzktj7d5VFYcQ/MIWg+I8zqgae9+WX1njaYx
GaFPF9spiEwMhd5ka5CTqK1ljGvnA81eR8a0RsIHUXNm7aVyCNBVYBmlARmxxb3abeZyXjcHFsmX
IypR/N8xLjnm6595MX1jD18oYPuUSE8kp+d4KNrmatIn9YXRTxAuVhEkyNDkk9yKHkuXspB3ZLw1
3fG8B1EiBvxYq0wnug==
`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/axi_utils_v2_0/hdl/axi_utils_v2_0_vh_rfs.vhd
|
9
|
291505
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JXUswxk/vlP3T21f4a2SFc6PSvqh6JLgD+lHJbzfNbG5Y8XWjO+cqxvLe0d7RbaRM8M9M7fGrbBc
LUc7zZygoA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Yb1rxKXv6eLdbo7L9fDEa7+9xPwXj79RFDjuWsfjFjEvFeGt/8fNMk/2TdTDSvg0JtXgnTOR5HEV
zqaY02rwJrLcAMDLJKMNvxtbkmKDg/BdHcR4rCvOu2RDeG4WOYtdwxjFoA7amWV3SYBoKRZAgqPT
x/IthvnrpQ8b9JcoPSU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PUjf5uIs3yUnYBVj+f2Qm/iV5ElvwQQeDyEkv12nGFyWR0hdxpQ8ohBOMpj3njwCd9PZ+NGVHZy9
cDF+B1Vhvqm1hofVCx/xbJ/2WLtNToVNKc2OYAfDjy8ePJ2B0flVDvWbeGPwnqcOWwcvwJZlrjZv
RgrdsV0ZdV2/IbqHtLqRwMJW5D9kYChG9TAenhy7jxBmAKGzVhfDwAxECyxiab5DhsvdoaIcl/en
2MdDHlAR6xKYbscy7GNrdAufMtRHaDw1tt/rjcYd6yiG2NcF/fauvrxSqVUVBVrEhW1qTRRA+mn8
Stu7JkH0wx0rRd+Hy0+mHSPWkGx2HT0aXurZqA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YowD/xfnzZ94rbizyoReVCgRZnMPtDgrWLgU+SkxdURN4QU7QlLFSte4klxTQ9lDA72W39wNO2pa
PfZ03AE0ff1JzvMyLhlCO8DNE1+BwXUoW3kegfsdf463536TyB6T/x4zRrEdnhwuVW3Yr1yJ3I4L
qZIzphsIKj2Gdlsx+0w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AGq/2s7X4eyDx/V/MHtbe21C2W5gdsPTnzugDgwZbYtu9n1W6P5qarbN6weNF3qWRMxhMxE/6588
R3DikNTvq7NZo089LDe6GfGOaXMZCr4O+QC4nK4eEN0QSwwOErzDlGKwXyu83cy68OK1Lx7YDhq5
eRZgKecU0qLE0k4eip9d6Jabqo+qEvzDJ+bVu4ZYyfwuaLKbk+Arm2tk1bqvhp9WApW4DYRHi79y
l7KT9T2FR/UuZbqzyMRqoVK1o4+MjDuOqRuIYF2y7OALBWWcz6p9KOfsrmKhOtPmNYrhusVmx/7I
sLWnBiqkQmO8C+HL+mZxRbORInWzNLSI3ZAYSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_updt_sm.vhd
|
5
|
41952
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fadd_7_full_dsp_32/synth/tri_intersect_ap_fadd_7_full_dsp_32.vhd
|
1
|
12685
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.0
-- IP Revision: 8
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_0;
USE floating_point_v7_0.floating_point_v7_0;
ENTITY tri_intersect_ap_fadd_7_full_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END tri_intersect_ap_fadd_7_full_dsp_32;
ARCHITECTURE tri_intersect_ap_fadd_7_full_dsp_32_arch OF tri_intersect_ap_fadd_7_full_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF tri_intersect_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF tri_intersect_ap_fadd_7_full_dsp_32_arch : ARCHITECTURE IS "tri_intersect_ap_fadd_7_full_dsp_32,floating_point_v7_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF tri_intersect_ap_fadd_7_full_dsp_32_arch: ARCHITECTURE IS "tri_intersect_ap_fadd_7_full_dsp_32,floating_point_v7_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=7,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_0
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 7,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END tri_intersect_ap_fadd_7_full_dsp_32_arch;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fadd_7_full_dsp_32/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0.vhd
|
13
|
8791
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nk6fnppLgHlzs+TNQpNePIv69B67ibWF4Jvv+BAfKVD+4M9c5ENtop3+Z1Cz6J9J51LrN9wn+K89
GZc9q/N3Ew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gioQH07rHlCnzBNi15UQwX1JDUfDjk8Ba6SKCZugFEmd6xGwVpa9/oHf0dFmMAHpj7XIsfSBdTBV
8aP6pTcmDqgBd+Y9jc4nrxEPQ9H6l2atJ0+8Ixeo52L7qmQGl76FMZRCovEz7vUOvdtwFY0Ie0FC
lO5h1s04SvXQ1uBacpI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Odru864y+vmVgk467KLsCE58Wvt6Ju873JqdLhsfz+oT8F5/+PevqSqxidJ0+enp/COg1IbUszEt
6MZ3lO4X69UiL0VJli0cCZnBspQsc9vAHcVBq+Ur+Cs/s/hHfBPnNlYYI0t6F2reXyLq1S3Nfwo/
ztwDcaJS/6k4aj/05DHZHIfYvovVJtsvhFuupmuFnQtA1cOHhoCns2037KVJpHy+nGiAQF4jdg8X
sPSkRrZuBIzRnRZxY2y9hkFeZ9/I482wm//U0bIdEaZniF6iQwkQlJ0h6ZzOrTk9Uxkum+AE+fPE
ms+w5LsT5BO8NVeW2LRzrpKXdIg3O4Qqkj6Opg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tBYH97KSVTkrfifvLyYG5gqGIGtnZQGa305F5YVwG7KwXzw6WqM49YbPMdawUDPpbKLK71QXYczA
FkD3DW70jnp/kEW0n0qFEw1EPOiNGUvtl9QHF6n6pC1MBLrOw42tpDKnO+mz6ATG0dWjr533oKYl
K8illF+Urr7xWM/5Dpc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tCoxMpiUdZOOvQyl8s9jokg+hyYuJCR+zR7lYEykJ4jkYuBlHP8XYax63H07GdoVbHhk3b8ZRV6E
Omw7RL1jTUwRaaYacHcR7Y9/tZITKZ/pPVXSKjkHoSTAP2BxArzpFL3Q4l5OM5jxUtKX1wfEdnUW
FeY8Duilsplz9NrDZm7ILEyre9TcS7mL6yqcUA8mm9BPthyWwzLH4JqfIj7e9dpw/DWtiaKppYxv
pixMUweAZjGg/zWulYtzeRi90SQkRIDWupKutCnOJfwJjUfLIKOrJKNO1AM31bPR1OYIzEClGX+4
DIzNKEY4fxoO5+mQF6Jo9pu7Glf4SpXw+b1JNQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4768)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fmul_3_max_dsp_32/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0.vhd
|
13
|
8791
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nk6fnppLgHlzs+TNQpNePIv69B67ibWF4Jvv+BAfKVD+4M9c5ENtop3+Z1Cz6J9J51LrN9wn+K89
GZc9q/N3Ew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gioQH07rHlCnzBNi15UQwX1JDUfDjk8Ba6SKCZugFEmd6xGwVpa9/oHf0dFmMAHpj7XIsfSBdTBV
8aP6pTcmDqgBd+Y9jc4nrxEPQ9H6l2atJ0+8Ixeo52L7qmQGl76FMZRCovEz7vUOvdtwFY0Ie0FC
lO5h1s04SvXQ1uBacpI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Odru864y+vmVgk467KLsCE58Wvt6Ju873JqdLhsfz+oT8F5/+PevqSqxidJ0+enp/COg1IbUszEt
6MZ3lO4X69UiL0VJli0cCZnBspQsc9vAHcVBq+Ur+Cs/s/hHfBPnNlYYI0t6F2reXyLq1S3Nfwo/
ztwDcaJS/6k4aj/05DHZHIfYvovVJtsvhFuupmuFnQtA1cOHhoCns2037KVJpHy+nGiAQF4jdg8X
sPSkRrZuBIzRnRZxY2y9hkFeZ9/I482wm//U0bIdEaZniF6iQwkQlJ0h6ZzOrTk9Uxkum+AE+fPE
ms+w5LsT5BO8NVeW2LRzrpKXdIg3O4Qqkj6Opg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tBYH97KSVTkrfifvLyYG5gqGIGtnZQGa305F5YVwG7KwXzw6WqM49YbPMdawUDPpbKLK71QXYczA
FkD3DW70jnp/kEW0n0qFEw1EPOiNGUvtl9QHF6n6pC1MBLrOw42tpDKnO+mz6ATG0dWjr533oKYl
K8illF+Urr7xWM/5Dpc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tCoxMpiUdZOOvQyl8s9jokg+hyYuJCR+zR7lYEykJ4jkYuBlHP8XYax63H07GdoVbHhk3b8ZRV6E
Omw7RL1jTUwRaaYacHcR7Y9/tZITKZ/pPVXSKjkHoSTAP2BxArzpFL3Q4l5OM5jxUtKX1wfEdnUW
FeY8Duilsplz9NrDZm7ILEyre9TcS7mL6yqcUA8mm9BPthyWwzLH4JqfIj7e9dpw/DWtiaKppYxv
pixMUweAZjGg/zWulYtzeRi90SQkRIDWupKutCnOJfwJjUfLIKOrJKNO1AM31bPR1OYIzEClGX+4
DIzNKEY4fxoO5+mQF6Jo9pu7Glf4SpXw+b1JNQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4768)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_intrpt.vhd
|
4
|
28207
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_intrpt.vhd
-- Description: This entity handles interrupt coalescing
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
use lib_pkg_v1_0.lib_pkg.max2;
-------------------------------------------------------------------------------
entity axi_sg_intrpt is
generic(
C_INCLUDE_CH1 : integer range 0 to 1 := 1 ;
-- Include or exclude MM2S primary data path
-- 0 = Exclude MM2S primary data path
-- 1 = Include MM2S primary data path
C_INCLUDE_CH2 : integer range 0 to 1 := 1 ;
-- Include or exclude S2MM primary data path
-- 0 = Exclude S2MM primary data path
-- 1 = Include S2MM primary data path
C_INCLUDE_DLYTMR : integer range 0 to 1 := 1 ;
-- Include/Exclude interrupt delay timer
-- 0 = Exclude Delay timer
-- 1 = Include Delay timer
C_DLYTMR_RESOLUTION : integer range 1 to 100000 := 125
-- Interrupt Delay Timer resolution in usec
);
port (
-- Secondary Clock and Reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ch1_irqthresh_decr : in std_logic ;-- CR567661 --
ch1_irqthresh_rstdsbl : in std_logic ;-- CR572013 --
ch1_dlyirq_dsble : in std_logic ; --
ch1_irqdelay_wren : in std_logic ; --
ch1_irqdelay : in std_logic_vector(7 downto 0) ; --
ch1_irqthresh_wren : in std_logic ; --
ch1_irqthresh : in std_logic_vector(7 downto 0) ; --
ch1_packet_sof : in std_logic ; --
ch1_packet_eof : in std_logic ; --
ch1_ioc_irq_set : out std_logic ; --
ch1_dly_irq_set : out std_logic ; --
ch1_irqdelay_status : out std_logic_vector(7 downto 0) ; --
ch1_irqthresh_status : out std_logic_vector(7 downto 0) ; --
--
ch2_irqthresh_decr : in std_logic ;-- CR567661 --
ch2_irqthresh_rstdsbl : in std_logic ;-- CR572013 --
ch2_dlyirq_dsble : in std_logic ; --
ch2_irqdelay_wren : in std_logic ; --
ch2_irqdelay : in std_logic_vector(7 downto 0) ; --
ch2_irqthresh_wren : in std_logic ; --
ch2_irqthresh : in std_logic_vector(7 downto 0) ; --
ch2_packet_sof : in std_logic ; --
ch2_packet_eof : in std_logic ; --
ch2_ioc_irq_set : out std_logic ; --
ch2_dly_irq_set : out std_logic ; --
ch2_irqdelay_status : out std_logic_vector(7 downto 0) ; --
ch2_irqthresh_status : out std_logic_vector(7 downto 0) --
);
end axi_sg_intrpt;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_intrpt is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Delay interrupt fast counter width
constant FAST_COUNT_WIDTH : integer := clog2(C_DLYTMR_RESOLUTION+1);
-- Delay interrupt fast counter terminal count
constant FAST_COUNT_TC : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_DLYTMR_RESOLUTION-1),FAST_COUNT_WIDTH));
-- Delay interrupt fast counter zero value
constant ZERO_FAST_COUNT : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_VALUE : std_logic_vector(7 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ch1_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD;
signal ch1_dly_irq_set_i : std_logic := '0';
signal ch1_ioc_irq_set_i : std_logic := '0';
signal ch1_delay_count : std_logic_vector(7 downto 0) := (others => '0');
signal ch1_delay_cnt_en : std_logic := '0';
signal ch1_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0');
signal ch1_dly_fast_incr : std_logic := '0';
signal ch1_delay_zero : std_logic := '0';
signal ch1_delay_tc : std_logic := '0';
signal ch1_disable_delay : std_logic := '0';
signal ch2_thresh_count : std_logic_vector(7 downto 0) := ONE_THRESHOLD;
signal ch2_dly_irq_set_i : std_logic := '0';
signal ch2_ioc_irq_set_i : std_logic := '0';
signal ch2_delay_count : std_logic_vector(7 downto 0) := (others => '0');
signal ch2_delay_cnt_en : std_logic := '0';
signal ch2_dly_fast_cnt : std_logic_vector(FAST_COUNT_WIDTH-1 downto 0) := (others => '0');
signal ch2_dly_fast_incr : std_logic := '0';
signal ch2_delay_zero : std_logic := '0';
signal ch2_delay_tc : std_logic := '0';
signal ch2_disable_delay : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Transmit channel included therefore generate transmit interrupt logic
GEN_INCLUDE_MM2S : if C_INCLUDE_CH1 = 1 generate
begin
REG_THRESH_COUNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_thresh_count <= ONE_THRESHOLD;
ch1_ioc_irq_set_i <= '0';
-- New Threshold set by CPU OR delay interrupt event occured.
-- CR572013 - added ability to disable threshold count reset on delay timeout
-- elsif(ch1_irqthresh_wren = '1' or ch1_dly_irq_set_i = '1') then
elsif( (ch1_irqthresh_wren = '1')
or (ch1_dly_irq_set_i = '1' and ch1_irqthresh_rstdsbl = '0')) then
ch1_thresh_count <= ch1_irqthresh;
ch1_ioc_irq_set_i <= '0';
-- IOC event then...
elsif(ch1_irqthresh_decr = '1')then --CR567661
-- Threshold at zero, reload threshold and drive ioc
-- interrupt.
if(ch1_thresh_count = ONE_THRESHOLD)then
ch1_thresh_count <= ch1_irqthresh;
ch1_ioc_irq_set_i <= '1';
else
ch1_thresh_count <= std_logic_vector(unsigned(ch1_thresh_count(7 downto 0)) - 1);
ch1_ioc_irq_set_i <= '0';
end if;
else
ch1_thresh_count <= ch1_thresh_count;
ch1_ioc_irq_set_i <= '0';
end if;
end if;
end process REG_THRESH_COUNT;
-- Pass current threshold count out to DMASR
ch1_irqthresh_status <= ch1_thresh_count;
ch1_ioc_irq_set <= ch1_ioc_irq_set_i;
---------------------------------------------------------------------------
-- Generate Delay Interrupt Timers
---------------------------------------------------------------------------
GEN_CH1_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate
begin
GEN_CH1_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate
begin
---------------------------------------------------------------------------
-- Delay interrupt high resolution timer
---------------------------------------------------------------------------
REG_DLY_FAST_CNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 - need to reset on sof due to chanes for CR
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1'
or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then
ch1_dly_fast_cnt <= FAST_COUNT_TC;
ch1_dly_fast_incr <= '0';
elsif(ch1_dly_fast_cnt = ZERO_FAST_COUNT)then
ch1_dly_fast_cnt <= FAST_COUNT_TC;
ch1_dly_fast_incr <= '1';
else
ch1_dly_fast_cnt <= std_logic_vector(unsigned(ch1_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1);
ch1_dly_fast_incr <= '0';
end if;
end if;
end process REG_DLY_FAST_CNT;
end generate GEN_CH1_FAST_COUNTER;
GEN_CH1_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate
REG_DLY_FAST_CNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 - need to reset on sof due to chanes for CR
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1'
or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then
ch1_dly_fast_incr <= '0';
else
ch1_dly_fast_incr <= '1';
end if;
end if;
end process REG_DLY_FAST_CNT;
end generate GEN_CH1_NO_FAST_COUNTER;
-- DMACR Delay value set to zero - disable delay interrupt
ch1_delay_zero <= '1' when ch1_irqdelay = ZERO_DELAY
else '0';
-- Delay Terminal Count reached (i.e. Delay count = DMACR delay value)
ch1_delay_tc <= '1' when ch1_delay_count = ch1_irqdelay
and ch1_delay_zero = '0'
and ch1_packet_sof = '0'
else '0';
-- 1 clock earlier delay counter disable to prevent count
-- increment on TC hit.
ch1_disable_delay <= '1' when ch1_delay_zero = '1'
or ch1_dlyirq_dsble = '1'
or ch1_dly_irq_set_i = '1'
else '0';
---------------------------------------------------------------------------
-- Delay interrupt low resolution timer
---------------------------------------------------------------------------
REG_DELAY_COUNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 need to reset on SOF now due to CR change
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1' or ch1_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch1_delay_cnt_en = '0' or ch1_disable_delay = '1'
or ch1_packet_sof = '1' or ch1_irqdelay_wren = '1')then
ch1_delay_count <= (others => '0');
ch1_dly_irq_set_i <= '0';
elsif(ch1_dly_fast_incr = '1' and ch1_delay_tc = '1')then
ch1_delay_count <= (others => '0');
ch1_dly_irq_set_i <= '1';
elsif(ch1_dly_fast_incr = '1')then
ch1_delay_count <= std_logic_vector(unsigned(ch1_delay_count(7 downto 0)) + 1);
ch1_dly_irq_set_i <= '0';
else
ch1_delay_count <= ch1_delay_count;
ch1_dly_irq_set_i <= '0';
end if;
end if;
end process REG_DELAY_COUNT;
-- Pass current delay count to DMASR
ch1_irqdelay_status <= ch1_delay_count;
ch1_dly_irq_set <= ch1_dly_irq_set_i;
-- Enable control for delay counter
REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch1_disable_delay = '1')then
ch1_delay_cnt_en <= '0';
-- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer
-- to not enable
-- elsif(ch1_packet_sof = '1')then
-- stop counting if already counting and receive an sof and
-- not end of another packet
elsif(ch1_delay_cnt_en = '1' and ch1_packet_sof = '1'
and ch1_packet_eof = '0')then
ch1_delay_cnt_en <= '0';
elsif(ch1_packet_eof = '1')then
ch1_delay_cnt_en <= '1';
end if;
end if;
end process REG_DELAY_CNT_ENABLE;
end generate GEN_CH1_DELAY_INTERRUPT;
---------------------------------------------------------------------------
-- Delay interrupt NOT included
---------------------------------------------------------------------------
GEN_NO_CH1_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate
begin
ch1_dly_irq_set <= '0';
ch1_dly_irq_set_i <= '0';
ch1_irqdelay_status <= (others => '0');
end generate GEN_NO_CH1_DELAY_INTR;
end generate GEN_INCLUDE_MM2S;
-- Receive channel included therefore generate receive interrupt logic
GEN_INCLUDE_S2MM : if C_INCLUDE_CH2 = 1 generate
begin
REG_THRESH_COUNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_thresh_count <= ONE_THRESHOLD;
ch2_ioc_irq_set_i <= '0';
-- New Threshold set by CPU OR delay interrupt event occured.
-- CR572013 - added ability to disable threshold count reset on delay timeout
-- elsif(ch2_irqthresh_wren = '1' or ch2_dly_irq_set_i = '1') then
elsif( (ch2_irqthresh_wren = '1')
or (ch2_dly_irq_set_i = '1' and ch2_irqthresh_rstdsbl = '0')) then
ch2_thresh_count <= ch2_irqthresh;
ch2_ioc_irq_set_i <= '0';
-- IOC event then...
elsif(ch2_irqthresh_decr = '1')then --CR567661
-- Threshold at zero, reload threshold and drive ioc
-- interrupt.
if(ch2_thresh_count = ONE_THRESHOLD)then
ch2_thresh_count <= ch2_irqthresh;
ch2_ioc_irq_set_i <= '1';
else
ch2_thresh_count <= std_logic_vector(unsigned(ch2_thresh_count(7 downto 0)) - 1);
ch2_ioc_irq_set_i <= '0';
end if;
else
ch2_thresh_count <= ch2_thresh_count;
ch2_ioc_irq_set_i <= '0';
end if;
end if;
end process REG_THRESH_COUNT;
-- Pass current threshold count out to DMASR
ch2_irqthresh_status <= ch2_thresh_count;
ch2_ioc_irq_set <= ch2_ioc_irq_set_i;
---------------------------------------------------------------------------
-- Generate Delay Interrupt Timers
---------------------------------------------------------------------------
GEN_CH2_DELAY_INTERRUPT : if C_INCLUDE_DLYTMR = 1 generate
begin
---------------------------------------------------------------------------
-- Delay interrupt high resolution timer
---------------------------------------------------------------------------
GEN_CH2_FAST_COUNTER : if C_DLYTMR_RESOLUTION /= 1 generate
begin
REG_DLY_FAST_CNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 - need to reset on sof due to chanes for CR
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1'
or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then
ch2_dly_fast_cnt <= FAST_COUNT_TC;
ch2_dly_fast_incr <= '0';
elsif(ch2_dly_fast_cnt = ZERO_FAST_COUNT)then
ch2_dly_fast_cnt <= FAST_COUNT_TC;
ch2_dly_fast_incr <= '1';
else
ch2_dly_fast_cnt <= std_logic_vector(unsigned(ch2_dly_fast_cnt(FAST_COUNT_WIDTH-1 downto 0)) - 1);
ch2_dly_fast_incr <= '0';
end if;
end if;
end process REG_DLY_FAST_CNT;
end generate GEN_CH2_FAST_COUNTER;
GEN_CH2_NO_FAST_COUNTER : if C_DLYTMR_RESOLUTION = 1 generate
REG_DLY_FAST_CNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 - need to reset on sof due to chanes for CR
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1'
or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then
ch2_dly_fast_incr <= '0';
else
ch2_dly_fast_incr <= '1';
end if;
end if;
end process REG_DLY_FAST_CNT;
end generate GEN_CH2_NO_FAST_COUNTER;
-- DMACR Delay value set to zero - disable delay interrupt
ch2_delay_zero <= '1' when ch2_irqdelay = ZERO_DELAY
else '0';
-- Delay Terminal Count reached (i.e. Delay count = DMACR delay value)
ch2_delay_tc <= '1' when ch2_delay_count = ch2_irqdelay
and ch2_delay_zero = '0'
and ch2_packet_sof = '0'
else '0';
-- 1 clock earlier delay counter disable to prevent count
-- increment on TC hit.
ch2_disable_delay <= '1' when ch2_delay_zero = '1'
or ch2_dlyirq_dsble = '1'
or ch2_dly_irq_set_i = '1'
else '0';
---------------------------------------------------------------------------
-- Delay interrupt low resolution timer
---------------------------------------------------------------------------
REG_DELAY_COUNT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- CR565366 need to reset on SOF now due to CR change
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1')then
-- CR570398 - need to reset delay timer each time a new delay value is written.
-- if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1' or ch2_packet_sof = '1')then
if(m_axi_sg_aresetn = '0' or ch2_delay_cnt_en = '0' or ch2_disable_delay = '1'
or ch2_packet_sof = '1' or ch2_irqdelay_wren = '1')then
ch2_delay_count <= (others => '0');
ch2_dly_irq_set_i <= '0';
elsif(ch2_dly_fast_incr = '1' and ch2_delay_tc = '1')then
ch2_delay_count <= (others => '0');
ch2_dly_irq_set_i <= '1';
elsif(ch2_dly_fast_incr = '1')then
ch2_delay_count <= std_logic_vector(unsigned(ch2_delay_count(7 downto 0)) + 1);
ch2_dly_irq_set_i <= '0';
else
ch2_delay_count <= ch2_delay_count;
ch2_dly_irq_set_i <= '0';
end if;
end if;
end process REG_DELAY_COUNT;
-- Pass current delay count to DMASR
ch2_irqdelay_status <= ch2_delay_count;
ch2_dly_irq_set <= ch2_dly_irq_set_i;
-- Enable control for delay counter
REG_DELAY_CNT_ENABLE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_disable_delay = '1')then
ch2_delay_cnt_en <= '0';
-- CR565366 simulatenous sof/eof which occurs for small packets causes delay timer
-- to not enable
-- elsif(ch2_packet_sof = '1')then
-- stop counting if already counting and receive an sof and
-- not end of another packet
elsif(ch2_delay_cnt_en = '1' and ch2_packet_sof = '1'
and ch2_packet_eof = '0')then
ch2_delay_cnt_en <= '0';
elsif(ch2_packet_eof = '1')then
ch2_delay_cnt_en <= '1';
end if;
end if;
end process REG_DELAY_CNT_ENABLE;
end generate GEN_CH2_DELAY_INTERRUPT;
---------------------------------------------------------------------------
-- Delay interrupt NOT included
---------------------------------------------------------------------------
GEN_NO_CH2_DELAY_INTR : if C_INCLUDE_DLYTMR = 0 generate
begin
ch2_dly_irq_set <= '0';
ch2_dly_irq_set_i <= '0';
ch2_irqdelay_status <= (others => '0');
end generate GEN_NO_CH2_DELAY_INTR;
end generate GEN_INCLUDE_S2MM;
-- Transmit channel not included therefore associated outputs to zero
GEN_EXCLUDE_MM2S : if C_INCLUDE_CH1 = 0 generate
begin
ch1_ioc_irq_set <= '0';
ch1_dly_irq_set <= '0';
ch1_irqdelay_status <= (others => '0');
ch1_irqthresh_status <= (others => '0');
end generate GEN_EXCLUDE_MM2S;
-- Receive channel not included therefore associated outputs to zero
GEN_EXCLUDE_S2MM : if C_INCLUDE_CH2 = 0 generate
begin
ch2_ioc_irq_set <= '0';
ch2_dly_irq_set <= '0';
ch2_irqdelay_status <= (others => '0');
ch2_irqthresh_status <= (others => '0');
end generate GEN_EXCLUDE_S2MM;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/f4229bb6/hdl/src/vhdl/axi_datamover_indet_btt.vhd
|
6
|
60696
|
-------------------------------------------------------------------------------
-- axi_datamover_indet_btt.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_indet_btt.vhd
--
-- Description:
-- This file implements the DataMover S2MM Indeterminate BTT support module.
-- This Module keeps track of the incoming data stream and generates a transfer
-- descriptor for each AXI MMap Burst worth of data loaded in the Data FIFO.
-- This information is stored in a separate FIFO that the Predictive Transfer
-- Calculator fetches sequentially as it is generating commands for the AXI MMap
-- bus.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0;
Use lib_pkg_v1_0.lib_pkg.clog2;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_sfifo_autord;
use axi_datamover_v5_1.axi_datamover_skid_buf;
Use axi_datamover_v5_1.axi_datamover_stbs_set;
Use axi_datamover_v5_1.axi_datamover_stbs_set_nodre;
-------------------------------------------------------------------------------
entity axi_datamover_indet_btt is
generic (
C_SF_FIFO_DEPTH : integer range 128 to 8192 := 128;
-- Sets the depth of the Data FIFO
C_IBTT_XFER_BYTES_WIDTH : Integer range 1 to 14 := 8;
-- Sets the width of the sf2pcc_xfer_bytes port
C_STRT_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates what is set as the allowed max burst length for AXI4
-- transfers
C_MMAP_DWIDTH : Integer range 32 to 1024 := 32;
-- Indicates the width of the AXI4 MMap data path
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Indicates the width of the stream data path
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_DRE : Integer range 0 to 1 := 0;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- Clock input --------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------
-- Write Data Controller I/O ----------------------------------------------------------
--
ibtt2wdc_stbs_asserted : Out std_logic_vector(7 downto 0); --
-- Indicates the number of asserted WSTRB bits for the --
-- associated output stream data beat --
--
ibtt2wdc_eop : Out std_logic; --
-- Write End of Packet flag output to Write Data Controller --
--
ibtt2wdc_tdata : Out std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- Write DATA output to Write Data Controller --
--
ibtt2wdc_tstrb : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- Write DATA output to Write Data Controller --
--
ibtt2wdc_tlast : Out std_logic; --
-- Write LAST output to Write Data Controller --
--
ibtt2wdc_tvalid : Out std_logic; --
-- Write VALID output to Write Data Controller --
--
wdc2ibtt_tready : In std_logic; --
-- Write READY input from Write Data Controller --
---------------------------------------------------------------------------------------
-- DRE Stream In ----------------------------------------------------------------------
--
dre2ibtt_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
ibtt2dre_tready : Out Std_logic; --
-- DRE Stream READY input --
--
dre2ibtt_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
dre2ibtt_tstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
dre2ibtt_tlast : In std_logic; --
-- DRE Xfer LAST input --
--
dre2ibtt_eop : In std_logic; --
-- DRE Stream end of Stream packet flag --
--------------------------------------------------------------------------------------
-- Starting Address Offset Input -------------------------------------------------
--
dre2ibtt_strt_addr_offset : In std_logic_vector(C_STRT_OFFSET_WIDTH-1 downto 0); --
-- Used by Packing logic to set the initial data slice position for the --
-- packing operation. Packing is only needed if the MMap and Stream Data --
-- widths do not match. This input is sampled on the first valid DRE Stream In --
-- input databeat of a packet. --
-- --
-----------------------------------------------------------------------------------
-- Store and Forward Command Calculator Interface ---------------------------------------
--
sf2pcc_xfer_valid : Out std_logic; --
-- Indicates that at least 1 xfer descriptor entry is in in the XFER_DESCR_FIFO --
--
pcc2sf_xfer_ready : in std_logic; --
-- Indicates that a full burst of data has been loaded into the data FIFO --
--
--
sf2pcc_cmd_cmplt : Out std_logic; --
-- Indicates that this is the final xfer for an associated command loaded --
-- into the Realigner by the IBTTCC interface --
--
--
sf2pcc_packet_eop : Out std_logic; --
-- Indicates the end of a Stream Packet corresponds to the pending --
-- xfer data described by this xfer descriptor --
--
sf2pcc_xfer_bytes : Out std_logic_vector(C_IBTT_XFER_BYTES_WIDTH-1 downto 0) --
-- This byte count is used by the IBTTCC for setting up the spawned child --
-- commands. The IBTTCC must use this count to generate the appropriate --
-- LEN value to put out on the AXI4 Write Addr Channel and the WSTRB on the AXI4 --
-- Write Data Channel. --
-----------------------------------------------------------------------------------------
);
end entity axi_datamover_indet_btt;
architecture implementation of axi_datamover_indet_btt is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- This function calculates the needed counter bit width from the
-- number of count sates needed (input).
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_cnt_values : integer) return integer is
Variable temp_cnt_width : Integer := 0;
begin
if (num_cnt_values <= 2) then
temp_cnt_width := 1;
elsif (num_cnt_values <= 4) then
temp_cnt_width := 2;
elsif (num_cnt_values <= 8) then
temp_cnt_width := 3;
elsif (num_cnt_values <= 16) then
temp_cnt_width := 4;
elsif (num_cnt_values <= 32) then
temp_cnt_width := 5;
elsif (num_cnt_values <= 64) then
temp_cnt_width := 6;
elsif (num_cnt_values <= 128) then
temp_cnt_width := 7;
else
temp_cnt_width := 8;
end if;
Return (temp_cnt_width);
end function funct_get_cntr_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_rnd2pwr_of_2
--
-- Function Description:
-- Rounds the input value up to the nearest power of 2 between
-- 4 and 32. THis is used for sizing the SRL based XD FIFO.
--
-------------------------------------------------------------------
function funct_rnd2pwr_of_2 (input_value : integer) return integer is
Variable temp_pwr2 : Integer := 128;
begin
if (input_value <= 4) then
temp_pwr2 := 4;
elsif (input_value <= 8) then
temp_pwr2 := 8;
elsif (input_value <= 16) then
temp_pwr2 := 16;
else
temp_pwr2 := 32;
end if;
Return (temp_pwr2);
end function funct_rnd2pwr_of_2;
-------------------------------------------------------------------
-- Constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BITS_PER_BYTE : integer := 8;
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant STRM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/BITS_PER_BYTE;
Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/BITS_PER_BYTE;
Constant STRM_STRBS_ASSERTED_WIDTH : integer := clog2(STRM_WSTB_WIDTH)+1;
-- Constant DATA_FIFO_DFACTOR : integer := 4; -- set buffer to 4 times the Max allowed Burst Length
-- Constant DATA_FIFO_DEPTH : integer := C_MAX_BURST_LEN*DATA_FIFO_DFACTOR;
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH+MMAP_WSTB_WIDTH*C_ENABLE_S2MM_TKEEP+2;
-- Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH+STRB_CNTR_WIDTH+2;
Constant DATA_FIFO_CNT_WIDTH : integer := clog2(DATA_FIFO_DEPTH)+1;
Constant BURST_CNTR_WIDTH : integer := clog2(C_MAX_BURST_LEN);
Constant MAX_BURST_DBEATS : Unsigned(BURST_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(C_MAX_BURST_LEN-1, BURST_CNTR_WIDTH);
Constant DBC_ONE : Unsigned(BURST_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, BURST_CNTR_WIDTH);
Constant BYTE_CNTR_WIDTH : integer := C_IBTT_XFER_BYTES_WIDTH;
Constant BYTES_PER_MMAP_DBEAT : integer := C_MMAP_DWIDTH/BITS_PER_BYTE;
Constant BYTES_PER_STRM_DBEAT : integer := C_STREAM_DWIDTH/BITS_PER_BYTE;
--Constant MAX_BYTE_CNT : integer := C_MAX_BURST_LEN*BYTES_PER_DBEAT;
--Constant NUM_STRB_BITS : integer := BYTES_PER_DBEAT;
Constant BCNTR_ONE : Unsigned(BYTE_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, BYTE_CNTR_WIDTH);
--Constant XD_FIFO_DEPTH : integer := 16;
Constant XD_FIFO_DEPTH : integer := funct_rnd2pwr_of_2(DATA_FIFO_DEPTH/C_MAX_BURST_LEN);
Constant XD_FIFO_CNT_WIDTH : integer := clog2(XD_FIFO_DEPTH)+1;
Constant XD_FIFO_WIDTH : integer := BYTE_CNTR_WIDTH+2;
Constant MMAP_STBS_ASSERTED_WIDTH : integer := 8;
Constant SKIDBUF2WDC_DWIDTH : integer := C_MMAP_DWIDTH + MMAP_STBS_ASSERTED_WIDTH;
Constant SKIDBUF2WDC_STRB_WIDTH : integer := SKIDBUF2WDC_DWIDTH/BITS_PER_BYTE;
--Constant NUM_ZEROS_WIDTH : integer := MMAP_STBS_ASSERTED_WIDTH;
Constant STRB_CNTR_WIDTH : integer := MMAP_STBS_ASSERTED_WIDTH;
-- Signals
signal sig_wdc2ibtt_tready : std_logic := '0';
signal sig_ibtt2wdc_tvalid : std_logic := '0';
signal sig_ibtt2wdc_tdata : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_tstrb : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_tlast : std_logic := '0';
signal sig_ibtt2wdc_eop : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_rd_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_push_xd_fifo : std_logic := '0';
signal sig_pop_xd_fifo : std_logic := '0';
signal sig_xd_fifo_data_in : std_logic_vector(XD_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_xd_fifo_data_out : std_logic_vector(XD_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_xd_fifo_dvalid : std_logic := '0';
signal sig_xd_fifo_full : std_logic := '0';
signal sig_tmp : std_logic := '0';
signal sig_strm_in_ready : std_logic := '0';
signal sig_good_strm_dbeat : std_logic := '0';
signal sig_good_tlast_dbeat : std_logic := '0';
signal sig_dre2ibtt_tlast_reg : std_logic := '0';
signal sig_dre2ibtt_eop_reg : std_logic := '0';
signal sig_burst_dbeat_cntr : Unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_dbeat_cntr : std_logic := '0';
signal sig_clr_dbeat_cntr : std_logic := '0';
signal sig_clr_dbc_reg : std_logic := '0';
signal sig_dbc_max : std_logic := '0';
signal sig_pcc2ibtt_xfer_ready : std_logic := '0';
signal sig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_byte_cntr : std_logic := '0';
signal sig_incr_byte_cntr : std_logic := '0';
signal sig_clr_byte_cntr : std_logic := '0';
signal sig_fifo_tstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal sig_num_ls_zeros : integer range 0 to STRM_WSTB_WIDTH := 0;
signal sig_ls_assert_found : std_logic := '0';
signal sig_num_ms_zeros : integer range 0 to STRM_WSTB_WIDTH := 0;
signal sig_ms_assert_found : std_logic := '0';
-- signal sig_num_zeros : unsigned(NUM_ZEROS_WIDTH-1 downto 0) := (others => '0');
-- signal sig_num_ones : unsigned(NUM_ZEROS_WIDTH-1 downto 0) := (others => '0');
signal sig_stbs2sfcc_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_stbs2wdc_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_stbs_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tready : std_logic := '0';
signal sig_skidbuf_in_tvalid : std_logic := '0';
signal sig_skidbuf_in_tdata : std_logic_vector(SKIDBUF2WDC_DWIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tstrb : std_logic_vector(SKIDBUF2WDC_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tlast : std_logic := '0';
signal sig_skidbuf_in_eop : std_logic := '0';
signal sig_skidbuf_out_tready : std_logic := '0';
signal sig_skidbuf_out_tvalid : std_logic := '0';
signal sig_skidbuf_out_tdata : std_logic_vector(SKIDBUF2WDC_DWIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_out_tstrb : std_logic_vector(SKIDBUF2WDC_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_out_tlast : std_logic := '0';
signal sig_skidbuf_out_eop : std_logic := '0';
signal sig_enable_dbcntr : std_logic := '0';
signal sig_good_fifo_write : std_logic := '0';
begin --(architecture implementation)
-- Write Data Controller I/O
sig_wdc2ibtt_tready <= wdc2ibtt_tready ;
ibtt2wdc_tvalid <= sig_ibtt2wdc_tvalid ;
ibtt2wdc_tdata <= sig_ibtt2wdc_tdata ;
ibtt2wdc_tstrb <= sig_ibtt2wdc_tstrb ;
ibtt2wdc_tlast <= sig_ibtt2wdc_tlast ;
ibtt2wdc_eop <= sig_ibtt2wdc_eop ;
ibtt2wdc_stbs_asserted <= sig_ibtt2wdc_stbs_asserted;
-- PCC I/O
sf2pcc_xfer_valid <= sig_xd_fifo_dvalid;
sig_pcc2ibtt_xfer_ready <= pcc2sf_xfer_ready;
sf2pcc_packet_eop <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH+1);
sf2pcc_cmd_cmplt <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH);
sf2pcc_xfer_bytes <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH-1 downto 0);
-- DRE Stream In
ibtt2dre_tready <= sig_strm_in_ready;
-- sig_strm_in_ready <= not(sig_xd_fifo_full) and
-- not(sig_data_fifo_full);
sig_good_strm_dbeat <= dre2ibtt_tvalid and
sig_strm_in_ready;
sig_good_tlast_dbeat <= sig_good_strm_dbeat and
dre2ibtt_tlast;
-- Burst Packet Counter Logic -------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_DBC_STUFF
--
-- Process Description:
-- Just a register for data beat counter signals.
--
-------------------------------------------------------------
REG_DBC_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_dre2ibtt_tlast_reg <= '0';
sig_dre2ibtt_eop_reg <= '0';
sig_clr_dbc_reg <= '0';
else
sig_dre2ibtt_tlast_reg <= dre2ibtt_tlast;
sig_dre2ibtt_eop_reg <= dre2ibtt_eop;
sig_clr_dbc_reg <= sig_clr_dbeat_cntr;
end if;
end if;
end process REG_DBC_STUFF;
-- sig_clr_dbc_reg <= sig_clr_dbeat_cntr;
-- Increment the dataBeat counter on a data fifo wide
-- load condition. If packer logic is enabled, this will
-- only occur when a full fifo data width has been collected
-- from the Stream input.
sig_incr_dbeat_cntr <= sig_good_strm_dbeat and
sig_enable_dbcntr;
-- Check to see if a max burst len of databeats have been
-- loaded into the FIFO
sig_dbc_max <= '1'
when (sig_burst_dbeat_cntr = MAX_BURST_DBEATS)
Else '0';
-- Start the counter over at a max burst len boundary or at
-- the end of the packet.
sig_clr_dbeat_cntr <= '1'
when (sig_dbc_max = '1' and
sig_good_strm_dbeat = '1' and
sig_enable_dbcntr = '1') or
(sig_good_tlast_dbeat = '1' and
sig_enable_dbcntr = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DBC_CMTR
--
-- Process Description:
-- The Databeat Counter keeps track of how many databeats have
-- been loaded into the Data FIFO. When a max burst worth of
-- databeats have been loaded (or a TLAST encountered), the
-- XD FIFO can be loaded with a transfer data set to be sent
-- to the IBTTCC.
--
-------------------------------------------------------------
IMP_DBC_CMTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_dbeat_cntr = '1') then
sig_burst_dbeat_cntr <= (others => '0');
elsif (sig_incr_dbeat_cntr = '1') then
sig_burst_dbeat_cntr <= sig_burst_dbeat_cntr + DBC_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_DBC_CMTR;
----- Byte Counter Logic -----------------------------------------------
sig_clr_byte_cntr <= sig_clr_dbc_reg and
not(sig_good_strm_dbeat);
sig_ld_byte_cntr <= sig_clr_dbc_reg and
sig_good_strm_dbeat;
sig_incr_byte_cntr <= sig_good_strm_dbeat;
sig_byte_cntr_incr_value <= RESIZE(UNSIGNED(sig_stbs2sfcc_asserted), BYTE_CNTR_WIDTH);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BYTE_CMTR
--
-- Process Description:
-- Keeps a running byte count per burst packet loaded into the
-- xfer FIFO. It is based on the strobes set on the incoming
-- Stream dbeat.
--
-------------------------------------------------------------
IMP_BYTE_CMTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_byte_cntr = '1') then
sig_byte_cntr <= (others => '0');
elsif (sig_ld_byte_cntr = '1') then
sig_byte_cntr <= sig_byte_cntr_incr_value;
elsif (sig_incr_byte_cntr = '1') then
sig_byte_cntr <= sig_byte_cntr + sig_byte_cntr_incr_value;
else
null; -- hold current value
end if;
end if;
end process IMP_BYTE_CMTR;
------------------------------------------------------------
-- Instance: I_IBTTCC_STBS_SET
--
-- Description:
-- Instance of the asserted strobe counter for the IBTTCC
-- interface.
--
------------------------------------------------------------
SAME_WIDTH_NO_DRE : if (C_ENABLE_DRE = 0 and (C_STREAM_DWIDTH = C_MMAP_DWIDTH)) generate
begin
I_IBTTCC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set_nodre
generic map (
C_STROBE_WIDTH => STRM_WSTB_WIDTH
)
port map (
tstrb_in => dre2ibtt_tstrb,
num_stbs_asserted => sig_stbs2sfcc_asserted -- 8 bit wide slv
);
end generate SAME_WIDTH_NO_DRE;
DIFF_WIDTH_OR_DRE : if (C_ENABLE_DRE /= 0 or (C_STREAM_DWIDTH /= C_MMAP_DWIDTH)) generate
begin
I_IBTTCC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set
generic map (
C_STROBE_WIDTH => STRM_WSTB_WIDTH
)
port map (
tstrb_in => dre2ibtt_tstrb,
num_stbs_asserted => sig_stbs2sfcc_asserted -- 8 bit wide slv
);
end generate DIFF_WIDTH_OR_DRE;
----- Xfer Descriptor FIFO Logic -----------------------------------------------
sig_push_xd_fifo <= sig_clr_dbc_reg ;
sig_pop_xd_fifo <= sig_pcc2ibtt_xfer_ready and
sig_xd_fifo_dvalid ;
sig_xd_fifo_data_in <= sig_dre2ibtt_eop_reg & -- (TLAST for the input Stream)
sig_dre2ibtt_tlast_reg & -- (TLAST for the IBTTCC command)
std_logic_vector(sig_byte_cntr); -- Number of bytes in this xfer
------------------------------------------------------------
-- Instance: I_XD_FIFO
--
-- Description:
-- Implement the Transfer Desciptor (XD) FIFO. This FIFO holds
-- the individual child command xfer descriptors used by the
-- IBTTCC to generate the commands sent to the Address Cntlr and
-- the Data Cntlr.
--
------------------------------------------------------------
I_XD_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => XD_FIFO_WIDTH ,
C_DEPTH => XD_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => XD_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => 0 ,
C_NEED_ALMOST_FULL => 1 ,
C_USE_BLKMEM => 0 ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => mmap_reset ,
SFIFO_Clk => primary_aclk ,
SFIFO_Wr_en => sig_push_xd_fifo ,
SFIFO_Din => sig_xd_fifo_data_in ,
SFIFO_Rd_en => sig_pop_xd_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_xd_fifo_dvalid ,
SFIFO_Dout => sig_xd_fifo_data_out ,
SFIFO_Full => sig_xd_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => sig_tmp ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => open ,
SFIFO_Rd_ack => open
);
----------------------------------------------------------------
-- Packing Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_PACKING
--
-- If Generate Description:
-- Omits any packing logic in the Store and Forward module.
-- The Stream and MMap data widths are the same.
--
------------------------------------------------------------
OMIT_PACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
begin
-- The data beat counter is always enabled when the packer
-- is omitted.
sig_enable_dbcntr <= '1';
sig_good_fifo_write <= sig_good_strm_dbeat;
sig_strm_in_ready <= not(sig_xd_fifo_full) and
not(sig_data_fifo_full) and
not (sig_tmp);
GEN_S2MM_TKEEP_ENABLE5 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data
-- word input value
sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
dre2ibtt_tlast & -- Tlast marker
dre2ibtt_tstrb & -- TSTRB Value
dre2ibtt_tdata; -- data value
end generate GEN_S2MM_TKEEP_ENABLE5;
GEN_S2MM_TKEEP_DISABLE5 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data
-- word input value
sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
dre2ibtt_tlast & -- Tlast marker
--dre2ibtt_tstrb & -- TSTRB Value
dre2ibtt_tdata; -- data value
end generate GEN_S2MM_TKEEP_DISABLE5;
end generate OMIT_PACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_PACKING
--
-- If Generate Description:
-- Includes packing logic in the IBTT Store and Forward
-- module. The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_PACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant TLAST_WIDTH : integer := 1; -- bit
Constant EOP_WIDTH : integer := 1; -- bit
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant STRB_SLICE_WIDTH : integer := STRM_WSTB_WIDTH;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH +
EOP_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_strb_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(STRB_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_data_slice_reg : lsig_data_slice_type;
signal lsig_strb_slice_reg : lsig_strb_slice_type;
signal lsig_flag_slice_reg : lsig_flag_slice_type;
signal lsig_reg_segment : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) := (others => '0');
signal lsig_segment_ld : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_segment_clr : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_0ffset_to_to_use : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_combined_data : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal lsig_combined_strb : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal lsig_tlast_or : std_logic := '0';
signal lsig_eop_or : std_logic := '0';
signal lsig_partial_tlast_or : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_partial_eop_or : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_packer_full : std_logic := '0';
signal lsig_packer_empty : std_logic := '0';
signal lsig_set_packer_full : std_logic := '0';
signal lsig_good_push2fifo : std_logic := '0';
signal lsig_first_dbeat : std_logic := '0';
begin
-- Generate the stream ready
sig_strm_in_ready <= not(sig_xd_fifo_full) and
not(sig_tmp) and
(not(lsig_packer_full) or
lsig_good_push2fifo) ;
-- Enable the Data Beat counter when the packer is
-- going full
sig_enable_dbcntr <= lsig_set_packer_full;
-- Assign the flag indicating that a fifo write is going
-- to occur at the next rising clock edge.
sig_good_fifo_write <= lsig_good_push2fifo;
GEN_S2MM_TKEEP_ENABLE6 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Format the composite FIFO input data word
sig_data_fifo_data_in <= lsig_eop_or & -- MS Bit
lsig_tlast_or &
lsig_combined_strb &
lsig_combined_data ; -- LS Bits
end generate GEN_S2MM_TKEEP_ENABLE6;
GEN_S2MM_TKEEP_DISABLE6 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Format the composite FIFO input data word
sig_data_fifo_data_in <= lsig_eop_or & -- MS Bit
lsig_tlast_or &
--lsig_combined_strb &
lsig_combined_data ; -- LS Bits
end generate GEN_S2MM_TKEEP_DISABLE6;
-- Generate a flag indicating a write to the DataFIFO
-- is going to complete
lsig_good_push2fifo <= lsig_packer_full and
not(sig_data_fifo_full);
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= lsig_first_dbeat and
sig_good_strm_dbeat;
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_strm_dbeat;
-- Generate a flag indicating the packer input register
-- array is full or has loaded the last data beat of
-- the input paket
lsig_set_packer_full <= sig_good_strm_dbeat and
(dre2ibtt_tlast or
lsig_offset_cntr_eq_max);
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
--when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
when (lsig_0ffset_to_to_use = OFFSET_CNT_MAX)
Else '0';
-- Mux between the input start offset and the offset counter
-- output to use for the packer slice load control.
lsig_0ffset_to_to_use <= UNSIGNED(dre2ibtt_strt_addr_offset)
when (lsig_first_dbeat = '1')
Else lsig_0ffset_cntr;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_LD_MARKER
--
-- Process Description:
-- Implements the flop indicating the first databeat of
-- an input data packet.
--
-------------------------------------------------------------
IMP_OFFSET_LD_MARKER : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_first_dbeat <= '1';
elsif (sig_good_strm_dbeat = '1' and
dre2ibtt_tlast = '0') then
lsig_first_dbeat <= '0';
Elsif (sig_good_strm_dbeat = '1' and
dre2ibtt_tlast = '1') Then
lsig_first_dbeat <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_LD_MARKER;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- steer the data loads into the packer register slices.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(dre2ibtt_strt_addr_offset) + OFFSET_CNT_ONE;
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_PACK_REG_FULL
--
-- Process Description:
-- Implements the Packer Register full/empty flags
--
-------------------------------------------------------------
IMP_PACK_REG_FULL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
Elsif (lsig_set_packer_full = '1' and
lsig_packer_full = '0') Then
lsig_packer_full <= '1';
lsig_packer_empty <= '0';
elsif (lsig_set_packer_full = '0' and
lsig_good_push2fifo = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_PACK_REG_FULL;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_REG_SLICES
--
-- For Generate Description:
--
-- Implements the Packng Register Slices
--
--
------------------------------------------------------------
DO_REG_SLICES : for slice_index in 0 to MMAP2STRM_WIDTH_RATO-1 generate
begin
-- generate the register load enable for each slice segment based
-- on the address offset count value
lsig_segment_ld(slice_index) <= '1'
when (sig_good_strm_dbeat = '1' and
TO_INTEGER(lsig_0ffset_to_to_use) = slice_index)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DATA_SLICE
--
-- Process Description:
-- Implement a data register slice abd Strobe register slice
-- for the packer (upsizer).
--
-------------------------------------------------------------
IMP_DATA_SLICE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
lsig_strb_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_data_slice_reg(slice_index) <= dre2ibtt_tdata;
lsig_strb_slice_reg(slice_index) <= dre2ibtt_tstrb;
-- optional clear of slice reg
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
lsig_strb_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_DATA_SLICE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FLAG_SLICE
--
-- Process Description:
-- Implement a flag register slice for the packer.
--
-------------------------------------------------------------
IMP_FLAG_SLICE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_flag_slice_reg(slice_index) <= dre2ibtt_tlast & -- bit 1
dre2ibtt_eop; -- bit 0
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_FLAG_SLICE;
end generate DO_REG_SLICES;
-- Do the OR functions of the Flags -------------------------------------
lsig_tlast_or <= lsig_partial_tlast_or(MMAP2STRM_WIDTH_RATO-1) ;
lsig_eop_or <= lsig_partial_eop_or(MMAP2STRM_WIDTH_RATO-1);
lsig_partial_tlast_or(0) <= lsig_flag_slice_reg(0)(1);
lsig_partial_eop_or(0) <= lsig_flag_slice_reg(0)(0);
------------------------------------------------------------
-- For Generate
--
-- Label: DO_FLAG_OR
--
-- For Generate Description:
-- Implement the OR of the TLAST and EOP Error flags.
--
--
--
------------------------------------------------------------
DO_FLAG_OR : for slice_index in 1 to MMAP2STRM_WIDTH_RATO-1 generate
begin
lsig_partial_tlast_or(slice_index) <= lsig_partial_tlast_or(slice_index-1) or
--lsig_partial_tlast_or(slice_index);
lsig_flag_slice_reg(slice_index)(1);
lsig_partial_eop_or(slice_index) <= lsig_partial_eop_or(slice_index-1) or
--lsig_partial_eop_or(slice_index);
lsig_flag_slice_reg(slice_index)(0);
end generate DO_FLAG_OR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_COMBINER
--
-- For Generate Description:
-- Combines the Data Slice register and Strobe slice register
-- outputs into a single data and single strobe vector used for
-- input data to the Data FIFO.
--
--
------------------------------------------------------------
DO_DATA_COMBINER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_combined_data((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH) <=
lsig_data_slice_reg(slice_index-1);
lsig_combined_strb((slice_index*STRB_SLICE_WIDTH)-1 downto
(slice_index-1)*STRB_SLICE_WIDTH) <=
lsig_strb_slice_reg(slice_index-1);
end generate DO_DATA_COMBINER;
end generate INCLUDE_PACKING;
-- Data FIFO Logic ------------------------------------------
--sig_push_data_fifo <= sig_good_strm_dbeat;
sig_push_data_fifo <= sig_good_fifo_write;
sig_pop_data_fifo <= sig_skidbuf_in_tready and
sig_data_fifo_dvalid;
-- -- Concatonate the Stream inputs into the single FIFO data in value
-- sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
-- dre2ibtt_tlast &
-- dre2ibtt_tstrb &
-- dre2ibtt_tdata;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => 0 ,
C_NEED_ALMOST_FULL => 0 ,
C_USE_BLKMEM => 1 ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => mmap_reset ,
SFIFO_Clk => primary_aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => sig_data_fifo_rd_cnt ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
-------------------------------------------------------------------------
---------------- Asserted TSTRB calculation logic ---------------------
-------------------------------------------------------------------------
GEN_S2MM_TKEEP_ENABLE7 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Rip the write strobe value from the FIFO output data
sig_fifo_tstrb_out <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-3 downto
C_MMAP_DWIDTH);
end generate GEN_S2MM_TKEEP_ENABLE7;
GEN_S2MM_TKEEP_DISBALE7 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_fifo_tstrb_out <= (others => '1');
end generate GEN_S2MM_TKEEP_DISBALE7;
------------------------------------------------------------
-- Instance: I_WDC_STBS_SET
--
-- Description:
-- Instance of the asserted strobe counter for the WDC
-- interface.
--
------------------------------------------------------------
SAME_WIDTH_NO_DRE_WDC : if (C_ENABLE_DRE = 0 and (C_STREAM_DWIDTH = C_MMAP_DWIDTH)) generate
begin
I_WDC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set_nodre
generic map (
C_STROBE_WIDTH => MMAP_WSTB_WIDTH
)
port map (
tstrb_in => sig_fifo_tstrb_out,
num_stbs_asserted => sig_stbs2wdc_asserted
);
end generate SAME_WIDTH_NO_DRE_WDC;
DIFF_WIDTH_OR_DRE_WDC : if (C_ENABLE_DRE /= 0 or (C_STREAM_DWIDTH /= C_MMAP_DWIDTH)) generate
begin
I_WDC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set
generic map (
C_STROBE_WIDTH => MMAP_WSTB_WIDTH
)
port map (
tstrb_in => sig_fifo_tstrb_out,
num_stbs_asserted => sig_stbs2wdc_asserted
);
end generate DIFF_WIDTH_OR_DRE_WDC;
-------------------------------------------------------------------------
------- Isolation Skid Buffer Logic (needed for Fmax timing) -----------
-------------------------------------------------------------------------
-- Skid Buffer output assignments -----------
sig_skidbuf_out_tready <= sig_wdc2ibtt_tready;
sig_ibtt2wdc_tvalid <= sig_skidbuf_out_tvalid;
sig_ibtt2wdc_tdata <= sig_skidbuf_out_tdata(C_MMAP_DWIDTH-1 downto 0) ;
sig_ibtt2wdc_tstrb <= sig_skidbuf_out_tstrb(MMAP_WSTB_WIDTH-1 downto 0) ;
sig_ibtt2wdc_tlast <= sig_skidbuf_out_tlast ;
-- Rip the EOP marker from the MS bit of the skid output strobes
sig_ibtt2wdc_eop <= sig_skidbuf_out_tstrb(MMAP_WSTB_WIDTH) ;
-- Rip the upper 8 bits of the skid output data for the strobes asserted value
sig_ibtt2wdc_stbs_asserted <= sig_skidbuf_out_tdata(SKIDBUF2WDC_DWIDTH-1 downto
C_MMAP_DWIDTH);
-- Skid Buffer input assignments -----------
sig_skidbuf_in_tvalid <= sig_data_fifo_dvalid;
sig_skidbuf_in_eop <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-1);
sig_skidbuf_in_tlast <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-2);
-- Steal the extra input strobe bit and use it for the EOP marker
---- sig_skidbuf_in_tstrb <= sig_skidbuf_in_eop &
---- sig_data_fifo_data_out(DATA_FIFO_WIDTH-3 downto
---- C_MMAP_DWIDTH);
----
sig_skidbuf_in_tstrb <= sig_skidbuf_in_eop &
sig_fifo_tstrb_out;
-- Insert the Strobes Asserted count in the extra (MS) data byte
-- for the skid buffer
sig_skidbuf_in_tdata <= sig_stbs2wdc_asserted &
sig_data_fifo_data_out(C_MMAP_DWIDTH-1 downto 0);
ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(2) = '1' generate
begin
------------------------------------------------------------
-- Instance: I_INDET_BTT_SKID_BUF
--
-- Description:
-- Instance for the Store and Forward isolation Skid Buffer
-- which is required to achieve Fmax timing. Note that this
-- skid buffer is 1 byte wider than the stream data width to
-- allow for the asserted strobes count to be passed through
-- it. The EOP marker is inserted in the extra strobe slot.
--
------------------------------------------------------------
I_INDET_BTT_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf
generic map (
C_WDATA_WIDTH => SKIDBUF2WDC_DWIDTH
)
port map (
-- System Ports
aclk => primary_aclk ,
arst => mmap_reset ,
-- Shutdown control (assert for 1 clk pulse)
skid_stop => LOGIC_LOW ,
-- Slave Side (Stream Data Input)
s_valid => sig_skidbuf_in_tvalid ,
s_ready => sig_skidbuf_in_tready ,
s_data => sig_skidbuf_in_tdata ,
s_strb => sig_skidbuf_in_tstrb ,
s_last => sig_skidbuf_in_tlast ,
-- Master Side (Stream Data Output
m_valid => sig_skidbuf_out_tvalid ,
m_ready => sig_skidbuf_out_tready ,
m_data => sig_skidbuf_out_tdata ,
m_strb => sig_skidbuf_out_tstrb ,
m_last => sig_skidbuf_out_tlast
);
end generate ENABLE_AXIS_SKID;
DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(2) = '0' generate
begin
sig_skidbuf_out_tvalid <= sig_skidbuf_in_tvalid;
sig_skidbuf_in_tready <= sig_skidbuf_out_tready ;
sig_skidbuf_out_tdata <= sig_skidbuf_in_tdata ;
sig_skidbuf_out_tstrb <= sig_skidbuf_in_tstrb ;
sig_skidbuf_out_tlast <= sig_skidbuf_in_tlast ;
end generate DISABLE_AXIS_SKID;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_ftch_mngr.vhd
|
4
|
25954
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_mngr.vhd
-- Description: This entity manages fetching of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --
ch1_updt_done : in std_logic ; --
ch1_ftch_idle : out std_logic ; --
ch1_ftch_active : out std_logic ; --
ch1_ftch_interr_set : out std_logic ; --
ch1_ftch_slverr_set : out std_logic ; --
ch1_ftch_decerr_set : out std_logic ; --
ch1_ftch_err_early : out std_logic ; --
ch1_ftch_stale_desc : out std_logic ; --
ch1_tailpntr_enabled : in std_logic ; --
ch1_taildesc_wren : in std_logic ; --
ch1_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_nxtdesc_wren : in std_logic ; --
ch1_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_ftch_queue_empty : in std_logic ; --
ch1_ftch_queue_full : in std_logic ; --
ch1_ftch_pause : in std_logic ; --
--
-- Channel 2 Control and Status --
ch2_run_stop : in std_logic ; --
ch2_updt_done : in std_logic ; --
ch2_desc_flush : in std_logic ; --
ch2_ftch_idle : out std_logic ; --
ch2_ftch_active : out std_logic ; --
ch2_ftch_interr_set : out std_logic ; --
ch2_ftch_slverr_set : out std_logic ; --
ch2_ftch_decerr_set : out std_logic ; --
ch2_ftch_err_early : out std_logic ; --
ch2_ftch_stale_desc : out std_logic ; --
ch2_tailpntr_enabled : in std_logic ; --
ch2_taildesc_wren : in std_logic ; --
ch2_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_nxtdesc_wren : in std_logic ; --
ch2_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_ftch_queue_empty : in std_logic ; --
ch2_ftch_queue_full : in std_logic ; --
ch2_ftch_pause : in std_logic ; --
ch2_eof_detected : in std_logic ;
tail_updt : in std_logic ;
tail_updt_latch : out std_logic ;
ch2_sg_idle : out std_logic ;
--
nxtdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- Read response for detecting slverr, decerr early --
m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; --
m_axi_sg_rvalid : in std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_ftch_cmd_tvalid : out std_logic ; --
s_axis_ftch_cmd_tready : in std_logic ; --
s_axis_ftch_cmd_tdata : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_ftch_sts_tvalid : in std_logic ; --
m_axis_ftch_sts_tready : out std_logic ; --
m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; --
mm2s_err : in std_logic ; --
--
--
ftch_cmnd_wr : out std_logic ; --
ftch_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
ftch_stale_desc : in std_logic ; --
updt_error : in std_logic ; --
ftch_error : out std_logic ; --
ftch_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
bd_eq : out std_logic
);
end axi_sg_ftch_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ftch_cmnd_wr_i : std_logic := '0';
signal ftch_cmnd_data_i : std_logic_vector
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0)
:= (others => '0');
signal ch1_sg_idle : std_logic := '0';
signal ch1_fetch_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ch2_sg_idle_int : std_logic := '0';
signal ch2_fetch_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ftch_done : std_logic := '0';
signal ftch_error_i : std_logic := '0';
signal ftch_interr : std_logic := '0';
signal ftch_slverr : std_logic := '0';
signal ftch_decerr : std_logic := '0';
signal ftch_error_early : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ftch_cmnd_wr <= ftch_cmnd_wr_i;
ftch_cmnd_data <= ftch_cmnd_data_i;
ftch_error <= ftch_error_i;
ch2_sg_idle <= ch2_sg_idle_int;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
I_FTCH_SG : entity axi_sg_v4_1.axi_sg_ftch_sm
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE ,
C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR ,
C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
updt_error => updt_error ,
-- Channel 1 Control and Status
ch1_run_stop => ch1_run_stop ,
ch1_updt_done => ch1_updt_done ,
ch1_desc_flush => ch1_desc_flush ,
ch1_sg_idle => ch1_sg_idle ,
ch1_tailpntr_enabled => ch1_tailpntr_enabled ,
ch1_ftch_queue_empty => ch1_ftch_queue_empty ,
ch1_ftch_queue_full => ch1_ftch_queue_full ,
ch1_fetch_address => ch1_fetch_address ,
ch1_ftch_active => ch1_ftch_active ,
ch1_ftch_idle => ch1_ftch_idle ,
ch1_ftch_interr_set => ch1_ftch_interr_set ,
ch1_ftch_slverr_set => ch1_ftch_slverr_set ,
ch1_ftch_decerr_set => ch1_ftch_decerr_set ,
ch1_ftch_err_early => ch1_ftch_err_early ,
ch1_ftch_stale_desc => ch1_ftch_stale_desc ,
ch1_ftch_pause => ch1_ftch_pause ,
-- Channel 2 Control and Status
ch2_run_stop => ch2_run_stop ,
ch2_updt_done => ch2_updt_done ,
ch2_desc_flush => ch2_desc_flush ,
ch2_sg_idle => ch2_sg_idle_int ,
ch2_tailpntr_enabled => ch2_tailpntr_enabled ,
ch2_ftch_queue_empty => ch2_ftch_queue_empty ,
ch2_ftch_queue_full => ch2_ftch_queue_full ,
ch2_fetch_address => ch2_fetch_address ,
ch2_ftch_active => ch2_ftch_active ,
ch2_ftch_idle => ch2_ftch_idle ,
ch2_ftch_interr_set => ch2_ftch_interr_set ,
ch2_ftch_slverr_set => ch2_ftch_slverr_set ,
ch2_ftch_decerr_set => ch2_ftch_decerr_set ,
ch2_ftch_err_early => ch2_ftch_err_early ,
ch2_ftch_stale_desc => ch2_ftch_stale_desc ,
ch2_ftch_pause => ch2_ftch_pause ,
-- Transfer Request
ftch_cmnd_wr => ftch_cmnd_wr_i ,
ftch_cmnd_data => ftch_cmnd_data_i ,
-- Transfer Status
ftch_done => ftch_done ,
ftch_error => ftch_error_i ,
ftch_interr => ftch_interr ,
ftch_slverr => ftch_slverr ,
ftch_decerr => ftch_decerr ,
ftch_stale_desc => ftch_stale_desc ,
ftch_error_addr => ftch_error_addr ,
ftch_error_early => ftch_error_early
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Pointer Manager
-------------------------------------------------------------------------------
I_FTCH_PNTR_MNGR : entity axi_sg_v4_1.axi_sg_ftch_pntr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
nxtdesc => nxtdesc ,
-------------------------------
-- CHANNEL 1
-------------------------------
ch1_run_stop => ch1_run_stop ,
ch1_desc_flush => ch1_desc_flush ,--CR568950
-- CURDESC update on run/stop assertion (from ftch_sm)
ch1_curdesc => ch1_curdesc ,
-- TAILDESC update on CPU write (from axi_dma_reg_module)
ch1_tailpntr_enabled => ch1_tailpntr_enabled ,
ch1_taildesc_wren => ch1_taildesc_wren ,
ch1_taildesc => ch1_taildesc ,
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if)
ch1_nxtdesc_wren => ch1_nxtdesc_wren ,
-- Current address of descriptor to fetch
ch1_fetch_address => ch1_fetch_address ,
ch1_sg_idle => ch1_sg_idle ,
-------------------------------
-- CHANNEL 2
-------------------------------
ch2_run_stop => ch2_run_stop ,
ch2_desc_flush => ch2_desc_flush ,--CR568950
ch2_eof_detected => ch2_eof_detected ,
-- CURDESC update on run/stop assertion (from ftch_sm)
ch2_curdesc => ch2_curdesc ,
-- TAILDESC update on CPU write (from axi_dma_reg_module)
ch2_tailpntr_enabled => ch2_tailpntr_enabled ,
ch2_taildesc_wren => ch2_taildesc_wren ,
ch2_taildesc => ch2_taildesc ,
tail_updt_latch => tail_updt_latch ,
tail_updt => tail_updt ,
ch2_updt_done => ch2_updt_done ,
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if)
ch2_nxtdesc_wren => ch2_nxtdesc_wren ,
-- Current address of descriptor to fetch
ch2_fetch_address => ch2_fetch_address ,
ch2_sg_idle => ch2_sg_idle_int ,
bd_eq => bd_eq
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Command / Status Interface
-------------------------------------------------------------------------------
I_FTCH_CMDSTS_IF : entity axi_sg_v4_1.axi_sg_ftch_cmdsts_if
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Fetch command write interface from fetch sm
ftch_cmnd_wr => ftch_cmnd_wr_i ,
ftch_cmnd_data => ftch_cmnd_data_i ,
-- Read response for detecting slverr, decerr early
m_axi_sg_rresp => m_axi_sg_rresp ,
m_axi_sg_rvalid => m_axi_sg_rvalid ,
-- User Command Interface Ports (AXI Stream)
s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid ,
s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready ,
s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid ,
m_axis_ftch_sts_tready => m_axis_ftch_sts_tready ,
m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata ,
m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep ,
-- Scatter Gather Fetch Status
mm2s_err => mm2s_err ,
ftch_done => ftch_done ,
ftch_error => ftch_error_i ,
ftch_interr => ftch_interr ,
ftch_slverr => ftch_slverr ,
ftch_decerr => ftch_decerr ,
ftch_error_early => ftch_error_early
);
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/f4229bb6/hdl/src/vhdl/axi_datamover_s2mm_omit_wrap.vhd
|
18
|
17501
|
-------------------------------------------------------------------------------
-- axi_datamover_s2mm_omit_wrap.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_s2mm_omit_wrap.vhd
--
-- Description:
-- This file implements the DataMover MM2S Omit Wrapper.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_s2mm_omit_wrap is
generic (
C_INCLUDE_S2MM : Integer range 0 to 2 := 0;
-- Specifies the type of S2MM function to include
-- 0 = Omit S2MM functionality
-- 1 = Full S2MM Functionality
-- 2 = Lite S2MM functionality
C_S2MM_AWID : Integer range 0 to 255 := 9;
-- Specifies the constant value to output on
-- the ARID output port
C_S2MM_ID_WIDTH : Integer range 1 to 8 := 4;
-- Specifies the width of the S2MM ID port
C_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Specifies the width of the MMap Read Address Channel
-- Address bus
C_S2MM_MDATA_WIDTH : Integer range 32 to 1024 := 32;
-- Specifies the width of the MMap Read Data Channel
-- data bus
C_S2MM_SDATA_WIDTH : Integer range 8 to 1024 := 32;
-- Specifies the width of the S2MM Master Stream Data
-- Channel data bus
C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 0;
-- Specifies if a Status FIFO is to be implemented
-- 0 = Omit S2MM Status FIFO
-- 1 = Include S2MM Status FIFO
C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4;
-- Specifies the depth of the S2MM Command FIFO and the
-- optional Status FIFO
-- Valid values are 1,4,8,16
C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0;
-- Specifies if the Status and Command interfaces need to
-- be asynchronous to the primary data path clocking
-- 0 = Use same clocking as data path
-- 1 = Use special Status/Command clock for the interfaces
C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 0;
-- Specifies if DRE is to be included in the S2MM function
-- 0 = Omit DRE
-- 1 = Include DRE
C_S2MM_BURST_SIZE : Integer range 2 to 256 := 16;
-- Specifies the max number of databeats to use for MMap
-- burst transfers by the S2MM function
C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0;
-- Specifies if Store and Forward is enabled
C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1;
-- This parameter specifies the depth of the S2MM internal
-- address pipeline queues in the Write Address Controller
-- and the Write Data Controller. Increasing this value will
-- allow more Write Addresses to be issued to the AXI4 Write
-- Address Channel before transmission of the associated
-- write data on the Write Data Channel.
C_TAG_WIDTH : Integer range 1 to 8 := 4 ;
-- Width of the TAG field
C_ENABLE_CACHE_USER : Integer range 0 to 1 := 0;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family type
);
port (
-- S2MM Primary Clock and reset inputs -----------------------
s2mm_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- S2MM Primary Reset input --
s2mm_aresetn : in std_logic; --
-- Reset used for the internal master logic --
--------------------------------------------------------------
-- S2MM Halt request input control ---------------------------
s2mm_halt : in std_logic; --
-- Active high soft shutdown request --
--
-- S2MM Halt Complete status flag --
s2mm_halt_cmplt : out std_logic; --
-- Active high soft shutdown complete status --
--------------------------------------------------------------
-- S2MM Error discrete output --------------------------------
s2mm_err : Out std_logic; --
-- Composite Error indication --
--------------------------------------------------------------
-- Optional S2MM Command/Status Clock and Reset Inputs -------
-- Only used if C_S2MM_STSCMD_IS_ASYNC = 1 --
s2mm_cmdsts_awclk : in std_logic; --
-- Secondary Clock input for async CMD/Status interface --
--
s2mm_cmdsts_aresetn : in std_logic; --
-- Secondary Reset input for async CMD/Status interface --
--------------------------------------------------------------
-- User Command Interface Ports (AXI Stream) -----------------------------------------------------
s2mm_cmd_wvalid : in std_logic; --
s2mm_cmd_wready : out std_logic; --
s2mm_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(8*C_ENABLE_CACHE_USER)+C_S2MM_ADDR_WIDTH+36)-1 downto 0); --
--------------------------------------------------------------------------------------------------
-- User Status Interface Ports (AXI Stream) --------------------------------------------------------
s2mm_sts_wvalid : out std_logic; --
s2mm_sts_wready : in std_logic; --
s2mm_sts_wdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); --
s2mm_sts_wstrb : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); --
s2mm_sts_wlast : out std_logic; --
----------------------------------------------------------------------------------------------------
-- Address posting controls -----------------------------------------
s2mm_allow_addr_req : in std_logic; --
s2mm_addr_req_posted : out std_logic; --
s2mm_wr_xfer_cmplt : out std_logic; --
s2mm_ld_nxt_len : out std_logic; --
s2mm_wr_len : out std_logic_vector(7 downto 0); --
---------------------------------------------------------------------
-- S2MM AXI Address Channel I/O --------------------------------------
s2mm_awid : out std_logic_vector(C_S2MM_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
s2mm_awaddr : out std_logic_vector(C_S2MM_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
s2mm_awlen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
s2mm_awsize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
s2mm_awburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
s2mm_awprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
s2mm_awcache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel PROT output --
s2mm_awuser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel PROT output --
--
s2mm_awvalid : out std_logic; --
-- AXI Address Channel VALID output --
--
s2mm_awready : in std_logic; --
-- AXI Address Channel READY input --
-----------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -----------
-- s2mm__awlock : out std_logic_vector(2 downto 0); --
-- s2mm__awcache : out std_logic_vector(4 downto 0); --
-- s2mm__awqos : out std_logic_vector(3 downto 0); --
-- s2mm__awregion : out std_logic_vector(3 downto 0); --
-----------------------------------------------------------------------
-- S2MM AXI MMap Write Data Channel I/O ----------------------------------------------
s2mm_wdata : Out std_logic_vector(C_S2MM_MDATA_WIDTH-1 downto 0); --
s2mm_wstrb : Out std_logic_vector((C_S2MM_MDATA_WIDTH/8)-1 downto 0); --
s2mm_wlast : Out std_logic; --
s2mm_wvalid : Out std_logic; --
s2mm_wready : In std_logic; --
---------------------------------------------------------------------------------------
-- S2MM AXI MMap Write response Channel I/O ------------------------------------------
s2mm_bresp : In std_logic_vector(1 downto 0); --
s2mm_bvalid : In std_logic; --
s2mm_bready : Out std_logic; --
---------------------------------------------------------------------------------------
-- S2MM AXI Master Stream Channel I/O ------------------------------------------------
s2mm_strm_wdata : In std_logic_vector(C_S2MM_SDATA_WIDTH-1 downto 0); --
s2mm_strm_wstrb : In std_logic_vector((C_S2MM_SDATA_WIDTH/8)-1 downto 0); --
s2mm_strm_wlast : In std_logic; --
s2mm_strm_wvalid : In std_logic; --
s2mm_strm_wready : Out std_logic; --
---------------------------------------------------------------------------------------
-- Testing Support I/O -----------------------------------------
s2mm_dbg_sel : in std_logic_vector( 3 downto 0); --
s2mm_dbg_data : out std_logic_vector(31 downto 0) --
----------------------------------------------------------------
);
end entity axi_datamover_s2mm_omit_wrap;
architecture implementation of axi_datamover_s2mm_omit_wrap is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
begin --(architecture implementation)
-- Just tie off output ports
s2mm_dbg_data <= X"CAFE0000" ; -- 32 bit Constant indicating S2MM OMIT type
s2mm_addr_req_posted <= '0' ;
s2mm_wr_xfer_cmplt <= '0' ;
s2mm_ld_nxt_len <= '0' ;
s2mm_wr_len <= (others => '0');
s2mm_halt_cmplt <= s2mm_halt ;
s2mm_err <= '0' ;
s2mm_cmd_wready <= '0' ;
s2mm_sts_wvalid <= '0' ;
s2mm_sts_wdata <= (others => '0');
s2mm_sts_wstrb <= (others => '0');
s2mm_sts_wlast <= '0' ;
s2mm_awid <= (others => '0');
s2mm_awaddr <= (others => '0');
s2mm_awlen <= (others => '0');
s2mm_awsize <= (others => '0');
s2mm_awburst <= (others => '0');
s2mm_awprot <= (others => '0');
s2mm_awcache <= (others => '0');
s2mm_awuser <= (others => '0');
s2mm_awvalid <= '0' ;
s2mm_wdata <= (others => '0');
s2mm_wstrb <= (others => '0');
s2mm_wlast <= '0' ;
s2mm_wvalid <= '0' ;
s2mm_bready <= '0' ;
s2mm_strm_wready <= '0' ;
-- Input ports are ignored
end implementation;
|
mit
|
hhuang25/uwaterloo_ece224
|
Lab1Good/sdram_pll.vhd
|
4
|
16139
|
-- megafunction wizard: %ALTPLL%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altpll
-- ============================================================
-- File Name: sdram_pll.vhd
-- Megafunction Name(s):
-- altpll
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 10.1 Build 197 01/19/2011 SP 1 SJ Full Version
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY sdram_pll IS
PORT
(
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC ;
c1 : OUT STD_LOGIC
);
END sdram_pll;
ARCHITECTURE SYN OF sdram_pll IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC ;
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
clk1_divide_by : NATURAL;
clk1_duty_cycle : NATURAL;
clk1_multiply_by : NATURAL;
clk1_phase_shift : STRING;
compensate_clock : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING
);
PORT (
clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire5_bv(0 DOWNTO 0) <= "0";
sub_wire5 <= To_stdlogicvector(sub_wire5_bv);
sub_wire2 <= sub_wire0(1);
sub_wire1 <= sub_wire0(0);
c0 <= sub_wire1;
c1 <= sub_wire2;
sub_wire3 <= inclk0;
sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3;
altpll_component : altpll
GENERIC MAP (
clk0_divide_by => 1,
clk0_duty_cycle => 50,
clk0_multiply_by => 1,
clk0_phase_shift => "-3000",
clk1_divide_by => 1,
clk1_duty_cycle => 50,
clk1_multiply_by => 1,
clk1_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 20000,
intended_device_family => "Cyclone II",
lpm_hint => "CBX_MODULE_PREFIX=sdram_pll",
lpm_type => "altpll",
operation_mode => "NORMAL",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_UNUSED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_UNUSED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_USED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED"
)
PORT MAP (
inclk => sub_wire4,
clk => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "50.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-3.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ns"
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "sdram_pll.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-3000"
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL sdram_pll_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/f4229bb6/hdl/src/vhdl/axi_datamover_rd_sf.vhd
|
6
|
75578
|
-------------------------------------------------------------------------------
-- axi_datamover_rd_sf.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rd_sf.vhd
--
-- Description:
-- This file implements the AXI DataMover Read (MM2S) Store and Forward module.
-- The design utilizes the AXI DataMover's new address pipelining
-- control function. The design is such that predictive address
-- pipelining can be supported on the AXI Read Bus without over-commiting
-- the internal Data FIFO and potentially throttling the Read Data Channel
-- if the Data FIFO goes full.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.all;
use lib_pkg_v1_0.lib_pkg.clog2;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_sfifo_autord;
use axi_datamover_v5_1.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_rd_sf is
generic (
C_SF_FIFO_DEPTH : Integer range 128 to 8192 := 512;
-- Sets the desired depth of the internal Data FIFO.
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates the max burst length being used by the external
-- AXI4 Master for each AXI4 transfer request.
C_DRE_IS_USED : Integer range 0 to 1 := 0;
-- Indicates if the external Master is utilizing a DRE on
-- the stream input to this module.
C_DRE_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 1;
-- Specifies the depth of the internal dre control queue fifo
C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2;
-- Sets the width of the DRE alignment control ports
C_MMAP_DWIDTH : Integer range 32 to 1024 := 64;
-- Sets the AXI4 Memory Mapped Bus Data Width
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the Stream Data Width for the Input and Output
-- Data streams.
C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input DRE command
C_FAMILY : String := "virtex7"
-- Indicates the target FPGA Family.
);
port (
-- Clock and Reset inputs --------------------------------------------
--
aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
reset : in std_logic; --
-- Reset used for the internal syncronization logic --
----------------------------------------------------------------------
-- DataMover Read Side Address Pipelining Control Interface ----------
--
ok_to_post_rd_addr : Out Std_logic; --
-- Indicates that the transfer token pool has at least --
-- one token available to borrow --
--
rd_addr_posted : In std_logic; --
-- Indication that a read address has been posted to AXI4 --
--
rd_xfer_cmplt : In std_logic; --
-- Indicates that the Datamover has completed a Read Data --
-- transfer on the AXI4 --
----------------------------------------------------------------------
-- Read Side Stream In from DataMover MM2S Read Data Controller ----------------------
--
sf2sin_tready : Out Std_logic; --
-- DRE Stream READY input --
--
sin2sf_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
sin2sf_tdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
sin2sf_tkeep : In std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
sin2sf_tlast : In std_logic; --
-- DRE Xfer LAST input --
--------------------------------------------------------------------------------------
-- RDC Store and Forward Supplimental Controls ---------------------
-- These are time aligned and qualified with the RDC Stream Input --
--
data2sf_cmd_cmplt : In std_logic; --
data2sf_dre_flush : In std_logic; --
--------------------------------------------------------------------
-- DRE Control Interface from the Command Calculator -----------------------------
--
dre2mstr_cmd_ready : Out std_logic ; --
-- Indication from the DRE that the command is being --
-- accepted from the Command Calculator --
--
mstr2dre_cmd_valid : In std_logic; --
-- The next command valid indication to the DRE --
-- from the Command Calculator --
--
mstr2dre_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2dre_dre_src_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2dre_dre_dest_align : In std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
--
-- mstr2dre_btt : In std_logic_vector(C_BTT_USED-1 downto 0); --
-- -- The bytes to transfer value for the input command --
--
mstr2dre_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2dre_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
-- mstr2dre_cmd_cmplt : In std_logic; --
-- -- The last tranfer command of a sequence of transfers --
-- -- spawned from a single parent command --
--
mstr2dre_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2dre_strt_offset : In std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0);--
-- Outputs the starting offset of a transfer. This is used with Store --
-- and Forward Packer/Unpacker logic --
-----------------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
sf2dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
sf2dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
sf2dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
sf2dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
sf2dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- Stream Out -----------------------------------------------------------------------
--
sout2sf_tready : In std_logic; --
-- Write READY input from the Stream Master --
--
sf2sout_tvalid : Out std_logic; --
-- Write VALID output to the Stream Master --
--
sf2sout_tdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tkeep : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- Write DATA output to the Stream Master --
--
sf2sout_tlast : Out std_logic --
-- Write LAST output to the Stream Master --
--------------------------------------------------------------------------------------
);
end entity axi_datamover_rd_sf;
architecture implementation of axi_datamover_rd_sf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions ---------------------------------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_fifo_cnt_width
--
-- Function Description:
-- simple function to set the width of the data fifo read
-- and write count outputs.
-------------------------------------------------------------------
function funct_get_fifo_cnt_width (fifo_depth : integer)
return integer is
Variable temp_width : integer := 8;
begin
if (fifo_depth = 1) then
temp_width := 1;
elsif (fifo_depth = 2) then
temp_width := 2;
elsif (fifo_depth <= 4) then
temp_width := 3;
elsif (fifo_depth <= 8) then
temp_width := 4;
elsif (fifo_depth <= 16) then
temp_width := 5;
elsif (fifo_depth <= 32) then
temp_width := 6;
elsif (fifo_depth <= 64) then
temp_width := 7;
elsif (fifo_depth <= 128) then
temp_width := 8;
elsif (fifo_depth <= 256) then
temp_width := 9;
elsif (fifo_depth <= 512) then
temp_width := 10;
elsif (fifo_depth <= 1024) then
temp_width := 11;
elsif (fifo_depth <= 2048) then
temp_width := 12;
elsif (fifo_depth <= 4096) then
temp_width := 13;
else -- assume 8192 depth
temp_width := 14;
end if;
Return (temp_width);
end function funct_get_fifo_cnt_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_wrcnt_lsrip
--
-- Function Description:
-- Calculates the ls index of the upper slice of the data fifo
-- write count needed to repesent one max burst worth of data
-- present in the fifo.
--
-------------------------------------------------------------------
function funct_get_wrcnt_lsrip (max_burst_dbeats : integer) return integer is
Variable temp_ls_index : Integer := 0;
begin
if (max_burst_dbeats <= 2) then
temp_ls_index := 1;
elsif (max_burst_dbeats <= 4) then
temp_ls_index := 2;
elsif (max_burst_dbeats <= 8) then
temp_ls_index := 3;
elsif (max_burst_dbeats <= 16) then
temp_ls_index := 4;
elsif (max_burst_dbeats <= 32) then
temp_ls_index := 5;
elsif (max_burst_dbeats <= 64) then
temp_ls_index := 6;
elsif (max_burst_dbeats <= 128) then
temp_ls_index := 7;
else
temp_ls_index := 8;
end if;
Return (temp_ls_index);
end function funct_get_wrcnt_lsrip;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_stall_thresh
--
-- Function Description:
-- Calculates the Stall threshold for the input side of the Data
-- FIFO. If DRE is being used by the DataMover, then the threshold
-- must be reduced to account for the potential of an extra write
-- databeat per request (DRE alignment dependent).
--
-------------------------------------------------------------------
function funct_get_stall_thresh (dre_is_used : integer;
max_xfer_length : integer;
data_fifo_depth : integer;
pipeline_delay_clks : integer;
fifo_settling_clks : integer) return integer is
Constant DRE_PIPE_DELAY : integer := 2; -- clks
Variable var_num_max_xfers_allowed : Integer := 0;
Variable var_dre_dbeat_overhead : Integer := 0;
Variable var_delay_fudge_factor : Integer := 0;
Variable var_thresh_headroom : Integer := 0;
Variable var_stall_thresh : Integer := 0;
begin
var_num_max_xfers_allowed := data_fifo_depth/max_xfer_length;
var_dre_dbeat_overhead := var_num_max_xfers_allowed * dre_is_used;
var_delay_fudge_factor := (dre_is_used * DRE_PIPE_DELAY) +
pipeline_delay_clks +
fifo_settling_clks;
var_thresh_headroom := max_xfer_length +
var_dre_dbeat_overhead +
var_delay_fudge_factor;
-- Scale the result to be in max transfer length increments
var_stall_thresh := (data_fifo_depth - var_thresh_headroom)/max_xfer_length;
Return (var_stall_thresh);
end function funct_get_stall_thresh;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_size_drecntl_fifo
--
-- Function Description:
-- Assures that the DRE control fifo depth is at least 4 deep else it
-- is equal to the number of max burst transfers that can fit in the
-- Store and Forward Data FIFO.
--
-------------------------------------------------------------------
function funct_size_drecntl_fifo (sf_fifo_depth : integer;
max_burst_length : integer) return integer is
Constant NEEDED_FIFO_DEPTH : integer := sf_fifo_depth/max_burst_length;
Variable temp_fifo_depth : Integer := 4;
begin
If (NEEDED_FIFO_DEPTH < 4) Then
temp_fifo_depth := 4;
Else
temp_fifo_depth := NEEDED_FIFO_DEPTH;
End if;
Return (temp_fifo_depth);
end function funct_size_drecntl_fifo;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- Detirmine the width needed for the address offset counter used
-- for the data fifo mux selects.
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_count_states : integer) return integer is
Variable lvar_temp_width : Integer := 1;
begin
if (num_count_states <= 2) then
lvar_temp_width := 1;
elsif (num_count_states <= 4) then
lvar_temp_width := 2;
elsif (num_count_states <= 8) then
lvar_temp_width := 3;
elsif (num_count_states <= 16) then
lvar_temp_width := 4;
elsif (num_count_states <= 32) then
lvar_temp_width := 5;
elsif (num_count_states <= 64) then
lvar_temp_width := 6;
Else -- 128 cnt states
lvar_temp_width := 7;
end if;
Return (lvar_temp_width);
end function funct_get_cntr_width;
-- Constants ---------------------------------------------------------------------------
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BLK_MEM_FIFO : integer := 1;
Constant SRL_FIFO : integer := 0;
Constant NOT_NEEDED : integer := 0;
Constant MMAP_TKEEP_WIDTH : integer := C_MMAP_DWIDTH/8; -- bits
Constant TLAST_WIDTH : integer := 1; -- bits
Constant CMPLT_WIDTH : integer := 1; -- bits
Constant DRE_FLUSH_WIDTH : integer := 1; -- bits
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_CNT_WIDTH : integer := funct_get_fifo_cnt_width(DATA_FIFO_DEPTH);
Constant DF_WRCNT_RIP_LS_INDEX : integer := funct_get_wrcnt_lsrip(C_MAX_BURST_LEN);
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH +
MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP +
TLAST_WIDTH +
CMPLT_WIDTH +
DRE_FLUSH_WIDTH;
Constant DATA_OUT_LSB_INDEX : integer := 0;
Constant DATA_OUT_MSB_INDEX : integer := C_MMAP_DWIDTH-1;
Constant TKEEP_OUT_LSB_INDEX : integer := DATA_OUT_MSB_INDEX+1;
Constant TKEEP_OUT_MSB_INDEX : integer := (TKEEP_OUT_LSB_INDEX+MMAP_TKEEP_WIDTH*C_ENABLE_MM2S_TKEEP)-1*C_ENABLE_MM2S_TKEEP;
Constant TLAST_OUT_INDEX : integer := TKEEP_OUT_MSB_INDEX+1*C_ENABLE_MM2S_TKEEP;
Constant CMPLT_OUT_INDEX : integer := TLAST_OUT_INDEX+1;
Constant DRE_FLUSH_OUT_INDEX : integer := CMPLT_OUT_INDEX+1;
Constant TOKEN_POOL_SIZE : integer := C_SF_FIFO_DEPTH / C_MAX_BURST_LEN;
Constant TOKEN_CNTR_WIDTH : integer := clog2(TOKEN_POOL_SIZE)+1;
Constant TOKEN_CNT_ZERO : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_ONE : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, TOKEN_CNTR_WIDTH);
Constant TOKEN_CNT_MAX : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(TOKEN_POOL_SIZE, TOKEN_CNTR_WIDTH);
Constant THRESH_COMPARE_WIDTH : integer := TOKEN_CNTR_WIDTH+2;
Constant RD_PATH_PIPE_DEPTH : integer := 2; -- clocks excluding DRE
Constant WRCNT_SETTLING_TIME : integer := 2; -- data fifo push or pop settling clocks
Constant DRE_COMPENSATION : integer := 0; -- DRE does not contribute since it is on
-- the output side of the Store and Forward
Constant RD_ADDR_POST_STALL_THRESH : integer :=
funct_get_stall_thresh(DRE_COMPENSATION ,
C_MAX_BURST_LEN ,
C_SF_FIFO_DEPTH ,
RD_PATH_PIPE_DEPTH ,
WRCNT_SETTLING_TIME);
Constant RD_ADDR_POST_STALL_THRESH_US : Unsigned(THRESH_COMPARE_WIDTH-1 downto 0) :=
TO_UNSIGNED(RD_ADDR_POST_STALL_THRESH ,
THRESH_COMPARE_WIDTH);
Constant UNCOM_WRCNT_1 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, DATA_FIFO_CNT_WIDTH);
Constant UNCOM_WRCNT_0 : Unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) :=
TO_UNSIGNED(0, DATA_FIFO_CNT_WIDTH);
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SRC_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DEST_ALIGN_WIDTH : integer := C_DRE_ALIGN_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
-- Signals ---------------------------------------------------------------------------
signal sig_good_sin_strm_dbeat : std_logic := '0';
signal sig_strm_sin_ready : std_logic := '0';
signal sig_good_sout_strm_dbeat : std_logic := '0';
signal sig_sout2sf_tready : std_logic := '0';
signal sig_sf2sout_tvalid : std_logic := '0';
signal sig_sf2sout_tdata : std_logic_vector(C_STREAM_DWIDTH-1 downto 0) := (others => '0');
signal sig_sf2sout_tkeep : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_sf2sout_tlast : std_logic := '0';
signal sig_sf2dre_flush : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cnt_unsgnd : unsigned(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_wrcnt_mblen_slice : unsigned(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX) := (others => '0');
signal sig_ok_to_post_rd_addr : std_logic := '0';
signal sig_rd_addr_posted : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
signal sig_taking_last_token : std_logic := '0';
signal sig_stall_rd_addr_posts : std_logic := '0';
signal sig_incr_token_cntr : std_logic := '0';
signal sig_decr_token_cntr : std_logic := '0';
signal sig_token_eq_max : std_logic := '0';
signal sig_token_eq_zero : std_logic := '0';
signal sig_token_eq_one : std_logic := '0';
signal sig_token_cntr : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_tokens_commited : Unsigned(TOKEN_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_commit_plus_actual : unsigned(THRESH_COMPARE_WIDTH-1 downto 0) := (others => '0');
signal sig_cntl_fifo_has_data : std_logic := '0';
signal sig_get_cntl_fifo_data : std_logic := '0';
signal sig_curr_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_curr_drr_reg : std_logic := '0';
signal sig_curr_eof_reg : std_logic := '0';
signal sig_curr_calc_error_reg : std_logic := '0';
signal sig_curr_strt_offset_reg : std_logic_vector(SF_OFFSET_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_dre_cntl_reg : std_logic := '0';
signal sig_dfifo_data_out : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tkeep_out : std_logic_vector(MMAP_TKEEP_WIDTH-1 downto 0) := (others => '0');
signal sig_dfifo_tlast_out : std_logic := '0';
signal sig_dfifo_cmd_cmplt_out : std_logic := '0';
signal sig_dfifo_dre_flush_out : std_logic := '0';
begin --(architecture implementation)
-- Read Side (MM2S) Control Flags port connections
ok_to_post_rd_addr <= sig_ok_to_post_rd_addr ;
sig_rd_addr_posted <= rd_addr_posted ;
sig_rd_xfer_cmplt <= rd_xfer_cmplt ;
-- Output Stream Port connections
sig_sout2sf_tready <= sout2sf_tready ;
sf2sout_tvalid <= sig_sf2sout_tvalid ;
sf2sout_tdata <= sig_sf2sout_tdata ;
--sf2sout_tkeep <= sig_sf2sout_tkeep ;
sf2sout_tlast <= sig_sf2sout_tlast and
sig_sf2sout_tvalid ;
GEN_MM2S_TKEEP_ENABLE4 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
sf2sout_tkeep <= sig_sf2sout_tkeep ;
end generate GEN_MM2S_TKEEP_ENABLE4;
GEN_MM2S_TKEEP_DISABLE4 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
sf2sout_tkeep <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE4;
-- Input Stream port connections
sf2sin_tready <= sig_strm_sin_ready;
sig_strm_sin_ready <= not(sig_data_fifo_full); -- Throttle if Read Side Data fifo goes full.
-- This should never happen if read address
-- posting control is working properly.
-- Stream transfer qualifiers
sig_good_sin_strm_dbeat <= sin2sf_tvalid and
sig_strm_sin_ready;
sig_good_sout_strm_dbeat <= sig_sf2sout_tvalid and
sig_sout2sf_tready;
----------------------------------------------------------------
-- Unpacking Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_UNPACKING
--
-- If Generate Description:
-- Omits any unpacking logic in the Store and Forward module.
-- The Stream and MMap data widths are the same. The Data FIFO
-- output can be connected directly to the stream outputs.
--
------------------------------------------------------------
OMIT_UNPACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_ld_cmd : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
begin
-- Data FIFO Output to the stream attachments
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= sig_dfifo_data_out ;
sig_sf2sout_tkeep <= sig_dfifo_tkeep_out ;
sig_sf2sout_tlast <= sig_dfifo_tlast_out ;
sig_sf2dre_flush <= sig_dfifo_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_cmd ;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_cmd ;
lsig_cmd_cmplt_dbeat <= sig_dfifo_cmd_cmplt_out and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Generate the control that loads the DRE
lsig_ld_cmd <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the DRE Output Register.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_cmd = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued and
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
end generate OMIT_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_UNPACKING
--
-- If Generate Description:
-- Includes unpacking logic in the Store and Forward module.
-- The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_UNPACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant TKEEP_SLICE_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_tkeep_slice_type is array(MMAP2STRM_WIDTH_RATO downto 0) of
std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_fifo_data_out_wide : lsig_data_slice_type;
signal lsig_fifo_tkeep_out_wide : lsig_tkeep_slice_type;
signal lsig_mux_sel : integer range 0 to MMAP2STRM_WIDTH_RATO-1;
signal lsig_data_mux_out : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) ;
signal lsig_tkeep_mux_out : std_logic_vector(TKEEP_SLICE_WIDTH-1 downto 0);
signal lsig_tlast_out : std_logic := '0';
signal lsig_dre_flush_out : std_logic := '0';
signal lsig_this_fifo_wrd_done : std_logic := '0';
signal lsig_cmd_loaded : std_logic := '0';
signal lsig_cmd_cmplt_dbeat : std_logic := '0';
signal lsig_cmd_cmplt : std_logic := '0';
signal lsig_next_slice_tkeep_0 : std_logic := '0';
begin
sig_sf2sout_tvalid <= sig_data_fifo_dvalid and
lsig_cmd_loaded ;
sig_sf2sout_tdata <= lsig_data_mux_out ;
sig_sf2sout_tkeep <= lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0);
sig_sf2sout_tlast <= lsig_tlast_out ;
sig_sf2dre_flush <= lsig_dre_flush_out ;
-- Control for reading the Data FIFO
sig_pop_data_fifo <= lsig_this_fifo_wrd_done and
lsig_cmd_loaded and
sig_sout2sf_tready and
sig_data_fifo_dvalid;
-- Control for reading the Command/Offset FIFO
sig_get_cntl_fifo_data <= lsig_ld_offset;
-- Control for loading the DRE Control Reg
sig_ld_dre_cntl_reg <= lsig_ld_offset ;
lsig_next_slice_tkeep_0 <= lsig_fifo_tkeep_out_wide(lsig_mux_sel+1)(0);
-- Detirmine if a Command Complete condition exists
lsig_cmd_cmplt <= '1'
when (sig_dfifo_cmd_cmplt_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detirmine if a TLAST condition exists
-- From the RDC via the Data FIFO
lsig_tlast_out <= '1'
when (sig_dfifo_tlast_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
-- Detimine if a DRE Flush condition exists
-- From the RDC via the Data FIFO
lsig_dre_flush_out <= '1'
when (sig_dfifo_dre_flush_out = '1' and
lsig_next_slice_tkeep_0 = '0')
Else '0';
lsig_cmd_cmplt_dbeat <= lsig_cmd_cmplt and
lsig_cmd_loaded and
sig_data_fifo_dvalid and
sig_sout2sf_tready ;
-- Check to see if the FIFO output word is finished. This occurs
-- when the offset counter is at max value or the tlast from the
-- fifo is set and the LS TKEED of the next MS Slice is zero.
lsig_this_fifo_wrd_done <= '1'
When (lsig_offset_cntr_eq_max = '1' or
(lsig_cmd_cmplt_dbeat = '1' and
lsig_next_slice_tkeep_0 = '0'))
Else '0';
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= (sig_cntl_fifo_has_data and -- startup or gap case
not(lsig_cmd_loaded)) or
(sig_cntl_fifo_has_data and -- back to back commands
lsig_cmd_cmplt_dbeat);
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_sout_strm_dbeat;
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CMD_LOADED
--
-- Process Description:
-- Implements the flop indicating a command from the cmd fifo
-- has been loaded into the unpacker control logic.
--
-------------------------------------------------------------
IMP_CMD_LOADED : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_cmd_loaded <= '0';
Elsif (lsig_ld_offset = '1' ) Then
lsig_cmd_loaded <= '1';
elsif (sig_cntl_fifo_has_data = '0' and -- No more commands queued
lsig_cmd_cmplt_dbeat = '1') then
lsig_cmd_loaded <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_CMD_LOADED;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- generate the data and tkeep mux selects.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(sig_curr_strt_offset_reg);
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_CONVERTER
--
-- For Generate Description:
-- This ForGen converts the FIFO output data and tkeep from a single
-- std logic vector type to a vector of slices.
--
------------------------------------------------------------
DO_DATA_CONVERTER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_fifo_data_out_wide(slice_index-1) <=
sig_dfifo_data_out((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH);
lsig_fifo_tkeep_out_wide(slice_index-1) <=
sig_dfifo_tkeep_out((slice_index*TKEEP_SLICE_WIDTH)-1 downto
(slice_index-1)*TKEEP_SLICE_WIDTH);
end generate DO_DATA_CONVERTER;
-- Assign the extra tkeep slice to all zeros to allow for detection
-- of the data word done when the ls tkeep bit of the next tkeep
-- slice is zero and the offset count is pointing to the last slice
-- position.
lsig_fifo_tkeep_out_wide(MMAP2STRM_WIDTH_RATO) <= (others => '0');
-- Mux the appropriate data and tkeep slice to the stream output
lsig_mux_sel <= TO_INTEGER(lsig_0ffset_cntr);
lsig_data_mux_out <= lsig_fifo_data_out_wide(lsig_mux_sel) ;
lsig_tkeep_mux_out(TKEEP_SLICE_WIDTH-1 downto 0) <= lsig_fifo_tkeep_out_wide(lsig_mux_sel);
end generate INCLUDE_UNPACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to omit the DRE control logic and
-- minimize the Control FIFO when MM2S DRE is not included
-- in the MM2S.
--
------------------------------------------------------------
OMIT_DRE_CNTL : if (C_DRE_IS_USED = 0) generate
-- Constant Declarations ------------------------------------------------------------------
Constant USE_SYNC_FIFO : integer := 0;
Constant SRL_FIFO_PRIM : integer := 2;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant DRR_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant SF_OFFSET_WIDTH : integer := C_STRT_SF_OFFSET_WIDTH;
Constant SF_OFFSET_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant SF_OFFSET_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant DRR_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
-- Signal Declarations --------------------------------------------------------------------
signal sig_offset_fifo_data_in : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_data_out : std_logic_vector(SF_OFFSET_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_offset_fifo_wr_valid : std_logic := '0';
signal sig_offset_fifo_wr_ready : std_logic := '0';
signal sig_offset_fifo_rd_valid : std_logic := '0';
signal sig_offset_fifo_rd_ready : std_logic := '0';
begin
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_offset_fifo_wr_ready ;
sig_offset_fifo_wr_valid <= mstr2dre_cmd_valid ;
-- No DRE so no controls
sf2dre_new_align <= '0';
sf2dre_use_autodest <= '0';
sf2dre_src_align <= (others => '0');
sf2dre_dest_align <= (others => '0');
sf2dre_flush <= '0';
-- No DRE so no alignment values
sig_curr_src_align_reg <= (others => '0');
sig_curr_dest_align_reg <= (others => '0');
-- Format the input data word for the Offset FIFO Queue
sig_offset_fifo_data_in <= mstr2dre_strt_offset & -- MS field
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_tag; -- LS Field
sig_cntl_fifo_has_data <= sig_offset_fifo_rd_valid ;
sig_offset_fifo_rd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_offset_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_drr_reg <= sig_offset_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_offset_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_offset_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_offset_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the Offset Control FIFO. This is still needed
-- by the unpacker logic to get the starting offset at the
-- begining of an input packet coming out of the Store and
-- Forward data FIFO.
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => SF_OFFSET_FIFO_WIDTH ,
C_DEPTH => SF_OFFSET_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_offset_fifo_wr_valid ,
fifo_wr_tready => sig_offset_fifo_wr_ready ,
fifo_wr_tdata => sig_offset_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_offset_fifo_rd_valid ,
fifo_rd_tready => sig_offset_fifo_rd_ready ,
fifo_rd_tdata => sig_offset_fifo_data_out ,
fifo_rd_empty => open
);
end generate OMIT_DRE_CNTL;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_DRE_CNTL
--
-- If Generate Description:
-- This IfGen is used to include the DRE control logic and
-- Control FIFO when MM2S DRE is included in the MM2S.
--
--
------------------------------------------------------------
INCLUDE_DRE_CNTL : if (C_DRE_IS_USED = 1) generate
-- Constant Declarations
Constant DRECNTL_FIFO_DEPTH : integer := funct_size_drecntl_fifo(C_DRE_CNTL_FIFO_DEPTH,
C_MAX_BURST_LEN);
Constant DRECNTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SRC_ALIGN_WIDTH + -- Source align field width
DEST_ALIGN_WIDTH + -- Dest align field width
DRR_WIDTH + -- DRE Re-alignment Request Flag Field
EOF_WIDTH + -- EOF flag field
CALC_ERR_WIDTH + -- Calc error flag
SF_OFFSET_WIDTH; -- Store and Forward Offset
Constant TAG_STRT_INDEX : integer := 0;
Constant SRC_ALIGN_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant DEST_ALIGN_STRT_INDEX : integer := SRC_ALIGN_STRT_INDEX + SRC_ALIGN_WIDTH;
Constant DRR_STRT_INDEX : integer := DEST_ALIGN_STRT_INDEX + DEST_ALIGN_WIDTH;
Constant EOF_STRT_INDEX : integer := DRR_STRT_INDEX + DRR_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant SF_OFFSET_STRT_INDEX : integer := CALC_ERR_STRT_INDEX+CALC_ERR_WIDTH;
signal sig_cmd_fifo_data_in : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_data_out : std_logic_vector(DRECNTL_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_dre_align_ready : std_logic := '0';
signal sig_dre_align_valid_reg : std_logic := '0';
signal sig_dre_use_autodest_reg : std_logic := '0';
signal sig_dre_src_align_reg : std_logic_vector(SRC_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_dest_align_reg : std_logic_vector(DEST_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_dre_flush_reg : std_logic := '0';
begin
-- Assign the DRE Control Outputs
sf2dre_new_align <= sig_dre_align_valid_reg;
sf2dre_use_autodest <= sig_dre_use_autodest_reg;
sf2dre_src_align <= sig_dre_src_align_reg;
sf2dre_dest_align <= sig_dre_dest_align_reg;
sf2dre_flush <= sig_sf2dre_flush; -- from RDC via data FIFO
-- PCC DRE Command interface handshake
dre2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2dre_cmd_valid ;
-- Format the input data word for the DRE Control FIFO Queue
sig_cmd_fifo_data_in <= mstr2dre_strt_offset &
mstr2dre_calc_error &
mstr2dre_eof &
mstr2dre_drr &
mstr2dre_dre_dest_align &
mstr2dre_dre_src_align &
mstr2dre_tag;
-- Formulate the DRE Control FIFO Read signaling
sig_cntl_fifo_has_data <= sig_fifo_rd_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_get_cntl_fifo_data ;
-- Rip the output fifo data word
sig_curr_tag_reg <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX);
sig_curr_src_align_reg <= sig_cmd_fifo_data_out((SRC_ALIGN_STRT_INDEX+SRC_ALIGN_WIDTH)-1 downto
SRC_ALIGN_STRT_INDEX);
sig_curr_dest_align_reg <= sig_cmd_fifo_data_out((DEST_ALIGN_STRT_INDEX+DEST_ALIGN_WIDTH)-1 downto
DEST_ALIGN_STRT_INDEX);
sig_curr_drr_reg <= sig_cmd_fifo_data_out(DRR_STRT_INDEX);
sig_curr_eof_reg <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_curr_calc_error_reg <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_curr_strt_offset_reg <= sig_cmd_fifo_data_out((SF_OFFSET_STRT_INDEX+SF_OFFSET_WIDTH)-1 downto
SF_OFFSET_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DRE_CNTL_FIFO
--
-- Description:
-- Instance for the DRE Control FIFO
--
------------------------------------------------------------
I_DRE_CNTL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo
generic map (
C_DWIDTH => DRECNTL_FIFO_WIDTH ,
C_DEPTH => DRECNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => SRL_FIFO_PRIM ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => reset ,
fifo_wr_clk => aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => aclk ,
fifo_async_rd_clk => reset ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => open
);
-------------------------------------------------------------------------
-- DRE Control Register
-------------------------------------------------------------------------
-- The DRE will auto-flush on a received TLAST so a commanded Flush
-- is not needed.
sig_dre_flush_reg <= '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CNTL_REG
--
-- Process Description:
-- Implements the DRE alignment Output Register.
--
-------------------------------------------------------------
IMP_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_use_autodest_reg <= not(sig_curr_drr_reg) ;
sig_dre_src_align_reg <= sig_curr_src_align_reg ;
sig_dre_dest_align_reg <= sig_curr_dest_align_reg ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_use_autodest_reg <= '0' ;
sig_dre_src_align_reg <= (others => '0') ;
sig_dre_dest_align_reg <= (others => '0') ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DRE_CNTL_VALID_REG
--
-- Process Description:
-- Implements the DRE Alignment valid Register.
--
-------------------------------------------------------------
IMP_DRE_CNTL_VALID_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1') then
sig_dre_align_valid_reg <= '0' ;
Elsif (sig_ld_dre_cntl_reg = '1' ) Then
sig_dre_align_valid_reg <= '1' ;
Elsif (sig_good_sout_strm_dbeat = '1') Then
sig_dre_align_valid_reg <= '0' ;
else
null; -- Hold Current State
end if;
end if;
end process IMP_DRE_CNTL_VALID_REG;
end generate INCLUDE_DRE_CNTL;
----------------------------------------------------------------
-- Token Counter Logic
-- Predicting fifo space availability at some point in the
-- future is based on managing a virtual pool of transfer tokens.
-- A token represents 1 max length burst worth of space in the
-- Data FIFO.
----------------------------------------------------------------
-- calculate how many tokens are commited to pending transfers
sig_tokens_commited <= TOKEN_CNT_MAX - sig_token_cntr;
-- Decrement the token counter when a token is
-- borrowed
sig_decr_token_cntr <= '1'
when (sig_rd_addr_posted = '1' and
sig_token_eq_zero = '0')
else '0';
-- Increment the token counter when a
-- token is returned.
sig_incr_token_cntr <= '1'
when (sig_rd_xfer_cmplt = '1' and
sig_token_eq_max = '0')
else '0';
-- Detect when the xfer token count is at max value
sig_token_eq_max <= '1'
when (sig_token_cntr = TOKEN_CNT_MAX)
Else '0';
-- Detect when the xfer token count is at one
sig_token_eq_one <= '1'
when (sig_token_cntr = TOKEN_CNT_ONE)
Else '0';
-- Detect when the xfer token count is at zero
sig_token_eq_zero <= '1'
when (sig_token_cntr = TOKEN_CNT_ZERO)
Else '0';
-- Look ahead to see if the xfer token pool is going empty
sig_taking_last_token <= '1'
When (sig_token_eq_one = '1' and
sig_rd_addr_posted = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_CNTR
--
-- Process Description:
-- Implements the Token counter
--
-------------------------------------------------------------
IMP_TOKEN_CNTR : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' ) then
sig_token_cntr <= TOKEN_CNT_MAX;
elsif (sig_incr_token_cntr = '1' and
sig_decr_token_cntr = '0') then
sig_token_cntr <= sig_token_cntr + TOKEN_CNT_ONE;
elsif (sig_incr_token_cntr = '0' and
sig_decr_token_cntr = '1') then
sig_token_cntr <= sig_token_cntr - TOKEN_CNT_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_TOKEN_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_TOKEN_AVAIL_FLAG
--
-- Process Description:
-- Implements the flag indicating that the AXI Read Master
-- can post a read address request on the AXI4 bus.
--
-- Read address posting can occur if:
--
-- - The write side LEN fifo is not empty.
-- - The commited plus actual Data FIFO space is less than
-- the stall threshold (a max length read burst can fit
-- in the data FIFO without overflow).
-- - The max allowed commited read count has not been reached.
--
-- The flag is cleared after each address has been posted to
-- ensure a second unauthorized post does not occur.
-------------------------------------------------------------
IMP_TOKEN_AVAIL_FLAG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (reset = '1' or
sig_rd_addr_posted = '1') then
sig_ok_to_post_rd_addr <= '0';
else
sig_ok_to_post_rd_addr <= not(sig_stall_rd_addr_posts) and -- the commited Data FIFO space is approaching full
not(sig_token_eq_zero) and -- max allowed pending reads has not been reached
not(sig_taking_last_token); -- the max allowed pending reads is about to be reached
end if;
end if;
end process IMP_TOKEN_AVAIL_FLAG;
----------------------------------------------------------------
-- Data FIFO Logic ------------------------------------------
----------------------------------------------------------------
GEN_MM2S_TKEEP_ENABLE3 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= sig_data_fifo_data_out(TKEEP_OUT_MSB_INDEX downto
TKEEP_OUT_LSB_INDEX);
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_ENABLE3;
GEN_MM2S_TKEEP_DISABLE3 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- FIFO Output ripping to components
sig_dfifo_data_out <= sig_data_fifo_data_out(DATA_OUT_MSB_INDEX downto
DATA_OUT_LSB_INDEX);
sig_dfifo_tkeep_out <= (others => '1');
sig_dfifo_tlast_out <= sig_data_fifo_data_out(TLAST_OUT_INDEX) ;
sig_dfifo_cmd_cmplt_out <= sig_data_fifo_data_out(CMPLT_OUT_INDEX) ;
sig_dfifo_dre_flush_out <= sig_data_fifo_data_out(DRE_FLUSH_OUT_INDEX) ;
end generate GEN_MM2S_TKEEP_DISABLE3;
-- Stall Threshold calculations
sig_fifo_wr_cnt_unsgnd <= UNSIGNED(sig_data_fifo_wr_cnt);
sig_wrcnt_mblen_slice <= sig_fifo_wr_cnt_unsgnd(DATA_FIFO_CNT_WIDTH-1 downto
DF_WRCNT_RIP_LS_INDEX);
sig_commit_plus_actual <= RESIZE(sig_tokens_commited, THRESH_COMPARE_WIDTH) +
RESIZE(sig_wrcnt_mblen_slice, THRESH_COMPARE_WIDTH);
-- Compare the commited read space plus the actual used space against the
-- stall threshold. Assert the read address posting stall flag if the
-- threshold is met or exceeded.
sig_stall_rd_addr_posts <= '1'
when (sig_commit_plus_actual > RD_ADDR_POST_STALL_THRESH_US)
Else '0';
-- FIFO Rd/WR Controls
sig_push_data_fifo <= sig_good_sin_strm_dbeat;
-- sig_pop_data_fifo <= sig_sout2sf_tready and
-- sig_data_fifo_dvalid;
GEN_MM2S_TKEEP_ENABLE2 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_ENABLE2;
GEN_MM2S_TKEEP_DISABLE2 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data in value
sig_data_fifo_data_in <= data2sf_dre_flush & -- ms Field
data2sf_cmd_cmplt &
sin2sf_tlast &
--sin2sf_tkeep &
sin2sf_tdata; -- ls field
end generate GEN_MM2S_TKEEP_DISABLE2;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO (synchronous)
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => NOT_NEEDED ,
C_NEED_ALMOST_FULL => NOT_NEEDED ,
C_USE_BLKMEM => BLK_MEM_FIFO ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => reset ,
SFIFO_Clk => aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_tri_intersect_0_1/synth/triangle_intersect_tri_intersect_0_1.vhd
|
1
|
8024
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:hls:tri_intersect:1.0
-- IP Revision: 1605081815
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY triangle_intersect_tri_intersect_0_1 IS
PORT (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
ins_TVALID : IN STD_LOGIC;
ins_TREADY : OUT STD_LOGIC;
ins_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ins_TDEST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TKEEP : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ins_TSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ins_TUSER : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TID : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TVALID : OUT STD_LOGIC;
outs_TREADY : IN STD_LOGIC;
outs_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
outs_TDEST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
outs_TSTRB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
outs_TUSER : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TID : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END triangle_intersect_tri_intersect_0_1;
ARCHITECTURE triangle_intersect_tri_intersect_0_1_arch OF triangle_intersect_tri_intersect_0_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF triangle_intersect_tri_intersect_0_1_arch: ARCHITECTURE IS "yes";
COMPONENT tri_intersect IS
PORT (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
ins_TVALID : IN STD_LOGIC;
ins_TREADY : OUT STD_LOGIC;
ins_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
ins_TDEST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TKEEP : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ins_TSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
ins_TUSER : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ins_TID : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TVALID : OUT STD_LOGIC;
outs_TREADY : IN STD_LOGIC;
outs_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
outs_TDEST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
outs_TSTRB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
outs_TUSER : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
outs_TID : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT tri_intersect;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF triangle_intersect_tri_intersect_0_1_arch: ARCHITECTURE IS "tri_intersect,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF triangle_intersect_tri_intersect_0_1_arch : ARCHITECTURE IS "triangle_intersect_tri_intersect_0_1,tri_intersect,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF triangle_intersect_tri_intersect_0_1_arch: ARCHITECTURE IS "triangle_intersect_tri_intersect_0_1,tri_intersect,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=hls,x_ipName=tri_intersect,x_ipVersion=1.0,x_ipCoreRevision=1605081815,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST";
ATTRIBUTE X_INTERFACE_INFO OF ins_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TVALID";
ATTRIBUTE X_INTERFACE_INFO OF ins_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TREADY";
ATTRIBUTE X_INTERFACE_INFO OF ins_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TDATA";
ATTRIBUTE X_INTERFACE_INFO OF ins_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TDEST";
ATTRIBUTE X_INTERFACE_INFO OF ins_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF ins_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF ins_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TUSER";
ATTRIBUTE X_INTERFACE_INFO OF ins_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TLAST";
ATTRIBUTE X_INTERFACE_INFO OF ins_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 ins TID";
ATTRIBUTE X_INTERFACE_INFO OF outs_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TVALID";
ATTRIBUTE X_INTERFACE_INFO OF outs_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TREADY";
ATTRIBUTE X_INTERFACE_INFO OF outs_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TDATA";
ATTRIBUTE X_INTERFACE_INFO OF outs_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TDEST";
ATTRIBUTE X_INTERFACE_INFO OF outs_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF outs_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF outs_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TUSER";
ATTRIBUTE X_INTERFACE_INFO OF outs_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TLAST";
ATTRIBUTE X_INTERFACE_INFO OF outs_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 outs TID";
BEGIN
U0 : tri_intersect
PORT MAP (
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
ins_TVALID => ins_TVALID,
ins_TREADY => ins_TREADY,
ins_TDATA => ins_TDATA,
ins_TDEST => ins_TDEST,
ins_TKEEP => ins_TKEEP,
ins_TSTRB => ins_TSTRB,
ins_TUSER => ins_TUSER,
ins_TLAST => ins_TLAST,
ins_TID => ins_TID,
outs_TVALID => outs_TVALID,
outs_TREADY => outs_TREADY,
outs_TDATA => outs_TDATA,
outs_TDEST => outs_TDEST,
outs_TKEEP => outs_TKEEP,
outs_TSTRB => outs_TSTRB,
outs_TUSER => outs_TUSER,
outs_TLAST => outs_TLAST,
outs_TID => outs_TID
);
END triangle_intersect_tri_intersect_0_1_arch;
|
mit
|
dhesant/elec4320
|
Lab2/ipcore_dir/bram_decoder/simulation/bmg_stim_gen.vhd
|
1
|
12323
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v6_3 Core - Stimulus Generator For Single Port ROM
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SROM
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC_SROM IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC_SROM;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
--USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
GENERIC ( C_ROM_SYNTH : INTEGER := 0
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
ADDRA: OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
DATA_IN : IN STD_LOGIC_VECTOR (12 DOWNTO 0); --OUTPUT VECTOR
STATUS : OUT STD_LOGIC:= '0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
FUNCTION hex_to_std_logic_vector(
hex_str : STRING;
return_width : INTEGER)
RETURN STD_LOGIC_VECTOR IS
VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1
DOWNTO 0);
BEGIN
tmp := (OTHERS => '0');
FOR i IN 1 TO hex_str'LENGTH LOOP
CASE hex_str((hex_str'LENGTH+1)-i) IS
WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000";
WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001";
WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010";
WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011";
WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100";
WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101";
WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110";
WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111";
WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000";
WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001";
WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010";
WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011";
WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100";
WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101";
WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110";
WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111";
WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111";
END CASE;
END LOOP;
RETURN tmp(return_width-1 DOWNTO 0);
END hex_to_std_logic_vector;
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL CHECK_DATA : STD_LOGIC := '0';
SIGNAL CHECK_DATA_R : STD_LOGIC := '0';
SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(12 DOWNTO 0):= hex_to_std_logic_vector("0",13);
BEGIN
SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE
type mem_type is array (21 downto 0) of std_logic_vector(12 downto 0);
FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS
VARIABLE temp_return : STD_LOGIC;
BEGIN
IF (input = '0') THEN
temp_return := '0';
ELSE
temp_return := '1';
END IF;
RETURN temp_return;
END bit_to_sl;
function char_to_std_logic (
char : in character)
return std_logic is
variable data : std_logic;
begin
if char = '0' then
data := '0';
elsif char = '1' then
data := '1';
elsif char = 'X' then
data := 'X';
else
assert false
report "character which is not '0', '1' or 'X'."
severity warning;
data := 'U';
end if;
return data;
end char_to_std_logic;
impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER;
C_LOAD_INIT_FILE : INTEGER ;
C_INIT_FILE_NAME : STRING ;
DEFAULT_DATA : STD_LOGIC_VECTOR(12 DOWNTO 0);
width : INTEGER;
depth : INTEGER)
RETURN mem_type IS
VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0'));
FILE init_file : TEXT;
VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0);
VARIABLE bitline : LINE;
variable bitsgood : boolean := true;
variable bitchar : character;
VARIABLE i : INTEGER;
VARIABLE j : INTEGER;
BEGIN
--Display output message indicating that the behavioral model is being
--initialized
ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE;
-- Setup the default data
-- Default data is with respect to write_port_A and may be wider
-- or narrower than init_return width. The following loops map
-- default data into the memory
IF (C_USE_DEFAULT_DATA=1) THEN
FOR i IN 0 TO depth-1 LOOP
init_return(i) := DEFAULT_DATA;
END LOOP;
END IF;
-- Read in the .mif file
-- The init data is formatted with respect to write port A dimensions.
-- The init_return vector is formatted with respect to minimum width and
-- maximum depth; the following loops map the .mif file into the memory
IF (C_LOAD_INIT_FILE=1) THEN
file_open(init_file, C_INIT_FILE_NAME, read_mode);
i := 0;
WHILE (i < depth AND NOT endfile(init_file)) LOOP
mem_vector := (OTHERS => '0');
readline(init_file, bitline);
-- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0));
FOR j IN 0 TO width-1 LOOP
read(bitline,bitchar,bitsgood);
init_return(i)(width-1-j) := char_to_std_logic(bitchar);
END LOOP;
i := i + 1;
END LOOP;
file_close(init_file);
END IF;
RETURN init_return;
END FUNCTION;
--***************************************************************
-- convert bit to STD_LOGIC
--***************************************************************
constant c_init : mem_type := init_memory(0,
1,
"bram_decoder.mif",
DEFAULT_DATA,
13,
22);
constant rom : mem_type := c_init;
BEGIN
EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr)));
CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH =>22 )
PORT MAP(
CLK => CLK,
RST => RST,
EN => CHECK_DATA_R,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => CHECK_READ_ADDR
);
PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(CHECK_DATA_R ='1') THEN
IF(EXPECTED_DATA = DATA_IN) THEN
STATUS<='0';
ELSE
STATUS <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE;
-- Simulatable ROM
--Synthesizable ROM
SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE
PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(CHECK_DATA_R='1') THEN
IF(DATA_IN=DEFAULT_DATA) THEN
STATUS <= '0';
ELSE
STATUS <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE;
READ_ADDR_INT(4 DOWNTO 0) <= READ_ADDR(4 DOWNTO 0);
ADDRA <= READ_ADDR_INT AFTER 50 ns;
CHECK_DATA <= DO_READ;
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 22 )
PORT MAP(
CLK => CLK,
RST => RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
RD_PROCESS: PROCESS (CLK)
BEGIN
IF (RISING_EDGE(CLK)) THEN
IF(RST='1') THEN
DO_READ <= '0';
ELSE
DO_READ <= '1';
END IF;
END IF;
END PROCESS;
BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM
PORT MAP(
Q => DO_READ_REG(0),
CLK =>CLK,
RST=>RST,
D =>DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM
PORT MAP(
Q => DO_READ_REG(I),
CLK =>CLK,
RST=>RST,
D =>DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM
PORT MAP(
Q => CHECK_DATA_R,
CLK =>CLK,
RST=>RST,
D =>CHECK_DATA
);
END ARCHITECTURE;
|
mit
|
dhesant/elec4320
|
Lab2/ipcore_dir/bram_decoder/simulation/bmg_tb_synth.vhd
|
1
|
6178
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v6_3 Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_tb_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY unisim;
USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_TB IS
GENERIC (
C_ROM_SYNTH : INTEGER := 1
);
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ENTITY;
ARCHITECTURE BMG_TB_ARCH OF BMG_TB IS
COMPONENT bram_decoder_top
PORT (
--Inputs - Port A
ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTA: STD_LOGIC_VECTOR(12 DOWNTO 0);
SIGNAL CHECKER_EN : STD_LOGIC:='0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i: STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC;
SIGNAL RESET_SYNC_R2 : STD_LOGIC;
SIGNAL RESET_SYNC_R3 : STD_LOGIC;
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
clk_buf: bufg
PORT map(
i => CLK_IN,
o => clk_in_i
);
CLKA <= clk_in_i;
RSTA <= RESET_IN;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH
)
PORT MAP(
CLK => CLK_IN,
RST => RSTA,
ADDRA => ADDRA,
DATA_IN => DOUTA,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(8);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(ADDRA(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW+1;
END IF;
END IF;
END PROCESS;
BMG_PORT: bram_decoder_top PORT MAP (
--Port A
ADDRA => ADDRA,
DOUTA => DOUTA,
CLKA => CLKA
);
END ARCHITECTURE;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/f4229bb6/hdl/src/vhdl/axi_datamover_scc.vhd
|
18
|
47911
|
-------------------------------------------------------------------------------
-- axi_datamover_scc.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_scc.vhd
--
-- Description:
-- This file implements the DataMover Lite Master Simple Command Calculator (SCC).
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_scc is
generic (
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS address bus used for
-- Muxing/Demuxing data to/from a wider AXI4 data bus
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the width of the AXi Address Channel
C_STREAM_DWIDTH : Integer range 8 to 64 := 32;
-- Sets the width of the Native Data width that
-- is being supported by the PCC
C_MAX_BURST_LEN : Integer range 2 to 64 := 16;
-- Indicates the max allowed burst length to use for
-- AXI4 transfer calculations
C_CMD_WIDTH : Integer := 68;
-- Sets the width of the input command port
C_MICRO_DMA : integer range 0 to 1 := 0;
C_TAG_WIDTH : Integer range 1 to 8 := 4
-- Sets the width of the Tag field in the input command
);
port (
-- Clock and Reset inputs -------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
---------------------------------------------------------------
-- Command Input Interface ---------------------------------------------------------
--
cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cache2mstr_command : in std_logic_vector(7 downto 0); --
-- The next command value available from the Command FIFO/Register --
--
cmd2mstr_cmd_valid : in std_logic; --
-- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry --
--
mst2cmd_cmd_ready : out std_logic; --
-- Handshake bit indicating the Command Calculator is ready to accept --
-- another command --
------------------------------------------------------------------------------------
-- Address Channel Controller Interface --------------------------------------------
--
mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : out std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
--
mstr2addr_size : out std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : out std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : out std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : out std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calcualtion error --
--
mstr2addr_cmd_valid : out std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : In std_logic; --
-- Indication from the Address Channel Controller that the --
-- command is being accepted --
------------------------------------------------------------------------------------
-- Data Channel Controller Interface ----------------------------------------------
--
mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is 8 or 16 bits). --
--
mstr2data_len : out std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the data transfer --
--
mstr2data_last_strb : out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the data transfer --
--
mstr2data_sof : out std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : out std_logic; --
-- The endiing tranfer of a sequence of parent transfer commands --
--
mstr2data_calc_error : out std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : out std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : out std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : In std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address --
-- Channel --
--
calc_error : Out std_logic --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
------------------------------------------------------------------------------------
);
end entity axi_datamover_scc;
architecture implementation of axi_datamover_scc is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_slice_width
--
-- Function Description:
-- Calculates the bits to rip from the Command BTT field to calculate
-- the LEN value output to the AXI Address Channel.
--
-------------------------------------------------------------------
function funct_get_slice_width (max_burst_len : integer) return integer is
Variable temp_slice_width : Integer := 0;
begin
case max_burst_len is
when 64 =>
temp_slice_width := 7;
when 32 =>
temp_slice_width := 6;
when 16 =>
temp_slice_width := 5;
when 8 =>
temp_slice_width := 4;
when 4 =>
temp_slice_width := 3;
when others => -- assume 16 dbeats is max LEN
temp_slice_width := 2;
end case;
Return (temp_slice_width);
end function funct_get_slice_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_residue_width
--
-- Function Description:
-- Calculates the number of Least significant bits of the BTT field
-- that are unused for the LEN calculation
--
-------------------------------------------------------------------
function funct_get_btt_ls_unused (transfer_width : integer) return integer is
Variable temp_btt_ls_unused : Integer := 0; -- 8-bit stream
begin
case transfer_width is
when 64 =>
temp_btt_ls_unused := 3;
when 32 =>
temp_btt_ls_unused := 2;
when 16 =>
temp_btt_ls_unused := 1;
when others => -- assume 8-bit transfers
temp_btt_ls_unused := 0;
end case;
Return (temp_btt_ls_unused);
end function funct_get_btt_ls_unused;
-- Constant Declarations ----------------------------------------
Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address)
Constant CMD_TYPE_INDEX : integer := 23;
Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH;
Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2;
Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1;
Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH;
Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH;
Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1;
Constant AXI_BURST_FIXED : std_logic_vector(1 downto 0) := "00";
Constant AXI_BURST_INCR : std_logic_vector(1 downto 0) := "01";
Constant AXI_BURST_WRAP : std_logic_vector(1 downto 0) := "10";
Constant AXI_BURST_RESVD : std_logic_vector(1 downto 0) := "11";
Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000";
Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001";
Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010";
Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011";
Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100";
Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101";
Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110";
Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111";
Constant BTT_SLICE_SIZE : integer := funct_get_slice_width(C_MAX_BURST_LEN);
Constant MAX_BURST_LEN_US : unsigned(BTT_SLICE_SIZE-1 downto 0) :=
TO_UNSIGNED(C_MAX_BURST_LEN-1, BTT_SLICE_SIZE);
Constant BTT_LS_UNUSED_WIDTH : integer := funct_get_btt_ls_unused(C_STREAM_DWIDTH);
Constant CMD_BTT_WIDTH : integer := BTT_SLICE_SIZE+BTT_LS_UNUSED_WIDTH;
Constant CMD_BTT_LS_INDEX : integer := 0;
Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1;
Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
Constant BTT_RESIDUE_ZEROS : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
Constant BTT_SLICE_ONE : unsigned(BTT_SLICE_SIZE-1 downto 0) := TO_UNSIGNED(1, BTT_SLICE_SIZE);
Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; -- Number of bytes in the Stream
Constant LEN_WIDTH : integer := 8;
-- Type Declarations --------------------------------------------
type SCC_SM_STATE_TYPE is (
INIT,
POP_RECOVER,
GET_NXT_CMD,
CHK_AND_CALC,
PUSH_TO_AXI,
ERROR_TRAP
);
-- Signal Declarations --------------------------------------------
signal sm_scc_state : SCC_SM_STATE_TYPE := INIT;
signal sm_scc_state_ns : SCC_SM_STATE_TYPE := INIT;
signal sm_pop_input_cmd : std_logic := '0';
signal sm_pop_input_cmd_ns : std_logic := '0';
signal sm_set_push2axi : std_logic := '0';
signal sm_set_push2axi_ns : std_logic := '0';
signal sm_set_error : std_logic := '0';
signal sm_set_error_ns : std_logic := '0';
Signal sm_scc_sm_ready : std_logic := '0';
Signal sm_scc_sm_ready_ns : std_logic := '0';
signal sig_cmd2data_valid : std_logic := '0';
signal sig_clr_cmd2data_valid : std_logic := '0';
signal sig_cmd2addr_valid : std_logic := '0';
signal sig_clr_cmd2addr_valid : std_logic := '0';
signal sig_addr_data_rdy_pending : std_logic := '0';
signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_load_input_cmd : std_logic := '0';
signal sig_cmd_reg_empty : std_logic := '0';
signal sig_cmd_reg_full : std_logic := '0';
signal sig_cmd_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_type_reg : std_logic := '0';
signal sig_cmd_burst_reg : std_logic_vector (1 downto 0) := "00";
signal sig_cmd_tag_reg : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_data_rdy4cmd : std_logic := '0';
signal sig_btt_raw : std_logic := '0';
signal sig_btt_is_zero : std_logic := '0';
signal sig_btt_is_zero_reg : std_logic := '0';
signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0');
signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_strt_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_next_end_strb : std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0) := (others => '0');
signal sig_input_eof_reg : std_logic;
begin --(architecture implementation)
-- Assign calculation error output
calc_error <= sm_set_error;
-- Assign the ready output to the Command FIFO
mst2cmd_cmd_ready <= sig_cmd_reg_empty and sm_scc_sm_ready;
-- Assign the Address Channel Controller Qualifiers
mstr2addr_tag <= sig_next_tag ;
mstr2addr_addr <= sig_next_addr ;
mstr2addr_len <= sig_next_len ;
mstr2addr_size <= sig_next_size ;
mstr2addr_burst <= sig_cmd_burst_reg;
mstr2addr_cache <= sig_next_cache;
mstr2addr_user <= sig_next_user;
mstr2addr_cmd_valid <= sig_cmd2addr_valid;
mstr2addr_calc_error <= sm_set_error ;
mstr2addr_cmd_cmplt <= '1' ; -- Lite mode is always 1
-- Assign the Data Channel Controller Qualifiers
mstr2data_tag <= sig_next_tag ;
mstr2data_saddr_lsb <= sig_cmd_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0);
mstr2data_len <= sig_next_len ;
mstr2data_strt_strb <= sig_next_strt_strb;
mstr2data_last_strb <= sig_next_end_strb;
mstr2data_sof <= '1'; -- Lite mode is always 1 cmd
mstr2data_eof <= sig_input_eof_reg; -- Lite mode is always 1 cmd
mstr2data_cmd_cmplt <= '1'; -- Lite mode is always 1 cmd
mstr2data_cmd_valid <= sig_cmd2data_valid;
mstr2data_calc_error <= sm_set_error;
-- Internal logic ------------------------------
sig_addr_data_rdy_pending <= sig_cmd2addr_valid or
sig_cmd2data_valid;
sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready;
sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready;
sig_load_input_cmd <= cmd2mstr_cmd_valid and
sig_cmd_reg_empty and
sm_scc_sm_ready;
sig_next_tag <= sig_cmd_tag_reg;
sig_next_addr <= sig_cmd_addr_reg;
sig_addr_data_rdy4cmd <= addr2mstr_cmd_ready and data2mstr_cmd_ready;
sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX);
sig_btt_is_zero <= '1'
when (sig_cmd_btt_slice = BTT_ZEROS)
Else '0';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_RESIDUE_BITS
--
-- If Generate Description:
--
--
--
------------------------------------------------------------
GEN_NO_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH = 0) generate
-- signals
signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
begin
-- LEN Calculation logic ------------------------------------------
sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH));
sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto 0));
sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE
when sig_btt_is_zero_reg = '0'
else (others => '0'); -- clip at zero
-- If most significant bit of BTT set then limit to
-- Max Burst Len, else rip it from the BTT value,
-- otheriwse subtract 1 from the BTT ripped value
-- 1 from the BTT ripped value
sig_len2use <= MAX_BURST_LEN_US
When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1')
Else sig_len_btt_slice_minus_1;
end generate GEN_NO_RESIDUE_BITS;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_HAS_RESIDUE_BITS
--
-- If Generate Description:
--
--
--
------------------------------------------------------------
GEN_HAS_RESIDUE_BITS : if (BTT_LS_UNUSED_WIDTH > 0) generate
-- signals
signal sig_btt_len_residue : unsigned(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
signal sig_len_btt_slice : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len_btt_slice_minus_1 : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
signal sig_len2use : unsigned(BTT_SLICE_SIZE-1 downto 0) := (others => '0');
begin
-- LEN Calculation logic ------------------------------------------
sig_next_len <= STD_LOGIC_VECTOR(RESIZE(sig_len2use, LEN_WIDTH));
sig_len_btt_slice <= UNSIGNED(sig_cmd_btt_reg(CMD_BTT_MS_INDEX downto BTT_LS_UNUSED_WIDTH));
sig_len_btt_slice_minus_1 <= sig_len_btt_slice-BTT_SLICE_ONE
when sig_btt_is_zero_reg = '0'
else (others => '0'); -- clip at zero
sig_btt_len_residue <= UNSIGNED(sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0));
-- If most significant bit of BTT set then limit to
-- Max Burst Len, else rip it from the BTT value
-- However if residue bits are zeroes then subtract
-- 1 from the BTT ripped value
sig_len2use <= MAX_BURST_LEN_US
When (sig_cmd_btt_reg(CMD_BTT_MS_INDEX) = '1')
Else sig_len_btt_slice_minus_1
when (sig_btt_len_residue = BTT_RESIDUE_ZEROS)
Else sig_len_btt_slice;
end generate GEN_HAS_RESIDUE_BITS;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_INPUT_CMD
--
-- Process Description:
-- Implements the input command holding registers
--
-------------------------------------------------------------
REG_INPUT_CMD : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sm_pop_input_cmd = '1') then
sig_cmd_btt_reg <= (others => '0');
sig_cmd_type_reg <= '0';
sig_cmd_addr_reg <= (others => '0');
sig_cmd_tag_reg <= (others => '0');
sig_btt_is_zero_reg <= '0';
sig_cmd_reg_empty <= '1';
sig_cmd_reg_full <= '0';
sig_input_eof_reg <= '0';
sig_cmd_burst_reg <= "00";
elsif (sig_load_input_cmd = '1') then
sig_cmd_btt_reg <= sig_cmd_btt_slice;
sig_cmd_type_reg <= cmd2mstr_command(CMD_TYPE_INDEX);
sig_cmd_addr_reg <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX);
sig_cmd_tag_reg <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX);
sig_btt_is_zero_reg <= sig_btt_is_zero;
sig_cmd_reg_empty <= '0';
sig_cmd_reg_full <= '1';
sig_cmd_burst_reg <= sig_next_burst;
if (C_MICRO_DMA = 1) then
sig_input_eof_reg <= cmd2mstr_command(CMD_EOF_INDEX);
else
sig_input_eof_reg <= '1';
end if;
else
null; -- Hold current State
end if;
end if;
end process REG_INPUT_CMD;
-- Only Incrementing Burst type supported (per Interface_X guidelines)
sig_next_burst <= AXI_BURST_INCR when (cmd2mstr_command(CMD_TYPE_INDEX) = '1') else
AXI_BURST_FIXED;
sig_next_user <= cache2mstr_command (7 downto 4);
sig_next_cache <= cache2mstr_command (3 downto 0);
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_64
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 64-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_64 : if (C_STREAM_DWIDTH = 64) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_8BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 3;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0');
-- note 1 extra bit implied
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_8bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 64 bits wide and 8 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_8bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
when "0001" =>
sig_last_strb <= "00000001";
when "0010" =>
sig_last_strb <= "00000011";
when "0011" =>
sig_last_strb <= "00000111";
when "0100" =>
sig_last_strb <= "00001111";
when "0101" =>
sig_last_strb <= "00011111";
when "0110" =>
sig_last_strb <= "00111111";
when "0111" =>
sig_last_strb <= "01111111";
when others =>
sig_last_strb <= "11111111";
end case;
end process IMP_LAST_STRB_8bit;
end generate GEN_LEN_SDWIDTH_64;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_32
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 32-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_32 : if (C_STREAM_DWIDTH = 32) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_4BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 2;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_4bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 32 bits wide and 4 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_4bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
when "001" =>
sig_last_strb <= "0001";
when "010" =>
sig_last_strb <= "0011";
when "011" =>
sig_last_strb <= "0111";
when others =>
sig_last_strb <= "1111";
end case;
end process IMP_LAST_STRB_4bit;
end generate GEN_LEN_SDWIDTH_32;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_16
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 16-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_16 : if (C_STREAM_DWIDTH = 16) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_2BYTE;
Constant RESIDUE_BIT_WIDTH : integer := 1;
-- local signals
signal sig_last_strb2use : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
Signal sig_btt_ms_bit_value : std_logic := '0';
signal sig_btt_len_residue_composite : std_logic_vector(RESIDUE_BIT_WIDTH downto 0) := (others => '0'); -- 1 extra bit
signal lsig_btt_len_residue : std_logic_vector(BTT_LS_UNUSED_WIDTH-1 downto 0) := (others => '0');
begin
-- Assign the Address Channel Controller Size Qualifier Value
sig_next_size <= AXI_SIZE2USE;
-- Assign the Strobe Values
sig_next_strt_strb <= (others => '1'); -- always aligned on first databeat for LITE DataMover
sig_next_end_strb <= sig_last_strb;
-- Local calculations ------------------------------
lsig_btt_len_residue <= sig_cmd_btt_reg(BTT_LS_UNUSED_WIDTH-1 downto 0);
sig_btt_ms_bit_value <= sig_cmd_btt_reg(CMD_BTT_MS_INDEX);
sig_btt_len_residue_composite <= sig_btt_ms_bit_value &
lsig_btt_len_residue;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_LAST_STRB_2bit
--
-- Process Description:
-- Generates the Strobe values for the LAST databeat of the
-- Burst to MMap when the Stream is 16 bits wide and 2 strobe
-- bits are required.
--
-------------------------------------------------------------
IMP_LAST_STRB_2bit : process (sig_btt_len_residue_composite)
begin
case sig_btt_len_residue_composite is
when "01" =>
sig_last_strb <= "01";
when others =>
sig_last_strb <= "11";
end case;
end process IMP_LAST_STRB_2bit;
end generate GEN_LEN_SDWIDTH_16;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_LEN_SDWIDTH_8
--
-- If Generate Description:
-- This IfGen implements the AXI LEN qualifier calculation
-- and the Stream data channel start/end STRB value.
--
-- This IfGen is for the 8-bit Stream data Width case.
--
------------------------------------------------------------
GEN_LEN_SDWIDTH_8 : if (C_STREAM_DWIDTH = 8) generate
-- Local Constants
Constant AXI_SIZE2USE : std_logic_vector(2 downto 0) := AXI_SIZE_1BYTE;
begin
-- Assign the Address Channel Controller Qualifiers
sig_next_size <= AXI_SIZE2USE;
-- Assign the Data Channel Controller Qualifiers
sig_next_strt_strb <= (others => '1');
sig_next_end_strb <= (others => '1');
end generate GEN_LEN_SDWIDTH_8;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2DATA_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Ready control
-- to the Data Controller Module.
--
-------------------------------------------------------------
CMD2DATA_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_cmd2data_valid = '1') then
sig_cmd2data_valid <= '0';
elsif (sm_set_push2axi_ns = '1') then
sig_cmd2data_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2DATA_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: CMD2ADDR_VALID_FLOP
--
-- Process Description:
-- Implements the set/reset flop for the Command Ready control
-- to the Address Controller Module.
--
-------------------------------------------------------------
CMD2ADDR_VALID_FLOP : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_cmd2addr_valid = '1') then
sig_cmd2addr_valid <= '0';
elsif (sm_set_push2axi_ns = '1') then
sig_cmd2addr_valid <= '1';
else
null; -- hold current state
end if;
end if;
end process CMD2ADDR_VALID_FLOP;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: SCC_SM_COMB
--
-- Process Description:
-- Implements combinational portion of state machine
--
-------------------------------------------------------------
SCC_SM_COMB : process (sm_scc_state,
cmd2mstr_cmd_valid,
sig_addr_data_rdy_pending,
sig_cmd_reg_full,
sig_btt_is_zero_reg
)
begin
-- Set default State machine outputs
sm_pop_input_cmd_ns <= '0';
sm_set_push2axi_ns <= '0';
sm_scc_state_ns <= sm_scc_state;
sm_set_error_ns <= '0';
sm_scc_sm_ready_ns <= '1';
case sm_scc_state is
----------------------------------------------------
when INIT =>
-- if (sig_addr_data_rdy4cmd = '1') then
if (cmd2mstr_cmd_valid = '1') then -- wait for first cmd valid after reset
sm_scc_state_ns <= GET_NXT_CMD; -- jump to get command
else
sm_scc_sm_ready_ns <= '0';
sm_scc_state_ns <= INIT; -- Stay in Init
End if;
----------------------------------------------------
when POP_RECOVER =>
sm_scc_state_ns <= GET_NXT_CMD; -- jump to next state
----------------------------------------------------
when GET_NXT_CMD =>
if (sig_cmd_reg_full = '1') then
sm_scc_state_ns <= CHK_AND_CALC; -- jump to next state
else
sm_scc_state_ns <= GET_NXT_CMD; -- stay in this state
end if;
----------------------------------------------------
when CHK_AND_CALC =>
sm_set_push2axi_ns <= '1'; -- Push the command to ADDR and DATA
if (sig_btt_is_zero_reg = '1') then
sm_scc_state_ns <= ERROR_TRAP; -- jump to error trap
sm_set_error_ns <= '1'; -- Set internal error flag
else
sm_scc_state_ns <= PUSH_TO_AXI;
end if;
----------------------------------------------------
when PUSH_TO_AXI =>
if (sig_addr_data_rdy_pending = '1') then
sm_scc_state_ns <= PUSH_TO_AXI; -- stay in this state
-- until both Addr and Data have taken commands
else
sm_pop_input_cmd_ns <= '1';
sm_scc_state_ns <= POP_RECOVER; -- jump back to fetch new cmd input
end if;
----------------------------------------------------
when ERROR_TRAP =>
sm_scc_state_ns <= ERROR_TRAP; -- stay in this state
sm_set_error_ns <= '1';
----------------------------------------------------
when others =>
sm_scc_state_ns <= INIT; -- error so always jump to init state
end case;
end process SCC_SM_COMB;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SCC_SM_REG
--
-- Process Description:
-- Implements registered portion of state machine
--
-------------------------------------------------------------
SCC_SM_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sm_scc_state <= INIT;
sm_pop_input_cmd <= '0' ;
sm_set_push2axi <= '0' ;
sm_set_error <= '0' ;
sm_scc_sm_ready <= '0' ;
else
sm_scc_state <= sm_scc_state_ns ;
sm_pop_input_cmd <= sm_pop_input_cmd_ns ;
sm_set_push2axi <= sm_set_push2axi_ns ;
sm_set_error <= sm_set_error_ns ;
sm_scc_sm_ready <= sm_scc_sm_ready_ns ;
end if;
end if;
end process SCC_SM_REG;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
|
9
|
142019
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ty0EY+Xx3LwtMZI3OrkY8pfZHkg7FqgLYeu/WuT+lWayHpjQHARdWxgZ9KK+8iiP2e0mm6dCc+P/
KzbKcJ5GiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gz8ZFdByIhAk4J1v0okWCMYyWTZfgT4mhgGdNtx6i2vUbCvfpDPYbR9/tN/HlbCoHPlF7hHJq7h3
71rWOIW+EtrlMVlyDlwn7h0d/p6EA5v0bEg6ScLvqj6uUj7ljmKK6FXW3GY/NwlwMoi/im5fA7vV
+xldzEmhBRDaUdL8Gw8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NHeoGF+q8WfWkPfAIIml3S5pkOFjKeE0NNvtqam7+1UwFWYFModjozX41isEKU/6xYSQ0ma6Gf+J
S05Q5zcskicTb6USR+pedykXHL5rlc0uuUCGu5mmI2SKb4yy0R8h/FLLRWofSHZYfo8qJr7pfDSw
P4vgfH3DqJO1SAGhJd9Hr8qjgbiUzKHk1qA9Mimkud+TlQ2GjthoiZdXARzBwnfRSCtkJ4r0rNOQ
4CGmX85g6rT9Mht56oBISSlHiNHHeMq9LEqeLPCwEK5lUtpsrfrYtqFyz5nsnG3SOBVet9iUhP8Q
N9vsUE2/CaK7rA9Kpw0GLGaDmBfI0WBQtEUWkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kq2ItPX3i1LSMelq1GPNHUKoZ9wNDX7hoKUuNNIuozUSdLGLRC4Hmkp9Lo2gJzuJaWUipvqVM+Fy
/4cf1BzV0NWjmTZsRau+Nio+BeMU8zFzviyu1pulqB2fYfgHBr8YQJhOMG2djiUmrO/+THkHhWo7
dafeh9HkltYyuC1z8W4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ti8L9Y0i8lkZiXupA5cwiJwvRvrCZGR1Ub+cTQiPk0mUW2gZTo6gQ/oZ0K+NonvduOPNj3R7ytVj
6HuCN2fQ1bOV38FVzWtsEtHw3/M7WEbYFq6i4tm7p3w1PwArsPs4D2XGmmHjfb+Dr2AT9vOb7k+v
qsNBKGYvvsRhJf9sPNunsozRR8dUmOKfQTXBL9I07RN/CjteLWWDp9dtnc23wsJ0m4UPPvuU5jH+
lJsLcRlpa3w9DlFDvs/Ma1mQKPxHufbwWSjIaUhtXBqne/Q+LwVIiFFlQq0sNOSBmCs4CzGD+xX8
Ppp8taDt1NGxMZrI4yttqLt5EskTKoIDXoafxg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103392)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/mult_gen_v12_0/hdl/mult_gen_v12_0.vhd
|
13
|
9504
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fadd_7_full_dsp_32/xbip_utils_v3_0/hdl/xbip_utils_v3_0_vh_rfs.vhd
|
13
|
153715
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AkQmkJa8VXbg1dPAQnZrzzyl7mH3G1Pmb4xBAfIIuGBSx5aHBlWwcqbb+XEDmbiSOtbenl2qStVN
8ZhJGjjmMg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YkS99cH2v82E1VqfPrdg1ZFN9MVOyvUy9nui53/ysqiNI2Q0qFVHeb9S5p5YnI4KYdTDCMi2axeY
iPE74gp9Aj6kVcuPRPy/9vzJDeUXBfMQI6t76sMLZBx8uRIZM5gKzzC9YIAWmqn509qtlWIUy91+
5E3m7CNCtfIW1jz3aJs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
co8EN5I2tWBMjMxvsC8e0TKP9sL569UFtbLa45zHnPXC/Qld/eNKyQIHD9vDJtFIyTf0HCXexaw+
7kAKb97/7r+ygUj2XIfXnJjt+hERICHqETJtS6DTheyPZlPGciMRXF7WAaMifoFU/e9Cehu8OS1U
iNYPdzQN7RlxoWfeCV6QfWKg7g6NM3PWqaVJaeY0wMUPxEchqr45S922dVQEwevFGfBv9SjZOE1z
TN9cmlvAP3gDdxYQMXg8N3m+9bMSbjdy6LT60zfSKOCbaFVdvJKa1eBvUtamgzPJAaPqcLGMeNi3
9rda9A69BcnTfBit69aH/7tYAULGPIJRe8UEnQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4dN/tqso+y7AhE8tH4Vo/ElF5j3cRU1C+TL00vZY/OZz3hY2ocA167ANDyvr0rpfdNEBBHtxhPyc
nsGvoe6QBa8WZqQWHhMO0nqX+ctdqnhZ9ZAftF+858rBbLhctl4HQqzBHOYDgx45FWjYIcC54cFd
k/Tn77X509nYGbROVM0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o/iIGJR9ysAytq0ow8mXts5Cr8YBfgld+w1a0949xgjNz6npqwK9p37hw87p96n4+qdQEEqv4wCE
MzHi1ropuQiG3rqzqDNmEr15T2OAuRDSB9N6WIU5JASK0B2UNuJPHjDwJok9QnFofZY2vpy5YSPe
Ei2eVTymcbUdxmDZGOZahh0pr6/1VMF7I5Ye79ITqu2hLLybSmc0tuMiDmsKxokm7rIGMQT8Y9ej
DnjMQVvpRFiArABodLs9F4lGrUkTu15TkOodfS7Ef+OD8aHV0U8Hgei6T0g6sFvc3jAE6noXp9tX
j8Eulh/22HnhPoDfNwN7Dx3OzOSMafD7G5C+sQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 112048)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/vhdl/project.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
|
13
|
96005
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nk6fnppLgHlzs+TNQpNePIv69B67ibWF4Jvv+BAfKVD+4M9c5ENtop3+Z1Cz6J9J51LrN9wn+K89
GZc9q/N3Ew==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gioQH07rHlCnzBNi15UQwX1JDUfDjk8Ba6SKCZugFEmd6xGwVpa9/oHf0dFmMAHpj7XIsfSBdTBV
8aP6pTcmDqgBd+Y9jc4nrxEPQ9H6l2atJ0+8Ixeo52L7qmQGl76FMZRCovEz7vUOvdtwFY0Ie0FC
lO5h1s04SvXQ1uBacpI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Odru864y+vmVgk467KLsCE58Wvt6Ju873JqdLhsfz+oT8F5/+PevqSqxidJ0+enp/COg1IbUszEt
6MZ3lO4X69UiL0VJli0cCZnBspQsc9vAHcVBq+Ur+Cs/s/hHfBPnNlYYI0t6F2reXyLq1S3Nfwo/
ztwDcaJS/6k4aj/05DHZHIfYvovVJtsvhFuupmuFnQtA1cOHhoCns2037KVJpHy+nGiAQF4jdg8X
sPSkRrZuBIzRnRZxY2y9hkFeZ9/I482wm//U0bIdEaZniF6iQwkQlJ0h6ZzOrTk9Uxkum+AE+fPE
ms+w5LsT5BO8NVeW2LRzrpKXdIg3O4Qqkj6Opg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tBYH97KSVTkrfifvLyYG5gqGIGtnZQGa305F5YVwG7KwXzw6WqM49YbPMdawUDPpbKLK71QXYczA
FkD3DW70jnp/kEW0n0qFEw1EPOiNGUvtl9QHF6n6pC1MBLrOw42tpDKnO+mz6ATG0dWjr533oKYl
K8illF+Urr7xWM/5Dpc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tCoxMpiUdZOOvQyl8s9jokg+hyYuJCR+zR7lYEykJ4jkYuBlHP8XYax63H07GdoVbHhk3b8ZRV6E
Omw7RL1jTUwRaaYacHcR7Y9/tZITKZ/pPVXSKjkHoSTAP2BxArzpFL3Q4l5OM5jxUtKX1wfEdnUW
FeY8Duilsplz9NrDZm7ILEyre9TcS7mL6yqcUA8mm9BPthyWwzLH4JqfIj7e9dpw/DWtiaKppYxv
pixMUweAZjGg/zWulYtzeRi90SQkRIDWupKutCnOJfwJjUfLIKOrJKNO1AM31bPR1OYIzEClGX+4
DIzNKEY4fxoO5+mQF6Jo9pu7Glf4SpXw+b1JNQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69328)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_s2mm_sts_mngr.vhd
|
3
|
11859
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sts_mngr.vhd
-- Description: This entity mangages 'halt' and 'idle' status for the S2MM
-- channel
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library lib_cdc_v1_0;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sts_mngr is
generic (
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Any one of the 4 clock inputs is not
-- synchronous to the other
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- system state --
s2mm_run_stop : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_updt_idle : in std_logic ; --
s2mm_cmnd_idle : in std_logic ; --
s2mm_sts_idle : in std_logic ; --
--
-- stop and halt control/status --
s2mm_stop : in std_logic ; --
s2mm_halt_cmplt : in std_logic ; --
--
-- system control --
s2mm_all_idle : out std_logic ; --
s2mm_halted_clr : out std_logic ; --
s2mm_halted_set : out std_logic ; --
s2mm_idle_set : out std_logic ; --
s2mm_idle_clr : out std_logic --
);
end axi_dma_s2mm_sts_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sts_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
ATTRIBUTE async_reg : STRING;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal all_is_idle : std_logic := '0';
signal all_is_idle_d1 : std_logic := '0';
signal all_is_idle_re : std_logic := '0';
signal all_is_idle_fe : std_logic := '0';
signal s2mm_datamover_idle : std_logic := '0';
signal s2mm_halt_cmpt_d1_cdc_tig : std_logic := '0';
signal s2mm_halt_cmpt_cdc_d2 : std_logic := '0';
signal s2mm_halt_cmpt_d2 : std_logic := '0';
--ATTRIBUTE async_reg OF s2mm_halt_cmpt_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF s2mm_halt_cmpt_cdc_d2 : SIGNAL IS "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- all is idle when all is idle
all_is_idle <= s2mm_ftch_idle
and s2mm_updt_idle
and s2mm_cmnd_idle
and s2mm_sts_idle;
s2mm_all_idle <= all_is_idle;
-------------------------------------------------------------------------------
-- For data mover halting look at halt complete to determine when halt
-- is done and datamover has completly halted. If datamover not being
-- halted then can ignore flag thus simply flag as idle.
-------------------------------------------------------------------------------
GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
-- Double register to secondary clock domain. This is sufficient
-- because halt_cmplt will remain asserted until detected in
-- reset module in secondary clock domain.
REG_TO_SECONDARY : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => s2mm_halt_cmplt,
prmry_vect_in => (others => '0'),
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => s2mm_halt_cmpt_cdc_d2,
scndry_vect_out => open
);
-- REG_TO_SECONDARY : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
---- if(m_axi_sg_aresetn = '0')then
---- s2mm_halt_cmpt_d1_cdc_tig <= '0';
---- s2mm_halt_cmpt_d2 <= '0';
---- else
-- s2mm_halt_cmpt_d1_cdc_tig <= s2mm_halt_cmplt;
-- s2mm_halt_cmpt_cdc_d2 <= s2mm_halt_cmpt_d1_cdc_tig;
---- end if;
-- end if;
-- end process REG_TO_SECONDARY;
s2mm_halt_cmpt_d2 <= s2mm_halt_cmpt_cdc_d2;
end generate GEN_FOR_ASYNC;
GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
-- No clock crossing required therefore simple pass through
s2mm_halt_cmpt_d2 <= s2mm_halt_cmplt;
end generate GEN_FOR_SYNC;
s2mm_datamover_idle <= '1' when (s2mm_stop = '1' and s2mm_halt_cmpt_d2 = '1')
or (s2mm_stop = '0')
else '0';
-------------------------------------------------------------------------------
-- Set halt bit if run/stop cleared and all processes are idle
-------------------------------------------------------------------------------
HALT_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_halted_set <= '0';
elsif(s2mm_run_stop = '0' and all_is_idle = '1' and s2mm_datamover_idle = '1')then
s2mm_halted_set <= '1';
else
s2mm_halted_set <= '0';
end if;
end if;
end process HALT_PROCESS;
-------------------------------------------------------------------------------
-- Clear halt bit if run/stop is set and SG engine begins to fetch descriptors
-------------------------------------------------------------------------------
NOT_HALTED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_halted_clr <= '0';
elsif(s2mm_run_stop = '1')then
s2mm_halted_clr <= '1';
else
s2mm_halted_clr <= '0';
end if;
end if;
end process NOT_HALTED_PROCESS;
-------------------------------------------------------------------------------
-- Register ALL is Idle to create rising and falling edges on idle flag
-------------------------------------------------------------------------------
IDLE_REG_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
all_is_idle_d1 <= '0';
else
all_is_idle_d1 <= all_is_idle;
end if;
end if;
end process IDLE_REG_PROCESS;
all_is_idle_re <= all_is_idle and not all_is_idle_d1;
all_is_idle_fe <= not all_is_idle and all_is_idle_d1;
-- Set or Clear IDLE bit in DMASR
s2mm_idle_set <= all_is_idle_re and s2mm_run_stop;
s2mm_idle_clr <= all_is_idle_fe;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_dma_v7_1/0728269d/hdl/src/vhdl/axi_dma_cmd_split.vhd
|
1
|
22804
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
library lib_cdc_v1_0;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
entity axi_dma_cmd_split is
generic (
C_ADDR_WIDTH : integer range 32 to 64 := 32;
C_DM_STATUS_WIDTH : integer range 8 to 32 := 8;
C_INCLUDE_S2MM : integer range 0 to 1 := 0
);
port (
clock : in std_logic;
sgresetn : in std_logic;
clock_sec : in std_logic;
aresetn : in std_logic;
-- command coming from _MNGR
s_axis_cmd_tvalid : in std_logic;
s_axis_cmd_tready : out std_logic;
s_axis_cmd_tdata : in std_logic_vector ((C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0);
-- split command to DM
s_axis_cmd_tvalid_s : out std_logic;
s_axis_cmd_tready_s : in std_logic;
s_axis_cmd_tdata_s : out std_logic_vector ((C_ADDR_WIDTH+CMD_BASE_WIDTH+8)-1 downto 0);
-- Tvalid from Datamover
tvalid_from_datamover : in std_logic;
status_in : in std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0);
tvalid_unsplit : out std_logic;
status_out : out std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0);
-- Tlast of stream data from Datamover
tlast_stream_data : in std_logic;
tready_stream_data : in std_logic;
tlast_unsplit : out std_logic;
tlast_unsplit_user : out std_logic
);
end entity axi_dma_cmd_split;
architecture implementation of axi_dma_cmd_split is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
type SPLIT_MM2S_STATE_TYPE is (
IDLE,
SEND,
SPLIT
);
signal mm2s_cs : SPLIT_MM2S_STATE_TYPE;
signal mm2s_ns : SPLIT_MM2S_STATE_TYPE;
signal mm2s_cmd : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46-1 downto 0);
signal command_ns : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH-1 downto 0);
signal command : std_logic_vector (C_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH-1 downto 0);
signal cache_info : std_logic_vector (31 downto 0);
signal vsize_data : std_logic_vector (22 downto 0);
signal vsize_data_int : std_logic_vector (22 downto 0);
signal vsize : std_logic_vector (22 downto 0);
signal counter : std_logic_vector (22 downto 0);
signal counter_tlast : std_logic_vector (22 downto 0);
signal split_cmd : std_logic_vector (31+(C_ADDR_WIDTH-32) downto 0);
signal stride_data : std_logic_vector (22 downto 0);
signal vsize_over : std_logic;
signal cmd_proc_cdc_from : std_logic;
signal cmd_proc_cdc_to : std_logic;
signal cmd_proc_cdc : std_logic;
signal cmd_proc_ns : std_logic;
ATTRIBUTE async_reg : STRING;
-- ATTRIBUTE async_reg OF cmd_proc_cdc_to : SIGNAL IS "true";
-- ATTRIBUTE async_reg OF cmd_proc_cdc : SIGNAL IS "true";
signal cmd_out : std_logic;
signal cmd_out_ns : std_logic;
signal split_out : std_logic;
signal split_out_ns : std_logic;
signal command_valid : std_logic;
signal command_valid_ns : std_logic;
signal command_ready : std_logic;
signal reset_lock : std_logic;
signal reset_lock_tlast : std_logic;
signal tvalid_unsplit_int : std_logic;
signal tlast_stream_data_int : std_logic;
signal ready_for_next_cmd : std_logic;
signal ready_for_next_cmd_tlast : std_logic;
signal ready_for_next_cmd_tlast_cdc_from : std_logic;
signal ready_for_next_cmd_tlast_cdc_to : std_logic;
signal ready_for_next_cmd_tlast_cdc : std_logic;
-- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc_to : SIGNAL IS "true";
-- ATTRIBUTE async_reg OF ready_for_next_cmd_tlast_cdc : SIGNAL IS "true";
signal tmp1, tmp2, tmp3, tmp4 : std_logic;
signal tlast_int : std_logic;
signal eof_bit : std_logic;
signal eof_bit_cdc_from : std_logic;
signal eof_bit_cdc_to : std_logic;
signal eof_bit_cdc : std_logic;
signal eof_set : std_logic;
signal over_ns, over : std_logic;
signal cmd_in : std_logic;
signal status_out_int : std_logic_vector (C_DM_STATUS_WIDTH-1 downto 0);
begin
s_axis_cmd_tvalid_s <= command_valid;
command_ready <= s_axis_cmd_tready_s;
s_axis_cmd_tdata_s <= command (103+(C_ADDR_WIDTH-32) downto 96+(C_ADDR_WIDTH-32)) & command (71+(C_ADDR_WIDTH-32) downto 0);
REGISTER_STATE_MM2S : process(clock)
begin
if(clock'EVENT and clock = '1')then
if(sgresetn = '0')then
mm2s_cs <= IDLE;
cmd_proc_cdc_from <= '0';
cmd_out <= '0';
command <= (others => '0');
command_valid <= '0';
split_out <= '0';
over <= '0';
else
mm2s_cs <= mm2s_ns;
cmd_proc_cdc_from <= cmd_proc_ns;
cmd_out <= cmd_out_ns;
command <= command_ns;
command_valid <= command_valid_ns;
split_out <= split_out_ns;
over <= over_ns;
end if;
end if;
end process REGISTER_STATE_MM2S;
-- grab the MM2S command coming from MM2S_mngr
REGISTER_MM2S_CMD : process(clock)
begin
if(clock'EVENT and clock = '1')then
if(sgresetn = '0')then
mm2s_cmd <= (others => '0');
s_axis_cmd_tready <= '0';
cache_info <= (others => '0');
vsize_data <= (others => '0');
vsize_data_int <= (others => '0');
stride_data <= (others => '0');
eof_bit_cdc_from <= '0';
cmd_in <= '0';
elsif (s_axis_cmd_tvalid = '1' and ready_for_next_cmd = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd_tlast_cdc = '1') then -- when there is no processing being done, means it is ready to accept
mm2s_cmd <= s_axis_cmd_tdata;
s_axis_cmd_tready <= '1';
cache_info <= s_axis_cmd_tdata (149+(C_ADDR_WIDTH-32) downto 118+(C_ADDR_WIDTH-32));
vsize_data <= s_axis_cmd_tdata (117+(C_ADDR_WIDTH-32) downto 95+(C_ADDR_WIDTH-32));
vsize_data_int <= s_axis_cmd_tdata (117+(C_ADDR_WIDTH-32) downto 95+(C_ADDR_WIDTH-32)) - '1';
stride_data <= s_axis_cmd_tdata (94+(C_ADDR_WIDTH-32) downto 72+(C_ADDR_WIDTH-32));
eof_bit_cdc_from <= s_axis_cmd_tdata (30);
cmd_in <= '1';
else
mm2s_cmd <= mm2s_cmd; --split_cmd;
vsize_data <= vsize_data;
vsize_data_int <= vsize_data_int;
stride_data <= stride_data;
cache_info <= cache_info;
s_axis_cmd_tready <= '0';
eof_bit_cdc_from <= eof_bit_cdc_from;
cmd_in <= '0';
end if;
end if;
end process REGISTER_MM2S_CMD;
REGISTER_DECR_VSIZE : process(clock)
begin
if(clock'EVENT and clock = '1')then
if(sgresetn = '0')then
vsize <= "00000000000000000000000";
elsif (command_valid = '1' and command_ready = '1' and (vsize < vsize_data_int)) then -- sending a cmd out to DM
vsize <= vsize + '1';
elsif (cmd_proc_cdc_from = '0') then -- idle or when all cmd are sent to DM
vsize <= "00000000000000000000000";
else
vsize <= vsize;
end if;
end if;
end process REGISTER_DECR_VSIZE;
vsize_over <= '1' when (vsize = vsize_data_int) else '0';
-- eof_set <= eof_bit when (vsize = vsize_data_int) else '0';
REGISTER_SPLIT : process(clock)
begin
if(clock'EVENT and clock = '1')then
if(sgresetn = '0')then
split_cmd <= (others => '0');
elsif (s_axis_cmd_tvalid = '1' and cmd_proc_cdc_from = '0' and ready_for_next_cmd = '1' and ready_for_next_cmd_tlast_cdc = '1') then
split_cmd <= s_axis_cmd_tdata (63+(C_ADDR_WIDTH-32) downto 32); -- capture the ba when a new cmd arrives
elsif (split_out = '1') then -- add stride to previous ba
split_cmd <= split_cmd + stride_data;
else
split_cmd <= split_cmd;
end if;
end if;
end process REGISTER_SPLIT;
MM2S_MACHINE : process(mm2s_cs,
s_axis_cmd_tvalid,
cmd_proc_cdc_from,
vsize_over, command_ready,
cache_info, mm2s_cmd,
split_cmd, eof_set,
cmd_in, command
)
begin
over_ns <= '0';
cmd_proc_ns <= '0'; -- ready to receive new command
split_out_ns <= '0';
command_valid_ns <= '0';
mm2s_ns <= mm2s_cs;
command_ns <= command;
-- Default signal assignment
case mm2s_cs is
-------------------------------------------------------------------
when IDLE =>
command_ns <= cache_info & mm2s_cmd (72+(C_ADDR_WIDTH-32) downto 65+(C_ADDR_WIDTH-32)) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same
-- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same
if (cmd_in = '1' and cmd_proc_cdc_from = '0') then
cmd_proc_ns <= '1'; -- new command has come in and i need to start processing
mm2s_ns <= SEND;
over_ns <= '0';
split_out_ns <= '1';
command_valid_ns <= '1';
else
mm2s_ns <= IDLE;
over_ns <= '0';
cmd_proc_ns <= '0'; -- ready to receive new command
split_out_ns <= '0';
command_valid_ns <= '0';
end if;
-------------------------------------------------------------------
when SEND =>
cmd_out_ns <= '1';
command_ns <= command;
if (vsize_over = '1' and command_ready = '1') then
mm2s_ns <= IDLE;
cmd_proc_ns <= '1';
command_valid_ns <= '0';
split_out_ns <= '0';
over_ns <= '1';
elsif (command_ready = '0') then --(command_valid = '1' and command_ready = '0') then
mm2s_ns <= SEND;
command_valid_ns <= '1';
cmd_proc_ns <= '1';
split_out_ns <= '0';
over_ns <= '0';
else
mm2s_ns <= SPLIT;
command_valid_ns <= '0';
cmd_proc_ns <= '1';
over_ns <= '0';
split_out_ns <= '0';
end if;
-------------------------------------------------------------------
when SPLIT =>
cmd_proc_ns <= '1';
mm2s_ns <= SEND;
command_ns <= cache_info & mm2s_cmd (72+(C_ADDR_WIDTH-32) downto 65+(C_ADDR_WIDTH-32)) & split_cmd & mm2s_cmd (31) & eof_set & mm2s_cmd (29 downto 0); -- buf length remains the same
-- command_ns <= cache_info & mm2s_cmd (72 downto 65) & split_cmd & mm2s_cmd (31 downto 0); -- buf length remains the same
cmd_out_ns <= '0';
split_out_ns <= '1';
command_valid_ns <= '1';
-------------------------------------------------------------------
-- coverage off
when others =>
mm2s_ns <= IDLE;
-- coverage on
end case;
end process MM2S_MACHINE;
SWALLOW_TVALID : process(clock)
begin
if(clock'EVENT and clock = '1')then
if(sgresetn = '0')then
counter <= (others => '0');
-- tvalid_unsplit_int <= '0';
reset_lock <= '1';
ready_for_next_cmd <= '0';
elsif (vsize_data_int = "00000000000000000000000") then
-- tvalid_unsplit_int <= '0';
ready_for_next_cmd <= '1';
reset_lock <= '0';
elsif ((tvalid_from_datamover = '1') and (counter < vsize_data_int)) then
counter <= counter + '1';
-- tvalid_unsplit_int <= '0';
ready_for_next_cmd <= '0';
reset_lock <= '0';
elsif ((counter = vsize_data_int) and (reset_lock = '0') and (tvalid_from_datamover = '1')) then
counter <= (others => '0');
-- tvalid_unsplit_int <= '1';
ready_for_next_cmd <= '1';
else
counter <= counter;
-- tvalid_unsplit_int <= '0';
if (cmd_proc_cdc_from = '1') then
ready_for_next_cmd <= '0';
else
ready_for_next_cmd <= ready_for_next_cmd;
end if;
end if;
end if;
end process SWALLOW_TVALID;
tvalid_unsplit_int <= tvalid_from_datamover when (counter = vsize_data_int) else '0'; --tvalid_unsplit_int;
SWALLOW_TDATA : process(clock)
begin
if(clock'EVENT and clock = '1')then
if (sgresetn = '0' or cmd_in = '1') then
tvalid_unsplit <= '0';
status_out_int <= (others => '0');
else
tvalid_unsplit <= tvalid_unsplit_int;
if (tvalid_from_datamover = '1') then
status_out_int (C_DM_STATUS_WIDTH-2 downto 0) <= status_in (C_DM_STATUS_WIDTH-2 downto 0) or status_out_int (C_DM_STATUS_WIDTH-2 downto 0);
else
status_out_int <= status_out_int;
end if;
if (tvalid_unsplit_int = '1') then
status_out_int (C_DM_STATUS_WIDTH-1) <= status_in (C_DM_STATUS_WIDTH-1);
end if;
end if;
end if;
end process SWALLOW_TDATA;
status_out <= status_out_int;
SWALLOW_TLAST_GEN : if C_INCLUDE_S2MM = 0 generate
begin
eof_set <= '1'; --eof_bit when (vsize = vsize_data_int) else '0';
CDC_CMD_PROC1 : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => cmd_proc_cdc_from,
prmry_vect_in => (others => '0'),
scndry_aclk => clock_sec,
scndry_resetn => '0',
scndry_out => cmd_proc_cdc,
scndry_vect_out => open
);
CDC_CMD_PROC2 : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => eof_bit_cdc_from,
prmry_vect_in => (others => '0'),
scndry_aclk => clock_sec,
scndry_resetn => '0',
scndry_out => eof_bit_cdc,
scndry_vect_out => open
);
CDC_CMD_PROC : process (clock_sec)
begin
if (clock_sec'EVENT and clock_sec = '1') then
if (aresetn = '0') then
-- cmd_proc_cdc_to <= '0';
-- cmd_proc_cdc <= '0';
-- eof_bit_cdc_to <= '0';
-- eof_bit_cdc <= '0';
ready_for_next_cmd_tlast_cdc_from <= '0';
else
-- cmd_proc_cdc_to <= cmd_proc_cdc_from;
-- cmd_proc_cdc <= cmd_proc_cdc_to;
-- eof_bit_cdc_to <= eof_bit_cdc_from;
-- eof_bit_cdc <= eof_bit_cdc_to;
ready_for_next_cmd_tlast_cdc_from <= ready_for_next_cmd_tlast;
end if;
end if;
end process CDC_CMD_PROC;
CDC_CMDTLAST_PROC : entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => ready_for_next_cmd_tlast_cdc_from,
prmry_vect_in => (others => '0'),
scndry_aclk => clock,
scndry_resetn => '0',
scndry_out => ready_for_next_cmd_tlast_cdc,
scndry_vect_out => open
);
--CDC_CMDTLAST_PROC : process (clock)
-- begin
-- if (clock'EVENT and clock = '1') then
-- if (sgresetn = '0') then
-- ready_for_next_cmd_tlast_cdc_to <= '0';
-- ready_for_next_cmd_tlast_cdc <= '0';
-- else
-- ready_for_next_cmd_tlast_cdc_to <= ready_for_next_cmd_tlast_cdc_from;
-- ready_for_next_cmd_tlast_cdc <= ready_for_next_cmd_tlast_cdc_to;
-- end if;
-- end if;
--end process CDC_CMDTLAST_PROC;
SWALLOW_TLAST : process(clock_sec)
begin
if(clock_sec'EVENT and clock_sec = '1')then
if(aresetn = '0')then
counter_tlast <= (others => '0');
tlast_stream_data_int <= '0';
reset_lock_tlast <= '1';
ready_for_next_cmd_tlast <= '1';
elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and vsize_data_int = "00000000000000000000000") then
tlast_stream_data_int <= '0';
ready_for_next_cmd_tlast <= '1';
reset_lock_tlast <= '0';
elsif ((tlast_stream_data = '1' and tready_stream_data = '1') and (counter_tlast < vsize_data_int)) then
counter_tlast <= counter_tlast + '1';
tlast_stream_data_int <= '0';
ready_for_next_cmd_tlast <= '0';
reset_lock_tlast <= '0';
elsif ((counter_tlast = vsize_data_int) and (reset_lock_tlast = '0') and (tlast_stream_data = '1' and tready_stream_data = '1')) then
counter_tlast <= (others => '0');
tlast_stream_data_int <= '1';
ready_for_next_cmd_tlast <= '1';
else
counter_tlast <= counter_tlast;
tlast_stream_data_int <= '0';
if (cmd_proc_cdc = '1') then
ready_for_next_cmd_tlast <= '0';
else
ready_for_next_cmd_tlast <= ready_for_next_cmd_tlast;
end if;
end if;
end if;
end process SWALLOW_TLAST;
tlast_unsplit <= tlast_stream_data when (counter_tlast = vsize_data_int and eof_bit_cdc = '1') else '0';
tlast_unsplit_user <= tlast_stream_data when (counter_tlast = vsize_data_int) else '0';
-- tlast_unsplit <= tlast_stream_data; -- when (counter_tlast = vsize_data_int) else '0';
end generate SWALLOW_TLAST_GEN;
SWALLOW_TLAST_GEN_S2MM : if C_INCLUDE_S2MM = 1 generate
begin
eof_set <= eof_bit_cdc_from;
ready_for_next_cmd_tlast_cdc <= '1';
end generate SWALLOW_TLAST_GEN_S2MM;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0.vhd
|
9
|
10258
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GE/el8KE9UhWZHmcuNdGyXUldPY+TAs3XPXqfrrcY9NFJCQrS8TtzzoaVhMpppi7WgvraKpIoYwf
cxYKGZ/oVg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G1TbWO8EE7epnvrAByvIXIxkrY8Xc3SYEbMeyq4W7TnkPSrxt4bSeYVOjY9CE6Pur0DPxwvn2LKo
AB4cWP7eJA+kbhHYaBZKQ0ilsRLNb4WdIXRC/zdnbHjUUARINtQy3a6QV8VmpPle7IEOWRmTFtmc
vr8IUyBGd7PXg5QJjxs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ni6Rn7YjdvxEAT0V7l3gwRM1u0AVtpcMWo6AobWHkFD1ut9VWjiJyUHA2ZkRLe4fNjXH708h/P1P
kmEAkb/46gTsJ0xIIkOju607tm98BLh0s4zbCL8gb/yO9hzdCzZWvcgaRHml7c807DlI3BUUERpy
2Vi30L6eX5mSSkKd0gixFNr/XJYrcZAU16fqX2ZVdceI8Yv8WWAFKMvHCgovxT+K9NUqmZBOBfgj
EG6xhgfdW30Nv7WOsczTpQxkQLuYQC5Dzyy8Jhgud4z0O+2kOABJ/RYQDchNL/2fdS6cMR1aoz3C
3AkN8aU/xq3mGjhGkuJdcsUWb0LR0FCRWylgJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KywWqJLSAtIzYc9pTqNoITlqgwOKgUDMrKliEHUfR90Cq/8KXp7j0+tcgvd73u9MWA5hoD2T2Yef
N/ZNFUuDoiiwZqZExVC209TJNoeX5clBcrRwglMgTomEyaEoBBuQ4aKYSXJfGWhdu/Yv7ekrT+Bn
43zSW+Gbp4YCwj4M6GQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ggRZhxn3qfYJRbdsxiJqXzE9VvrK/O8L6YqyTpmY99JuW4ChzOjQUPf+Tn/XSRtNxD9T5Ayi9x3N
GIqHPaMp7Sqty0rWN4/KdS9OUS3IO4oI2cBTldVuKqLFeMXzzVOwLYOQMnsOeBUvt/hqpFAr3Cf1
yLcpOLoh8/U42BRcVDdLvw2OjEHShcwv5zxfyuGIoemYSncXlTNp4JkXW8PYkaFBAmin0Tkt71By
33ZdNpobepp0bEEO2kQT6g67zE1NDHOomBBirupS+kMb0D96kBFyLc7nIJCnqVsPf5P5zLgFCFUs
J7XLUBWsM1YKQ7kqRJ4ZRv2H6FSgWqHbAw4gzA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5856)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/hdl/vhdl/tri_intersect_fsub_32ns_32ns_32_9_full_dsp.vhd
|
4
|
3390
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.1
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity tri_intersect_fsub_32ns_32ns_32_9_full_dsp is
generic (
ID : integer := 0;
NUM_STAGE : integer := 9;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of tri_intersect_fsub_32ns_32ns_32_9_full_dsp is
--------------------- Component ---------------------
component tri_intersect_ap_fsub_7_full_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
tri_intersect_ap_fsub_7_full_dsp_32_u : component tri_intersect_ap_fsub_7_full_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1;
b_tvalid <= '1';
b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_updt_cmdsts_if.vhd
|
5
|
12100
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_cmdsts_if.vhd
-- Description: This entity is the descriptor update command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_cmdsts_if is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Update command write interface from fetch sm --
updt_cmnd_wr : in std_logic ; --
updt_cmnd_data : in std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_updt_cmd_tvalid : out std_logic ; --
s_axis_updt_cmd_tready : in std_logic ; --
s_axis_updt_cmd_tdata : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_updt_sts_tvalid : in std_logic ; --
m_axis_updt_sts_tready : out std_logic ; --
m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; --
--
-- Scatter Gather Fetch Status --
s2mm_err : in std_logic ; --
updt_done : out std_logic ; --
updt_error : out std_logic ; --
updt_interr : out std_logic ; --
updt_slverr : out std_logic ; --
updt_decerr : out std_logic --
);
end axi_sg_updt_cmdsts_if;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_cmdsts_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal updt_slverr_i : std_logic := '0';
signal updt_decerr_i : std_logic := '0';
signal updt_interr_i : std_logic := '0';
signal s2mm_error : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
updt_slverr <= updt_slverr_i;
updt_decerr <= updt_decerr_i;
updt_interr <= updt_interr_i;
-------------------------------------------------------------------------------
-- DataMover Command Interface
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- When command by fetch sm, drive descriptor update command to data mover.
-- Hold until data mover indicates ready.
-------------------------------------------------------------------------------
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s_axis_updt_cmd_tvalid <= '0';
-- s_axis_updt_cmd_tdata <= (others => '0');
elsif(updt_cmnd_wr = '1')then
s_axis_updt_cmd_tvalid <= '1';
-- s_axis_updt_cmd_tdata <= updt_cmnd_data;
elsif(s_axis_updt_cmd_tready = '1')then
s_axis_updt_cmd_tvalid <= '0';
-- s_axis_updt_cmd_tdata <= (others => '0');
end if;
end if;
end process GEN_DATAMOVER_CMND;
s_axis_updt_cmd_tdata <= updt_cmnd_data;
-------------------------------------------------------------------------------
-- DataMover Status Interface
-------------------------------------------------------------------------------
-- Drive ready low during reset to indicate not ready
REG_STS_READY : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
m_axis_updt_sts_tready <= '0';
else
m_axis_updt_sts_tready <= '1';
end if;
end if;
end process REG_STS_READY;
-------------------------------------------------------------------------------
-- Log status bits out of data mover.
-------------------------------------------------------------------------------
DATAMOVER_STS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_slverr_i <= '0';
updt_decerr_i <= '0';
updt_interr_i <= '0';
-- Status valid, therefore capture status
elsif(m_axis_updt_sts_tvalid = '1')then
updt_slverr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT);
updt_decerr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT);
updt_interr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT);
-- Only assert when valid
else
updt_slverr_i <= '0';
updt_decerr_i <= '0';
updt_interr_i <= '0';
end if;
end if;
end process DATAMOVER_STS;
-------------------------------------------------------------------------------
-- Transfer Done
-------------------------------------------------------------------------------
XFER_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_done <= '0';
-- Status valid, therefore capture status
elsif(m_axis_updt_sts_tvalid = '1')then
updt_done <= m_axis_updt_sts_tdata(DATAMOVER_STS_CMDDONE_BIT)
or m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT)
or m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT)
or m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT);
-- Only assert when valid
else
updt_done <= '0';
end if;
end if;
end process XFER_DONE;
-------------------------------------------------------------------------------
-- Register global error from data mover.
-------------------------------------------------------------------------------
s2mm_error <= updt_slverr_i or updt_decerr_i or updt_interr_i;
-- Log errors into a global error output
UPDATE_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error <= '0';
elsif(s2mm_error = '1')then
updt_error <= '1';
end if;
end if;
end process UPDATE_ERROR_PROCESS;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_skid_buf.vhd
|
13
|
18142
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_skid_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_sg_skid_buf is
generic (
C_WDATA_WIDTH : INTEGER := 32
-- Width of the Stream Data bus (in bits)
);
port (
-- Clock and Reset Inputs ---------------------------------------------
aclk : In std_logic ; --
arst : In std_logic ; --
-----------------------------------------------------------------------
-- Shutdown control (assert for 1 clk pulse) --------------------------
--
skid_stop : In std_logic ; --
-----------------------------------------------------------------------
-- Slave Side (Stream Data Input) -------------------------------------
s_valid : In std_logic ; --
s_ready : Out std_logic ; --
s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); --
s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); --
s_last : In std_logic ; --
-----------------------------------------------------------------------
-- Master Side (Stream Data Output ------------------------------------
m_valid : Out std_logic ; --
m_ready : In std_logic ; --
m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); --
m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); --
m_last : Out std_logic --
-----------------------------------------------------------------------
);
end entity axi_sg_skid_buf;
architecture implementation of axi_sg_skid_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_stop_request : std_logic := '0';
signal sig_stopped : std_logic := '0';
signal sig_sready_stop : std_logic := '0';
signal sig_sready_early_stop : std_logic := '0';
signal sig_sready_stop_set : std_logic := '0';
signal sig_sready_stop_reg : std_logic := '0';
signal sig_mvalid_stop_reg : std_logic := '0';
signal sig_mvalid_stop : std_logic := '0';
signal sig_mvalid_early_stop : std_logic := '0';
signal sig_mvalid_stop_set : std_logic := '0';
signal sig_slast_with_stop : std_logic := '0';
signal sig_sstrb_stop_mask : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_sstrb_with_stop : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
m_valid <= sig_m_valid_out;
s_ready <= sig_s_ready_out;
m_strb <= sig_strb_reg_out;
m_last <= sig_last_reg_out;
m_data <= sig_data_reg_out;
-- Special shutdown logic version od Slast.
-- A halt request forces a tlast through the skig buffer
sig_slast_with_stop <= s_last or sig_stop_request;
sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask;
-- Assign the special s_ready FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup);
-- Generate the skid input register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else s_data;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_sstrb_with_stop;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_slast_with_stop;
-- m_valid combinational logic
sig_m_valid_comb <= s_valid or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(m_ready)));
-- s_ready combinational logic
sig_s_ready_comb <= m_ready or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(s_valid)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
sig_reset_reg <= ARST;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: S_READY_FLOP
--
-- Process Description:
-- Registers s_ready handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
S_READY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_sready_stop = '1' or
sig_sready_early_stop = '1') then -- Special stop condition
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process S_READY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: M_VALID_FLOP
--
-- Process Description:
-- Registers m_valid handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
M_VALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA
sig_mvalid_stop = '1' or
sig_mvalid_stop_set = '1') then -- Special stop condition
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process M_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_REG
--
-- Process Description:
-- This process implements the output registers for the
-- Skid Buffer Data signals
--
-------------------------------------------------------------
SKID_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_data_skid_reg <= (others => '0');
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_data_skid_reg <= s_data;
sig_strb_skid_reg <= sig_sstrb_with_stop;
sig_last_skid_reg <= sig_slast_with_stop;
else
null; -- hold current state
end if;
end if;
end process SKID_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_REG
--
-- Process Description:
-- This process implements the output registers for the
-- Skid Buffer Data signals
--
-------------------------------------------------------------
OUTPUT_REG : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1' or
sig_mvalid_stop_reg = '1') then
sig_data_reg_out <= (others => '0');
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_REG;
-------- Special Stop Logic --------------------------------------
sig_sready_stop <= sig_sready_stop_reg;
sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately
sig_sready_stop_set <= sig_sready_early_stop;
sig_mvalid_stop <= sig_mvalid_stop_reg;
sig_mvalid_early_stop <= sig_m_valid_dup and
m_ready and
skid_stop;
sig_mvalid_stop_set <= sig_mvalid_early_stop or
(sig_stop_request and
not(sig_m_valid_dup)) or
(sig_m_valid_dup and
m_ready and
sig_stop_request);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_STOP_REQ_FLOP
--
-- Process Description:
-- This process implements the Stop request flop. It is a
-- sample and hold register that can only be cleared by reset.
--
-------------------------------------------------------------
IMP_STOP_REQ_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_stop_request <= '0';
sig_sstrb_stop_mask <= (others => '0');
elsif (skid_stop = '1') then
sig_stop_request <= '1';
sig_sstrb_stop_mask <= (others => '1');
else
null; -- hold current state
end if;
end if;
end process IMP_STOP_REQ_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_SREADY_FLOP
--
-- Process Description:
-- This process implements the flag to clear the s_ready
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_SREADY_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_sready_stop_reg <= '0';
elsif (sig_sready_stop_set = '1') then
sig_sready_stop_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_SREADY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_MVALID_FLOP
--
-- Process Description:
-- This process implements the flag to clear the m_valid
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_MVALID_FLOP : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARST = '1') then
sig_mvalid_stop_reg <= '0';
elsif (sig_mvalid_stop_set = '1') then
sig_mvalid_stop_reg <= '1';
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_MVALID_FLOP;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fsub_7_full_dsp_32/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0.vhd
|
13
|
7774
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ixyOv8Pmp3S61di4DQ6x5jA6IQZ0VaPGFUSTXTTniEX8J/GPlhxRmszVLTBCjyCoPRPbr93iqRTQ
AZ7oFvQqgA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQ6ysyeEWGf76isegQ4+74xXTeIi4tkltnr0qsbqsrsP6dOX/fMWPSAoN4CkTpW2nS1DJ+cEMO9n
IvETV0bv19ngFn3J3bR+3VREvm5lYhGgvw73ucJdTtlPEI09kCV0TTxRV6UbDpTMcVeKTw0e4fur
C/y+uBFR750wd9EtTfE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VAljLJLuoaKQYJuxPbK+ow8VXIwnDDOPzu8z98B6ChPXQekK/jmOUD5wNdGr8/jp4etsy17p31Ay
3pmhJKxFG2Py9Ft4mhmDKM0r81jZCIETC8dddusE4vGnJzn3KJVnFIgHg9lm9AilXlT9PAWe3WFa
W6iUw4c3XAfMnUAHYFAP3TZKDq+dT3hHZ+NpTawvOdZQzUcTSg1YzUpqduQvqGRP0dcgcD3W5VP+
SS8FQPLxA5iIgDmKMXpLxN4hojXJQ1UgP80zibBUuxi4VpqA2WS3Bkfo1y5axARvo8eE1wNnKsAx
vrwi9X54QLsn7vH7IJhZTOdbVy4ihG6vrjkG/Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tc4EwJHO7MGl5EWOPnrRshzWgnfuDqLINOLHF58Bpw/QwCUWLSLACuzE1G9prQtObyp0MBwxGPsN
9sSc4a891tzwdGIFhHIt5dvTMJVp4BxG+OEuta/qzrA6SmcOW1X2YcTWnbAcsr+DFVqjYt+tswr1
qzPu5OEUKVv67GUQlu0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cgWYPqfFogDbUrcMtbzX7tO8eOqiHJ5319TNcYTxFPi6743IsBD8KMmJXfNGImBA0pxiiGX0f6bi
G7/klu77NAGqigY0+OX9AHqFDiR1QuMjJnOUAazHYVlKh9MkFaGNPTKSE6z9UoIWbaxSPb7ZFWy6
zFdFjVbuwNdtMKf1EklqhxXiBluJiDfdmDqiFILYgbii2nHjONa3AaFzDF6StmiXgauReWRPuS9/
bv4WZbrLf5NG3RBctYbWh/NrX3GjMp5nHYcB02wYa1j0cI9s4XsM6WQkPNXA3sicD7wdpu1KUD3D
Nthz3bIthiEdI4I2rGlzJt/5Yr+OvHtNQ/O6Lw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016)
`protect data_block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`protect end_protected
|
mit
|
justingallagher/fpga-trace
|
design/raytracer_design.srcs/sources_1/ipshared/xilinx.com/axi_sg_v4_1/950a27d1/hdl/src/vhdl/axi_sg_ftch_pntr.vhd
|
4
|
22217
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_pntr.vhd
-- Description: This entity manages descriptor pointers and determine scatter
-- gather idle mode.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_pntr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1 ;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
nxtdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
------------------------------- --
-- CHANNEL 1 --
------------------------------- --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --CR568950 --
--
-- CURDESC update to fetch pointer on run/stop assertion --
ch1_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- TAILDESC update on CPU write (from axi_dma_reg_module) --
ch1_tailpntr_enabled : in std_logic ; --
ch1_taildesc_wren : in std_logic ; --
ch1_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) --
ch1_nxtdesc_wren : in std_logic ; --
--
-- Current address of descriptor to fetch --
ch1_fetch_address : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_sg_idle : out std_logic ; --
--
------------------------------- --
-- CHANNEL 2 --
------------------------------- --
ch2_run_stop : in std_logic ; --
ch2_desc_flush : in std_logic ;--CR568950 --
ch2_eof_detected : in std_logic ; --
--
-- CURDESC update to fetch pointer on run/stop assertion --
ch2_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- TAILDESC update on CPU write (from axi_dma_reg_module) --
ch2_tailpntr_enabled : in std_logic ; --
ch2_taildesc_wren : in std_logic ; --
ch2_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
tail_updt : in std_logic;
tail_updt_latch : out std_logic;
ch2_updt_done : in std_logic;
--
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) --
ch2_nxtdesc_wren : in std_logic ; --
--
-- Current address of descriptor to fetch --
ch2_fetch_address : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_sg_idle : out std_logic ; --
bd_eq : out std_logic
);
end axi_sg_ftch_pntr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_pntr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ch1_run_stop_d1 : std_logic := '0';
signal ch1_run_stop_re : std_logic := '0';
signal ch1_use_crntdesc : std_logic := '0';
signal ch1_fetch_address_i : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ch2_run_stop_d1 : std_logic := '0';
signal ch2_run_stop_re : std_logic := '0';
signal ch2_use_crntdesc : std_logic := '0';
signal ch2_fetch_address_i : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal first : std_logic;
signal eof_latch : std_logic;
signal ch2_sg_idle_int : std_logic;
attribute mark_debug of ch1_fetch_address_i : signal is "true";
attribute mark_debug of ch2_fetch_address_i : signal is "true";
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Channel 1 is included therefore generate pointer logic
GEN_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 1 generate
begin
GEN_RUNSTOP_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_run_stop_d1 <= '0';
else
ch1_run_stop_d1 <= ch1_run_stop;
end if;
end if;
end process GEN_RUNSTOP_RE;
ch1_run_stop_re <= ch1_run_stop and not ch1_run_stop_d1;
---------------------------------------------------------------------------
-- At setting of run/stop need to use current descriptor pointer therefor
-- flag for use
---------------------------------------------------------------------------
GEN_INIT_PNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch1_nxtdesc_wren = '1')then
ch1_use_crntdesc <= '0';
elsif(ch1_run_stop_re = '1')then
ch1_use_crntdesc <= '1';
end if;
end if;
end process GEN_INIT_PNTR;
---------------------------------------------------------------------------
-- Register Current Fetch Address. During start (run/stop asserts) reg
-- curdesc pointer from register module. Once running use nxtdesc pointer.
---------------------------------------------------------------------------
REG_FETCH_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_fetch_address_i <= (others => '0');
-- On initial tail pointer write use current desc pointer
elsif(ch1_use_crntdesc = '1' and ch1_nxtdesc_wren = '0')then
ch1_fetch_address_i <= ch1_curdesc;
-- On desriptor fetch capture next pointer
elsif(ch1_nxtdesc_wren = '1')then
ch1_fetch_address_i <= nxtdesc;
end if;
end if;
end process REG_FETCH_ADDRESS;
-- Pass address out of module
-- Addresses are always 16 word 32-bit aligned
ch1_fetch_address <= ch1_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000";
---------------------------------------------------------------------------
-- Compair tail descriptor pointer to scatter gather engine current
-- descriptor pointer. Set idle if matched. Only check if DMA engine
-- is running and current descriptor is in process of being fetched. This
-- forces at least 1 descriptor fetch before checking for IDLE condition.
---------------------------------------------------------------------------
COMPARE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- SG is IDLE on reset and on stop.
--CR568950 - reset idlag on descriptor flush
--if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0')then
if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0' or ch1_desc_flush = '1')then
ch1_sg_idle <= '1';
-- taildesc_wren must be in this 'if' to force a minimum
-- of 1 clock of sg_idle = '0'.
elsif(ch1_taildesc_wren = '1' or ch1_tailpntr_enabled = '0')then
ch1_sg_idle <= '0';
-- Descriptor at fetch_address is being fetched (wren=1)
-- therefore safe to check if tail matches the fetch address
elsif(ch1_nxtdesc_wren = '1'
and ch1_taildesc = ch1_fetch_address_i)then
ch1_sg_idle <= '1';
end if;
end if;
end process COMPARE_ADDRESS;
end generate GEN_PNTR_FOR_CH1;
-- Channel 1 is NOT included therefore tie off pointer logic
GEN_NO_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 0 generate
begin
ch1_fetch_address <= (others =>'0');
ch1_sg_idle <= '0';
end generate GEN_NO_PNTR_FOR_CH1;
-- Channel 2 is included therefore generate pointer logic
GEN_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 1 generate
begin
---------------------------------------------------------------------------
-- Create clock delay of run_stop in order to generate a rising edge pulse
---------------------------------------------------------------------------
GEN_RUNSTOP_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_run_stop_d1 <= '0';
else
ch2_run_stop_d1 <= ch2_run_stop;
end if;
end if;
end process GEN_RUNSTOP_RE;
ch2_run_stop_re <= ch2_run_stop and not ch2_run_stop_d1;
---------------------------------------------------------------------------
-- At setting of run/stop need to use current descriptor pointer therefor
-- flag for use
---------------------------------------------------------------------------
GEN_INIT_PNTR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_nxtdesc_wren = '1')then
ch2_use_crntdesc <= '0';
elsif(ch2_run_stop_re = '1')then
ch2_use_crntdesc <= '1';
end if;
end if;
end process GEN_INIT_PNTR;
---------------------------------------------------------------------------
-- Register Current Fetch Address. During start (run/stop asserts) reg
-- curdesc pointer from register module. Once running use nxtdesc pointer.
---------------------------------------------------------------------------
REG_FETCH_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_fetch_address_i <= (others => '0');
-- On initial tail pointer write use current desc pointer
elsif((ch2_use_crntdesc = '1' and ch2_nxtdesc_wren = '0'))then
ch2_fetch_address_i <= ch2_curdesc;
-- On descirptor fetch capture next pointer
elsif(ch2_nxtdesc_wren = '1')then
ch2_fetch_address_i <= nxtdesc;
end if;
end if;
end process REG_FETCH_ADDRESS;
-- Pass address out of module
-- Addresses are always 16 word 32-bit aligned
ch2_fetch_address <= ch2_fetch_address_i (C_M_AXI_SG_ADDR_WIDTH-1 downto 6) & "000000";
---------------------------------------------------------------------------
-- Compair tail descriptor pointer to scatter gather engine current
-- descriptor pointer. Set idle if matched. Only check if DMA engine
-- is running and current descriptor is in process of being fetched. This
-- forces at least 1 descriptor fetch before checking for IDLE condition.
---------------------------------------------------------------------------
COMPARE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- SG is IDLE on reset and on stop.
--CR568950 - reset idlag on descriptor flush
--if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0')then
if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1' or ch2_eof_detected = '1')then
ch2_sg_idle <= '1';
ch2_sg_idle_int <= '1';
-- taildesc_wren must be in this 'if' to force a minimum
-- of 1 clock of sg_idle = '0'.
elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then
ch2_sg_idle <= '0';
ch2_sg_idle_int <= '0';
-- Descriptor at fetch_address is being fetched (wren=1)
-- therefore safe to check if tail matches the fetch address
elsif(ch2_nxtdesc_wren = '1'
and ch2_taildesc = ch2_fetch_address_i)then
ch2_sg_idle <= '1';
ch2_sg_idle_int <= '1';
end if;
end if;
end process COMPARE_ADDRESS;
-- Needed for multi channel
EOF_LATCH_PROC : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_taildesc_wren = '1' or eof_latch = '1')then -- nned to have some reset condition here
eof_latch <= '0';
elsif (ch2_sg_idle_int = '1' and ch2_updt_done = '1') then
eof_latch <= '1';
end if;
end if;
end process EOF_LATCH_PROC;
TAILUPDT_LATCH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or eof_latch = '1')then -- nned to have some reset condition here
tail_updt_latch <= '0';
first <= '0';
elsif (tail_updt = '1') then
tail_updt_latch <= '0';
elsif(ch2_taildesc_wren = '1' and first = '0')then
first <= '1';
elsif(ch2_taildesc_wren = '1' and first = '1')then
tail_updt_latch <= '1';
end if;
end if;
end process TAILUPDT_LATCH;
EQUAL_BD : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1')then
bd_eq <= '0';
elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then
bd_eq <= '0';
elsif(ch2_nxtdesc_wren = '1'
and ch2_taildesc = ch2_fetch_address_i)then
bd_eq <= '1';
end if;
end if;
end process EQUAL_BD;
end generate GEN_PNTR_FOR_CH2;
-- Channel 2 is NOT included therefore tie off pointer logic
GEN_NO_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 0 generate
begin
ch2_fetch_address <= (others =>'0');
ch2_sg_idle <= '0';
tail_updt_latch <= '0';
bd_eq <= '0';
end generate GEN_NO_PNTR_FOR_CH2;
end implementation;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/tmp.srcs/sources_1/ip/tri_intersect_ap_fdiv_28_no_dsp_32/sim/tri_intersect_ap_fdiv_28_no_dsp_32.vhd
|
1
|
10720
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.0
-- IP Revision: 8
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_0;
USE floating_point_v7_0.floating_point_v7_0;
ENTITY tri_intersect_ap_fdiv_28_no_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END tri_intersect_ap_fdiv_28_no_dsp_32;
ARCHITECTURE tri_intersect_ap_fdiv_28_no_dsp_32_arch OF tri_intersect_ap_fdiv_28_no_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fdiv_28_no_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_0
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 1,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 28,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END tri_intersect_ap_fdiv_28_no_dsp_32_arch;
|
mit
|
justingallagher/fpga-trace
|
hls/triangle_intersect/tri_intersect/impl/ip/hdl/ip/tri_intersect_ap_fsub_7_full_dsp_32.vhd
|
3
|
12691
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.0
-- IP Revision: 8
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_0;
USE floating_point_v7_0.floating_point_v7_0;
ENTITY tri_intersect_ap_fsub_7_full_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END tri_intersect_ap_fsub_7_full_dsp_32;
ARCHITECTURE tri_intersect_ap_fsub_7_full_dsp_32_arch OF tri_intersect_ap_fsub_7_full_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tri_intersect_ap_fsub_7_full_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF tri_intersect_ap_fsub_7_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF tri_intersect_ap_fsub_7_full_dsp_32_arch : ARCHITECTURE IS "tri_intersect_ap_fsub_7_full_dsp_32,floating_point_v7_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF tri_intersect_ap_fsub_7_full_dsp_32_arch: ARCHITECTURE IS "tri_intersect_ap_fsub_7_full_dsp_32,floating_point_v7_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=1,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=7,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_0
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 1,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 7,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END tri_intersect_ap_fsub_7_full_dsp_32_arch;
|
mit
|
herenvarno/dlx
|
dlx_vhd/src/a.b-DataPath.core/a.b.a-Alu.core/a.b.a.a-Adder.core/a.b.a.a.0-AdderSumGenerator.vhd
|
1
|
1674
|
--------------------------------------------------------------------------------
-- FILE: AdderSumGenerator
-- DESC: The sum generator part of a Adder, typically used in P4 Adder
--
-- Author:
-- Create: 2015-05-27
-- Update: 2015-05-27
-- Status: TESTED
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.Consts.all;
--------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------
entity AdderSumGenerator is
generic (
DATA_SIZE : integer := C_SYS_DATA_SIZE;
SPARSITY : integer := C_ADD_SPARSITY
);
port (
a, b: in std_logic_vector(DATA_SIZE-1 downto 0);
cin: in std_logic_vector(DATA_SIZE/SPARSITY-1 downto 0);
sum: out std_logic_vector(DATA_SIZE-1 downto 0)
);
end AdderSumGenerator;
--------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------
architecture adder_sum_generator_arch of AdderSumGenerator is
component AdderCarrySelect is
generic(
DATA_SIZE : integer := C_SYS_DATA_SIZE
);
port(
a, b: in std_logic_vector(DATA_SIZE-1 downto 0);
sel: in std_logic;
sum: out std_logic_vector(DATA_SIZE-1 downto 0)
);
end component;
begin
GE0: for i in 0 to DATA_SIZE/SPARSITY-1 generate
begin
ACSi: AdderCarrySelect
generic map(SPARSITY)
port map(a((i+1)*SPARSITY-1 downto i*SPARSITY), b((i+1)*SPARSITY-1 downto i*SPARSITY), cin(i), sum((i+1)*SPARSITY-1 downto i*SPARSITY));
end generate;
end adder_sum_generator_arch;
|
mit
|
jakubcabal/pipemania-fpga-game
|
source/comp/memory/mem_hub_tb.vhd
|
1
|
4418
|
--------------------------------------------------------------------------------
-- PROJECT: PIPE MANIA - GAME FOR FPGA
--------------------------------------------------------------------------------
-- NAME: MEM_HUB_TB
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity MEM_HUB_TB is
end MEM_HUB_TB;
architecture behavior of MEM_HUB_TB is
-- CLK and RST
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
-- Memory signals
signal sig_we_hub : std_logic;
signal sig_addr_hub : std_logic_vector(8 downto 0);
signal sig_dout_hub : std_logic_vector(31 downto 0);
signal sig_din_hub : std_logic_vector(31 downto 0);
-- MEM_HUB signals
signal hub_we_a : std_logic;
signal hub_en_a : std_logic;
signal hub_addr_a : std_logic_vector(8 downto 0);
signal hub_din_a : std_logic_vector(31 downto 0);
signal hub_dout_a : std_logic_vector(31 downto 0);
signal hub_ack_a : std_logic;
signal hub_we_b : std_logic;
signal hub_en_b : std_logic;
signal hub_addr_b : std_logic_vector(8 downto 0);
signal hub_din_b : std_logic_vector(31 downto 0);
signal hub_dout_b : std_logic_vector(31 downto 0);
signal hub_ack_b : std_logic;
-- Clock period definitions
constant CLK_period : time := 10 ns;
begin
uut : entity work.MEM_HUB
port map (
CLK => CLK,
RST => RST,
-- Port A
EN_A => hub_en_a,
WE_A => hub_we_a,
ADDR_A => hub_addr_a,
DIN_A => hub_din_a,
DOUT_A => hub_dout_a,
ACK_A => hub_ack_a,
-- Port B
EN_B => hub_en_b,
WE_B => hub_we_b,
ADDR_B => hub_addr_b,
DIN_B => hub_din_b,
DOUT_B => hub_dout_b,
ACK_B => hub_ack_b,
-- Port to memory
WE => sig_we_hub,
ADDR => sig_addr_hub,
DIN => sig_din_hub,
DOUT => sig_dout_hub
);
mem : entity work.BRAM_SYNC_TDP
port map (
-- Port A
CLK => CLK,
WE_A => sig_we_hub,
ADDR_A => sig_addr_hub,
DATAIN_A => sig_din_hub,
DATAOUT_A => sig_dout_hub,
-- Port B
WE_A => '0',
ADDR_A => (others => '0'),
DATAIN_A => (others => '0'),
DATAOUT_A => open
);
clk_process : process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
sim_proc : process
begin
wait for 100 ns;
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '1';
hub_addr_a <= "000000001";
hub_din_a <= "11111111110000001111111111000000";
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '1';
hub_addr_a <= "000000010";
hub_din_a <= "11111111111111111111111111111111";
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '1';
hub_addr_a <= "000000011";
hub_din_a <= "00000001111111111100000000011111";
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '0';
hub_addr_a <= "000000001";
hub_din_a <= "00000000000000000000000000000000";
wait until rising_edge(CLK);
hub_en_a <= '0';
hub_we_a <= '0';
hub_addr_a <= (others => '0');
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '0';
hub_addr_a <= (others => '0');
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '0';
hub_addr_a <= "000000001";
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '0';
hub_addr_a <= "000000010";
wait until rising_edge(CLK);
hub_en_a <= '1';
hub_we_a <= '0';
hub_addr_a <= "000000011";
wait until rising_edge(CLK);
hub_en_a <= '0';
hub_we_a <= '0';
hub_addr_a <= (others => '0');
wait;
end process;
end;
|
mit
|
herenvarno/dlx
|
dlx_syn/postsyn/Dlx_opd.vhdl
|
2
|
3457984
| null |
mit
|
herenvarno/dlx
|
dlx_vhd/src/a.a-ControlUnit.core/a.a.a-CwGenerator.vhd
|
1
|
10864
|
--------------------------------------------------------------------------------
-- FILE: CwGenerator
-- DESC: Generate Control Word
--
-- Author:
-- Create: 2015-05-30
-- Update: 2015-09-02
-- Status: TESTED
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.Consts.all;
use work.Funcs.all;
--------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------
entity CwGenerator is
generic (
DATA_SIZE : integer := C_SYS_DATA_SIZE; -- Data Size
OPCD_SIZE : integer := C_SYS_OPCD_SIZE; -- Op Code Size
FUNC_SIZE : integer := C_SYS_FUNC_SIZE; -- Func Field Size for R-Type Ops
CWRD_SIZE : integer := C_SYS_CWRD_SIZE; -- Control Word Size
CALU_SIZE : integer := C_CTR_CALU_SIZE -- ALU Op Code Word Size
);
port (
clk : in std_logic;
rst : in std_logic;
opcd : in std_logic_vector(OPCD_SIZE-1 downto 0):=(others=>'0');
func : in std_logic_vector(FUNC_SIZE-1 downto 0):=(others=>'0');
stall_flag : in std_logic_vector(4 downto 0):=(others=>'0');
taken : in std_logic;
cw : out std_logic_vector(CWRD_SIZE-1 downto 0):=(others=>'0');
calu : out std_logic_vector(CALU_SIZE-1 downto 0):=(others=>'0')
);
end CwGenerator;
--------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------
architecture cw_generator_arch of CwGenerator is
constant PIPELINE_STAGE: integer := 5;
--constant UCODE_MEM_SIZE : integer := 2**OPCD_SIZE;
constant UCODE_MEM_SIZE : integer := 69;
constant RELOC_MEM_SIZE : integer := 64;
type ucode_mem_t is array (0 to UCODE_MEM_SIZE-1) of std_logic_vector(CWRD_SIZE-1 downto 0);
type reloc_mem_t is array (0 to RELOC_MEM_SIZE-1) of std_logic_vector(OPCD_SIZE+1 downto 0); -- Mul by 4, since each instruction need 4 stages, IF stage do not count.
signal stall1 : std_logic;
signal stall2 : std_logic;
signal stall3 : std_logic;
signal stall4 : std_logic;
signal stall5 : std_logic;
signal reloc_mem : reloc_mem_t := (
x"01", -- 0x00 R
x"01", -- 0x01 F
x"05", -- 0x02 J
x"09", -- 0x03 JAL
x"0d", -- 0x04 BEQZ
x"0d", -- 0x05 BNEZ
x"00", -- 0x06 UNUSED
x"00", -- 0x07 UNUSED
x"11", -- 0x08 ADDI
x"41", -- 0x09 ADDUI
x"11", -- 0x0a SUBI
x"41", -- 0x0b SUBUI
x"41", -- 0x0c ANDI
x"41", -- 0x0d ORI
x"41", -- 0x0e XORI
x"11", -- 0x0f LHI
x"00", -- 0x10 UNUSED
x"00", -- 0x11 UNUSED
x"15", -- 0x12 JR
x"19", -- 0x13 JALR
x"41", -- 0x14 SLLI
x"1d", -- 0x15 NOP
x"41", -- 0x16 SRLI
x"41", -- 0x17 SRAI
x"11", -- 0x18 SEQI
x"11", -- 0x19 SNEI
x"11", -- 0x1a SLTI
x"11", -- 0x1b SGTI
x"11", -- 0x1c SLEI
x"11", -- 0x1d SGEI
x"00", -- 0x1e UNUSED
x"00", -- 0x1f UNUSED
x"21", -- 0x20 LB
x"25", -- 0x21 LH
x"00", -- 0x22 UNUSED
x"29", -- 0x23 LW
x"2d", -- 0x24 LBU
x"31", -- 0x25 LHU
x"00", -- 0x26 UNUSED
x"00", -- 0x27 UNUSED
x"35", -- 0x28 SB
x"39", -- 0x29 SH
x"00", -- 0x2a UNUSED
x"3d", -- 0x2b SW
x"00", -- 0x2c UNUSED
x"00", -- 0x2d UNUSED
x"00", -- 0x2e UNUSED
x"00", -- 0x2f UNUSED
x"00", -- 0x30 UNUSED
x"00", -- 0x31 UNUSED
x"00", -- 0x32 UNUSED
x"00", -- 0x33 UNUSED
x"00", -- 0x34 UNUSED
x"00", -- 0x35 UNUSED
x"00", -- 0x36 UNUSED
x"00", -- 0x37 UNUSED
x"00", -- 0x38 UNUSED
x"00", -- 0x39 UNUSED
x"41", -- 0x3a SLTUI
x"41", -- 0x3b SGTUI
x"41", -- 0x3c SLEUI
x"41", -- 0x3d SGEUI
x"00", -- 0x3e UNUSED
x"00" -- 0x3f UNUSED
);
signal ucode_mem : ucode_mem_t := (
"00000000000000000000", -- 0x00 RESET
"00000000000001000000", -- 0x01 R [ID]
"00000000011000000000", -- R [EXE]
"01100000000000000000", -- R [MEM]
"10000000000000000000", -- R [WB]
"00000000000001000110", -- 0x05 J
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000001010110", -- 0x09 JAL
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000001100000", -- 0x0d BEQZ/BENZ
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000001100000", -- 0x11 ADDI/...
"00000000011010000000",
"01100000000000000000",
"10000000000000000000",
"00000000000001001010", -- 0x15 JR
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000001001010", -- 0x19 JALR
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000000000000", -- 0x1d NOP
"00000000000000000000",
"00000000000000000000",
"00000000000000000000",
"00000000000001000000", -- 0x21 LB
"00000000011110000000",
"01111010100000000000",
"10000000000000000000",
"00000000000001000000", -- 0x25 LH
"00000000011110000000",
"01111001100000000000",
"10000000000000000000",
"00000000000001000000", -- 0x29 LW
"00000000011110000000",
"01111000000000000000",
"10000000000000000000",
"00000000000001000000", -- 0x2d LBU
"00000000011110000000",
"01111010000000000000",
"10000000000000000000",
"00000000000001000000", -- 0x31 LHU
"00000000011110000000",
"01111001000000000000",
"10000000000000000000",
"00000000000001000000", -- 0x35 SB
"00000000010010000000",
"01000110000000000000",
"00000000000000000000",
"00000000000001000000", -- 0x39 SH
"00000000010010000000",
"01000101000000000000",
"00000000000000000000",
"00000000000001000000", -- 0x3d SW
"00000000010010000000",
"01000100000000000000",
"00000000000000000000",
"00000000000001000000", -- 0x41 ADDUI/...
"00000000011010000000",
"01100000000000000000",
"10000000000000000000"
);
signal cw1 : std_logic_vector(CWRD_SIZE-1 downto 0):=(CW_S1_LATCH=>'1', others=>'0');
signal cw2 : std_logic_vector(CWRD_SIZE-1 downto 0);
signal cw3 : std_logic_vector(CWRD_SIZE-1 downto 0);
signal cw4 : std_logic_vector(CWRD_SIZE-1 downto 0);
signal cw5 : std_logic_vector(CWRD_SIZE-1 downto 0);
signal cw_temp : std_logic_vector(CWRD_SIZE-1 downto 0);
signal cw_mask : std_logic_vector(CWRD_SIZE-1 downto 0);
signal upc2 : integer range 0 to 131072:=0;
signal upc3 : integer range 0 to 131072:=0;
signal upc4 : integer range 0 to 131072:=0;
signal upc5 : integer range 0 to 131072:=0;
signal i_count : integer range 0 to PIPELINE_STAGE;
signal relc : std_logic_vector(OPCD_SIZE+1 downto 0);
signal calu2 : std_logic_vector(CALU_SIZE-1 downto 0);
signal taken_flag: std_logic_vector(CWRD_SIZE-1 downto 0);
begin
cw1 <= (CW_S1_LATCH=>'1', others=>'0');
cw2 <= ucode_mem(upc2);
cw3 <= ucode_mem(upc3);
cw4 <= ucode_mem(upc4);
cw5 <= ucode_mem(upc5);
stall1 <= stall_flag(4);
stall2 <= stall_flag(3);
stall3 <= stall_flag(2);
stall4 <= stall_flag(1);
stall5 <= stall_flag(0);
cw_mask(CW_S1_LATCH downto 0) <= (others=> (not stall1));
cw_mask(CW_S2_LATCH downto CW_S1_LATCH+1) <= (others=> (not stall2));
cw_mask(CW_S3_LATCH downto CW_S2_LATCH+1) <= (others=> (not stall3));
cw_mask(CW_S4_LATCH downto CW_S3_LATCH+1) <= (others=> (not stall4));
cw_mask(CWRD_SIZE-1 downto CW_S4_LATCH+1) <= (others=> (not stall5));
taken_flag <= (CW_S2_JUMP => taken, others=>'0');
cw_temp <= (cw1 or cw2 or cw3 or cw4 or cw5 or taken_flag);
cw <= cw_temp and cw_mask;
relc <= reloc_mem(to_integer(unsigned(opcd)));
P_CALU: process (opcd, func)
begin
calu2 <= (others => '0');
if (opcd = OPCD_R) then
if (func=FUNC_ADD) or (func=FUNC_ADDU) then -- ADD
calu2 <= OP_ADD;
elsif (func=FUNC_AND) then -- AND
calu2 <= OP_AND;
elsif (func=FUNC_OR) then -- OR
calu2 <= OP_OR;
elsif (func=FUNC_XOR) then -- AND
calu2 <= OP_XOR;
elsif (func=FUNC_SLL) then -- SLL
calu2 <= OP_SLL;
elsif (func=FUNC_SRL) then -- SRL
calu2 <= OP_SRL;
elsif (func=FUNC_SRA) then -- SRA
calu2 <= OP_SRA;
elsif (func=FUNC_SUB) or (func=FUNC_SUBU) then -- SUB
calu2 <= OP_SUB;
elsif (func = FUNC_SGT) then -- SGT
calu2 <= OP_SGT;
elsif (func = FUNC_SGE) then -- SGE
calu2 <= OP_SGE;
elsif (func = FUNC_SLT) then -- SLT
calu2 <= OP_SLT;
elsif (func = FUNC_SLE) then -- SLE
calu2 <= OP_SLE;
elsif (func = FUNC_SGTU) then -- SGTU
calu2 <= OP_SGTU;
elsif (func = FUNC_SGEU) then -- SGEU
calu2 <= OP_SGEU;
elsif (func = FUNC_SLTU) then -- SLTU
calu2 <= OP_SLTU;
elsif (func = FUNC_SLEU) then -- SLEU
calu2 <= OP_SLEU;
elsif (func = FUNC_SEQ) then -- SEQ
calu2 <= OP_SEQ;
elsif (func = FUNC_SNE) then -- SNE
calu2 <= OP_SNE;
else
calu2 <= OP_ADD;
end if;
elsif (opcd=OPCD_F) then
if (func=FUNC_MULTU) then -- MULTU
calu2 <= OP_MULTU;
elsif (func=FUNC_MULT) then -- MULT
calu2 <= OP_MULT;
elsif (func=FUNC_DIVU) then -- DIVU
calu2 <= OP_DIVU;
elsif (func=FUNC_DIV) then -- DIV
calu2 <= OP_DIV;
elsif (func=FUNC_SQRT) then -- SQRT
calu2 <= OP_SQRT;
end if;
elsif (opcd=OPCD_ADDI) or (opcd=OPCD_ADDUI) then -- ADD
calu2 <= OP_ADD;
elsif (opcd=OPCD_SUBI) or (opcd=OPCD_SUBUI) then -- SUB
calu2 <= OP_SUB;
elsif opcd=OPCD_ANDI then -- AND
calu2 <= OP_AND;
elsif opcd=OPCD_ORI then -- OR
calu2 <= OP_OR;
elsif opcd=OPCD_XORI then -- XOR
calu2 <= OP_XOR;
elsif opcd=OPCD_SLLI then -- SLL
calu2 <= OP_SLL;
elsif opcd=OPCD_SRLI then -- SRL
calu2 <= OP_SRL;
elsif opcd=OPCD_SRAI then -- SRA
calu2 <= OP_SRA;
elsif opcd=OPCD_SEQI then -- SEQ
calu2 <= OP_SEQ;
elsif opcd=OPCD_SNEI then -- SNE
calu2 <= OP_SNE;
elsif opcd=OPCD_SLTI then -- SLT
calu2 <= OP_SLT;
elsif opcd=OPCD_SGTI then -- SGT
calu2 <= OP_SGT;
elsif opcd=OPCD_SLEI then -- SLE
calu2 <= OP_SLE;
elsif opcd=OPCD_SGEI then -- SGE
calu2 <= OP_SGE;
elsif opcd=OPCD_SLTUI then -- SLTU
calu2 <= OP_SLTU;
elsif opcd=OPCD_SGTUI then -- SGTU
calu2 <= OP_SGTU;
elsif opcd=OPCD_SLEUI then -- SLEU
calu2 <= OP_SLEU;
elsif opcd=OPCD_SGEUI then -- SGEU
calu2 <= OP_SGEU;
else
calu2 <= OP_ADD;
end if;
end process;
upc2 <= to_integer(unsigned(relc)) when (stall2='0') else 0 when (rst='0');
P_CW: process (clk, rst)
begin
if rst = '0' then
-- upc2 <= 0;
upc3 <= 0;
upc4 <= 0;
upc5 <= 0;
elsif clk'event and clk = '1' then
-- if stall2='0' then
-- upc2 <= to_integer(unsigned(relc));
-- end if;
if (upc2 /= 0) and (stall3='0') then
upc3 <= upc2+1;
calu <= calu2;
end if;
if (upc3 /= 0) and (stall4='0') then
upc4 <= upc3+1;
end if;
if (upc4 /= 0) and (stall5='0') then
upc5 <= upc4+1;
end if;
end if;
end process;
end cw_generator_arch;
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/plasma/mlite_pack/mlite_pack.vhd
|
2
|
21630
|
---------------------------------------------------------------------
-- TITLE: Plasma Misc. Package
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/15/01
-- FILENAME: mlite_pack.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Data types, constants, and add functions needed for the Plasma CPU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package mlite_pack is
constant ZERO : std_logic_vector(31 downto 0) :=
"00000000000000000000000000000000";
constant ONES : std_logic_vector(31 downto 0) :=
"11111111111111111111111111111111";
--make HIGH_Z equal to ZERO if compiler complains
constant HIGH_Z : std_logic_vector(31 downto 0) :=
"ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
subtype alu_function_type is std_logic_vector(3 downto 0);
constant ALU_NOTHING : alu_function_type := "0000";
constant ALU_ADD : alu_function_type := "0001";
constant ALU_SUBTRACT : alu_function_type := "0010";
constant ALU_LESS_THAN : alu_function_type := "0011";
constant ALU_LESS_THAN_SIGNED : alu_function_type := "0100";
constant ALU_OR : alu_function_type := "0101";
constant ALU_AND : alu_function_type := "0110";
constant ALU_XOR : alu_function_type := "0111";
constant ALU_NOR : alu_function_type := "1000";
subtype shift_function_type is std_logic_vector(1 downto 0);
constant SHIFT_NOTHING : shift_function_type := "00";
constant SHIFT_LEFT_UNSIGNED : shift_function_type := "01";
constant SHIFT_RIGHT_SIGNED : shift_function_type := "11";
constant SHIFT_RIGHT_UNSIGNED : shift_function_type := "10";
subtype mult_function_type is std_logic_vector(3 downto 0);
constant MULT_NOTHING : mult_function_type := "0000";
constant MULT_READ_LO : mult_function_type := "0001";
constant MULT_READ_HI : mult_function_type := "0010";
constant MULT_WRITE_LO : mult_function_type := "0011";
constant MULT_WRITE_HI : mult_function_type := "0100";
constant MULT_MULT : mult_function_type := "0101";
constant MULT_SIGNED_MULT : mult_function_type := "0110";
constant MULT_DIVIDE : mult_function_type := "0111";
constant MULT_SIGNED_DIVIDE : mult_function_type := "1000";
subtype a_source_type is std_logic_vector(1 downto 0);
constant A_FROM_REG_SOURCE : a_source_type := "00";
constant A_FROM_IMM10_6 : a_source_type := "01";
constant A_FROM_PC : a_source_type := "10";
subtype b_source_type is std_logic_vector(1 downto 0);
constant B_FROM_REG_TARGET : b_source_type := "00";
constant B_FROM_IMM : b_source_type := "01";
constant B_FROM_SIGNED_IMM : b_source_type := "10";
constant B_FROM_IMMX4 : b_source_type := "11";
subtype c_source_type is std_logic_vector(2 downto 0);
constant C_FROM_NULL : c_source_type := "000";
constant C_FROM_ALU : c_source_type := "001";
constant C_FROM_SHIFT : c_source_type := "001"; --same as alu
constant C_FROM_MULT : c_source_type := "001"; --same as alu
constant C_FROM_MEMORY : c_source_type := "010";
constant C_FROM_PC : c_source_type := "011";
constant C_FROM_PC_PLUS4 : c_source_type := "100";
constant C_FROM_IMM_SHIFT16: c_source_type := "101";
constant C_FROM_REG_SOURCEN: c_source_type := "110";
subtype pc_source_type is std_logic_vector(1 downto 0);
constant FROM_INC4 : pc_source_type := "00";
constant FROM_OPCODE25_0 : pc_source_type := "01";
constant FROM_BRANCH : pc_source_type := "10";
constant FROM_LBRANCH : pc_source_type := "11";
subtype branch_function_type is std_logic_vector(2 downto 0);
constant BRANCH_LTZ : branch_function_type := "000";
constant BRANCH_LEZ : branch_function_type := "001";
constant BRANCH_EQ : branch_function_type := "010";
constant BRANCH_NE : branch_function_type := "011";
constant BRANCH_GEZ : branch_function_type := "100";
constant BRANCH_GTZ : branch_function_type := "101";
constant BRANCH_YES : branch_function_type := "110";
constant BRANCH_NO : branch_function_type := "111";
-- mode(32=1,16=2,8=3), signed, write
subtype mem_source_type is std_logic_vector(3 downto 0);
constant MEM_FETCH : mem_source_type := "0000";
constant MEM_READ32 : mem_source_type := "0100";
constant MEM_WRITE32 : mem_source_type := "0101";
constant MEM_READ16 : mem_source_type := "1000";
constant MEM_READ16S : mem_source_type := "1010";
constant MEM_WRITE16 : mem_source_type := "1001";
constant MEM_READ8 : mem_source_type := "1100";
constant MEM_READ8S : mem_source_type := "1110";
constant MEM_WRITE8 : mem_source_type := "1101";
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector;
function bv_negate(a : in std_logic_vector) return std_logic_vector;
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector;
function bv_inc(a : in std_logic_vector
) return std_logic_vector;
-- For Altera
COMPONENT lpm_ram_dp
GENERIC (
lpm_width : NATURAL;
lpm_widthad : NATURAL;
rden_used : STRING;
intended_device_family : STRING;
lpm_indata : STRING;
lpm_wraddress_control : STRING;
lpm_rdaddress_control : STRING;
lpm_outdata : STRING;
use_eab : STRING;
lpm_type : STRING);
PORT (
wren : IN STD_LOGIC ;
wrclock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
data : IN STD_LOGIC_VECTOR (lpm_width-1 DOWNTO 0);
rdaddress : IN STD_LOGIC_VECTOR (lpm_widthad-1 DOWNTO 0);
wraddress : IN STD_LOGIC_VECTOR (lpm_widthad-1 DOWNTO 0));
END COMPONENT;
-- For Altera
component LPM_RAM_DQ
generic (
LPM_WIDTH : natural; -- MUST be greater than 0
LPM_WIDTHAD : natural; -- MUST be greater than 0
LPM_NUMWORDS : natural := 0;
LPM_INDATA : string := "REGISTERED";
LPM_ADDRESS_CONTROL: string := "REGISTERED";
LPM_OUTDATA : string := "REGISTERED";
LPM_FILE : string := "UNUSED";
LPM_TYPE : string := "LPM_RAM_DQ";
USE_EAB : string := "OFF";
INTENDED_DEVICE_FAMILY : string := "UNUSED";
LPM_HINT : string := "UNUSED");
port (
DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
ADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
INCLOCK : in std_logic := '0';
OUTCLOCK : in std_logic := '0';
WE : in std_logic;
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
end component;
-- For Xilinx
component RAM16X1D
-- synthesis translate_off
generic (INIT : bit_vector := X"16");
-- synthesis translate_on
port (DPO : out STD_ULOGIC;
SPO : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
D : in STD_ULOGIC;
DPRA0 : in STD_ULOGIC;
DPRA1 : in STD_ULOGIC;
DPRA2 : in STD_ULOGIC;
DPRA3 : in STD_ULOGIC;
WCLK : in STD_ULOGIC;
WE : in STD_ULOGIC);
end component;
component pc_next
port(clk : in std_logic;
reset_in : in std_logic;
pc_new : in std_logic_vector(31 downto 2);
take_branch : in std_logic;
pause_in : in std_logic;
opcode25_0 : in std_logic_vector(25 downto 0);
pc_source : in pc_source_type;
pc_future : out std_logic_vector(31 downto 2);
pc_current : out std_logic_vector(31 downto 2);
pc_plus4 : out std_logic_vector(31 downto 2));
end component;
component mem_ctrl
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end component;
component control
port(opcode : in std_logic_vector(31 downto 0);
intr_signal : in std_logic;
rs_index : out std_logic_vector(5 downto 0);
rt_index : out std_logic_vector(5 downto 0);
rd_index : out std_logic_vector(5 downto 0);
imm_out : out std_logic_vector(15 downto 0);
alu_func : out alu_function_type;
shift_func : out shift_function_type;
mult_func : out mult_function_type;
branch_func : out branch_function_type;
a_source_out : out a_source_type;
b_source_out : out b_source_type;
c_source_out : out c_source_type;
pc_source_out: out pc_source_type;
mem_source_out:out mem_source_type;
exception_out: out std_logic);
end component;
component reg_bank
generic(memory_type : string := "ALTERA_LPM");
port(clk : in std_logic;
reset_in : in std_logic;
pause : in std_logic;
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
rd_index : in std_logic_vector(5 downto 0);
reg_source_out : out std_logic_vector(31 downto 0);
reg_target_out : out std_logic_vector(31 downto 0);
reg_dest_new : in std_logic_vector(31 downto 0);
intr_enable : out std_logic);
end component;
component bus_mux
port(imm_in : in std_logic_vector(15 downto 0);
reg_source : in std_logic_vector(31 downto 0);
a_mux : in a_source_type;
a_out : out std_logic_vector(31 downto 0);
reg_target : in std_logic_vector(31 downto 0);
b_mux : in b_source_type;
b_out : out std_logic_vector(31 downto 0);
c_bus : in std_logic_vector(31 downto 0);
c_memory : in std_logic_vector(31 downto 0);
c_pc : in std_logic_vector(31 downto 2);
c_pc_plus4 : in std_logic_vector(31 downto 2);
c_mux : in c_source_type;
reg_dest_out : out std_logic_vector(31 downto 0);
branch_func : in branch_function_type;
take_branch : out std_logic);
end component;
component alu
generic(alu_type : string := "DEFAULT");
port(a_in : in std_logic_vector(31 downto 0);
b_in : in std_logic_vector(31 downto 0);
alu_function : in alu_function_type;
c_alu : out std_logic_vector(31 downto 0));
end component;
component shifter
generic(shifter_type : string := "DEFAULT" );
port(value : in std_logic_vector(31 downto 0);
shift_amount : in std_logic_vector(4 downto 0);
shift_func : in shift_function_type;
c_shift : out std_logic_vector(31 downto 0));
end component;
component mult
generic(mult_type : string := "DEFAULT");
port(clk : in std_logic;
reset_in : in std_logic;
a, b : in std_logic_vector(31 downto 0);
mult_func : in mult_function_type;
c_mult : out std_logic_vector(31 downto 0);
pause_out : out std_logic);
end component;
component pipeline
port(clk : in std_logic;
reset : in std_logic;
a_bus : in std_logic_vector(31 downto 0);
a_busD : out std_logic_vector(31 downto 0);
b_bus : in std_logic_vector(31 downto 0);
b_busD : out std_logic_vector(31 downto 0);
alu_func : in alu_function_type;
alu_funcD : out alu_function_type;
shift_func : in shift_function_type;
shift_funcD : out shift_function_type;
mult_func : in mult_function_type;
mult_funcD : out mult_function_type;
reg_dest : in std_logic_vector(31 downto 0);
reg_destD : out std_logic_vector(31 downto 0);
rd_index : in std_logic_vector(5 downto 0);
rd_indexD : out std_logic_vector(5 downto 0);
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
pc_source : in pc_source_type;
mem_source : in mem_source_type;
a_source : in a_source_type;
b_source : in b_source_type;
c_source : in c_source_type;
c_bus : in std_logic_vector(31 downto 0);
pause_any : in std_logic;
pause_pipeline : out std_logic);
end component;
component mlite_cpu
generic(memory_type : string := "ALTERA_LPM"; --ALTERA_LPM, or DUAL_PORT_
mult_type : string := "DEFAULT";
shifter_type : string := "DEFAULT";
alu_type : string := "DEFAULT";
pipeline_stages : natural := 2); --2 or 3
port(clk : in std_logic;
reset_in : in std_logic;
intr_in : in std_logic;
address_next : out std_logic_vector(31 downto 2); --for synch ram
byte_we_next : out std_logic_vector(3 downto 0);
opcode_test_out : out std_logic_vector(31 downto 0);
pc_future_test_out : out std_logic_vector(31 downto 2);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0);
mem_pause : in std_logic);
end component;
component ram
generic(memory_type : string := "DEFAULT");
port(clk : in std_logic;
enable : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end component; --ram
component uart
generic(log_file : string := "UNUSED");
port(clk : in std_logic;
reset : in std_logic;
enable_read : in std_logic;
enable_write : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
uart_read : in std_logic;
uart_write : out std_logic;
busy_write : out std_logic;
data_avail : out std_logic);
end component; --uart
component eth_dma
port(clk : in std_logic; --25 MHz
reset : in std_logic;
enable_eth : in std_logic;
select_eth : in std_logic;
rec_isr : out std_logic;
send_isr : out std_logic;
address : out std_logic_vector(31 downto 2); --to DDR
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
pause_in : in std_logic;
mem_address : in std_logic_vector(31 downto 2); --from CPU
mem_byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
pause_out : out std_logic;
E_RX_CLK : in std_logic; --2.5 MHz receive
E_RX_DV : in std_logic; --data valid
E_RXD : in std_logic_vector(3 downto 0); --receive nibble
E_TX_CLK : in std_logic; --2.5 MHz transmit
E_TX_EN : out std_logic; --transmit enable
E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble
end component; --eth_dma
component plasma
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0');
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
mem_pause_in : in std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end component; --plasma
component ddr_ctrl
port(clk : in std_logic;
clk_2x : in std_logic;
reset_in : in std_logic;
address : in std_logic_vector(25 downto 2);
byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
data_r : out std_logic_vector(31 downto 0);
active : in std_logic;
pause : out std_logic;
SD_CK_P : out std_logic; --clock_positive
SD_CK_N : out std_logic; --clock_negative
SD_CKE : out std_logic; --clock_enable
SD_BA : out std_logic_vector(1 downto 0); --bank_address
SD_A : out std_logic_vector(12 downto 0); --address(row or col)
SD_CS : out std_logic; --chip_select
SD_RAS : out std_logic; --row_address_strobe
SD_CAS : out std_logic; --column_address_strobe
SD_WE : out std_logic; --write_enable
SD_DQ : inout std_logic_vector(15 downto 0); --data
SD_UDM : out std_logic; --upper_byte_enable
SD_UDQS : inout std_logic; --upper_data_strobe
SD_LDM : out std_logic; --low_byte_enable
SD_LDQS : inout std_logic); --low_data_strobe
end component; --ddr
end; --package mlite_pack
package body mlite_pack is
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector is
variable carry_in : std_logic;
variable bb : std_logic_vector(a'length-1 downto 0);
variable result : std_logic_vector(a'length downto 0);
begin
if do_add = '1' then
bb := b;
carry_in := '0';
else
bb := not b;
carry_in := '1';
end if;
for index in 0 to a'length-1 loop
result(index) := a(index) xor bb(index) xor carry_in;
carry_in := (carry_in and (a(index) or bb(index))) or
(a(index) and bb(index));
end loop;
result(a'length) := carry_in xnor do_add;
return result;
end; --function
function bv_negate(a : in std_logic_vector) return std_logic_vector is
variable carry_in : std_logic;
variable not_a : std_logic_vector(a'length-1 downto 0);
variable result : std_logic_vector(a'length-1 downto 0);
begin
not_a := not a;
carry_in := '1';
for index in a'reverse_range loop
result(index) := not_a(index) xor carry_in;
carry_in := carry_in and not_a(index);
end loop;
return result;
end; --function
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(31 downto 2);
begin
carry_in := '1';
for index in 2 to 31 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
function bv_inc(a : in std_logic_vector
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(a'length-1 downto 0);
begin
carry_in := '1';
for index in 0 to a'length-1 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
end; --package body
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/to_send/ngnp_added_monitor/ngnp/src/tmp/mb_lite/decode.vhd
|
3
|
17812
|
----------------------------------------------------------------------------------------------
--
-- Input file : decode.vhd
-- Design name : decode
-- Author : Tamar Kranenburg
-- Company : Delft University of Technology
-- : Faculty EEMCS, Department ME&CE
-- : Systems and Circuits group
--
-- Description : This combined register file and decoder uses three Dual Port
-- read after write Random Access Memory components. Every clock
-- cycle three data values can be read (ra, rb and rd) and one value
-- can be stored.
--
----------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
LIBRARY work;
USE work.config_Pkg.ALL;
USE work.core_Pkg.ALL;
USE work.std_Pkg.ALL;
ENTITY decode IS GENERIC
(
G_INTERRUPT : boolean := CFG_INTERRUPT;
G_USE_HW_MUL : boolean := CFG_USE_HW_MUL;
G_USE_BARREL : boolean := CFG_USE_BARREL;
G_DEBUG : boolean := CFG_DEBUG
);
PORT
(
decode_o : OUT decode_out_type;
gprf_o : OUT gprf_out_type;
decode_i : IN decode_in_type;
ena_i : IN std_ulogic;
rst_i : IN std_ulogic;
clk_i : IN std_ulogic
);
END decode;
ARCHITECTURE arch OF decode IS
TYPE decode_reg_type IS RECORD
instruction : std_ulogic_vector(CFG_IMEM_WIDTH - 1 DOWNTO 0);
program_counter : std_ulogic_vector(CFG_IMEM_SIZE - 1 DOWNTO 0);
immediate : std_ulogic_vector(15 DOWNTO 0);
is_immediate : std_ulogic;
msr_interrupt_enable : std_ulogic;
interrupt : std_ulogic;
delay_interrupt : std_ulogic;
END RECORD;
SIGNAL r, rin : decode_out_type;
SIGNAL reg, regin : decode_reg_type;
SIGNAL wb_dat_d : std_ulogic_vector(CFG_DMEM_WIDTH - 1 DOWNTO 0);
BEGIN
decode_o.imm <= r.imm;
decode_o.ctrl_ex <= r.ctrl_ex;
decode_o.ctrl_mem <= r.ctrl_mem;
decode_o.ctrl_wb <= r.ctrl_wb;
decode_o.reg_a <= r.reg_a;
decode_o.reg_b <= r.reg_b;
decode_o.hazard <= r.hazard;
decode_o.program_counter <= r.program_counter;
decode_o.fwd_dec_result <= r.fwd_dec_result;
decode_o.fwd_dec <= r.fwd_dec;
decode_comb: PROCESS(decode_i,decode_i.ctrl_wb,
decode_i.ctrl_mem_wb,
decode_i.ctrl_mem_wb.transfer_size,
r,r.ctrl_ex,r.ctrl_mem,
r.ctrl_mem.transfer_size,r.ctrl_wb,
r.fwd_dec,reg)
VARIABLE v : decode_out_type;
VARIABLE v_reg : decode_reg_type;
VARIABLE opcode : std_ulogic_vector(5 DOWNTO 0);
VARIABLE instruction : std_ulogic_vector(CFG_IMEM_WIDTH - 1 DOWNTO 0);
VARIABLE program_counter : std_ulogic_vector(CFG_IMEM_SIZE - 1 DOWNTO 0);
VARIABLE mem_result : std_ulogic_vector(CFG_DMEM_WIDTH - 1 DOWNTO 0);
BEGIN
v := r;
v_reg := reg;
-- Default register values (NOP)
v_reg.immediate := (OTHERS => '0');
v_reg.is_immediate := '0';
v_reg.program_counter := decode_i.program_counter;
v_reg.instruction := decode_i.instruction;
IF decode_i.ctrl_mem_wb.mem_read = '1' THEN
mem_result := align_mem_load(decode_i.mem_result, decode_i.ctrl_mem_wb.transfer_size, decode_i.alu_result(1 DOWNTO 0));
ELSE
mem_result := decode_i.alu_result;
END IF;
wb_dat_d <= mem_result;
IF G_INTERRUPT = true THEN
v_reg.delay_interrupt := '0';
END IF;
IF CFG_REG_FWD_WB = true THEN
v.fwd_dec_result := mem_result;
v.fwd_dec := decode_i.ctrl_wb;
ELSE
v.fwd_dec_result := (OTHERS => '0');
v.fwd_dec.reg_d := (OTHERS => '0');
v.fwd_dec.reg_write := '0';
END IF;
IF (NOT decode_i.flush_id AND r.ctrl_mem.mem_read AND (compare(decode_i.instruction(20 DOWNTO 16), r.ctrl_wb.reg_d) OR compare(decode_i.instruction(15 DOWNTO 11), r.ctrl_wb.reg_d))) = '1' THEN
-- A hazard occurred on register a or b
-- set current instruction and program counter to 0
instruction := (OTHERS => '0');
program_counter := (OTHERS => '0');
v.hazard := '1';
ELSIF CFG_MEM_FWD_WB = false AND (NOT decode_i.flush_id AND r.ctrl_mem.mem_read AND compare(decode_i.instruction(25 DOWNTO 21), r.ctrl_wb.reg_d)) = '1' THEN
-- A hazard occurred on register d
-- set current instruction and program counter to 0
instruction := (OTHERS => '0');
program_counter := (OTHERS => '0');
v.hazard := '1';
ELSIF r.hazard = '1' THEN
-- Recover from hazard. Insert latched instruction
instruction := reg.instruction;
program_counter := reg.program_counter;
v.hazard := '0';
ELSE
instruction := decode_i.instruction;
program_counter := decode_i.program_counter;
v.hazard := '0';
END IF;
v.program_counter := program_counter;
opcode := instruction(31 DOWNTO 26);
v.ctrl_wb.reg_d := instruction(25 DOWNTO 21);
v.reg_a := instruction(20 DOWNTO 16);
v.reg_b := instruction(15 DOWNTO 11);
-- SET IMM value
IF reg.is_immediate = '1' THEN
v.imm := reg.immediate & instruction(15 DOWNTO 0);
ELSE
v.imm := sign_extend(instruction(15 DOWNTO 0), instruction(15), 32);
END IF;
-- Register if an interrupt occurs
IF G_INTERRUPT = true THEN
IF v_reg.msr_interrupt_enable = '1' AND decode_i.interrupt = '1' THEN
v_reg.interrupt := '1';
v_reg.msr_interrupt_enable := '0';
END IF;
END IF;
v.ctrl_ex.alu_op := ALU_ADD;
v.ctrl_ex.alu_src_a := ALU_SRC_REGA;
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
v.ctrl_ex.operation := '0';
v.ctrl_ex.carry := CARRY_ZERO;
v.ctrl_ex.carry_keep := CARRY_NOT_KEEP;
v.ctrl_ex.delay := '0';
v.ctrl_ex.branch_cond := NOP;
v.ctrl_mem.mem_write := '0';
v.ctrl_mem.transfer_size := WORD;
v.ctrl_mem.mem_read := '0';
v.ctrl_wb.reg_write := '0';
IF G_INTERRUPT = true AND (v_reg.interrupt = '1' AND reg.delay_interrupt = '0' AND decode_i.flush_id = '0' AND v.hazard = '0' AND r.ctrl_ex.delay = '0' AND reg.is_immediate = '0') THEN
-- IF an interrupt occured
-- AND the current instruction is not a branch or return instruction,
-- AND the current instruction is not in a delay slot,
-- AND this is instruction is not preceded by an IMM instruction, than handle the interrupt.
v_reg.msr_interrupt_enable := '0';
v_reg.interrupt := '0';
v.reg_a := (OTHERS => '0');
v.reg_b := (OTHERS => '0');
v.imm := X"00000010";
v.ctrl_wb.reg_d := "01110";
v.ctrl_ex.branch_cond := BNC;
v.ctrl_ex.alu_src_a := ALU_SRC_ZERO;
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
v.ctrl_wb.reg_write := '1';
ELSIF (decode_i.flush_id OR v.hazard) = '1' THEN
-- clearing these registers is not necessary, but facilitates debugging.
-- On the other hand performance improves when disabled.
IF G_DEBUG = true THEN
v.program_counter := (OTHERS => '0');
v.ctrl_wb.reg_d := (OTHERS => '0');
v.reg_a := (OTHERS => '0');
v.reg_b := (OTHERS => '0');
v.imm := (OTHERS => '0');
END IF;
ELSIF is_zero(opcode(5 DOWNTO 4)) = '1' THEN
-- ADD, SUBTRACT OR COMPARE
-- Alu operation
v.ctrl_ex.alu_op := ALU_ADD;
-- Source operand A
IF opcode(0) = '1' THEN
v.ctrl_ex.alu_src_a := ALU_SRC_NOT_REGA;
ELSE
v.ctrl_ex.alu_src_a := ALU_SRC_REGA;
END IF;
-- Source operand B
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
IF (compare(opcode, "000101") AND instruction(1)) = '1' THEN
v.ctrl_ex.operation := '1';
END IF;
-- Carry
CASE opcode(1 DOWNTO 0) IS
WHEN "00" => v.ctrl_ex.carry := CARRY_ZERO;
WHEN "01" => v.ctrl_ex.carry := CARRY_ONE;
WHEN OTHERS => v.ctrl_ex.carry := CARRY_ALU;
END CASE;
-- Carry keep
IF opcode(2) = '1' THEN
v.ctrl_ex.carry_keep := CARRY_KEEP;
ELSE
v.ctrl_ex.carry_keep := CARRY_NOT_KEEP;
END IF;
-- Flag writeback if reg_d != 0
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
ELSIF (compare(opcode(5 DOWNTO 2), "1000") OR compare(opcode(5 DOWNTO 2), "1010")) = '1' THEN
-- OR, AND, XOR, ANDN
-- ORI, ANDI, XORI, ANDNI
CASE opcode(1 DOWNTO 0) IS
WHEN "00" => v.ctrl_ex.alu_op := ALU_OR;
WHEN "10" => v.ctrl_ex.alu_op := ALU_XOR;
WHEN OTHERS => v.ctrl_ex.alu_op := ALU_AND;
END CASE;
IF opcode(3) = '1' AND compare(opcode(1 DOWNTO 0), "11") = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_NOT_IMM;
ELSIF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSIF opcode(3) = '0' AND compare(opcode(1 DOWNTO 0), "11") = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_NOT_REGB;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
-- Flag writeback if reg_d != 0
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
ELSIF compare(opcode, "101100") = '1' THEN
-- IMM instruction
v_reg.immediate := instruction(15 DOWNTO 0);
v_reg.is_immediate := '1';
ELSIF compare(opcode, "100100") = '1' THEN
-- SHIFT, SIGN EXTEND
IF compare(instruction(6 DOWNTO 5), "11") = '1' THEN
IF instruction(0) = '1' THEN
v.ctrl_ex.alu_op:= ALU_SEXT16;
ELSE
v.ctrl_ex.alu_op:= ALU_SEXT8;
END IF;
ELSE
v.ctrl_ex.alu_op:= ALU_SHIFT;
CASE instruction(6 DOWNTO 5) IS
WHEN "10" => v.ctrl_ex.carry := CARRY_ZERO;
WHEN "01" => v.ctrl_ex.carry := CARRY_ALU;
WHEN OTHERS => v.ctrl_ex.carry := CARRY_ARITH;
END CASE;
END IF;
-- Flag writeback if reg_d != 0
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
ELSIF (compare(opcode, "100110") OR compare(opcode, "101110")) = '1' THEN
-- BRANCH UNCONDITIONAL
v.ctrl_ex.branch_cond := BNC;
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
-- WRITE THE RESULT ALSO TO REGISTER D
IF v.reg_a(2) = '1' THEN
-- Flag writeback if reg_d != 0
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
END IF;
IF v.reg_a(3) = '1' THEN
v.ctrl_ex.alu_src_a := ALU_SRC_ZERO;
ELSE
v.ctrl_ex.alu_src_a := ALU_SRC_PC;
END IF;
IF G_INTERRUPT = true THEN
v_reg.delay_interrupt := '1';
END IF;
v.ctrl_ex.delay := v.reg_a(4);
ELSIF (compare(opcode, "100111") OR compare(opcode, "101111")) = '1' THEN
-- BRANCH CONDITIONAL
v.ctrl_ex.alu_op := ALU_ADD;
v.ctrl_ex.alu_src_a := ALU_SRC_PC;
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
CASE v.ctrl_wb.reg_d(2 DOWNTO 0) IS
WHEN "000" => v.ctrl_ex.branch_cond := BEQ;
WHEN "001" => v.ctrl_ex.branch_cond := BNE;
WHEN "010" => v.ctrl_ex.branch_cond := BLT;
WHEN "011" => v.ctrl_ex.branch_cond := BLE;
WHEN "100" => v.ctrl_ex.branch_cond := BGT;
WHEN OTHERS => v.ctrl_ex.branch_cond := BGE;
END CASE;
IF G_INTERRUPT = true THEN
v_reg.delay_interrupt := '1';
END IF;
v.ctrl_ex.delay := v.ctrl_wb.reg_d(4);
ELSIF compare(opcode, "101101") = '1' THEN
-- RETURN
v.ctrl_ex.branch_cond := BNC;
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
v.ctrl_ex.delay := '1';
IF G_INTERRUPT = true THEN
IF v.ctrl_wb.reg_d(0) = '1' THEN
v_reg.msr_interrupt_enable := '1';
END IF;
v_reg.delay_interrupt := '1';
END IF;
ELSIF compare(opcode(5 DOWNTO 4), "11") = '1' THEN
-- SW, LW
v.ctrl_ex.alu_op := ALU_ADD;
v.ctrl_ex.alu_src_a := ALU_SRC_REGA;
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
v.ctrl_ex.carry := CARRY_ZERO;
IF opcode(2) = '1' THEN
-- Store
v.ctrl_mem.mem_write := '1';
v.ctrl_mem.mem_read := '0';
v.ctrl_wb.reg_write := '0';
ELSE
-- Load
v.ctrl_mem.mem_write := '0';
v.ctrl_mem.mem_read := '1';
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
END IF;
CASE opcode(1 DOWNTO 0) IS
WHEN "00" => v.ctrl_mem.transfer_size := BYTE;
WHEN "01" => v.ctrl_mem.transfer_size := HALFWORD;
WHEN OTHERS => v.ctrl_mem.transfer_size := WORD;
END CASE;
v.ctrl_ex.delay := '0';
ELSIF G_USE_HW_MUL = true AND (compare(opcode, "010000") OR compare(opcode, "011000")) = '1' THEN
v.ctrl_ex.alu_op := ALU_MUL;
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
ELSIF G_USE_BARREL = true AND (compare(opcode, "010001") OR compare(opcode, "011001")) = '1' THEN
v.ctrl_ex.alu_op := ALU_BS;
IF opcode(3) = '1' THEN
v.ctrl_ex.alu_src_b := ALU_SRC_IMM;
ELSE
v.ctrl_ex.alu_src_b := ALU_SRC_REGB;
END IF;
v.ctrl_wb.reg_write := is_not_zero(v.ctrl_wb.reg_d);
ELSE
-- UNKNOWN OPCODE
NULL;
END IF;
rin <= v;
regin <= v_reg;
END PROCESS;
decode_seq: PROCESS(clk_i)
PROCEDURE proc_reset_decode IS
BEGIN
r.reg_a <= (OTHERS => '0');
r.reg_b <= (OTHERS => '0');
r.imm <= (OTHERS => '0');
r.program_counter <= (OTHERS => '0');
r.hazard <= '0';
r.ctrl_ex.alu_op <= ALU_ADD;
r.ctrl_ex.alu_src_a <= ALU_SRC_REGA;
r.ctrl_ex.alu_src_b <= ALU_SRC_REGB;
r.ctrl_ex.operation <= '0';
r.ctrl_ex.carry <= CARRY_ZERO;
r.ctrl_ex.carry_keep <= CARRY_NOT_KEEP;
r.ctrl_ex.delay <= '0';
r.ctrl_ex.branch_cond <= NOP;
r.ctrl_mem.mem_write <= '0';
r.ctrl_mem.transfer_size <= WORD;
r.ctrl_mem.mem_read <= '0';
r.ctrl_wb.reg_d <= (OTHERS => '0');
r.ctrl_wb.reg_write <= '0';
r.fwd_dec_result <= (OTHERS => '0');
r.fwd_dec.reg_d <= (OTHERS => '0');
r.fwd_dec.reg_write <= '0';
reg.instruction <= (OTHERS => '0');
reg.program_counter <= (OTHERS => '0');
reg.immediate <= (OTHERS => '0');
reg.is_immediate <= '0';
reg.msr_interrupt_enable <= '1';
reg.interrupt <= '0';
reg.delay_interrupt <= '0';
END PROCEDURE proc_reset_decode;
BEGIN
IF rising_edge(clk_i) THEN
IF rst_i = '1' THEN
proc_reset_decode;
ELSIF ena_i = '1' THEN
r <= rin;
reg <= regin;
END IF;
END IF;
END PROCESS;
gprf0 : gprf PORT MAP
(
gprf_o => gprf_o,
gprf_i.adr_a_i => rin.reg_a,
gprf_i.adr_b_i => rin.reg_b,
gprf_i.adr_d_i => rin.ctrl_wb.reg_d,
gprf_i.dat_w_i => wb_dat_d,
gprf_i.adr_w_i => decode_i.ctrl_wb.reg_d,
gprf_i.wre_i => decode_i.ctrl_wb.reg_write,
ena_i => ena_i,
clk_i => clk_i
);
END arch;
|
mit
|
nczempin/NICNAC16
|
ipcore_dir/ROM01/simulation/bmg_tb_pkg.vhd
|
101
|
6006
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Testbench Package
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_tb_pkg.vhd
--
-- Description:
-- BMG Testbench Package files
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE BMG_TB_PKG IS
FUNCTION DIVROUNDUP (
DATA_VALUE : INTEGER;
DIVISOR : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC_VECTOR;
FALSE_CASE : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STRING;
FALSE_CASE :STRING)
RETURN STRING;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC;
FALSE_CASE :STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : INTEGER;
FALSE_CASE : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION LOG2ROUNDUP (
DATA_VALUE : INTEGER)
RETURN INTEGER;
END BMG_TB_PKG;
PACKAGE BODY BMG_TB_PKG IS
FUNCTION DIVROUNDUP (
DATA_VALUE : INTEGER;
DIVISOR : INTEGER)
RETURN INTEGER IS
VARIABLE DIV : INTEGER;
BEGIN
DIV := DATA_VALUE/DIVISOR;
IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN
DIV := DIV+1;
END IF;
RETURN DIV;
END DIVROUNDUP;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC_VECTOR;
FALSE_CASE : STD_LOGIC_VECTOR)
RETURN STD_LOGIC_VECTOR IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STD_LOGIC;
FALSE_CASE : STD_LOGIC)
RETURN STD_LOGIC IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : INTEGER;
FALSE_CASE : INTEGER)
RETURN INTEGER IS
VARIABLE RETVAL : INTEGER := 0;
BEGIN
IF CONDITION=FALSE THEN
RETVAL:=FALSE_CASE;
ELSE
RETVAL:=TRUE_CASE;
END IF;
RETURN RETVAL;
END IF_THEN_ELSE;
---------------------------------
FUNCTION IF_THEN_ELSE (
CONDITION : BOOLEAN;
TRUE_CASE : STRING;
FALSE_CASE : STRING)
RETURN STRING IS
BEGIN
IF NOT CONDITION THEN
RETURN FALSE_CASE;
ELSE
RETURN TRUE_CASE;
END IF;
END IF_THEN_ELSE;
-------------------------------
FUNCTION LOG2ROUNDUP (
DATA_VALUE : INTEGER)
RETURN INTEGER IS
VARIABLE WIDTH : INTEGER := 0;
VARIABLE CNT : INTEGER := 1;
BEGIN
IF (DATA_VALUE <= 1) THEN
WIDTH := 1;
ELSE
WHILE (CNT < DATA_VALUE) LOOP
WIDTH := WIDTH + 1;
CNT := CNT *2;
END LOOP;
END IF;
RETURN WIDTH;
END LOG2ROUNDUP;
END BMG_TB_PKG;
|
mit
|
herenvarno/dlx
|
dlx_vhd/src/a.b-DataPath.core/a.b.a-Alu.core/a.b.a.b-Shifter.vhd
|
1
|
2119
|
--------------------------------------------------------------------------------
-- FILE: Shifter
-- DESC: Shift A by B bits
--
-- Author:
-- Create: 2015-05-25
-- Update: 2015-05-27
-- Status: TESTED
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.Consts.all;
use work.Funcs.all;
--------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------
entity Shifter is
generic (
DATA_SIZE : integer := C_SYS_DATA_SIZE
);
port (
l_r : in std_logic; -- LEFT/RIGHT
l_a : in std_logic; -- LOGIC/ARITHMETIC
s_r : in std_logic; -- SHIFT/ROTATE
a : in std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0');
b : in std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0');
o : out std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0')
);
end Shifter;
--------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------
architecture shifter_arch of Shifter is
constant B_SIZE : integer := MyLog2Ceil(DATA_SIZE);
begin
P0: process (a, b, l_r, l_a, s_r) is
begin
if s_r = '1' then
if l_r = '1' then
o <= to_StdLogicVector((to_bitvector(a)) ror (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
else
o <= to_StdLogicVector((to_bitvector(a)) rol (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
end if;
else
if l_r = '1' then
if l_a = '1' then
o <= to_StdLogicVector((to_bitvector(a)) sra (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
else
o <= to_StdLogicVector((to_bitvector(a)) srl (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
end if;
else
if l_a = '1' then
o <= to_StdLogicVector((to_bitvector(a)) sla (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
else
o <= to_StdLogicVector((to_bitvector(a)) sll (to_integer(unsigned(b(B_SIZE-1 downto 0)))));
end if;
end if;
end if;
end process;
end shifter_arch;
|
mit
|
herenvarno/dlx
|
dlx_vhd/tb/old_tb/a.b.c-tbRegisterFile.vhd
|
1
|
2481
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.Consts.all;
use work.Funcs.all;
entity tbRegisterFile is
end tbRegisterFile;
architecture tb_register_file_arch of tbRegisterFile is
signal clk: std_logic := '0';
signal rst: std_logic;
signal en: std_logic;
signal rd1_en: std_logic;
signal rd2_en: std_logic;
signal wr_en: std_logic;
signal rd1_addr: std_logic_vector(4 downto 0);
signal rd2_addr: std_logic_vector(4 downto 0);
signal wr_addr: std_logic_vector(4 downto 0);
signal d_out1: std_logic_vector(31 downto 0);
signal d_out2: std_logic_vector(31 downto 0);
signal d_in: std_logic_vector(31 downto 0);
component RegisterFile is
generic(
DATA_SIZE : integer := C_SYS_DATA_SIZE;
REG_NUM : integer := C_REG_NUM
);
port(
clk : in std_logic; -- clock
rst : in std_logic; -- reset
en : in std_logic; -- enable
rd1_en : in std_logic; -- read port 1
rd2_en : in std_logic; -- read port 2
wr_en : in std_logic; -- write port
rd1_addr: in std_logic_vector(MyLog2Ceil(REG_NUM)-1 downto 0); -- address of read port 1
rd2_addr: in std_logic_vector(MyLog2Ceil(REG_NUM)-1 downto 0); -- address of read port 2
wr_addr : in std_logic_vector(MyLog2Ceil(REG_NUM)-1 downto 0); -- address of write port
d_out1 : out std_logic_vector(DATA_SIZE-1 downto 0); -- data out 1 bus
d_out2 : out std_logic_vector(DATA_SIZE-1 downto 0); -- data out 2 bus
d_in : in std_logic_vector(DATA_SIZE-1 downto 0) -- data in bus
);
end component;
begin
RG:RegisterFile
generic map(32, 32)
port map (clk, rst, en, rd1_en, rd2_en, wr_en, rd1_addr, rd2_addr, wr_addr, d_out1, d_out2, d_in);
rst <= '0','1' after 5 ns;
en <= '0','1' after 3 ns;
wr_en <= '0','1' after 6 ns, '0' after 7 ns, '1' after 10 ns, '0' after 20 ns;
rd1_en <= '1','0' after 5 ns, '1' after 13 ns, '0' after 20 ns;
rd2_en <= '0','1' after 17 ns;
wr_addr <= "10110", "01000" after 9 ns, "00000" after 19 ns;
rd1_addr <="10110", "01000" after 9 ns, "00000" after 19 ns;
rd2_addr <= "11100", "01000" after 9 ns;
d_in<=(others => '0'),(others => '1') after 8 ns;
PCLOCK : process(clk)
begin
clk <= not(clk) after 0.5 ns;
end process;
end tb_register_file_arch;
configuration tb_register_file_cfg of tbRegisterFile is
for tb_register_file_arch
end for;
end tb_register_file_cfg;
|
mit
|
jakubcabal/pipemania-fpga-game
|
source/comp/game/random_decoder_fifo.vhd
|
1
|
6406
|
--------------------------------------------------------------------------------
-- PROJECT: PIPE MANIA - GAME FOR FPGA
--------------------------------------------------------------------------------
-- NAME: RANDOM_DECODER_FIFO
-- AUTHORS: Vojtěch Jeřábek <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity RANDOM_DECODER_FIFO is
Port(
CLK : in std_logic;
RST : in std_logic;
GENERATE_NEW : in std_logic; -- enable generate one random component
GENERATE_FIVE : in std_logic; -- enable generate five random components
KOMP0 : out std_logic_vector(5 downto 0); -- nejnovejci trubka
KOMP1 : out std_logic_vector(5 downto 0); -- ||
KOMP2 : out std_logic_vector(5 downto 0); -- posouva se dolu
KOMP3 : out std_logic_vector(5 downto 0); -- \/
KOMP4 : out std_logic_vector(5 downto 0) -- nejstarsi trubka, vklada se do hraciho pole
);
end RANDOM_DECODER_FIFO;
architecture Behavioral of RANDOM_DECODER_FIFO is
signal generate_random : std_logic;
signal generate_random_1 : std_logic;
signal generate_random_2 : std_logic;
signal fifo_move : std_logic;
signal generate_random_five : unsigned(11 downto 0);
signal fifo_input : std_logic_vector(3 downto 0);
signal komp0_sig : std_logic_vector(5 downto 0);
signal komp1_sig : std_logic_vector(5 downto 0);
signal komp2_sig : std_logic_vector(5 downto 0);
signal komp3_sig : std_logic_vector(5 downto 0);
signal komp4_sig : std_logic_vector(5 downto 0);
signal komp_sig : std_logic_vector(5 downto 0);
begin
--------------------------------------------------------------------------------
-- vygenerovani 5-ti nahodnych komponent za sebou
process (CLK, RST)
begin
if (RST = '1') then
generate_random_five <= (others=>'0');
generate_random_1 <='0';
elsif(rising_edge(CLK)) then
if (GENERATE_FIVE='1') then
generate_random_five <= "000000000001";
generate_random_1<='0';
else
if (generate_random_five=4096) then
generate_random_five <= (others=>'0');
generate_random_1<='0';
elsif (generate_random_five=0) then
generate_random_1<='0';
generate_random_five <= (others=>'0');
elsif (generate_random_five=237) then
generate_random_1<='1';
generate_random_five <= generate_random_five + 1;
elsif (generate_random_five=1638) then
generate_random_1<='1';
generate_random_five <= generate_random_five + 1;
elsif (generate_random_five=2484) then
generate_random_1<='1';
generate_random_five <= generate_random_five + 1;
elsif (generate_random_five=3186) then
generate_random_1<='1';
generate_random_five <= generate_random_five + 1;
elsif (generate_random_five=4001) then
generate_random_1<='1';
generate_random_five <= generate_random_five + 1;
else
generate_random_1<='0';
generate_random_five <= generate_random_five + 1;
end if;
end if;
end if;
end process;
--------------------------------------------------------------------------------
-- vygenerovani 1 nahodne komponenty
process (CLK, RST)
begin
if (RST = '1') then
generate_random_2 <= '0';
elsif (rising_edge(CLK)) then
if (GENERATE_NEW = '1') then
generate_random_2 <= '1';
else
generate_random_2 <= '0';
end if;
end if;
end process;
--------------------------------------------------------------------------------
-- vygenerovani prirazeni nahodneho cila na KOMP0_sig a posuv ostatnich. KOPM4_sig zanika
process (CLK, RST)
begin
if (RST = '1') then
komp0_sig <= (others=>'0');
komp1_sig <= (others=>'0');
komp2_sig <= (others=>'0');
komp3_sig <= (others=>'0');
komp4_sig <= (others=>'0');
elsif (rising_edge(CLK)) then
if (fifo_move = '1') then
komp0_sig <= komp_sig;
komp1_sig <= komp0_sig;
komp2_sig <= komp1_sig;
komp3_sig <= komp2_sig;
komp4_sig <= komp3_sig;
end if;
end if;
end process;
KOMP0 <= komp0_sig;
KOMP1 <= komp1_sig;
KOMP2 <= komp2_sig;
KOMP3 <= komp3_sig;
KOMP4 <= komp4_sig;
--------------------------------------------------------------------------------
-- prepocet kombinacni logiky nahodneho cisla
with fifo_input select
komp_sig <= "000001" when "0000",
"000001" when "0001",
"010001" when "0010",
"010001" when "0011", --rovne trubky
"000010" when "0100",
"010010" when "0101",
"100010" when "0110",
"110010" when "0111", --zahla trubka
"000011" when "1000",
"000011" when "1001", --kriz, je 2x kvuli lepsi cetnosti
"111111" when "1111",
"000000" when others;
--------------------------------------------------------------------------------
-- instancovani komponenty RANDOM_GENERATOR
random_generator_i: entity work.RANDOM_GENERATOR
generic map (
Number_of_options => 10,
Flip_Flops => 4
)
port map (
CLK => CLK,
RST => RST,
RANDOM_PULSE => generate_random,
RANDOM_OUT => fifo_input,
ENABLE_OUT => fifo_move
);
generate_random <= generate_random_1 OR generate_random_2;
end Behavioral;
|
mit
|
beltagymohamed/FLOATING-POINT-MULTIPLIER-USING-FPGA
|
Multiplier/Project/VHDL/device.vhd
|
1
|
1405
|
library ieee;
use ieee.std_logic_1164.all;
entity device is
port (a,b: in std_logic_vector(31 downto 0);
clk,en,rst: in std_logic;
c: out std_logic_vector(31 downto 0);
done: out std_logic
);
end device;
architecture arch_device_1 of device is
component datapath is
port (a,b: in std_logic_vector(31 downto 0);
clk,rst: in std_logic;
en: in std_logic_vector(1 downto 0);
c: out std_logic_vector(31 downto 0);
done,m47: out std_logic
);
end component;
component exceptions
port (in1: in std_logic_vector(31 downto 0);
in2: in std_logic_vector(31 downto 0);
int_mul: in std_logic_vector(31 downto 0);
enable: in std_logic;
m_in47:in std_logic;
exp_out: out std_logic_vector(31 downto 0)
);
end component;
component control
port (clk,rst,en: in std_logic;
done_m: in std_logic;
done:out std_logic;
en_mul: out std_logic_vector(1 downto 0)
);
end component;
signal done_s : std_logic ;
signal res: std_logic_vector(31 downto 0);
signal m47: std_logic;
signal en_m:std_logic_vector(1 downto 0);
signal d: std_logic;
begin
done<=d;
dp: datapath port map(a=>a,b=>b,clk=>clk,rst=>rst,en=>en_m,c=>res,done=>done_s,m47=>m47);
ex:exceptions port map(in1=>a,in2=>b,int_mul=>res,enable=>d,m_in47=>m47,exp_out=>c);
ctrl: control port map(clk=>clk,rst=>rst,en=>en,done_m=>done_s,done=>d,en_mul=>en_m);
end arch_device_1;
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/plasma/shifter.vhd
|
16
|
3063
|
---------------------------------------------------------------------
-- TITLE: Shifter Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- Matthias Gruenewald
-- DATE CREATED: 2/2/01
-- FILENAME: shifter.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the 32-bit shifter unit.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity shifter is
generic(shifter_type : string := "DEFAULT");
port(value : in std_logic_vector(31 downto 0);
shift_amount : in std_logic_vector(4 downto 0);
shift_func : in shift_function_type;
c_shift : out std_logic_vector(31 downto 0));
end; --entity shifter
architecture logic of shifter is
-- type shift_function_type is (
-- shift_nothing, shift_left_unsigned,
-- shift_right_signed, shift_right_unsigned);
signal shift1L, shift2L, shift4L, shift8L, shift16L : std_logic_vector(31 downto 0);
signal shift1R, shift2R, shift4R, shift8R, shift16R : std_logic_vector(31 downto 0);
signal fills : std_logic_vector(31 downto 16);
begin
fills <= "1111111111111111" when shift_func = SHIFT_RIGHT_SIGNED
and value(31) = '1'
else "0000000000000000";
shift1L <= value(30 downto 0) & '0' when shift_amount(0) = '1' else value;
shift2L <= shift1L(29 downto 0) & "00" when shift_amount(1) = '1' else shift1L;
shift4L <= shift2L(27 downto 0) & "0000" when shift_amount(2) = '1' else shift2L;
shift8L <= shift4L(23 downto 0) & "00000000" when shift_amount(3) = '1' else shift4L;
shift16L <= shift8L(15 downto 0) & ZERO(15 downto 0) when shift_amount(4) = '1' else shift8L;
shift1R <= fills(31) & value(31 downto 1) when shift_amount(0) = '1' else value;
shift2R <= fills(31 downto 30) & shift1R(31 downto 2) when shift_amount(1) = '1' else shift1R;
shift4R <= fills(31 downto 28) & shift2R(31 downto 4) when shift_amount(2) = '1' else shift2R;
shift8R <= fills(31 downto 24) & shift4R(31 downto 8) when shift_amount(3) = '1' else shift4R;
shift16R <= fills(31 downto 16) & shift8R(31 downto 16) when shift_amount(4) = '1' else shift8R;
GENERIC_SHIFTER: if shifter_type = "DEFAULT" generate
c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else
shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or
shift_func = SHIFT_RIGHT_SIGNED else
ZERO;
end generate;
AREA_OPTIMIZED_SHIFTER: if shifter_type /= "DEFAULT" generate
c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else (others => 'Z');
c_shift <= shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or
shift_func = SHIFT_RIGHT_SIGNED else (others => 'Z');
c_shift <= ZERO when shift_func = SHIFT_NOTHING else (others => 'Z');
end generate;
end; --architecture logic
|
mit
|
herenvarno/dlx
|
dlx_vhd/src/a.b-DataPath.core/a.b.a-Alu.vhd
|
1
|
5350
|
--------------------------------------------------------------------------------
-- FILE: Alu
-- DESC: ALU with multiple functions:
-- ADD/SUB/CMP
-- SHIFT
-- AND/OR/XOR
--
-- Author:
-- Create: 2015-05-25
-- Update: 2015-05-27
-- Status: TESTED
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Encode of FUNCTION (f) signal:
-- 00000 -- [ADD] Addition, or NOP
-- 00001 -- [AND]
-- 00010 -- [OR]
-- 00011 -- [XOR]
-- 00100 -- [SLL] Logic Shift LEFT
-- 00101 -- [SRL] Logic Shift RIGHT
-- 00110 -- NOT USED
-- 00111 -- [SRA] Arithmetic Shift RIGHT
-- 01000 -- [MULTU] Unsigned Multiply -- IN MUL MODULE
-- 01001 -- [MULT] Multiply -- IN MUL MODULE
-- 01010 -- [DIVU] Unsigned Division -- IN DIV MODULE
-- 01011 -- [DIV] Division -- IN DIV MODULE
-- 01100 -- [SQRT] Square root -- IN DIV MODULE
-- 01101 -- NOT USED
-- 01110 -- NOT USED
-- 01111 -- NOT USED
-- 10000 -- [SUB] Substraction
-- 10001 -- [SGT] SET If GREAT, For SIGNED
-- 10010 -- [SGE] SET If GREAT AND EQUAL, For SIGNED
-- 10011 -- [SLT] SET If LESS, For SIGNED
-- 10100 -- [SLE] SET If LESS AND EQUAL, For SIGNED
-- 10101 -- [SGTU] SET If GREAT, For UNSIGNED
-- 10110 -- [SGEU] SET If GREAT AND EQUAL, For UNSIGNED
-- 10111 -- [SLTU] SET If LESS, For UNSIGNED
-- 11000 -- [SLEU] SET If LESS AND EQUAL, For UNSIGNED
-- 11001 -- [SEQ] SET If EQUAL
-- 11010 -- [SNE] SET If NOT EQUAL
-- 11011 -- NOT USED
-- 11100 -- NOT USED
-- 11101 -- NOT USED
-- 11110 -- NOT USED
-- 11111 -- NOT USED
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.Consts.all;
--------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------
entity Alu is
generic (
DATA_SIZE : integer := C_SYS_DATA_SIZE
);
port (
f : in std_logic_vector(4 downto 0):=(others=>'0'); -- Function
a : in std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0'); -- Data A
b : in std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0'); -- Data B
o : out std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0') -- Data Out
);
end Alu;
--------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------
architecture alu_arch of Alu is
component Adder is
generic(
DATA_SIZE : integer := C_SYS_DATA_SIZE
);
port(
cin : in std_logic;
a, b : in std_logic_vector(DATA_SIZE-1 downto 0);
s : out std_logic_vector(DATA_SIZE-1 downto 0);
cout : out std_logic
);
end component;
component Shifter is
generic (
DATA_SIZE : integer := C_SYS_DATA_SIZE
);
port (
l_r : in std_logic; -- LEFT/RIGHT
l_a : in std_logic; -- LOGIC/ARITHMETIC
s_r : in std_logic; -- SHIFT/ROTATE
a : in std_logic_vector(DATA_SIZE-1 downto 0);
b : in std_logic_vector(DATA_SIZE-1 downto 0);
o : out std_logic_vector(DATA_SIZE-1 downto 0)
);
end component;
constant FUNC_SIZE : integer := 5;
constant FUNC_NUM : integer := 2**FUNC_SIZE;
signal b_new : std_logic_vector(DATA_SIZE-1 downto 0);
signal as_arr: std_logic_vector(DATA_SIZE-1 downto 0);
signal ado : std_logic_vector(DATA_SIZE-1 downto 0); -- adder output
signal sho : std_logic_vector(DATA_SIZE-1 downto 0); -- shifter output
signal c_f, o_f, s_f, z_f, b_f : std_logic := '0'; -- Flags of ADDER outputs: Carry, Overflow, Sign, Zero, Borrow
type OutMem_t is array (FUNC_NUM-1 downto 0) of std_logic_vector(DATA_SIZE-1 downto 0);
signal outputs : OutMem_t;
signal zeros : std_logic_vector(DATA_SIZE-1 downto 0):=(others=>'0');
begin
as_arr <= (others=>f(FUNC_SIZE-1));
b_new <= b xor as_arr;
ADD0: Adder
generic map (DATA_SIZE)
port map (f(FUNC_SIZE-1), a, b_new, ado, c_f);
SHF0: Shifter
generic map (DATA_SIZE)
port map (f(0), f(1), '0', a, b, sho);
-- CAUTION: the concept of CF is different from the CF in 8086 structure, a
-- BF is needed to determin the Borrow Bit of a substraction.
b_f <= not c_f;
s_f <= ado(DATA_SIZE-1);
o_f <= (not (a(DATA_SIZE-1) xor b_new(DATA_SIZE-1))) and (a(DATA_SIZE-1) xor s_f);
P0: process(ado)
begin
if ado = zeros then
z_f <= '1';
else
z_f <= '0';
end if;
end process;
outputs(0) <= ado;
outputs(1) <= a and b;
outputs(2) <= a or b;
outputs(3) <= a xor b;
outputs(4) <= sho;
outputs(5) <= sho;
outputs(7) <= sho;
outputs(16) <= ado;
outputs(17) <= (0 => (not z_f) and (not (s_f xor o_f)), others => '0'); -- ZF=0 & SF=OF
outputs(18) <= (0 => (not (s_f xor o_f)), others => '0'); -- SF=OF
outputs(19) <= (0 => (s_f xor o_f), others => '0'); -- SF!=OF
outputs(20) <= (0 => (z_f or (s_f xor o_f)), others => '0'); -- ZF=1 | SF!=OF
outputs(21) <= (0 => ((not b_f) and (not z_f)), others => '0'); -- BF=0 & ZF=0
outputs(22) <= (0 => (not b_f), others => '0'); -- BF=0
outputs(23) <= (0 => b_f, others => '0'); -- BF=1
outputs(24) <= (0 => (b_f or z_f), others => '0'); -- BF=1 | ZF=1
outputs(25) <= (0 => z_f, others => '0'); -- ZF=1
outputs(26) <= (0 => (not z_f), others => '0'); -- ZF=0
o <= outputs(to_integer(unsigned(f)));
end alu_arch;
|
mit
|
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
|
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/tmp/mb_lite/fetch.vhd
|
3
|
2196
|
----------------------------------------------------------------------------------------------
--
-- Input file : fetch.vhd
-- Design name : fetch
-- Author : Tamar Kranenburg
-- Company : Delft University of Technology
-- : Faculty EEMCS, Department ME&CE
-- : Systems and Circuits group
--
-- Description : Instruction Fetch Stage inserts instruction into the pipeline. It
-- uses a single port Random Access Memory component which holds
-- the instructions. The next instruction is computed in the decode
-- stage.
--
----------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
LIBRARY work;
USE work.config_Pkg.ALL;
USE work.core_Pkg.ALL;
USE work.std_Pkg.ALL;
ENTITY fetch IS PORT
(
fetch_o : OUT fetch_out_type;
imem_adr_o : OUT std_ulogic_vector(CFG_IMEM_SIZE - 1 DOWNTO 0);
imem_ena_o : OUT std_ulogic;
fetch_i : IN fetch_in_type;
rst_i : IN std_ulogic;
ena_i : IN std_ulogic;
clk_i : IN std_ulogic
);
END fetch;
ARCHITECTURE arch OF fetch IS
SIGNAL r, rin : fetch_out_type;
BEGIN
fetch_o.program_counter <= r.program_counter;
imem_adr_o <= rin.program_counter;
imem_ena_o <= ena_i;
fetch_comb: PROCESS(fetch_i, r, rst_i)
VARIABLE v : fetch_out_type;
BEGIN
v := r;
IF fetch_i.hazard = '1' THEN
v.program_counter := r.program_counter;
ELSIF fetch_i.branch = '1' THEN
v.program_counter := fetch_i.branch_target;
ELSE
v.program_counter := increment(r.program_counter(CFG_IMEM_SIZE - 1 DOWNTO 2)) & "00";
END IF;
rin <= v;
END PROCESS;
fetch_seq: PROCESS(clk_i)
BEGIN
IF rising_edge(clk_i) THEN
IF rst_i = '1' THEN
r.program_counter <= (OTHERS => '0');
ELSIF ena_i = '1' THEN
r <= rin;
END IF;
END IF;
END PROCESS;
END arch;
|
mit
|
KPU-RISC/KPU
|
VHDL/Neg8Bit.vhd
|
1
|
1675
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/15/2015 09:30:43 PM
-- Design Name:
-- Module Name: Neg8Bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Neg8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output : out BIT_VECTOR(7 downto 0) -- 8-bit output value
);
end Neg8Bit;
architecture Behavioral of Neg8Bit is
component RippleCarryAdder8Bit is
Port
(
InputA : in BIT_VECTOR(7 downto 0); -- 1st 8-bit input value
InputB : in BIT_VECTOR(7 downto 0); -- 2nd 8-bit input value
Cin : in BIT; -- Carry-in flag
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end component RippleCarryAdder8Bit;
signal NegatedInput : BIT_VECTOR(7 downto 0);
signal CarryADD : BIT;
begin
NegatedInput <= not(Input);
ADD_Impl: RippleCarryAdder8Bit port map (NegatedInput, "00000001", '0', Output, CarryADD);
end Behavioral;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 3/OMUXT.vhd
|
3
|
434
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity OMUXT is
Port ( Crs2 : in STD_LOGIC_VECTOR (31 downto 0);
SEUimm: in STD_LOGIC_VECTOR (31 downto 0);
i : in STD_LOGIC;
oper2 : out STD_LOGIC_VECTOR (31 downto 0));
end OMUXT;
architecture Behavioral of OMUXT is
begin
process(Crs2,SEUimm,i)
begin
if i='0' then
Oper2<=Crs2;
else
Oper2<=SEUimm;
end if;
end process;
end Behavioral;
|
mit
|
KPU-RISC/KPU
|
VHDL/SAR8Bit.vhd
|
1
|
1353
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/17/2015 02:58:32 PM
-- Design Name:
-- Module Name: SAR8Bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SAR8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end SAR8Bit;
architecture Behavioral of SAR8Bit is
begin
Cout <= Input(0);
Output(0) <= Input(1);
Output(1) <= Input(2);
Output(2) <= Input(3);
Output(3) <= Input(4);
Output(4) <= Input(5);
Output(5) <= Input(6);
Output(6) <= Input(7);
Output(7) <= Input(7);
end Behavioral;
|
mit
|
jeffmagina/ECE368
|
Lab2/VGA Part 2/clk25MHz.vhd
|
1
|
2032
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Pixel CLK
-- Project Name: VGA
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Pixel Clock
-- Output a 25Mhz clock for a vga controller
-- 50 Mhz to 25 Mhz
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
Library UNISIM;
use UNISIM.vcomponents.all;
entity CLK_25MHZ is
port(CLK_IN: in std_logic;
CLK_OUT: inout std_logic);
end CLK_25MHZ;
architecture Behavioral of CLK_25MHZ is
component CLKDLL
generic (CLKDV_DIVIDE : real := 2.0;
DUTY_CYCLE_CORRECTION : Boolean := TRUE;
STARTUP_WAIT : boolean := FALSE);
port(CLK0 : out STD_ULOGIC;
CLK180 : out STD_ULOGIC;
CLK270 : out STD_ULOGIC;
CLK2X : out STD_ULOGIC;
CLK90 : out STD_ULOGIC;
CLKDV : out STD_ULOGIC;
LOCKED : out STD_ULOGIC;
CLKFB : in STD_ULOGIC;
CLKIN : in STD_ULOGIC;
RST : in STD_ULOGIC);
end component;
attribute CLKDV_DIVIDE : real;
attribute DUTY_CYCLE_CORRECTION : boolean;
attribute STARTUP_WAIT : boolean;
signal CLK_D: std_logic;
begin
CLKDLL_inst : CLKDLL
port map (
CLK0 => open, -- 0 degree DLL CLK ouptput
CLK180 => open, -- 180 degree DLL CLK output
CLK270 => open, -- 270 degree DLL CLK output
CLK2X => CLK_D, -- 2X DLL CLK output
CLK90 => open, -- 90 degree DLL CLK output
CLKDV => CLK_OUT, -- Divided DLL CLK out (CLKDV_DIVIDE)
LOCKED => open, -- DLL LOCK status output
CLKFB => CLK_D, -- DLL clock feedback
CLKIN => CLK_IN, -- Clock input (from IBUFG, BUFG or DLL)
RST => '0' -- DLL asynchronous reset input
);
end Behavioral;
|
mit
|
KPU-RISC/KPU
|
VHDL/MOV8.vhd
|
1
|
1858
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/17/2015 05:17:03 PM
-- Design Name:
-- Module Name: MOV8 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity MOV8 is
Port
(
InputA : in BIT_VECTOR(7 downto 0); -- 1st 8-bit input value
InputB : in BIT_VECTOR(7 downto 0); -- 2nd 8-bit input value
Output : out BIT_VECTOR(7 downto 0) -- 8-bit output value
);
end MOV8;
architecture Behavioral of MOV8 is
component SHL8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end component SHL8Bit;
signal OutputSHL1 : BIT_VECTOR(7 downto 0);
signal OutputSHL2 : BIT_VECTOR(7 downto 0);
signal OutputSHL3 : BIT_VECTOR(7 downto 0);
signal OutputSHL4 : BIT_VECTOR(7 downto 0);
begin
-- Shift InputA 4 bits to the left
SHL_Impl1: SHL8Bit port map(InputA, OutputSHL1);
SHL_Impl2: SHL8Bit port map(OutputSHL1, OutputSHL2);
SHL_Impl3: SHL8Bit port map(OutputSHL2, OutputSHL3);
SHL_Impl4: SHL8Bit port map(OutputSHL3, OutputSHL4);
Output <= OutputSHL4 or InputB;
end Behavioral;
|
mit
|
jeffmagina/ECE368
|
Lab2/VGA Part 2/blinker.vhd
|
4
|
1406
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: BLINKER
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Simulate a BLINKER by inverting the
-- font for 1/2 second.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity BLINKER is
Port ( CLK : in STD_LOGIC;
ADDR_B : in STD_LOGIC_VECTOR (11 downto 0);
CURSOR_ADR : in STD_LOGIC_VECTOR (11 downto 0);
OUTPUT : out STD_LOGIC_VECTOR (7 downto 0);
FONT_ROM : in STD_LOGIC_VECTOR (7 downto 0));
end BLINKER;
architecture Behavioral of BLINKER is
signal sel : std_logic;
signal out1 : std_logic_vector(7 downto 0):="11111111";
begin
with sel select
OUTPUT<=out1 when '1',
FONT_ROM when others;
sel<='1' when ADDR_B=CURSOR_ADR else '0';
process(CLK)
variable count : integer;
begin
if CLK'event and CLK='1' then
count:=count+1;
if count=12500000 then
out1<=FONT_ROM;
elsif count=25000000 then
out1<="11111111";
count:=0;
end if;
end if;
end process;
end Behavioral;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 2/RF_tb.vhd
|
1
|
2902
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY RF_tb IS
END RF_tb;
ARCHITECTURE behavior OF RF_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT RF
PORT(
rs1 : IN std_logic_vector(5 downto 0);
rs2 : IN std_logic_vector(5 downto 0);
rd : IN std_logic_vector(5 downto 0);
DWR : IN std_logic_vector(31 downto 0);
rst : IN std_logic;
Crs1 : OUT std_logic_vector(31 downto 0);
Crs2 : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal rs1 : std_logic_vector(5 downto 0) := (others => '0');
signal rs2 : std_logic_vector(5 downto 0) := (others => '0');
signal rd : std_logic_vector(5 downto 0) := (others => '0');
signal DWR : std_logic_vector(31 downto 0) := (others => '0');
signal rst : std_logic := '0';
--Outputs
signal Crs1 : std_logic_vector(31 downto 0);
signal Crs2 : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: RF PORT MAP (
rs1 => rs1,
rs2 => rs2,
rd => rd,
DWR => DWR,
rst => rst,
Crs1 => Crs1,
Crs2 => Crs2
);
-- Stimulus process
stim_proc: process
begin
rst<='1';
wait for 20 ns;
rst<='0';
rs1<=(others=>'0');
rs2<="000101";
rd<="000001";
DWR<="00000000000000000000000000000101";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="111000";
rd<="010000";
DWR<="11111111111111111111111111111000";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="000100";
rd<="010001";
DWR<="00000000000000000000000000000100";
wait for 40 ns;
rs1<="000001";
rs2<="000010";
rd<="011000";
DWR<="00000000000000000000000000010100";
wait for 40 ns;
rs1<="010001";
rs2<="000001";
rd<="011001";
DWR<="00000000000000000000000000000010";
wait for 40 ns;
rs1<=(others=>'0');
rs2<=(others=>'0');
rd<=(others=>'0');
DWR<="00000000000000000000000000000000";
wait for 40 ns;
rs1<="000001";
rs2<="000011";
rd<="100000";
DWR<="00000000000000000000000000001000";
wait for 40 ns;
rs1<=(others=>'0');
rs2<=(others=>'0');
rd<=(others=>'0');
DWR<="00000000000000000000000000000000";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="000100";
rd<=(others=>'0');
DWR<="11111111111111111111111111111100";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="000001";
rd<="000010";
DWR<="00000000000000000000000000000101";
wait for 40 ns;
rs1<=(others=>'0');
rs2<="010000";
rd<="001000";
DWR<="11111111111111111111111111111000";
wait for 40 ns;
rst<='1';
rs1<="000001";
rs2<="000010";
rd<="001101";
DWR<="00000000000000000000000000001100";
wait;
end process;
END;
|
mit
|
KPU-RISC/KPU
|
VHDL/FlipFlop1Bit2WayInput.vhd
|
1
|
1868
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05/28/2015 07:11:32 PM
-- Design Name:
-- Module Name: FlipFlop1Bit2WayInput - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity FlipFlop1Bit2WayInput is
Port
(
Load1 : in BIT; -- Load Line #1
Load2 : in BIT; -- Load Line #2
Sel : in BIT; -- Select Line
Input1 : in BIT; -- Input Data #1
Input2 : in BIT; -- Input Data #2
Output : out BIT; -- Output Data
State : out BIT -- Current state of the Flip Flop
);
end FlipFlop1Bit2WayInput;
architecture Behavioral of FlipFlop1Bit2WayInput is
signal Nand1 : BIT;
signal Nand2 : BIT;
signal Not1 : BIT;
signal F1 : BIT;
signal F2 : BIT;
signal Load: BIT;
signal Input: BIT;
begin
-- We accept input from 2 inputs
Load <= Load1 or Load2;
Input <= Input1 or Input2;
Nand1 <= not(Load and Input);
Not1 <= not Input;
Nand2 <= not(Not1 and Load);
F1 <= not (F2 and Nand1);
F2 <= not (Nand2 and F1) after 1 ns;
-- Return the internal state for debugging/monitoring purposes
State <= F1;
Output <= F1 and Sel;
end Behavioral;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 3/SEU.vhd
|
3
|
389
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity SEU is
Port ( imm13 : in STD_LOGIC_VECTOR (12 downto 0);
SEUimm : out STD_LOGIC_VECTOR (31 downto 0));
end SEU;
architecture Behavioral of SEU is
begin
process(imm13)
begin
if imm13(12)='1' then
SEUimm<="1111111111111111111"&imm13;
else
SEUimm<="0000000000000000000"&imm13;
end if;
end process;
end Behavioral;
|
mit
|
jeffmagina/ECE368
|
Project1/WRITE_BACK/write_back.vhd
|
1
|
1809
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:43:03 03/25/2015
-- Design Name:
-- Module Name: write_back - Structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
Use ieee.std_logic_unsigned.all;
Use ieee.std_logic_arith.all;
entity write_back is
Port( CLK : IN STD_LOGIC;
DATA_WE : IN STD_LOGIC;
FPU_IN : IN STD_LOGIC_VECTOR (15 downto 0);
REG_A : IN STD_LOGIC_VECTOR (15 downto 0);
D_OUT_SEL : IN STD_LOGIC;
WB_OUT : OUT STD_LOGIC_VECTOR (15 downto 0)
);
end write_back;
architecture Structural of write_back is
signal s_Reg_Out, s_Reg_A_Out, s_Data_Mem_Out, s_MUX_WB_OUT : STD_LOGIC_VECTOR (15 downto 0);
signal InvCLK : STD_LOGIC;
begin
InvCLK <= not CLK;
U0: entity work.Register_RE_16
Port Map( CLK => CLK,
ENB => '1',
D => FPU_IN,
Q => s_Reg_Out
);
U1: entity work.Register_RE_16
Port Map( CLK => CLK,
ENB => '1',
D => REG_A,
Q => s_Reg_A_Out
);
U2: entity work.Data_MEM
Port Map( CLKA => InvCLK,
WEA(0) => DATA_WE,
ADDRA => s_Reg_Out(7 downto 0), -- (7 DOWNTO 0)
DINA => s_Reg_A_Out, -- (15 DOWNTO 0)
CLKB => CLK,
ADDRB => FPU_IN(7 downto 0), -- (7 DOWNTO 0)
DOUTB => s_Data_Mem_Out);
U3: entity work.mux2to1
Port Map( SEL => D_OUT_SEL,
DATA_0 => s_Data_Mem_Out,
DATA_1 => s_Reg_Out,
OUTPUT => WB_OUT
);
end Structural;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 1/PC_tb.vhd
|
1
|
1799
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY PC_tb IS
END PC_tb;
ARCHITECTURE behavior OF PC_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT PC
PORT(
rst : IN std_logic;
dataIn : IN std_logic_vector(31 downto 0);
CLK : IN std_logic;
DataOut : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal rst : std_logic := '0';
signal dataIn : std_logic_vector(31 downto 0) := (others => '0');
signal CLK : std_logic := '0';
--Outputs
signal DataOut : std_logic_vector(31 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: PC PORT MAP (
rst => rst,
dataIn => dataIn,
CLK => CLK,
DataOut => DataOut
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for 20 ns;
CLK <= '1';
wait for 20 ns;
end process;
stim_proc: process
begin
rst<='1';
wait for 20 ns;
rst<='0';
dataIn<="00100010001000100010001000100010";
wait for 40 ns;
dataIn<="00000000000000000000000000000011";
wait for 80 ns;
rst<='1';
dataIn<="00100010001000100010001000100010";
wait for 40 ns;
dataIn<="00000000000000000000000000000011";
wait for 80 ns;
rst<='0';
dataIn<="11100010001000100010001111100010";
wait for 40 ns;
dataIn<="00000000000000111111100001111111";
wait for 40 ns;
dataIn<="01010010100101001100100100010010";
wait for 40 ns;
dataIn<="01011110100001011110100001101000";
wait for 20 ns;
dataIn<="00000000000000000000000000111010";
wait;
end process;
END;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 3/SEUdisp22.vhd
|
1
|
421
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity SEUdisp22 is
Port ( disp22 : in STD_LOGIC_VECTOR (21 downto 0);
SEUdisp22 : out STD_LOGIC_VECTOR (31 downto 0));
end SEUdisp22;
architecture Behavioral of SEUdisp22 is
begin
process(disp22)
begin
if disp22(21)='1' then
SEUdisp22<="1111111111"&disp22;
else
SEUdisp22<="0000000000"&disp22;
end if;
end process;
end Behavioral;
|
mit
|
KPU-RISC/KPU
|
VHDL/Decoder4To16.vhd
|
1
|
2407
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/14/2015 04:50:10 PM
-- Design Name:
-- Module Name: Decoder4To16 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Decoder4To16 is
Port
(
F : in BIT_VECTOR(3 downto 0); -- 4-Bit Function Code (Input)
X : out BIT_VECTOR(15 downto 0) -- 16-Bit State (Output)
);
end Decoder4To16;
architecture Behavioral of Decoder4To16 is
begin
-- 0000
X(0) <= not(F(0)) and not(F(1)) and not (F(2)) and not(F(3)) after 1 ns;
-- 0001
X(1) <= F(0) and not (F(1)) and not (F(2)) and not(F(3)) after 1 ns;
-- 0010
X(2) <= not(F(0)) and F(1) and not (F(2)) and not(F(3)) after 1 ns;
-- 0011
X(3) <= F(0) and F(1) and not (F(2)) and not(F(3)) after 1 ns;
-- 0100
X(4) <= not(F(0)) and not(F(1)) and F(2) and not(F(3)) after 1 ns;
-- 0101
X(5) <= F(0) and not(F(1)) and F(2) and not(F(3)) after 1 ns;
-- 0110
X(6) <= not(F(0)) and F(1) and F(2) and not(F(3)) after 1 ns;
-- 0111
X(7) <= F(0) and F(1) and F(2) and not(F(3)) after 1 ns;
-- 1000
X(8) <= not(F(0)) and not(F(1)) and not(F(2)) and F(3) after 1 ns;
-- 1001
X(9) <= F(0) and not(F(1)) and not(F(2)) and F(3) after 1 ns;
-- 1010
X(10) <= not(F(0)) and F(1) and not(F(2)) and F(3) after 1 ns;
-- 1011
X(11) <= F(0) and F(1) and not(F(2)) and F(3) after 1 ns;
-- 1100
X(12) <= not(F(0)) and not(F(1)) and F(2) and F(3) after 1 ns;
-- 1101
X(13) <= F(0) and not(F(1)) and F(2) and F(3) after 1 ns;
-- 1110
X(14) <= not(F(0)) and F(1) and F(2) and F(3) after 1 ns;
-- 1111
X(15) <= F(0) and F(1) and F(2) and F(3) after 1 ns;
end Behavioral;
|
mit
|
popas90/vhdl-parser
|
assets/entity.vhd
|
1
|
434
|
ENTITY ALU IS
generic(
Depth : natural := 2;
Overflow : boolean
);
port (
A : in std_logic_vector(7 downto 0); --! ALU A input 8-bit from AC
B : in std_logic_vector(7 downto 0); --! ALU B input 8-bit from B-register
S : out std_logic_vector(Depth-1 downto 0); --! ALU output 8-bit to W-bus
Su : in std_logic; --! Low Add, High Sub
Eu : in std_logic); --! Active low enable ALU (tri-state)
END ALU ;
|
mit
|
jeffmagina/ECE368
|
Lab2/RISC Machine SSEG/alu_mux.vhd
|
4
|
2168
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_MUX
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Mux unit
-- Output what ALU operation requested
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Mux is
Port ( OP : in STD_LOGIC_VECTOR (3 downto 0);
ARITH : in STD_LOGIC_VECTOR (7 downto 0);
LOGIC : in STD_LOGIC_VECTOR (7 downto 0);
SHIFT : in STD_LOGIC_VECTOR (7 downto 0);
MEMORY : in STD_LOGIC_VECTOR (7 downto 0);
CCR_ARITH : in STD_LOGIC_VECTOR (3 downto 0);
CCR_LOGIC : in STD_LOGIC_VECTOR (3 downto 0);
ALU_OUT : out STD_LOGIC_VECTOR (7 downto 0);
CCR_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end ALU_Mux;
architecture Combinational of ALU_Mux is
begin
with OP select
ALU_OUT <=
ARITH when "0000", -- ADD
ARITH when "0001", -- SUB
LOGIC when "0010", -- AND
LOGIC when "0011", -- OR
LOGIC when "0100", -- CMP
ARITH when "0101", -- ADDI
LOGIC when "0110", -- ANDI
SHIFT when "0111", -- SL
SHIFT when "1000", -- SR
MEMORY when "1001", -- LW
MEMORY when OTHERS; -- SW
with OP select
CCR_OUT <=
CCR_ARITH when "0000", -- ADD
CCR_ARITH when "0001", -- SUB
CCR_LOGIC when "0010", -- AND
CCR_LOGIC when "0011", -- OR
CCR_LOGIC when "0100", -- CMP
CCR_ARITH when "0101", -- ADDI
CCR_LOGIC when "0110", -- ANDI
"0000" when OTHERS; -- All flags cleared for other LOGIC operations
end Combinational;
|
mit
|
jeffmagina/ECE368
|
Project1/OPERAND_ACCESS/op_access.vhd
|
1
|
4233
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:38:02 03/25/2015
-- Design Name:
-- Module Name: op_access - Structural
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity op_access is
Port( CLK : IN STD_LOGIC;
OPCODE_IN : IN STD_LOGIC_VECTOR (3 downto 0);
REG_A : IN STD_LOGIC_VECTOR (3 downto 0);
IMMEDIATE : IN STD_LOGIC_VECTOR (7 downto 0);
W_ADDR : IN STD_LOGIC_VECTOR (3 downto 0);
OP1_MUX_SEL : IN STD_LOGIC_VECTOR(1 downto 0);
OP2_MUX_SEL : IN STD_LOGIC_VECTOR(1 downto 0);
BANK_R_W : IN STD_LOGIC;
BANK_ENB : IN STD_LOGIC;
BANK_DATA : IN STD_LOGIC_VECTOR(15 downto 0);
DEC_REG_ADDR : OUT STD_LOGIC_VECTOR (3 downto 0);
OPCODE_OUT : OUT STD_LOGIC_VECTOR (3 downto 0);
EX_FWD_IN : IN STD_LOGIC_VECTOR(15 downto 0);
EX_FWD_ADDR : IN STD_LOGIC_VECTOR (3 downto 0);
WB_FWD_IN : IN STD_LOGIC_VECTOR(15 downto 0);
WB_FWD_ADDR : IN STD_LOGIC_VECTOR (3 downto 0);
OP1_OUT : OUT STD_LOGIC_VECTOR (15 downto 0);
OP2_OUT : OUT STD_LOGIC_VECTOR (15 downto 0)
);
end op_access;
architecture Structural of op_access is
signal REG_BANK_A_OUT, REG_BANK_B_OUT, IMM_DATA, REG_A_IN, REG_B_IN, REG_B : STD_LOGIC_VECTOR (15 downto 0);
signal OPCODE_DEL_OUT, write_address, EX_FWD_ADDR_REG, WB_FWD_ADDR_REG : STD_LOGIC_VECTOR (3 downto 0);
signal DETECT_SEL1, DETECT_SEL2 : STD_LOGIC_VECTOR(1 downto 0);
begin
IMM_DATA <= x"00" & IMMEDIATE;
REG_B <= X"000" & IMMEDIATE(3 downto 0);
RegBank: entity work.register_bank
Port Map( CLK => CLK,
ADDR_A => REG_A,
ADDR_B => IMMEDIATE(7 downto 4),
W_ADDR => write_address,
R_W => BANK_R_W,
ENB => BANK_ENB,
DATA_IN => BANK_DATA,
REG_A => REG_BANK_A_OUT,
REG_B => REG_BANK_B_OUT);
OPCODE: entity work.Register_FE_4
Port Map( CLK => CLK,
D => OPCODE_IN,
ENB => '1',
Q => OPCODE_OUT);
REGA: entity work.Register_FE_4
Port Map( CLK => CLK,
D => REG_A,
ENB => '1',
Q => DEC_REG_ADDR);
-- OPCODEDEL: entity work.Register_FE_4
-- Port Map( CLK => CLK,
-- D => OPCODE_DEL_OUT,
-- ENB => '1',
-- Q => OPCODE_OUT);
FWD_DETECT1: entity work.Forwarding_unit
PORT MAP( OPA_REG => REG_A,
EX_FWD_REG => EX_FWD_ADDR_REG,
WB_FWD_REG => WB_FWD_ADDR_REG,
FWD_SEL => OP1_MUX_SEL,
FWD_MUX_SEL => DETECT_SEL1);
OP1_MUX: entity work.MUX4to1
PORT MAP( SEL => DETECT_SEL1,
DATA_0 => REG_BANK_A_OUT,
DATA_1 => x"0000",
DATA_2 => WB_FWD_IN,
DATA_3 => EX_FWD_IN,
OUTPUT => REG_A_IN);
OP1: entity work.Register_FE_16
Port Map( CLK => CLK,
D => REG_A_IN,
ENB => '1',
Q => OP1_OUT);
FWD_DETECT2: entity work.Forwarding_unit
PORT MAP( OPA_REG => IMMEDIATE(3 downto 0),
EX_FWD_REG => EX_FWD_ADDR_REG,
WB_FWD_REG => WB_FWD_ADDR_REG,
FWD_SEL => OP2_MUX_SEL,
FWD_MUX_SEL => DETECT_SEL2);
OP2_MUX: entity work.mux4to1
Port Map( SEL => DETECT_SEL2,
DATA_0 => REG_BANK_B_OUT,
DATA_1 => IMM_DATA,
DATA_2 => WB_FWD_IN,
DATA_3 => EX_FWD_IN,
OUTPUT => REG_B_IN);
OP2: entity work.Register_FE_16
Port Map( CLK => CLK,
D => REG_B_IN,
ENB => '1',
Q => OP2_OUT);
U5: entity work.Register_RE_4
Port Map( CLK => CLK,
ENB => '1',
D => W_ADDR,
Q => write_address);
U6: entity work.Register_RE_4
Port Map( CLK => CLK,
ENB => '1',
D => EX_FWD_ADDR,
Q => EX_FWD_ADDR_REG);
U7: entity work.Register_RE_4
Port Map( CLK => CLK,
ENB => '1',
D => WB_FWD_ADDR,
Q => WB_FWD_ADDR_REG);
end Structural;
|
mit
|
jeffmagina/ECE368
|
Lab1/Button/debounce.vhd
|
2
|
2029
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Debouncer
-- Project Name: Button Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Debouncer
-- Debounce Input Signal
-- Input is fed through two flip flops
-- If both flip flops(2 cycles) have a high then
-- the counter will increment till it goes to
-- the necessary wait time.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.all;
entity debounce is
Generic ( wait_time : INTEGER := 20);
-- Wait_time is a fixed time to wait to validate a debounce signal
-- Wait time is based on the Nexys 50 MHZ Clock
-- XX : (2^xx + 2)/CLK
-- 21 : 41.9ms | (2^21 + 2)/50E6
-- 20 : 21.0ms | (2^20 + 2)/50E6
-- 19 : 10.5ms | (2^19 + 2)/50E6
-- 18 : 5.2ms | (2^18 + 2)/50E6
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
INPUT : in STD_LOGIC;
OUTPUT : out STD_LOGIC);
end debounce;
architecture Logic of debounce is
signal D_STATE : STD_LOGIC_VECTOR (1 downto 0);
signal D_SET : STD_LOGIC;
signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0');
begin
D_SET <= D_STATE(0) xor D_STATE(1);
--Check what the deboune states are
-- *if their is a change in state then D_SET will be set to a high
input_monitor: process (EN, CLK)
begin
if (CLK'event and CLK = '1' and EN = '1') then
D_STATE(0) <= INPUT;
D_STATE(1) <= D_STATE(0);
if(D_SET = '1') then
Count <= (others => '0');
elsif(Count(wait_time) = '0') then
Count <= Count + 1;
else
OUTPUT <= D_STATE(1);
end if;
end if;
end process;
end Logic;
|
mit
|
jeffmagina/ECE368
|
Lab1/ALUwithInput/alu_arithmetic_unit.vhd
|
3
|
1602
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Arithmetic_Unit
-- Project Name: OurALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Artithmetic Unit
-- Operations - Add, Sub, Addi
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Arith_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
B : in STD_LOGIC_VECTOR (7 downto 0);
OP : in STD_LOGIC_VECTOR (2 downto 0);
CCR : out STD_LOGIC_VECTOR (3 downto 0);
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end Arith_Unit;
architecture Combinational of Arith_Unit is
signal a1, b1 : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0');
signal arith : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0');
begin
-- Give extra bit to accound for carry,overflow,negative
a1 <= '0' & A;
b1 <= '0' & B;
with OP select
arith <=
a1 + b1 when "000", -- ADD
a1 - b1 when "001", -- SUB
a1 + b1 when "101", -- ADDI
a1 + b1 when OTHERS;
CCR(3) <= arith(7); -- Negative
CCR(2) <= '1' when arith(7 downto 0) = x"0000" else '0'; -- Zero
CCR(1) <= arith(8) xor arith(7); -- Overflow
CCR(0) <= arith(8); --Carry
RESULT <= arith(7 downto 0);
end Combinational;
|
mit
|
kaott/16-bit-risc
|
vhdl/mux2x16.vhd
|
4
|
382
|
library ieee;
use ieee.std_logic_1164.all;
use work.lib.all;
entity mux2x16 is
port(S : in std_logic;
X,Y : in std_logic_vector(15 downto 0);
O : out std_logic_vector(15 downto 0));
end mux2x16;
architecture Logic of mux2x16 is
begin
with S select O <= X when '0',
Y when '1',
"XXXXXXXXXXXXXXXX" when others;
end Logic;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 1/InstructionMemory_tb.vhd
|
1
|
1372
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY InstructionMemory_tb IS
END InstructionMemory_tb;
ARCHITECTURE behavior OF InstructionMemory_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT InstructionMemory
PORT(
Address : IN std_logic_vector(5 downto 0);
rst: IN std_logic;
Instruction : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Address : std_logic_vector(5 downto 0) := (others => '0');
signal rst: std_logic:= '0';
--Outputs
signal Instruction : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: InstructionMemory PORT MAP (
Address => Address,
rst =>rst,
Instruction => Instruction
);
-- Stimulus process
stim_proc: process
begin
rst<='0';
Address<=(others=>'0');
wait for 20 ns;
Address<="000001";
wait for 40 ns;
Address<="000010";
wait for 40 ns;
Address<="000011";
wait for 40 ns;
Address<="000100";
wait for 40 ns;
Address<="000101";
wait for 40 ns;
Address<="000110";
wait for 40 ns;
Address<="000111";
wait for 40 ns;
rst<='1';
Address<="000001";
wait for 40 ns;
Address<="000100";
wait;
end process;
END;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 2/CU.vhd
|
1
|
1771
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity CU is
Port ( OP : in STD_LOGIC_VECTOR (1 downto 0);
OP3 : in STD_LOGIC_VECTOR (5 downto 0);
ALUOP : out STD_LOGIC_VECTOR (5 downto 0));
end CU;
architecture Behavioral of CU is
begin
process(OP,OP3)
begin
case OP is
when "10"=>
case OP3 is --Instrucciones aritmetico logicas
when "000001"=>ALUOP<="000000"; --0. AND
when "000101"=>ALUOP<="000001"; --1. ANDN
when "000010"=>ALUOP<="000010"; --2. OR
when "000110"=>ALUOP<="000011"; --3. ORN
when "000011"=>ALUOP<="000100"; --4. XOR
when "000111"=>ALUOP<="000101"; --5. XNOR
when "000000"=>ALUOP<="000110"; --6. ADD
when "000100"=>ALUOP<="000111"; --7. SUB
when "100101"=>ALUOP<="001000"; --8. SLL
when "100110"=>ALUOP<="001001"; --9. SRL
when "100111"=>ALUOP<="001010"; --10. SRA
when "010001"=>ALUOP<="001011"; --11. ANDcc
when "010101"=>ALUOP<="001100"; --12. ANDNcc
when "010010"=>ALUOP<="001101"; --13. ORcc
when "010110"=>ALUOP<="001110"; --14. ORNcc
when "010011"=>ALUOP<="001111"; --15. XORcc
when "010111"=>ALUOP<="010000"; --16. XNORcc
when "010000"=>ALUOP<="010001"; --17. ADDcc
when "001000"=>ALUOP<="010010"; --18. ADDX
when "011000"=>ALUOP<="010011"; --19. ADDXcc
when "010100"=>ALUOP<="010100"; --20. SUBcc
when "001100"=>ALUOP<="010101"; --21. SUBX
when "011100"=>ALUOP<="010110"; --22. SUBXcc
when "111100"=>ALUOP<="010111"; --23. SAVE
when "111101"=>ALUOP<="011000"; --24. RESTORE
when others=> ALUOP<="111111"; --Instrucciones artimetico logicas no definidas
end case;
when others=>ALUOP<="111111"; --Otras instrucciones aun no definidas
end case;
end process;
end Behavioral;
|
mit
|
KPU-RISC/KPU
|
VHDL/RCL8Bit.vhd
|
1
|
1411
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 07/17/2015 12:51:34 PM
-- Design Name:
-- Module Name: RCL8Bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity RCL8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Cin : in BIT; -- Carry-in flag
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end RCL8Bit;
architecture Behavioral of RCL8Bit is
begin
Cout <= Input(7);
Output(0) <= Cin;
Output(1) <= Input(0);
Output(2) <= Input(1);
Output(3) <= Input(2);
Output(4) <= Input(3);
Output(5) <= Input(4);
Output(6) <= Input(5);
Output(7) <= Input(6);
end Behavioral;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 2/PSRModifier_tb.vhd
|
2
|
3138
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY PSRModifier_tb IS
END PSRModifier_tb;
ARCHITECTURE behavior OF PSRModifier_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT PSRModifier
PORT(
ALUOP : IN std_logic_vector(5 downto 0);
Oper2 : IN std_logic_vector(31 downto 0);
Oper1 : IN std_logic_vector(31 downto 0);
ALURESULT : IN std_logic_vector(31 downto 0);
NZVC : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
signal ALUOP : std_logic_vector(5 downto 0) := (others => '0');
signal Oper2 : std_logic_vector(31 downto 0) := (others => '0');
signal Oper1 : std_logic_vector(31 downto 0) := (others => '0');
signal ALURESULT : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal NZVC : std_logic_vector(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: PSRModifier PORT MAP (
ALUOP => ALUOP,
Oper2 => Oper2,
Oper1 => Oper1,
ALURESULT => ALURESULT,
NZVC => NZVC
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
Oper1<="00000000000000000000000000001111";
Oper2<="00000000000000000000000000010000";
ALUOP<="001011"; --ANDcc
ALURESULT<="00000000000000000000000000000000";
wait for 20 ns;
Oper1<="00000000001111111000000000011111";
Oper2<="00000000000000000000000000000000";
ALUOP<="001100"; --ANDNcc
ALURESULT<="00000000000111111100000000001111";
wait for 20 ns;
Oper1<="11100000000000000000000000000000";
Oper2<="00111111111111111111111111100000";
ALUOP<="001101"; --ORcc
ALURESULT<="11111111111111111111111111100000";
wait for 20 ns;
Oper1<="00000000000000011111100000000000";
Oper2<="00000000000000000000000011111111";
ALUOP<="001110"; --ORNcc
ALURESULT<="11111111111111111111111100000000";
wait for 20 ns;
Oper1<="10000000000000000000000000001111";
Oper2<="00000000000000000000000000010000";
ALUOP<="001111"; --XORcc
ALURESULT<="10000000000000000000000000011111";
wait for 20 ns;
Oper1<="00000111111111100000000000000000";
Oper2<="11111000000000011111111111111111";
ALUOP<="010000"; --XNORcc
ALURESULT<="00000000000000000000000000000000";
wait for 20 ns;
Oper1<="10000000000000000000000000001111";
Oper2<="10000000000000000000000000010000";
ALUOP<="010001"; --ADDcc
ALURESULT<="00000000000000000000000000011111";
wait for 20 ns;
Oper1<="11000000000000000000000000001111";
Oper2<="11000000000000000000000000010000";
ALUOP<="010011"; --ADDXcc
ALURESULT<="10000000000000000000000000011111";
wait for 20 ns;
Oper1<="10000000000000000000000000001111";
Oper2<="01111000000000000000000000000000";
ALUOP<="010100"; --SUBcc
ALURESULT<="00001000000000000000000000001111";
wait for 20 ns;
Oper1<="00000000000000000000000000001111";
Oper2<="10000000000000000000000000010000";
ALUOP<="010110"; --SUBXcc
ALURESULT<="01111111111111111111111111111111";
wait for 20 ns;
wait;
end process;
END;
|
mit
|
jeffmagina/ECE368
|
Lab2/VGA Part 2/vga_toplevel.vhd
|
4
|
4057
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Toplevel of the VGA Unit
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_TOPLEVEL is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--SW : in STD_LOGIC_VECTOR (7 downto 0);
PS2_CLK : inout STD_LOGIC;
PS2_DATA : inout STD_LOGIC;
ASCII_D : out STD_LOGIC_VECTOR (7 downto 0); -- Debug ASCII
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_TOPLEVEL;
architecture Structural of VGA_TOPLEVEL is
signal ASCII : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ASCII_RD : STD_LOGIC := '0';
signal ASCII_WE : STD_LOGIC := '0';
signal PCLK : STD_LOGIC;
signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
signal MUX8to1_OUT : STD_LOGIC := '0';
signal BLINKER_OUTPUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_A : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_B : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0');
signal ADDR_W : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0');
signal DOUT_B : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0');
signal ADDR_C : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0');
begin
ASCII_D<= ASCII;
ADDR_C <= vcount(8 downto 4)*X"50" + hcount(9 downto 3);
ADDR_B <= ADDR_C(11 downto 0);
ADDR_W <= DOUT_B(6 downto 0) & vcount(3 downto 0);
U1: entity work.CLK_25MHZ
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
U2: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
U3: entity work.RGB
port map( VALUE => MUX8to1_OUT,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
U4: entity work.MUX8to1
port map( SEL => hcount(2 downto 0),
DATA => BLINKER_OUTPUT,
OUTPUT => MUX8to1_OUT);
U5: entity work.FONT_ROM
port map( CLK => CLK,
ADDR => ADDR_W,
DATA => FR_DATA);
U6: entity work.BLINKER
port map( CLK => CLK,
ADDR_B => ADDR_B,
CURSOR_ADR => ADDR_A,
OUTPUT => BLINKER_OUTPUT,
FONT_ROM => FR_DATA);
U7: entity work.VGA_BUFFER_RAM
port map( CLKA => ASCII_RD,
WEA(0)=> ASCII_WE,
ADDRA => ADDR_A, -- (11 DOWNTO 0)
DINA => ASCII, -- (7 DOWNTO 0)
CLKB => CLK,
ADDRB => ADDR_B, -- (11 DOWNTO 0)
DOUTB => DOUT_B); -- (7 DOWNTO 0)
U8: entity work.KEYBOARD_CONTROLLER
port map( CLK => CLK,
RST => RST,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
ASCII_OUT=> ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE);
U9: entity work.CURSOR
port map( ASCII_CODE => ASCII,
ASCII_RD => ASCII_RD,
ASCII_WE => ASCII_WE,
CURSOR_ADDR => ADDR_A);
end Structural;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 3/ALU_tb.vhd
|
1
|
7200
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY ALU_tb IS
END ALU_tb;
ARCHITECTURE behavior OF ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU
PORT(
Oper1 : IN std_logic_vector(31 downto 0);
Oper2 : IN std_logic_vector(31 downto 0);
ALUOP : IN std_logic_vector(5 downto 0);
C: IN std_logic;
ALURESULT : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal Oper1 : std_logic_vector(31 downto 0) := (others => '0');
signal Oper2 : std_logic_vector(31 downto 0) := (others => '0');
signal ALUOP : std_logic_vector(5 downto 0) := (others => '0');
signal C : std_logic:='0';
--Outputs
signal ALURESULT : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU PORT MAP (
Oper1 => Oper1,
Oper2 => Oper2,
ALUOP => ALUOP,
C => C,
ALURESULT => ALURESULT
);
-- Stimulus process
stim_proc: process
begin
C<='1';
------------------SUB-------------------------------
ALUOP<="000111";
-- 5 - 28
Oper1<="00000000000000000000000000000101"; -- +5
Oper2<="00000000000000000000000000011100"; -- +28
wait for 20 ns;
-- 32 - 20
Oper1<="00000000000000000000000000100000";-- +32
Oper2<="00000000000000000000000000010100";-- +20
wait for 20 ns;
-- -45 - (+33)
Oper1<="11111111111111111111111111010011";-- -45
Oper2<="00000000000000000000000000100001";-- +33
wait for 20 ns;
-- -45 - (+63)
Oper1<="11111111111111111111111111010011";-- -45
Oper2<="00000000000000000000000000111111";-- +63
wait for 20 ns;
-- -45 - (-33)
Oper1<="11111111111111111111111111010011";-- -45
Oper2<="11111111111111111111111111011111";-- -33
wait for 20 ns;
-- -45 - (-63)
Oper1<="11111111111111111111111111010011";-- -45
Oper2<="11111111111111111111111111000001";-- -63
wait for 20 ns;
-- 45 - (-63)
Oper1<="00000000000000000000000000101101";-- 45
Oper2<="11111111111111111111111111000001";-- -63
wait for 20 ns;
-- 45 - (-33)
Oper1<="00000000000000000000000000101101";-- 45
Oper2<="11111111111111111111111111011111";-- -33
wait for 20 ns;
----------------SUMA----------------
ALUOP<="000110";-- 75 + 25
Oper1<="00000000000000000000000001001011";-- 75
Oper2<="00000000000000000000000000011001";-- 25
wait for 20 ns;
-- 75 + (-25)
Oper1<="00000000000000000000000001001011";-- 75
Oper2<="11111111111111111111111111100111";-- -25
wait for 20 ns;
-- 75 + (-100)
Oper1<="00000000000000000000000001001011";-- 75
Oper2<="11111111111111111111111110011100";-- -100
wait for 20 ns;
-- -75 + 25
Oper1<="11111111111111111111111110110101";-- -75
Oper2<="00000000000000000000000000011001";-- 25
wait for 20 ns;
-- -75 + 100
Oper1<="11111111111111111111111110110101";-- -75
Oper2<="00000000000000000000000001100100";-- +100
wait for 20 ns;
-- -75 + (-25)
Oper1<="11111111111111111111111110110101";-- -75
Oper2<="11111111111111111111111111100111";-- -25
wait for 20 ns;
-- -75 + (-100)
Oper1<="11111111111111111111111110110101";-- -75
Oper2<="11111111111111111111111110011100";-- -100
wait for 20 ns;
-------------------OR--------------------
ALUOP<="000010";
Oper1<="11111111111111111100011110110101";
Oper2<="00000011101010001001010000001100";
wait for 20 ns;
-----------------orn---------------------
ALUOP<="000011";
wait for 20 ns;
-----------------xor-------------------
ALUOP<="000100";
wait for 20 ns;
-----------------xnor-------------------
ALUOP<="000101";
wait for 20 ns;
-----------------and-------------------
ALUOP<="000000";
wait for 20 ns;
-----------------andn-------------------
ALUOP<="000001";
wait for 20 ns;
------------------------Instrucciones aritmetico logicas no definidas-----------------------
-----------------SLL------------------
ALUOP<="001000";
Oper1<="00000000000000011110001110011011";
Oper2<="00000000000000000000000000000011";
wait for 20 ns;
-----------------SRL-------------------
ALUOP<="001001";
Oper1<="11111000000000011110001110011011";
Oper2<="00000000000000000000000000000011";
wait for 20 ns;
-----------------SRA----------------------
ALUOP<="001010";
Oper1<="11111000000000011110001110011011";
Oper2<="00000000000000000000000000000011";
wait for 20 ns;
-----------------ANDcc---------------------
ALUOP<="001011";
Oper1<="00000111111000000010010000000111";
Oper2<="00000111111111000000000000000011";
wait for 20 ns;
-----------------ANDNcc--------------------
ALUOP<="001100";
Oper1<="00000000111111111000000010100000";
Oper2<="00001111111100000000000000000011";
wait for 20 ns;
-----------------ORcc----------------------
ALUOP<="001101";
Oper1<="00000001111111111100000000000000";
Oper2<="11111000000000111111110000000000";
wait for 20 ns;
-----------------ORNcc---------------------
ALUOP<="001110";
Oper1<="00000011111111100000011111000000";
Oper2<="00000000001111111111111100000000";
wait for 20 ns;
-----------------XORcc---------------------
ALUOP<="001111";
Oper1<="00001000100000000111111111100000";
Oper2<="00000001111110000110000011000000";
wait for 20 ns;
-----------------XNORcc--------------------
ALUOP<="010000";
Oper1<="00000001111111111100000000000111";
Oper2<="11110000001111100000110001000000";
wait for 20 ns;
-----------------ADDcc---------------------
ALUOP<="010001";
Oper1<="00000000000000000000000001101000";
Oper2<="00000000000000000000000000000101";
wait for 20 ns;
-----------------ADDX----------------------
ALUOP<="010010";
Oper1<="00000000000000100101010000000000";
Oper2<="00000000000000000000000100000011";
wait for 20 ns;
-----------------ADDXcc--------------------
ALUOP<="010011";
Oper1<="00000000000000000000000000101010";
Oper2<="00000000000000000000000000001101";
wait for 20 ns;
-----------------SUBcc---------------------
ALUOP<="010100";
Oper1<="00000000000000000000000100000000";
Oper2<="00000000000000000000000000010000";
wait for 20 ns;
-----------------SUBX----------------------
ALUOP<="010101";
Oper1<="00000000000000000000000000001111";
Oper2<="00000000000000000000000000001011";
wait for 20 ns;
-----------------SUBXcc--------------------
ALUOP<="010110";
Oper1<="00000000000000000000000100011011";
Oper2<="00000000000000000000000000000011";
wait for 20 ns;
-----------------SAVE----------------------
ALUOP<="010111";
Oper1<="00000000000000000000000000011011";
Oper2<="00000000000000000000000000001100";
wait for 20 ns;
-----------------RESTORE-------------------
ALUOP<="011000";
Oper1<="00000000000000000000000000010000";
Oper2<="00000000000000000000000000000111";
wait for 20 ns;
---------------Instrucciones no definidas--------------------------
ALUOP<="111111";
Oper1<="00000000000000011110001110011011";
Oper2<="00000000000000000000000011111111";
wait;
end process;
END;
|
mit
|
jeffmagina/ECE368
|
Lab1/ALUwithInput/load_store_unit.vhd
|
3
|
1320
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Logic_Unit
-- Project Name: OurALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Load/Store Unit
-- Operations - Load/Store to a register
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Load_Store_Unit is
Port ( CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR (7 downto 0);
IMMED : in STD_LOGIC_VECTOR (7 downto 0);
OP : in STD_LOGIC_VECTOR (3 downto 0);
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end Load_Store_Unit;
architecture Behavioral of Load_Store_Unit is
signal reg : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal w_en : std_logic := '0';-- '1' = write, '0' = read
begin
w_en <= '1' when OP="1010" else '0';
process(CLK)
begin
if (CLK'event and CLK='1') then
if (w_en = '1') then
reg <= A;
end if;
end if;
end process;
RESULT <= reg;
end Behavioral;
|
mit
|
jeffmagina/ECE368
|
Lab2/Keyboard/keycode_to_ascii.vhd
|
4
|
6904
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Keycode to Ascii
-- Project Name: Keyboard Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Keycode to ascii
---------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
entity KEYCODE_TO_ASCII is
port(
CLK : in STD_LOGIC;
RST : in STD_LOGIC;
KEYCODE : in STD_LOGIC_VECTOR(7 downto 0);
VALID_SIGNAL : in STD_LOGIC;
-- Output
COMPLETE: out STD_LOGIC; -- Hit Key sucessfully
ASCII : out STD_LOGIC_VECTOR(7 downto 0)--;
--KEYBOARD_OUT : out STD_LOGIC_VECTOR(7 downto 0);
--WRITE_KEYBOARD: out STD_LOGIC;
);
end KEYCODE_TO_ASCII;
architecture dataflow of KEYCODE_TO_ASCII is
type StateType is (init, idle, READ_BREAKCODE, READ_EXTENDED, READ_KEYCODE,SEND_COMPLETE);--,SEND_CAPS);
signal STATE : StateType := init;
signal ASCII_LOWER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal ASCII_UPPER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
shared variable Shift_Key : boolean := false;
shared variable Caps_Lock : boolean := false;
shared variable Extended : boolean := false;
begin
with KEYCODE select
ASCII_LOWER <=
-- Alphabet
x"61" when x"1C", -- a
x"62" when x"32", -- b
x"63" when x"21", -- c
x"64" when x"23", -- d
x"65" when x"24", -- e
x"66" when x"2B", -- f
x"67" when x"34", -- g
x"68" when x"33", -- h
x"69" when x"43", -- i
x"6A" when x"3B", -- j
x"6B" when x"42", -- k
x"6C" when x"4B", -- l
x"6D" when x"3A", -- m
x"6E" when x"31", -- n
x"6F" when x"44", -- o
x"70" when x"4D", -- p
x"71" when x"15", -- q
x"72" when x"2D", -- r
x"73" when x"1B", -- s
x"74" when x"2C", -- t
x"75" when x"3C", -- u
x"76" when x"2A", -- v
x"77" when x"1D", -- w
x"78" when x"22", -- x
x"79" when x"35", -- y
x"7A" when x"1A", -- z
--Top Row
x"60" when x"0E", -- `
x"31" when x"16", -- 1
x"32" when x"1E", -- 2
x"33" when x"26", -- 3
x"34" when x"25", -- 4
x"35" when x"2E", -- 5
x"36" when x"36", -- 6
x"37" when x"3D", -- 7
x"38" when x"3E", -- 8
x"39" when x"46", -- 9
x"30" when x"45", -- 0
x"2D" when x"4E", -- -
x"3D" when x"55", -- =
--Enter Corner
x"5B" when x"54", -- [
x"5D" when x"5B", -- ]
x"5C" when x"5D", -- \
x"3B" when x"4C", -- ;
x"27" when x"52", -- '
x"2C" when x"41", -- ,
x"2E" when x"49", -- .
x"2F" when x"4A", -- /
--Function Keys -- Based on the IBM PC Codes
x"1B" when x"76", -- Esc (Escape)
x"3B" when x"05", -- F1
x"3C" when x"06", -- F2
x"3D" when x"04", -- F3
x"3E" when x"0C", -- F4
x"3F" when x"03", -- F5
x"40" when x"0B", -- F6
x"41" when x"83", -- F7
x"42" when x"0A", -- F8
x"43" when x"01", -- F9
x"44" when x"09", -- F10
x"85" when x"78", -- F11
x"86" when x"07", -- F12
x"09" when x"0D", -- Tab (Horizontal Tab)
x"0D" when x"5A", -- Enter (Carriage Return)
--special characters -- taking up unneaded ascii codes for simplicity
x"05" when x"58", -- Caps Lock
x"06" when x"14", -- Ctrl
x"07" when x"11", -- Alt
x"08" when x"66", -- Back Space
x"20" when x"29", -- Space
--Direction Keys -- taking up unneaded ascii codes for simplicity
x"01" when x"75", -- Up
x"02" when x"72", -- Down
x"03" when x"6B", -- Left
x"04" when x"74", -- Right
--Unknown input
x"00" when OTHERS; -- Null
with KEYCODE select
ASCII_UPPER <=
-- Alphabet
x"41" when x"1C", -- A
x"42" when x"32", -- B
x"43" when x"21", -- C
x"44" when x"23", -- D
x"45" when x"24", -- E
x"46" when x"2B", -- F
x"47" when x"34", -- G
x"48" when x"33", -- H
x"49" when x"43", -- I
x"4A" when x"3B", -- J
x"4B" when x"42", -- K
x"4C" when x"4B", -- L
x"4D" when x"3A", -- M
x"4E" when x"31", -- N
x"4F" when x"44", -- O
x"50" when x"4D", -- P
x"51" when x"15", -- Q
x"52" when x"2D", -- R
x"53" when x"1B", -- S
x"54" when x"2C", -- T
x"55" when x"3C", -- U
x"56" when x"2A", -- V
x"57" when x"1D", -- W
x"58" when x"22", -- X
x"59" when x"35", -- Y
x"5A" when x"1A", -- Z
-- Special Upper case Characters (top left to bottom right)
-- Top Row
x"7E" when x"0E", -- ~
x"21" when x"16", -- !
x"40" when x"1E", -- @
x"23" when x"26", -- #
x"24" when x"25", -- $
x"25" when x"2E", -- %
x"5E" when x"36", -- ^
x"26" when x"3D", -- &
x"2A" when x"3E", -- *
x"28" when x"46", -- (
x"29" when x"45", -- )
x"5F" when x"4E", -- _
x"2B" when x"55", -- +
-- Enter Corner
x"7B" when x"54", -- {
x"7D" when x"5B", -- }
x"7C" when x"5D", -- |
x"3A" when x"4C", -- :
x"22" when x"52", -- "
x"3C" when x"41", -- <
x"3E" when x"49", -- >
x"3F" when x"4A", -- ?
-- Unknown Key
x"00" when OTHERS; -- Null
PROCESS (KEYCODE,CLK, RST)
BEGIN
if (RST = '1') then
STATE <= init;
elsif (CLK'event and CLK= '0' ) then
case STATE is
when init =>
ascii <= (OTHERS => '0');
COMPLETE <= '0';
state <= idle;
when idle =>
COMPLETE <= '0';
if VALID_SIGNAL= '1' then
Extended := false;
if keycode=x"E0" then
state <= READ_EXTENDED;
-- A Key was pressed
elsif keycode=x"F0" then
state <= READ_KEYCODE;
else
-- No break code yet
state <= idle;
end if;
-- Shift Key was press (on)
if (keycode=x"12" or keycode=x"59") then
Shift_Key := true;
end if;
end if;
when READ_EXTENDED =>
if VALID_SIGNAL= '1' then
Extended := true;
if keycode=x"F0" then
state <= READ_KEYCODE;
else
state <= idle;
end if;
end if;
when READ_BREAKCODE =>
if VALID_SIGNAL= '1' then
if keycode=x"F0" then
state <= READ_KEYCODE;
else
state <= idle;
end if;
end if;
when READ_KEYCODE =>
if VALID_SIGNAL= '1' then
-- Shift Key was released (off)
if (keycode=x"12" or keycode=x"59") then
Shift_Key := false;
elsif (keycode=x"58") then
if (Caps_Lock = false) then
Caps_Lock := true;
else
Caps_Lock := false;
end if;
--state <= SEND_CAPS;
else
if (Shift_Key = true or Caps_Lock = true) then
ascii <= ASCII_UPPER;
else
ascii <= ASCII_LOWER;
end if;
end if;
state <= SEND_COMPLETE;
end if;
when SEND_COMPLETE =>
COMPLETE <= '1';
state <= idle;
--when SEND_CAPS =>
when OTHERS =>
state <= idle;
end case;
end if;
end process;
end architecture dataflow;
|
mit
|
gustavogarciautp/Procesador
|
Entrega 3/ALU.vhd
|
1
|
2164
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity ALU is
Port ( Oper1 : in STD_LOGIC_VECTOR (31 downto 0);
Oper2 : in STD_LOGIC_VECTOR (31 downto 0);
ALUOP : in STD_LOGIC_VECTOR (5 downto 0);
C: in STD_LOGIC;
ALURESULT : out STD_LOGIC_VECTOR (31 downto 0));
end ALU;
architecture Behavioral of ALU is
begin
process(Oper1,Oper2,ALUOP,C)
begin
case ALUOP is
when "000000"=>
ALURESULT<=Oper1 and Oper2;--AND
when "000001"=>
ALURESULT<=Oper1 and not Oper2;--ANDN
when "000010"=>
ALURESULT<=Oper1 or Oper2;--OR
when "000011"=>
ALURESULT<=Oper1 or not Oper2;--ORN
when "000100"=>
ALURESULT<=Oper1 xor Oper2;--XOR
when "000101"=>
ALURESULT<=Oper1 xnor Oper2;--XNOR
when "000110"=>
ALURESULT<=Oper1+Oper2;--ADD
when "000111"=>
ALURESULT<=Oper1-Oper2;--SUB
when "001000"=> --SLL
ALURESULT<=std_logic_vector(unsigned(Oper1) sll conv_integer(oper2(4 downto 0)));
when "001001"=> --SRL
ALURESULT<=std_logic_vector(unsigned(Oper1) srl conv_integer(oper2(4 downto 0)));
when "001010"=> --SRA
ALURESULT<=To_StdLogicVector(to_bitvector(Oper1) sra conv_integer(oper2(4 downto 0)));
when "001011"=>
ALURESULT<=Oper1 and Oper2;--ANDcc
when "001100"=>
ALURESULT<=Oper1 and not Oper2;--ANDNcc
when "001101"=>
ALURESULT<=Oper1 or Oper2;--ORcc
when "001110"=>
ALURESULT<=Oper1 or not Oper2;--ORNcc
when "001111"=>
ALURESULT<=Oper1 xor Oper2;--XORcc
when "010000"=>
ALURESULT<=Oper1 xnor Oper2;--XNORcc
when "010001"=>
ALURESULT<=Oper1+Oper2;--ADDcc
when "010010"=> --ADDX
ALURESULT<=Oper1+Oper2+C;
when "010011"=> --ADDXcc
ALURESULT<=Oper1+Oper2+C;
when "010100"=>
ALURESULT<=Oper1-Oper2;--SUBcc
when "010101"=> --SUBX
ALURESULT<=Oper1-Oper2-C;
when "010110"=> --SUBXcc
ALURESULT<=Oper1-Oper2-C;
when "010111"=> --SAVE
ALURESULT<=Oper1+Oper2;
when "011000"=> --RESTORE
ALURESULT<=Oper1+Oper2;
when others=>--"111111" Instrucciones no definidas
ALURESULT<=(others=>'0');
end case;
end process;
end Behavioral;
|
mit
|
KPU-RISC/KPU
|
VHDL/CPU8Bit.vhd
|
1
|
39284
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05/20/2015 10:41:46 PM
-- Design Name:
-- Module Name: CPU8Bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CPU8Bit is
port
(
Clock : in BIT;
Start : in BIT;
Reset : in BIT;
Load_RAM : in BIT;
Select_RAM : in BIT;
Address_RAM : in BIT_VECTOR(15 downto 0);
Input_RAM : in BIT_VECTOR(7 downto 0);
PC : in BIT_VECTOR(15 downto 0);
Load_PC : in BIT;
InputPort_A : in BIT_VECTOR(7 downto 0);
InputPort_B : in BIT_VECTOR(7 downto 0);
OutputPort_C : out BIT_VECTOR(7 downto 0);
OutputPort_D : out BIT_VECTOR(7 downto 0);
Instruction : out BIT_VECTOR(7 downto 0)
);
end CPU8Bit;
architecture Behavioral of CPU8Bit is
component Counter4Bit is
port
(
Clock : in BIT;
Reset : in BIT;
Output: out STD_LOGIC_VECTOR(3 downto 0)
);
end component Counter4Bit;
component Decoder3to8 is
Port
(
F : in BIT_VECTOR(2 downto 0); -- 3-Bit Function Code (Input)
X : out BIT_VECTOR(7 downto 0); -- 8-Bit State (Output)
Started: in BIT -- Is the CPU already running?
);
end component Decoder3to8;
component RAM_Wrapper is
port
(
Clock: IN BIT;
Load: IN BIT;
Sel: IN BIT; -- Requests the data from the RAM
Ret: IN BIT; -- Returns the data from the RAM and places it onto the data bus
Address: IN BIT_VECTOR(15 DOWNTO 0);
Input: IN BIT_VECTOR(7 DOWNTO 0);
Output: OUT BIT_VECTOR(7 DOWNTO 0)
);
end component RAM_Wrapper;
component Register8Bit is
Port
(
Load : in BIT; -- Load Line
Sel : in BIT; -- Select Line
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
State : out BIT_VECTOR(7 downto 0) -- Current state of the Flip Flop
);
end component Register8Bit;
component Register8Bit2WayOutput is
Port
(
Load : in BIT; -- Load Line
Sel1 : in BIT; -- Select Line #1
Sel2 : in BIT; -- Select Line #2
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Output1 : out BIT_VECTOR(7 downto 0); -- 8-bit output value #1
Output2 : out BIT_VECTOR(7 downto 0); -- 8-bit output value #2
State : out BIT_VECTOR(7 downto 0) -- Current state of the Flip Flop
);
end component Register8Bit2WayOutput;
component Register8Bit2WayInput is
Port
(
Load1 : in BIT; -- Load Line #1
Load2 : in BIT; -- Load Line #2
Sel : in BIT; -- Select Line
Input1 : in BIT_VECTOR(7 downto 0); -- 8-bit input value #1
Input2 : in BIT_VECTOR(7 downto 0); -- 8-bit input value #2
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
State : out BIT_VECTOR(7 downto 0) -- Current state of the Flip Flop
);
end component Register8Bit2WayInput;
component Register16Bit is
Port
(
Load : in BIT; -- Load Line
Sel : in BIT; -- Select Line
Input : in BIT_VECTOR(15 downto 0); -- 16-bit input value
Output : out BIT_VECTOR(15 downto 0); -- 16-bit output value
State : out BIT_VECTOR(15 downto 0) -- Current state of the Flip Flop
);
end component Register16Bit;
component RegisterExtended16Bit is
Port
(
Load_8Bit_L: in BIT; -- Load Line #1 (bits 0 - 7)
Load_8Bit_H: in BIT; -- Load Line #2 (bits 8 - 15)
Load_16Bit: in BIT; -- Load Line #3 (bits 0 - 15)
Select_8Bit_L: in BIT; -- Select Line #1 (bits 0 - 7)
Select_8Bit_H: in BIT; -- Select Line #2 (bits 8 - 15)
Select_16Bit: in BIT; -- Select Line #3 (bits 0 - 15)
Input_8Bit_L: in BIT_VECTOR(7 downto 0); -- 8-bit input value (bits 0 - 7)
Input_8Bit_H: in BIT_VECTOR(7 downto 0); -- 8-bit input value (bits 8 - 15)
Input_16Bit: in BIT_VECTOR(15 downto 0); -- 16-bit input value (bits 0 - 15)
Output_8Bit_L: out BIT_VECTOR(7 downto 0); -- 8-bit output value (bits 0 - 7)
Output_8Bit_H: out BIT_VECTOR(7 downto 0); -- 8-bit output value (bits 8 - 15)
Output_16Bit: out BIT_VECTOR(15 downto 0); -- 16-bit output value (bits 0 - 15)
State_8Bit_L: out BIT_VECTOR(7 downto 0); -- Current state of the Flip Flop (bits 0 - 7)
State_8Bit_H: out BIT_VECTOR(7 downto 0); -- Current state of the Flip Flop (bits 8 - 15)
State_16Bit: out BIT_VECTOR(15 downto 0) -- Current state of the Flip Flop (bits 0 - 15)
);
end component RegisterExtended16Bit;
component Increment8Bit is
Port
(
Input : in BIT_VECTOR(7 downto 0); -- 8-bit input value
Cin : in BIT; -- Carry-in flag
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end component Increment8Bit;
component Increment16Bit is
Port
(
Input : in BIT_VECTOR(15 downto 0); -- 16-bit input value
Cin : in BIT; -- Carry-in flag
Output : out BIT_VECTOR(15 downto 0); -- 16-bit output value
Cout : out BIT -- Carry-out flag
);
end component Increment16Bit;
component InstructionDecoder is
port
(
TimingSignals : in BIT_VECTOR(7 downto 0); -- The 8 different timing states
Instruction : in BIT_VECTOR(7 downto 0); -- The instruction to execute
Flags : in BIT_VECTOR(7 downto 0); -- Content of the FLAGS register - needed for conditional jumps
-- ==============================================================
-- The various control lines of the CPU which go low/high
-- depending on the timing state and the instruction to execute:
-- ==============================================================
Load_PC : out BIT;
Select_PC : out BIT;
Load_SRAM: out BIT;
Select_SRAM: out BIT;
Return_SRAM: out BIT;
Load_INC: out BIT;
Select_INC: out BIT;
Load_INSTR: out BIT;
Select_INSTR_To_DataBus: out BIT;
Select_INSTR_To_ALU: out BIT;
Load_A_From_DataBus: out BIT;
Select_A_To_ALU: out BIT;
Load_B_From_DataBus: out BIT;
Select_B_To_ALU: out BIT;
Load_C_From_DataBus: out BIT;
Load_InternalA_From_DataBus: out BIT;
Select_InternalA_To_DataBus: out BIT;
Load_Flags: out BIT;
Select_A_To_DataBus: out BIT;
Select_B_To_DataBus: out BIT;
Select_C_To_DataBus: out BIT;
Load_D_From_DataBus: out BIT;
Select_D_To_DataBus: out BIT;
Load_E_From_DataBus: out BIT;
Select_E_To_DataBus: out BIT;
Load_F_From_DataBus: out BIT;
Select_F_To_DataBus: out BIT;
Load_G_From_DataBus: out BIT;
Select_G_To_DataBus: out BIT;
Load_H_From_DataBus: out BIT;
Select_H_To_DataBus: out BIT;
load_M_From_AddressBus: out BIT;
select_M_To_AddressBus: out BIT;
load_XL_From_DataBus: out BIT;
load_XH_From_DataBus: out BIT;
load_X_From_AddressBus: out BIT;
select_XL_To_DataBus: out BIT;
select_XH_To_DataBus: out BIT;
select_X_To_AddressBus: out BIT;
Load_J_From_AddressBus: out BIT;
Select_J_To_AddressBus: out BIT;
Load_SP_From_AddressBus: out BIT;
Select_SP_To_AddressBus: out BIT;
Load_BP_From_AddressBus: out BIT;
Select_BP_To_AddressBus: out BIT;
Load_Y_From_AddressBus: out BIT;
Select_Y_To_AddressBus: out BIT;
Load_Z_From_AddressBus: out BIT;
Select_Z_To_AddressBus: out BIT;
Load_Adder16Bit_InputA: out BIT;
Select_Adder16Bit_InputA: out BIT;
Load_Adder16Bit_InputB: out BIT;
Select_Adder16Bit_InputB: out BIT;
Load_Adder16Bit_OutputC: out BIT;
Select_Adder16Bit_OutputC: out BIT;
load_FlagsSaved_From_FlagsRegister: out BIT;
load_FlagsSaved_To_FlagsRegister: out BIT;
Load_FlagsFromDataBus: out BIT;
Select_FlagsToFlagsBus: out BIT;
Load_FlagsFromFlagsBus: out BIT;
Select_FlagsToDataBus: out BIT;
Select_Flags: out BIT;
Select_PortA_To_DataBus: out BIT;
Select_PortB_To_DataBus: out BIT;
Load_PortC_From_DataBus: out BIT;
Load_PortD_From_DataBus: out BIT;
StopCPU: out BIT
);
end component InstructionDecoder;
component ALU8Bit is
Port
(
InputA : in BIT_VECTOR(7 downto 0); -- 1st 8-bit input value
InputB : in BIT_VECTOR(7 downto 0); -- 2nd 8-bit input value
FunctionCode: in BIT_VECTOR(3 downto 0); -- 4-bit function code
CarryIn: in BIT; -- Carry-Bit
Output : out BIT_VECTOR(7 downto 0); -- 8-bit output value
Sign : out BIT; -- Do we have a negative number?
Zero : out BIT; -- Do we have a zero value?
Carry : out BIT; -- Do we have a carry?
Overflow: out BIT -- Do we have an overflow?
);
end component ALU8Bit;
component RippleCarryAdder16Bit is
Port
(
InputA : in BIT_VECTOR(15 downto 0); -- 1st 8-bit input value
InputB : in BIT_VECTOR(15 downto 0); -- 2nd 8-bit input value
Cin : in BIT; -- Carry-in flag
Output : out BIT_VECTOR(15 downto 0); -- 8-bit output value
Cout : out BIT -- Carry-out flag
);
end component RippleCarryAdder16Bit;
-- 4-bit binary counter & Control Lines
signal CounterOutput : STD_LOGIC_VECTOR(3 downto 0);
signal CounterOutputBitVector : BIT_VECTOR(3 downto 0);
signal TimingSignals : BIT_VECTOR(7 downto 0);
-- Signals needed for the RAM memory cell
signal loadRAM: BIT;
signal selectRAM: BIT;
signal returnRAM: BIT;
signal addressRAM: BIT_VECTOR(15 downto 0);
signal inputRAM: BIT_VECTOR(7 downto 0);
signal outputRAM: BIT_VECTOR(7 downto 0);
-- Signals needed for register "A"
signal load_A_From_DataBus : BIT; -- Load Line
signal select_A_To_DataBus : BIT; -- Select Line to Data Bus
signal select_A_To_ALU : BIT; -- Select Line to ALU
signal in_A_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_A_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
signal out_A_To_ALU : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "B"
signal load_B_From_DataBus : BIT; -- Load Line
signal select_B_To_DataBus : BIT; -- Select Line to Data Bus
signal select_B_To_ALU : BIT; -- Select Line to ALU
signal in_B_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_B_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
signal out_B_To_ALU : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "C"
signal load_C_From_DataBus : BIT; -- Load Line
signal select_C_To_DataBus : BIT; -- Select Line
signal in_C_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_C_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "D"
signal load_D_From_DataBus : BIT; -- Load Line
signal select_D_To_DataBus : BIT; -- Select Line
signal in_D_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_D_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "E"
signal load_E_From_DataBus : BIT; -- Load Line
signal select_E_To_DataBus : BIT; -- Select Line
signal in_E_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_E_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "F"
signal load_F_From_DataBus : BIT; -- Load Line
signal select_F_To_DataBus : BIT; -- Select Line
signal in_F_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_F_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "G"
signal load_G_From_DataBus : BIT; -- Load Line
signal select_G_To_DataBus : BIT; -- Select Line
signal in_G_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_G_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "H"
signal load_H_From_DataBus : BIT; -- Load Line
signal select_H_To_DataBus : BIT; -- Select Line
signal in_H_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_H_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "Flags"
signal load_Flags : BIT; -- Load Line
signal select_Flags : BIT; -- Select Line
signal in_Flags_From_ALU : BIT_VECTOR(7 downto 0); -- Input Data
signal out_Flags : BIT_VECTOR(7 downto 0); -- Output Data
signal in_Flags : BIT_VECTOR(7 downto 0);
signal state_Flags: BIT_VECTOR(7 downto 0);
-- Signals needed for register "FlagsSaved"
signal load_FlagsSaved_From_FlagsBus: BIT;
signal select_FlagsSaved_To_FlagsBus: BIT;
signal out_FlagsSaved_To_FlagsBus: BIT_VECTOR(7 downto 0);
signal in_FlagsSaved_From_FlagsBus : BIT_VECTOR(7 downto 0);
-- Signals needed for register "FlagsInBuffer"
signal load_FlagsFromDataBus: BIT;
signal select_FlagsToFlagsBus: BIT;
signal in_FlagsFromDataBus: BIT_VECTOR(7 downto 0);
signal out_FlagsToFlagsBus: BIT_VECTOR(7 downto 0);
-- Signals needed for register "FlagsOutBuffer"
signal load_FlagsFromFlagsBus: BIT;
signal select_FlagsToDataBus: BIT;
signal in_FlagsFromFlagsBus: BIT_VECTOR(7 downto 0);
signal out_FlagsToDataBus: BIT_VECTOR(7 downto 0);
-- Signals needed for register "Internal A"
signal load_InternalA_From_DataBus : BIT; -- Load Line
signal select_InternalA_To_DataBus : BIT; -- Select Line
signal in_InternalA_From_DataBus : BIT_VECTOR(7 downto 0); -- Input Data
signal out_InternalA : BIT_VECTOR(7 downto 0); -- Output Data
-- Signals needed for register "Program Counter"
signal l_PC : BIT; -- Load Line
signal select_PC : BIT; -- Select Line
signal in_PC : BIT_VECTOR(15 downto 0); -- Input Data
signal out_PC : BIT_VECTOR(15 downto 0); -- Output Data
-- Signals needed for register "Increment Program Counter"
signal load_INC : BIT; -- Load Line
signal select_INC : BIT; -- Select Line
signal in_INC : BIT_VECTOR(15 downto 0); -- Input Data
signal out_INC : BIT_VECTOR(15 downto 0); -- Output Data
-- Signals needed for 16-bit register "M"
signal load_M_From_AddressBus: BIT;
signal select_M_To_AddressBus: BIT;
signal in_M_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_M_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for 16-bit register "X"
signal load_XL_From_DataBus: BIT;
signal load_XH_From_DataBus: BIT;
signal load_X_From_AddressBus: BIT;
signal select_XL_To_DataBus: BIT;
signal select_XH_To_DataBus: BIT;
signal select_X_To_AddressBus: BIT;
signal in_XL_From_DataBus: BIT_VECTOR(7 downto 0);
signal in_XH_From_DataBus: BIT_VECTOR(7 downto 0);
signal in_X_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_XL_To_DataBus: BIT_VECTOR(7 downto 0);
signal out_XH_To_DataBus: BIT_VECTOR(7 downto 0);
signal out_X_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for register "J"
signal load_J_From_AddressBus: BIT;
signal select_J_To_AddressBus: BIT;
signal in_J_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_J_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for register "SP"
signal load_SP_From_AddressBus: BIT;
signal select_SP_To_AddressBus: BIT;
signal in_SP_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_SP_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for register "BP"
signal load_BP_From_AddressBus: BIT;
signal select_BP_To_AddressBus: BIT;
signal in_BP_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_BP_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for register "Y"
signal load_Y_From_AddressBus: BIT;
signal select_Y_To_AddressBus: BIT;
signal in_Y_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_Y_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for register "Z"
signal load_Z_From_AddressBus: BIT;
signal select_Z_To_AddressBus: BIT;
signal in_Z_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_Z_To_AddressBus: BIT_VECTOR(15 downto 0);
-- Signals needed for the register "Instruction"
signal load_INSTR : BIT; -- Load Line
signal select_INSTR_To_DataBus : BIT; -- Select Line to Data Bus
signal select_INSTR_To_ALU: BIT; -- Select Line to ALU
signal in_INSTR : BIT_VECTOR(7 downto 0); -- Input Data
signal out_INSTR_To_DataBus : BIT_VECTOR(7 downto 0); -- Output Data to Data Bus
signal out_INSTR_To_ALU : BIT_VECTOR(7 downto 0); -- Output Data to ALU
signal currentInstruction : BIT_VECTOR(7 downto 0); -- The current instruction to be processed
-- Signals needed for the 16-bit Ripple Carry Adder
signal Output_Adder16Bit: BIT_VECTOR(15 downto 0); -- 16-bit output value for the 16-bit adder
-- Signals needed for the register "Adder16Bit_OutputC"
signal load_Adder16Bit_OutputC: BIT;
signal select_Adder16Bit_OutputC: BIT;
signal Output_RegisterAdder16Bit: BIT_VECTOR(15 downto 0);
-- Signals needed for the register "Adder16Bit_InputA"
signal load_Adder16Bit_InputA: BIT;
signal select_Adder16Bit_InputA: BIT;
signal in_Adder16Bit_InputA_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_Adder16Bit_InputA: BIT_VECTOR(15 downto 0);
-- Signals needed for the register "Adder16Bit_InputB"
signal load_Adder16Bit_InputB: BIT;
signal select_Adder16Bit_InputB: BIT;
signal in_Adder16Bit_InputB_From_AddressBus: BIT_VECTOR(15 downto 0);
signal out_Adder16Bit_InputB: BIT_VECTOR(15 downto 0);
-- Signals needed for Input Port "A"
signal select_PortA_To_DataBus: BIT;
signal out_PortA_To_DataBus: BIT_VECTOR(7 downto 0);
-- Signals needed for Output Port "C"
signal load_PortC_From_DataBus: BIT;
signal in_PortC_FromDataBus: BIT_VECTOR(7 downto 0);
-- Signals needed for Output Port "D"
signal load_PortD_From_DataBus: BIT;
signal in_PortD_FromDataBus: BIT_VECTOR(7 downto 0);
-- Signals needed for Input Port "B"
signal select_PortB_To_DataBus: BIT;
signal out_PortB_To_DataBus: BIT_VECTOR(7 downto 0);
-- 16-bit Address Bus
signal AddressBus : BIT_VECTOR(15 downto 0);
-- 8-bit Data Bus
signal DataBus : BIT_VECTOR(7 downto 0);
-- 8-bit Flags Bus
signal FlagsBus : BIT_VECTOR(7 downto 0);
signal FlagsTemp: BIT_VECTOR(7 downto 0);
-- Incrementer for Program Counter
signal CarryOutIncrementer : BIT;
-- Signals needed to connect the TestBench to the CPU
signal load_SRAM : BIT;
signal select_SRAM : BIT;
signal load_PC1 : BIT;
-- ALU output flags
signal ALU_Sign: BIT := '0';
signal ALU_Zero: BIT := '0';
signal ALU_Carry: BIT := '0';
signal out_ALU: BIT_VECTOR(7 downto 0);
signal stopped: BIT := '0';
-- This line stores the first 5 bits from the Register "Internal A"
signal Truncated_InternalA : BIT_VECTOR(7 downto 0);
begin
-- =============================================================
-- The following section contains the definition of the various
-- components of the CPU
-- =============================================================
-- That's the 4-bit binary counter
Counter: Counter4Bit port map (Clock, Reset, CounterOutput);
CounterOutputBitVector <= TO_BITVECTOR(CounterOutput);
-- The decoder generates the signals along the 8 control lines out from the 4-bit binary counter
Decoder: Decoder3to8 port map(CounterOutputBitVector(2 downto 0), TimingSignals, Start and not stopped);
-- This is our main-memory - 64K
ram: RAM_Wrapper port map(Clock, loadRAM, selectRAM, returnRAM, addressRAM, inputRAM, OutputRAM);
-- Connects the address bus to the SRAM address input
addressRAM <= AddressBus or
Address_RAM;
-- The Instruction Decoder - the brain within the brain.
-- Based on the timing signal and the current instruction the
-- various CPU control lines are going high/low.
instrDecoder: InstructionDecoder port map(TimingSignals,
currentInstruction,
out_Flags,
load_PC1,
select_PC,
load_SRAM,
select_SRAM,
returnRAM,
load_INC,
select_INC,
load_INSTR,
select_INSTR_To_DataBus,
select_INSTR_To_ALU,
load_A_From_DataBus,
select_A_To_ALU,
load_B_From_DataBus,
select_B_To_ALU,
load_C_From_DataBus,
load_InternalA_From_DataBus,
select_InternalA_To_DataBus,
load_Flags,
select_A_To_DataBus,
select_B_To_DataBus,
select_C_To_DataBus,
load_D_From_DataBus,
select_D_To_DataBus,
load_E_From_DataBus,
select_E_To_DataBus,
load_F_From_DataBus,
select_F_To_DataBus,
load_G_From_DataBus,
select_G_To_DataBus,
load_H_From_DataBus,
select_H_To_DataBus,
load_M_From_AddressBus,
select_M_To_AddressBus,
load_XL_From_DataBus,
load_XH_From_DataBus,
load_X_From_AddressBus,
select_XL_To_DataBus,
select_XH_To_DataBus,
select_X_To_AddressBus,
load_J_From_AddressBus,
select_J_To_AddressBus,
load_SP_From_AddressBus,
select_SP_To_AddressBus,
load_BP_From_AddressBus,
select_BP_To_AddressBus,
load_Y_From_AddressBus,
select_Y_To_AddressBus,
load_Z_From_AddressBus,
select_Z_To_AddressBus,
load_Adder16Bit_InputA,
select_Adder16Bit_InputA,
load_Adder16Bit_InputB,
select_Adder16Bit_InputB,
load_Adder16Bit_OutputC,
select_Adder16Bit_OutputC,
load_FlagsSaved_From_FlagsBus,
select_FlagsSaved_To_FlagsBus,
load_FlagsFromDataBus,
select_FlagsToFlagsBus,
load_FlagsFromFlagsBus,
select_FlagsToDataBus,
select_Flags,
select_PortA_To_DataBus,
select_PortB_To_DataBus,
load_PortC_From_DataBus,
load_PortD_From_DataBus,
stopped);
-- 16-bit Register Definitions
rPC: Register16Bit port map(l_PC, select_PC, in_PC, out_PC); -- Register "Program Counter" (PC)
rINC: Register16Bit port map(load_INC, select_INC, in_INC, out_INC); -- Register "Program Counter Increment" (INC)
rJ: Register16Bit port map(load_J_From_AddressBus, select_J_To_AddressBus, in_J_From_AddressBus, out_J_To_AddressBus); -- Register "Jump" - stores the JMP target address
rM: Register16Bit port map(load_M_From_AddressBus, select_M_To_AddressBus, in_M_From_AddressBus, out_M_To_AddressBus); -- Register "M"
rSP: Register16Bit port map(load_SP_From_AddressBus, select_SP_To_AddressBus, in_SP_From_AddressBus, out_SP_To_AddressBus); -- Register "SP"
rBP: Register16Bit port map(load_BP_From_AddressBus, select_BP_To_AddressBus, in_BP_From_AddressBus, out_BP_To_AddressBus); -- Register "BP"
rY: Register16Bit port map(load_Y_From_AddressBus, select_Y_To_AddressBus, in_Y_From_AddressBus, out_Y_To_AddressBus); -- Register "Y"
rZ: Register16Bit port map(load_Z_From_AddressBus, select_Z_To_AddressBus, in_Z_From_AddressBus, out_Z_To_AddressBus); -- Register "Z"
-- 16-bit Ripple Carry Adder
adder16Bit: RippleCarryAdder16Bit port map(out_Adder16Bit_InputA, out_Adder16Bit_InputB, '0', Output_Adder16Bit);
rAdder16Bit_InputA: Register16Bit port map(load_Adder16Bit_InputA, select_Adder16Bit_InputA, in_Adder16Bit_InputA_From_AddressBus, out_Adder16Bit_InputA);
rAdder16Bit_InputB: Register16Bit port map(load_Adder16Bit_InputB, select_Adder16Bit_InputB, in_Adder16Bit_InputB_From_AddressBus, out_Adder16Bit_InputB);
rAdder16Bit_OutputC: Register16Bit port map(load_Adder16Bit_OutputC, select_Adder16Bit_OutputC, Output_Adder16Bit, Output_RegisterAdder16Bit);
-- Incrementer for Program Counter
-- It takes the input from the AddressBus and writes
-- the output into the input of the INC register (=> in_INC)
inc: Increment16Bit port map(AddressBus, '0', in_INC, CarryOutIncrementer);
-- 8-bit Instruction Register
rINSTR: Register8Bit2WayOutput port map(load_INSTR, select_INSTR_To_DataBus, select_INSTR_To_ALU, in_INSTR, out_INSTR_To_DataBus, out_INSTR_To_ALU, currentInstruction);
-- Internal ALU registers
rA: Register8Bit2WayOutput port map(load_A_From_DataBus, select_A_To_DataBus, select_A_To_ALU, in_A_From_DataBus, out_A_To_DataBus, out_A_To_ALU); -- Register "A": Input to ALU
rB: Register8Bit2WayOutput port map(load_B_From_DataBus, select_B_To_DataBus, select_B_To_ALU, in_B_From_DataBus, out_B_To_DataBus, out_B_To_ALU); -- Register "B": Input to ALU
rC: Register8Bit port map(load_C_From_DataBus, select_C_To_DataBus, in_C_From_DataBus, out_C_To_DataBus); -- Register "C": Output from ALU
rInternalA: Register8Bit port map(load_InternalA_From_DataBus, select_InternalA_To_DataBus, in_InternalA_From_DataBus, out_InternalA); -- Register "Internal A" for ALU
-- General purpose 8-bit Register Definitions
rD: Register8Bit port map(load_D_From_DataBus, select_D_To_DataBus, in_D_From_DataBus, out_D_To_DataBus); -- Register "D"
rE: Register8Bit port map(load_E_From_DataBus, select_E_To_DataBus, in_E_From_DataBus, out_E_To_DataBus); -- Register "E"
rF: Register8Bit port map(load_F_From_DataBus, select_F_To_DataBus, in_F_From_DataBus, out_F_To_DataBus); -- Register "F"
rG: Register8Bit port map(load_G_From_DataBus, select_G_To_DataBus, in_G_From_DataBus, out_G_To_DataBus); -- Register "G"
rH: Register8Bit port map(load_H_From_DataBus, select_H_To_DataBus, in_H_From_DataBus, out_H_To_DataBus); -- Register "H"
-- 16-bit Extended Register "X"
-- Consists of the 2 internal 8-bit wide registers "XL" and "XH"
rX: RegisterExtended16Bit port map(load_XL_From_DataBus,
load_XH_From_DataBus,
load_X_From_AddressBus,
select_XL_To_DataBus,
select_XH_To_DataBus,
select_X_To_AddressBus,
in_XL_From_DataBus,
in_XH_From_DataBus,
in_X_From_AddressBus,
out_XL_To_DataBus,
out_XH_To_DataBus,
out_X_To_AddressBus);
-- Input/Output Ports
pA: Register8Bit port map('1', select_PortA_To_DataBus, InputPort_A, out_PortA_To_DataBus); -- Input Port "A"
pB: Register8Bit port map('1', select_PortB_To_DataBus, InputPort_B, out_PortB_To_DataBus); -- Input Port "B"
p_outC: Register8Bit port map(load_PortC_From_DataBus, '1', in_PortC_FromDataBus, OutputPort_C); -- Output Port "C"
p_outD: Register8Bit port map(load_PortD_From_DataBus, '1', in_PortD_FromDataBus, OutputPort_D); -- Output Port "D"
-- Flags related registers
rFlags : Register8Bit port map(load_Flags, select_Flags, in_Flags, out_Flags);
rFlagsSaved : Register8Bit port map(load_FlagsSaved_From_FlagsBus, select_FlagsSaved_To_FlagsBus, in_FlagsSaved_From_FlagsBus, out_FlagsSaved_To_FlagsBus, state_Flags); -- Stores a copy of the Flags register so that we can perform ALU operations without affecting the original content of the Flags register
rFlagsInBuffer : Register8Bit port map(load_FlagsFromDataBus, select_FlagsToFlagsBus, in_FlagsFromDataBus, out_FlagsToFlagsBus); -- Buffers the flags when read from the data bus (needed for the POPF operation)
rFlagsOutBuffer : Register8Bit port map(load_FlagsFromFlagsBus, select_FlagsToDataBus, in_FlagsFromFlagsBus, out_FlagsToDataBus); -- Buffers the flags when written to the data bus (needed for the PUSHF operation)
-- This is our ALU
-- The ALU receives the input from Register A and Register B.
-- The output is written to Register C for further processing.
-- state_Flags(2)
alu: ALU8Bit port map(out_A_To_ALU, out_B_To_ALU, out_INSTR_To_ALU(3 downto 0), state_Flags(2), out_ALU, in_Flags_From_ALU(0), in_Flags_From_ALU(1), in_Flags_From_ALU(2), in_Flags_From_ALU(3));
FlagsTemp(0) <= in_Flags_From_ALU(0);
FlagsTemp(1) <= in_Flags_From_ALU(1);
FlagsTemp(2) <= in_Flags_From_ALU(2);
FlagsTemp(3) <= in_Flags_From_ALU(3);
-- Writes the flags onto the Flags Bus
FlagsBus <= FlagsTemp or
out_Flags or
out_FlagsSaved_To_FlagsBus or
out_FlagsToFlagsBus;
-- Writes the content from the Flags Bus into the Flags register
in_Flags <= FlagsBus;
-- Writes the content from the Flags Bus into the FlagsSaved register
in_FlagsSaved_From_FlagsBus <= FlagsBus;
in_FlagsFromFlagsBus <= FlagsBus;
-- =======================================================================
-- The following section contains the wiring of the individual registers
-- with the Address and Data Bus
-- =======================================================================
-- Connects the Address Bus to the Program Counter register
in_PC <= AddressBus or PC;
-- Connects the 16-bit wide registers to the Address Bus
in_M_From_AddressBus <= AddressBus;
in_X_From_AddressBus <= AddressBus;
in_J_From_AddressBus <= AddressBus;
in_SP_From_AddressBus <= AddressBus;
in_BP_From_AddressBus <= AddressBus;
in_Y_From_AddressBus <= AddressBus;
in_Z_From_AddressBus <= AddressBus;
in_Adder16Bit_InputA_From_AddressBus <= AddressBus;
in_Adder16Bit_InputB_From_AddressBus <= AddressBus;
-- Connects the Program Counter register, the Increment register, and the 16-bit registers to the Address Bus
AddressBus <= out_PC or
out_INC or
out_M_To_AddressBus or
out_X_To_AddressBus or
out_J_To_AddressBus or
out_SP_To_AddressBus or
out_BP_To_AddressBus or
out_Y_To_AddressBus or
out_Z_To_AddressBus or
Output_RegisterAdder16Bit;
-- Connects the general purpose registers and the instruction
-- register to the data bus
in_A_From_DataBus <= DataBus;
in_B_From_DataBus <= DataBus;
in_C_From_DataBus <= DataBus;
in_D_From_DataBus <= DataBus;
in_E_From_DataBus <= DataBus;
in_F_From_DataBus <= DataBus;
in_G_From_DataBus <= DataBus;
in_H_From_DataBus <= DataBus;
in_InternalA_From_DataBus <= DataBus;
in_INSTR <= DataBus;
in_XL_From_DataBus <= DataBus;
in_XH_From_DataBus <= DataBus;
in_FlagsFromDataBus <= DataBus;
in_PortC_FromDataBus <= DataBus;
in_PortD_FromDataBus <= DataBus;
-- Just store the first 4 bits from the Register D.
-- This eliminates the 4 bits from SETAB opcode and the destination register (A or B)
Truncated_InternalA <= out_InternalA and "00001111";
-- Connects the data bus to the general purpose registers, and the
-- SRAM memory output.
-- !!!!IT'S VERY IMPORTANT THAT ONLY *ONE* REGISTER CONCURRENTLY WRITES
-- TO THE DATA BUS!!!!
DataBus <= out_A_To_DataBus or
out_B_To_DataBus or
out_C_To_DataBus or
out_INSTR_To_DataBus or
outputRAM or
Truncated_InternalA or
out_ALU or
out_D_To_DataBus or
out_E_To_DataBus or
out_F_To_DataBus or
out_G_To_DataBus or
out_H_To_DataBus or
out_XL_To_DataBus or
out_XH_To_DataBus or
out_FlagsToDataBus or
out_PortA_To_DataBus or
out_PortB_To_DataBus;
-- =======================================================================
-- The following section contains a few additional connections
-- that are needed to connect the TestBench to the CPU itself.
-- These are the Control Lines that also accept inputs from the TestBench.
-- They just take their input from the Instruction Decoder and ORed with the
-- input from the TestBench.
-- ========================================================================
loadRAM <= load_SRAM or Load_RAM;
inputRAM <= Input_RAM or DataBus;
l_PC <= load_PC1 or Load_PC;
selectRAM <= select_SRAM or Select_RAM;
-- Just provide from the CPU an output value, so that the implementation on the FPGA works
Instruction <= currentInstruction;
end Behavioral;
|
mit
|
rodrigoazs/-7-5-Reed-Solomon
|
code/reedsomolon_decoder.vhd
|
1
|
1889
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
-- Author: R. Azevedo Santos ([email protected])
-- Co-Author: Joao Lucas Magalini Zago
--
-- VHDL Implementation of (7,5) Reed Solomon
-- Course: Information Theory - 2014 - Ohio Northern University
entity ReedSolomonDecoder is
Port ( Clock : in std_logic;
Count7 : in std_logic;
Qs0: in std_logic_vector(2 downto 0);
Dsyn1: out std_logic_vector(2 downto 0);
Dsyn2: out std_logic_vector(2 downto 0));
end ReedSolomonDecoder;
architecture Behavioral of ReedSolomonDecoder is
component flipflop is
Port ( D: in std_logic_vector(2 downto 0);
Clock : in std_logic;
Reset : in std_logic;
Q : out std_logic_vector(2 downto 0));
end component;
component AdderXor is
Port ( a: in std_logic_vector(2 downto 0);
b: in std_logic_vector(2 downto 0);
c: out std_logic_vector(2 downto 0)) ;
end component;
component Mult is
port(uncoded_a, uncoded_b:
in std_logic_vector(2 downto 0);
uncoded_multab: out std_logic_vector(2 downto 0));
end component;
signal alpha3 : std_logic_vector(2 downto 0);
signal alpha4 : std_logic_vector(2 downto 0);
signal D1 : std_logic_vector(2 downto 0);
signal D2 : std_logic_vector(2 downto 0);
signal Q1 : std_logic_vector(2 downto 0);
signal Q2 : std_logic_vector(2 downto 0);
signal C0 : std_logic_vector(2 downto 0);
signal multa1 : std_logic_vector(2 downto 0);
signal multa2 : std_logic_vector(2 downto 0);
begin
alpha3(0) <= '0'; alpha3(1) <= '1'; alpha3(2) <= '1';
alpha4(0) <= '1'; alpha4(1) <= '1'; alpha4(2) <= '0';
add1 : AdderXor port map (multa1, Qs0, C0);
D1 <= C0;
ff1 : flipflop port map (D1,Clock,Count7,Q1);
add2 : AdderXor port map(Q1, multa2, D2);
ff2 : flipflop port map (D2,Clock,Count7,Q2);
mult1 : Mult port map (Q2, alpha3, multa1);
mult2 : Mult port map (Q2, alpha4, multa2);
Dsyn1 <= D1;
Dsyn2 <= D2;
end Behavioral;
|
mit
|
laurivosandi/hdl
|
zynq/src/ov7670_controller/i2c_sender.vhd
|
1
|
5111
|
----------------------------------------------------------------------------------
-- Engineer: <[email protected]
--
-- Description: Send the commands to the OV7670 over an I2C-like interface
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity i2c_sender is
port (
clk : in std_logic;
siod : inout std_logic;
sioc : out std_logic;
taken : out std_logic;
send : in std_logic;
id : in std_logic_vector(7 downto 0);
reg : in std_logic_vector(7 downto 0);
value : in std_logic_vector(7 downto 0)
);
end i2c_sender;
architecture behavioral of i2c_sender is
-- this value gives a 254 cycle pause before the initial frame is sent
signal divider : unsigned (7 downto 0) := "00000001";
signal busy_sr : std_logic_vector(31 downto 0) := (others => '0');
signal data_sr : std_logic_vector(31 downto 0) := (others => '1');
begin
process(busy_sr, data_sr(31))
begin
if busy_sr(11 downto 10) = "10" or
busy_sr(20 downto 19) = "10" or
busy_sr(29 downto 28) = "10" then
siod <= 'Z';
else
siod <= data_sr(31);
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
taken <= '0';
if busy_sr(31) = '0' then
SIOC <= '1';
if send = '1' then
if divider = "00000000" then
data_sr <= "100" & id & '0' & reg & '0' & value & '0' & "01";
busy_sr <= "111" & "111111111" & "111111111" & "111111111" & "11";
taken <= '1';
else
divider <= divider+1; -- this only happens on powerup
end if;
end if;
else
case busy_sr(32-1 downto 32-3) & busy_sr(2 downto 0) is
when "111"&"111" => -- start seq #1
case divider(7 downto 6) is
when "00" => SIOC <= '1';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '1';
end case;
when "111"&"110" => -- start seq #2
case divider(7 downto 6) is
when "00" => SIOC <= '1';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '1';
end case;
when "111"&"100" => -- start seq #3
case divider(7 downto 6) is
when "00" => SIOC <= '0';
when "01" => SIOC <= '0';
when "10" => SIOC <= '0';
when others => SIOC <= '0';
end case;
when "110"&"000" => -- end seq #1
case divider(7 downto 6) is
when "00" => SIOC <= '0';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '1';
end case;
when "100"&"000" => -- end seq #2
case divider(7 downto 6) is
when "00" => SIOC <= '1';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '1';
end case;
when "000"&"000" => -- Idle
case divider(7 downto 6) is
when "00" => SIOC <= '1';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '1';
end case;
when others =>
case divider(7 downto 6) is
when "00" => SIOC <= '0';
when "01" => SIOC <= '1';
when "10" => SIOC <= '1';
when others => SIOC <= '0';
end case;
end case;
if divider = "11111111" then
busy_sr <= busy_sr(32-2 downto 0) & '0';
data_sr <= data_sr(32-2 downto 0) & '1';
divider <= (others => '0');
else
divider <= divider+1;
end if;
end if;
end if;
end process;
end behavioral;
|
mit
|
laurivosandi/hdl
|
arithmetic/src/reciprocal.vhd
|
1
|
1471
|
library ieee;
use ieee.std_logic_1164.all;
entity reciprocal is
port (
b : in std_logic_vector (15 downto 0);
r : out std_logic_vector (15 downto 0)
);
end reciprocal;
architecture behavioral of reciprocal is
begin
-- Note that this is not the most compact solution,
-- but it leaves room for experimentation.
r <= "1100000000000000" when b = "0000000000000000" else
"0110000000000000" when b(15 downto 1) = "000000000000000" else
"0011000000000000" when b(15 downto 2) = "00000000000000" else
"0001100000000000" when b(15 downto 3) = "0000000000000" else
"0000110000000000" when b(15 downto 4) = "000000000000" else
"0000011000000000" when b(15 downto 5) = "00000000000" else
"0000001100000000" when b(15 downto 6) = "0000000000" else
"0000000110000000" when b(15 downto 7) = "000000000" else
"0000000011000000" when b(15 downto 8) = "00000000" else
"0000000001100000" when b(15 downto 9) = "0000000" else
"0000000000110000" when b(15 downto 10) = "000000" else
"0000000000011000" when b(15 downto 11) = "00000" else
"0000000000001100" when b(15 downto 12) = "0000" else
"0000000000000110" when b(15 downto 13) = "000" else
"0000000000000011" when b(15 downto 14) = "00" else
"0000000000000001" when b(15) = '0' else
"0000000000000000";
end behavioral;
|
mit
|
rodrigoazs/-7-5-Reed-Solomon
|
code/read_file.vhd
|
1
|
1412
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
-- Author: R. Azevedo Santos ([email protected])
-- Co-Author: Joao Lucas Magalini Zago
--
-- VHDL Implementation of (7,5) Reed Solomon
-- Course: Information Theory - 2014 - Ohio Northern University
entity read_file is
Port (Clock: in std_logic;
Qout: out std_logic_vector(2 downto 0)
);
end read_file;
architecture Behavioral of read_file is
signal bin_value : std_logic_vector(2 downto 0):="000";
begin
process
file file_pointer : text;
variable line_content : string(1 to 15);
variable line_num : line;
variable j, i : integer := 0;
variable char : character:='0';
begin
file_open(file_pointer,"read.txt",READ_MODE);
bin_value <= "UUU";
wait for 1 ps;
while not endfile(file_pointer) loop
readline (file_pointer,line_num);
READ (line_num,line_content);
for j in 1 to 15 loop
i := i + 1;
char := line_content(16-j);
if(char = '0') then
bin_value(i-1) <= '0';
else
bin_value(i-1) <= '1';
end if;
if (i = 3) then
i := 0;
wait for 2 ps;
end if;
end loop;
bin_value <= "UUU";
wait for 4 ps;
end loop;
file_close(file_pointer);
wait;
end process;
Qout <= bin_value;
end Behavioral;
|
mit
|
andrecp/myhdl_simple_uart
|
generated_files/serial_rx.vhd
|
1
|
3727
|
-- File: serial_rx.vhd
-- Generated by MyHDL 0.8
-- Date: Thu Aug 21 10:54:44 2014
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_08.all;
entity serial_rx is
port (
sysclk: in std_logic;
reset_n: in std_logic;
half_baud_rate_tick_i: in std_logic;
baud_rate_tick_i: in std_logic;
recieve_i: in std_logic;
data_o: out unsigned(7 downto 0);
ready_o: out std_logic
);
end entity serial_rx;
-- Serial
-- This module implements a reciever serial interface
--
-- Ports:
-- -----
-- sysclk: sysclk input
-- reset_n: reset input
-- half_baud_rate_tick_i: half baud rate tick
-- baud_rate_tick_i: the baud rate
-- n_stop_bits_i: number of stop bits
-- recieve_i: rx
-- data_o: the data output in 1 byte
-- ready_o: indicates data_o is valid
-- -----
architecture MyHDL of serial_rx is
constant n_stop_bits_i: integer := 2;
constant END_OF_BYTE: integer := 7;
type t_enum_t_State_1 is (
ST_WAIT_START_BIT,
ST_GET_DATA_BITS,
ST_GET_STOP_BITS
);
signal data_reg: unsigned(7 downto 0);
signal count_8_bits_reg: unsigned(2 downto 0);
signal data: unsigned(7 downto 0);
signal count_8_bits: unsigned(2 downto 0);
signal ready: std_logic;
signal state: t_enum_t_State_1;
signal count_stop_bits_reg: unsigned(2 downto 0);
signal count_stop_bits: unsigned(2 downto 0);
signal state_reg: t_enum_t_State_1;
signal ready_reg: std_logic;
begin
data_o <= data_reg;
ready_o <= ready_reg;
SERIAL_RX_SEQUENTIAL_PROCESS: process (sysclk, reset_n) is
begin
if (reset_n = '0') then
count_8_bits_reg <= to_unsigned(0, 3);
count_stop_bits_reg <= to_unsigned(0, 3);
ready_reg <= '0';
state_reg <= ST_WAIT_START_BIT;
data_reg <= to_unsigned(0, 8);
elsif rising_edge(sysclk) then
state_reg <= state;
data_reg <= data;
ready_reg <= ready;
count_8_bits_reg <= count_8_bits;
count_stop_bits_reg <= count_stop_bits;
end if;
end process SERIAL_RX_SEQUENTIAL_PROCESS;
SERIAL_RX_COMBINATIONAL_PROCESS: process (count_8_bits_reg, recieve_i, data_reg, baud_rate_tick_i, count_stop_bits_reg, state_reg, ready_reg) is
begin
state <= state_reg;
data <= data_reg;
ready <= ready_reg;
count_8_bits <= count_8_bits_reg;
count_stop_bits <= count_stop_bits_reg;
case state_reg is
when ST_WAIT_START_BIT =>
ready <= '0';
if (baud_rate_tick_i = '1') then
if (recieve_i = '0') then
state <= ST_GET_DATA_BITS;
end if;
end if;
when ST_GET_DATA_BITS =>
if (baud_rate_tick_i = '1') then
data(to_integer(count_8_bits_reg)) <= recieve_i;
if (count_8_bits_reg = END_OF_BYTE) then
count_8_bits <= to_unsigned(0, 3);
state <= ST_GET_STOP_BITS;
else
count_8_bits <= (count_8_bits_reg + 1);
state <= ST_GET_DATA_BITS;
end if;
end if;
when ST_GET_STOP_BITS =>
if (baud_rate_tick_i = '1') then
if (signed(resize(count_stop_bits_reg, 4)) = (n_stop_bits_i - 1)) then
count_stop_bits <= to_unsigned(0, 3);
ready <= '1';
state <= ST_WAIT_START_BIT;
else
count_stop_bits <= (count_stop_bits_reg + 1);
end if;
end if;
when others =>
assert False report "End of Simulation" severity Failure;
end case;
end process SERIAL_RX_COMBINATIONAL_PROCESS;
end architecture MyHDL;
|
mit
|
andrecp/myhdl_simple_uart
|
generated_files/serial_tx.vhd
|
1
|
3557
|
-- File: serial_tx.vhd
-- Generated by MyHDL 0.8
-- Date: Thu Aug 21 10:54:44 2014
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.pck_myhdl_08.all;
entity serial_tx is
port (
sysclk: in std_logic;
reset_n: in std_logic;
start_i: in std_logic;
data_i: in unsigned(7 downto 0);
baud_rate_tick_i: in std_logic;
transmit_o: out std_logic
);
end entity serial_tx;
-- Serial
-- This module implements a transmitter serial interface
--
-- Ports:
-- -----
-- sysclk: sysclk input
-- reset_n: reset input
-- baud_rate_tick_i: the baud rate
-- start_i: start sending data
-- data_i: the data to send
-- n_stop_bits_i: number of stop bits
-- transmit_o: data output
-- -----
architecture MyHDL of serial_tx is
constant n_stop_bits_i: integer := 2;
constant END_OF_BYTE: integer := 7;
type t_enum_t_State_1 is (
ST_WAIT_START,
ST_SEND_START_BIT,
ST_SEND_DATA,
ST_SEND_STOP_BIT
);
signal transmit_reg: std_logic;
signal count_8_bits: unsigned(2 downto 0);
signal count_8_bits_reg: unsigned(2 downto 0);
signal state: t_enum_t_State_1;
signal transmit: std_logic;
signal count_stop_bits_reg: unsigned(2 downto 0);
signal count_stop_bits: unsigned(2 downto 0);
signal state_reg: t_enum_t_State_1;
begin
transmit_o <= transmit_reg;
SERIAL_TX_SEQUENTIAL_PROCESS: process (sysclk, reset_n) is
begin
if (reset_n = '0') then
count_8_bits_reg <= to_unsigned(0, 3);
count_stop_bits_reg <= to_unsigned(0, 3);
transmit_reg <= '0';
state_reg <= ST_WAIT_START;
elsif rising_edge(sysclk) then
state_reg <= state;
transmit_reg <= transmit;
count_8_bits_reg <= count_8_bits;
count_stop_bits_reg <= count_stop_bits;
end if;
end process SERIAL_TX_SEQUENTIAL_PROCESS;
SERIAL_TX_COMBINATIONAL_PROCESS: process (transmit_reg, start_i, count_8_bits_reg, data_i, baud_rate_tick_i, count_stop_bits_reg, state_reg) is
begin
state <= state_reg;
transmit <= transmit_reg;
count_8_bits <= count_8_bits_reg;
count_stop_bits <= count_stop_bits_reg;
case state_reg is
when ST_WAIT_START =>
transmit <= '1';
if (start_i = '1') then
state <= ST_SEND_START_BIT;
end if;
when ST_SEND_START_BIT =>
transmit <= '0';
if (baud_rate_tick_i = '1') then
state <= ST_SEND_DATA;
end if;
when ST_SEND_DATA =>
transmit <= data_i(to_integer(count_8_bits_reg));
if (baud_rate_tick_i = '1') then
if (count_8_bits_reg = END_OF_BYTE) then
count_8_bits <= to_unsigned(0, 3);
state <= ST_SEND_STOP_BIT;
else
count_8_bits <= (count_8_bits_reg + 1);
state <= ST_SEND_DATA;
end if;
end if;
when ST_SEND_STOP_BIT =>
transmit <= '1';
if (baud_rate_tick_i = '1') then
if (signed(resize(count_stop_bits_reg, 4)) = (n_stop_bits_i - 1)) then
count_stop_bits <= to_unsigned(0, 3);
state <= ST_WAIT_START;
else
count_stop_bits <= (count_stop_bits_reg + 1);
end if;
end if;
when others =>
assert False report "End of Simulation" severity Failure;
end case;
end process SERIAL_TX_COMBINATIONAL_PROCESS;
end architecture MyHDL;
|
mit
|
christop/linguist
|
samples/VHDL/foo.vhd
|
91
|
217
|
-- VHDL example file
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port(a : in std_logic;
b : out std_logic);
end entity;
architecture rtl of inverter is
begin
b <= not a;
end architecture;
|
mit
|
laurivosandi/hdl
|
arithmetic/src/carry_lookahead_adder.vhd
|
1
|
1002
|
library ieee;
use ieee.std_logic_1164.all;
-- 15-bit carry look-ahead adder
entity carry_lookahead_adder is
port (
a : in std_logic_vector (14 downto 0);
b : in std_logic_vector (14 downto 0);
ci : in std_logic;
s : out std_logic_vector (14 downto 0);
co : out std_logic
);
end carry_lookahead_adder;
architecture behavioral of carry_lookahead_adder is
signal t : std_logic_vector(14 DOWNTO 0);
signal g : std_logic_vector(14 DOWNTO 0);
signal p : std_logic_vector(14 DOWNTO 0);
signal c : std_logic_vector(14 DOWNTO 1);
begin
-- Product stage
g <= a and b;
p <= a or b;
-- Sum stage
t <= a xor b;
-- Carry stage
c(1) <= g(0) or (p(0) and ci);
carry_loop: for i in 1 to 13 generate
c(i+1) <= g(i) or (p(i) and c(i));
end generate;
co <= g(14) or (p(14) and c(14));
s(0) <= t(0) xor ci;
s(14 downto 1) <= t(14 downto 1) xor c(14 downto 1);
end behavioral;
|
mit
|
vhdlnerd/classicHp
|
src/classic_pack.vhd
|
1
|
4130
|
----------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2014 Brian K. Nemetz
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------------------------------------------------------------------
--
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
--
library ieee; use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ps2_keyboard_pack.all;
use work.rom_pack.all;
package classic_pack is
constant WSIZE : natural := 14; -- Arithmetic register size
constant SSIZE : natural := 12; -- Status word size
subtype bcdDigitType is unsigned(3 downto 0);
type arthRegType is array (natural range 0 to WSIZE-1) of bcdDigitType;
type ramType is array (natural range <>) of arthRegType; -- for models with RAM
constant BCD_DIGIT_ZERO : bcdDigitType := (others => '0');
constant REG_ZEROS : arthRegType := (others => BCD_DIGIT_ZERO);
function vecLen(n : natural) return natural;
--
-- Declare functions and procedure
--
function IIF (signal test : boolean; signal a : std_logic; signal b : std_logic) return std_logic;
function IIF (constant test : boolean; constant a : std_logic_vector; constant b : std_logic_vector) return std_logic_vector;
function IIF (constant test : boolean; constant a : keyLutType; constant b : keyLutType) return keyLutType;
function IIF (constant test : boolean; constant a : RomType; constant b : RomType) return RomType;
end classic_pack;
package body classic_pack is
--
-- Compute the length of a bit vector that can count up to 'n'
-- If you need a counter to count from 0 to 203, you can pass
-- 203 to this function and it will return 8 (i.e. an 8 bit
-- counter is required). 255 will return 8, also and 256 will
-- return 9.
--
function vecLen(n : natural) return natural is
variable t : unsigned(31 downto 0) := to_unsigned(n,32);
begin
for i in t'range loop
if t(i) = '1' then
return i+1;
end if;
end loop;
return 0;
end function vecLen;
function IIF (signal test : boolean; signal a : std_logic; signal b : std_logic) return std_logic is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : std_logic_vector; constant b : std_logic_vector) return std_logic_vector is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : keyLutType; constant b : keyLutType) return keyLutType is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : RomType; constant b : RomType) return RomType is
begin
if test then return a; else return b; end if;
end function IIF;
end classic_pack;
|
mit
|
PalmNote/PalmNote
|
www/lib/ngCordova/demo/www/lib/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
vhdlnerd/classicHp
|
src/top_tb.vhd
|
1
|
6708
|
----------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2014 Brian K. Nemetz
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Company:
-- Engineer: Brian Nemetz
--
-- Create Date: 10:56:50 10/16/2012
-- Design Name:
-- Module Name: top_tb.vhd
-- Project Name: classicHp
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: classic
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
--
-- WARNING: I have not used htis test bench in a long time. I'm not sure if its
-- working any longer. BKN 4/1/2014
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY top_tb IS
END top_tb;
ARCHITECTURE behavior OF top_tb IS
-- -- Component Declaration for the Unit Under Test (UUT)
--
-- COMPONENT classic
-- PORT(
-- clk_i : IN std_logic;
-- rst_i : IN std_logic;
-- keycode_i : IN std_logic_vector(7 downto 0);
-- keyvalid_i : IN std_logic;
-- error_o : OUT std_logic;
-- xreg_o : OUT std_logic_vector(55 downto 0);
-- mask_o : OUT std_logic_vector(55 downto 0);
-- update_o : OUT std_logic
-- );
-- END COMPONENT;
--Inputs
signal clk_i : std_logic := '0';
signal rst_i : std_logic := '0';
signal keycode_i : std_logic_vector(7 downto 0) := (others => '0');
signal keyvalid_i : std_logic := '0';
--Outputs
signal error_o : std_logic;
signal xreg_o : std_logic_vector(55 downto 0);
signal mask_o : std_logic_vector(55 downto 0);
signal update_o : std_logic;
signal display : string(1 to 15);
-- Clock period definitions
constant clk_i_period : time := 5 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: entity work.classic(rtl)
PORT MAP (
clk_i => clk_i,
rst_i => rst_i,
keycode_i => keycode_i,
keyvalid_i => keyvalid_i,
error_o => error_o,
xreg_o => xreg_o,
mask_o => mask_o,
update_o => update_o
);
-- Clock process definitions
clk_i_process :process
begin
clk_i <= '0';
wait for clk_i_period/2;
clk_i <= '1';
wait for clk_i_period/2;
end process;
dis_proc : process(clk_i)
function bcd2char(bcd : std_logic_vector(3 downto 0)) return character is
begin
case bcd is
when "0000" => return '0';
when "0001" => return '1';
when "0010" => return '2';
when "0011" => return '3';
when "0100" => return '4';
when "0101" => return '5';
when "0110" => return '6';
when "0111" => return '7';
when "1000" => return '8';
when "1001" => return '9';
when others => return '?';
end case;
end function bcd2char;
variable bcd : std_logic_vector(3 downto 0);
variable mask : std_logic_vector(3 downto 0);
variable n : natural;
variable flag : boolean;
begin
if rising_edge(clk_i) then
n := 15;
flag := false;
for i in 0 to 13 loop
bcd := xreg_o((i+1)*4-1 downto i*4);
mask := mask_o((i+1)*4-1 downto i*4);
if mask = "1001" then
display(n) <= ' ';
n := n - 1;
else
if mask = "0000" and (i=2 or i=13) then
if bcd = "1001" then
display(n) <= '-';
else
display(n) <= ' ';
end if;
n := n - 1;
elsif mask = "0000" then
display(n) <= bcd2char(bcd);
n := n - 1;
else
if flag = false then
display(n) <= '.';
n := n - 1;
end if;
flag := true;
display(n) <= bcd2char(bcd);
n := n - 1;
end if;
end if;
end loop;
end if;
end process dis_proc;
-- Stimulus process
stim_proc: process
begin
keycode_i <= X"00";
keyvalid_i <= '0';
-- hold reset state for 100 ns.
rst_i <= '1';
wait for 30 ns;
rst_i <= '0';
-- wait for clk_i_period*10;
wait for 2 us;
keycode_i <= X"13"; -- 5
wait until rising_edge(clk_i);
keyvalid_i <= '1';
wait until rising_edge(clk_i);
keyvalid_i <= '0';
wait for 4 us;
keycode_i <= "00" & O"76"; -- enter
wait until rising_edge(clk_i);
keyvalid_i <= '1';
wait until rising_edge(clk_i);
keyvalid_i <= '0';
wait for 4 us;
keycode_i <= X"12"; -- 6
wait until rising_edge(clk_i);
keyvalid_i <= '1';
wait until rising_edge(clk_i);
keyvalid_i <= '0';
wait for 4 us;
keycode_i <= "00" & O"26"; -- +
wait until rising_edge(clk_i);
keyvalid_i <= '1';
wait until rising_edge(clk_i);
keyvalid_i <= '0';
-- insert stimulus here
wait;
end process;
END;
|
mit
|
vhdlnerd/classicHp
|
src/classicHp_top.vhd
|
1
|
31092
|
----------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2014 Brian K. Nemetz
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Brian Nemetz
--
-- Create Date: 08:18:42 10/26/2012
-- Design Name:
-- Module Name: classicHp_top - rtl
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.classic_pack.all;
use work.ps2_keyboard_pack.all;
use work.rom_pack.all;
use work.tm16xxFonts.all;
entity classichp_top is
generic (
UART_TYPE : string := "TX_RX"; -- Should be: "NONE", "TX", "RX", or "TX_RX"
CALC_NAME : string := "HP55" -- Should be: "HP55", "HP45", or "HP35"
);
port ( clk_i : in std_logic;
rst_i : in std_logic;
ps2_clk_i : in std_logic;
ps2_data_i : in std_logic;
uart_rx_i : in std_logic;
dis_clk_o : out std_logic;
dis_data_o : out std_logic;
uart_tx_o : out std_logic;
leds_o : out std_logic_vector (3 downto 0)
);
end classichp_top;
architecture rtl of classichp_top is
-- Configure for the calculator desired:
constant HP35 : boolean := CALC_NAME="HP35";
constant HP45 : boolean := CALC_NAME="HP45";
constant HP55 : boolean := CALC_NAME="HP55";
constant CALC_ROM : RomType := IIF(HP55, ROM_55, IIF(HP45, ROM_45, ROM_35));
constant KEY_LUT : keyLutType := IIF(HP55, KEY_LUT_HP55, IIF(HP45, KEY_LUT_HP45, KEY_LUT_HP35));
-- Configure for UART type desired:
constant UART_TX : boolean := UART_TYPE="TX" or UART_TYPE="TX_RX";
constant UART_RX : boolean := UART_TYPE="RX" or UART_TYPE="TX_RX";
-- constant CLK_FREQ : natural := 7000000; -- sysClk Rate
constant CLK_FREQ : natural := 84000000; -- sysClk Rate
constant CLK_EN_CNT : natural := CLK_FREQ/1000000; -- CLK_EN_CNT is how many sysClk periods in 1us
-- definition for "HP-x5" where x is 3, 4, or 5 (padded out to 14 chars)
constant LED_SIGNON : ledFontRomType := (
LED_SPACE,
LED_MINUS,
LED_MINUS,
LED_SPACE,
LED_H, -- 'H'
LED_P, -- 'P'
LED_DASH, -- '-'
IIF(HP55, LED_5, IIF(HP45, LED_4, LED_3)), -- '3', '4', or '5'
LED_5, -- '5'
LED_SPACE,
LED_MINUS,
LED_MINUS,
LED_SPACE,
LED_SPACE
);
type ledFsmType is (IDLE, START, SEND1, SEND2, SEND3, END1, END2, END3, END4);
type disLedFsmType is (CMD1, CMD2, CMD2W, T0, T1, DIS_CMD1, DIS_CMD2, DIS_EX1,
DIS_EX2, ERROR_D, SIGNON_D, SIGNON_W);
subtype clkEnCntType is natural range 0 to CLK_EN_CNT-1;
type byteArrayType is array (natural range <>) of std_logic_vector(7 downto 0);
subtype idxCntType is natural range 0 to 13;
subtype ledCntType is natural range 0 to 7;
constant DELAY_100MS : natural := 100000
-- synthesis translate_off
- 99996
-- synthesis translate_on
;
constant DELAY_10MS : natural := 10000
-- synthesis translate_off
- 9996
-- synthesis translate_on
;
constant DELAY_5MS : natural := 5000
-- synthesis translate_off
- 4996
-- synthesis translate_on
;
constant DELAY_200US : natural := 200
-- synthesis translate_off
- 198
-- synthesis translate_on
;
constant DELAY_10US : natural := 10
-- synthesis translate_off
- 8
-- synthesis translate_on
;
-- delay for creating real timing of the original HP-55 => 3500 instructions per sec
-- ex: if sysClk is 7MHz: 7Mhz/3500 = 2000
constant DELAY_INST : natural := CLK_FREQ/3500
-- synthesis translate_off
- (CLK_FREQ/3500 - 10)
-- synthesis translate_on
;
subtype delayCntType is natural range 0 to DELAY_5MS-1;
subtype keyCntType is natural range 0 to DELAY_10MS-1;
subtype pCntType is natural range 0 to DELAY_100MS-1;
subtype instCntType is natural range 0 to DELAY_INST-1;
signal sysRst : std_logic;
signal sysRstLow : std_logic;
signal sysClk : std_logic;
signal error : std_logic;
signal status : std_logic_vector(11 downto 0);
signal flagsR : std_logic_vector(11 downto 0);
signal xreg : std_logic_vector(55 downto 0);
signal mask : std_logic_vector(55 downto 0);
signal clkEnR : std_logic;
signal clkEnCntR : clkEnCntType;
signal ledFsmR : ledFsmType;
signal ledSendR : std_logic;
signal ledStartR : std_logic;
signal ledEndR : std_logic;
signal ledDoneR : std_logic;
signal ledClkR : std_logic;
signal ledDataR : std_logic;
signal ledSendDataR : std_logic_vector(7 downto 0);
signal ledBrightR : unsigned(2 downto 0);
signal ledCntR : ledCntType;
signal xregLedR : std_logic_vector(55 downto 0);
signal maskLedR : std_logic_vector(55 downto 0);
signal ps2ClkR : std_logic;
signal ps2ClkRR : std_logic;
signal ps2ClkRRR : std_logic;
signal keyRdyR : std_logic;
signal keyRdyLongR : std_logic;
signal keyRdy : std_logic;
signal keyData : std_logic_vector(7 downto 0);
signal keyDataR : std_logic_vector(7 downto 0);
signal uartKeyRdyR : std_logic;
signal uartKeyDataR : std_logic_vector(7 downto 0);
signal ps2KeyRdy : std_logic;
signal ps2KeyData : std_logic_vector(7 downto 0);
signal disLedFsmR : disLedFsmType;
signal indexLedR : idxCntType;
signal ledFirstR : std_logic;
signal ledExDigit1R : std_logic_vector(7 downto 0);
signal ledExDigit2R : std_logic_vector(7 downto 0);
signal keyCntR : keyCntType;
signal instCntR : instCntType;
signal instEnR : std_logic;
signal realSpeedEnR : std_logic;
signal displayEn : std_logic;
begin
-- test outputs
leds_o(0) <= uart_rx_i;
leds_o(1) <= '0';
leds_o(2) <= clkEnR;
leds_o(3) <= '0';
-- Xilinx DCM primitive is within this component.
-- This is one thing that has to change if targetting a different FPGA.
dcm : entity work.sys_dcm(behavioral)
port map (
CLKIN_IN => clk_i,
RST_IN => rst_i,
CLKFX_OUT => sysClk,
CLKIN_IBUFG_OUT => open,
CLK0_OUT => open,
LOCKED_OUT => sysRstLow
);
sysRst <= not sysRstLow;
hpCore: entity work.classic(rtl)
generic map (
CALC_NAME => CALC_NAME,
ROM => CALC_ROM
)
PORT MAP (
clk_i => sysClk,
rst_i => sysRst,
inst_en_i => instEnR,
flags_i => flagsR,
keycode_i => keyDataR,
keyvalid_i => keyRdyLongR,
display_en_o => displayEn,
error_o => error,
xreg_o => xreg,
mask_o => mask,
status_o => status
);
-- This is the PS/2 interface and will return calculator keycodes (plus a few
-- special codes).
ps2 : entity work.ps2_keyboard(rtl)
generic map (KEY_LUT => KEY_LUT)
port map(
rst_i => sysRst,
clk_i => sysClk, -- needs to be faster than ps2_clk_i
ps2_data_i => ps2_data_i,
ps2_clk_i => ps2_clk_i,
key_rdy_o => ps2KeyRdy, -- one clock cycle pulse, notify a new byte has arrived
key_data_o => ps2KeyData
);
-- choose between UART and PS/2 keyboard input
keyRdy <= ps2KeyRdy or uartKeyRdyR;
keyData <= ps2KeyData when ps2KeyRdy='1' else uartKeyDataR;
-- Create a clock enable pulse every 1us. This is for general timing of
-- slower things.
clk_en : process (sysClk, sysRst)
begin
if sysRst = '1' then
clkEnCntR <= 0;
clkEnR <= '0';
elsif rising_edge(sysClk) then
if clkEnCntR = CLK_EN_CNT-1 then
clkEnR <= '1';
clkEnCntR <= 0;
else
clkEnR <= '0';
clkEnCntR <= clkEnCntR+1;
end if;
end if;
end process clk_en;
-- Create an enable pulse every micro-instruction execution time.
-- For slowing down the core to the same speed as the original HP-55.
inst_en : process (sysClk, sysRst)
begin
if sysRst = '1' then
instCntR <= 0;
instEnR <= '1';
elsif rising_edge(sysClk) then
if realSpeedEnR /= '1' or instCntR = instCntType'high then
instEnR <= '1';
instCntR <= 0;
else
instEnR <= '0';
instCntR <= instCntR+1;
end if;
end if;
end process inst_en;
-- We need to create a stretched key ready signal for the core on each new
-- keyboard input. This is needed since the calculator micro-code is still
-- doing key debouncing. We hold each key active for 10ms -- this should be
-- long enough for the core to "see" the key press (in real or turbo speed
-- mode).
key_stretch : process (sysClk, sysRst)
begin
if sysRst = '1' then
keyCntR <= 0;
keyRdyLongR <= '0';
elsif rising_edge(sysClk) then
if keyRdyLongR = '0' and keyRdyR = '1' then
keyRdyLongR <= '1';
keyCntR <= 0;
end if;
if clkEnR = '1' and keyRdyLongR = '1' then
if keyCntR = keyCntType'high then
keyRdyLongR <= '0';
else
keyCntR <= keyCntR+1;
end if;
end if;
end if;
end process key_stretch;
-- clock process for sync'ing FFs for the PS/2 clock input. This input
-- is async to the internal FPGA clock.
-- (The underlying PS/2 module does not seem to do this.)
clk_proc : process (sysClk, sysRst)
begin
if sysRst = '1' then
ps2ClkR <= '0';
ps2ClkRR <= '0';
ps2ClkRR <= '0';
elsif rising_edge(sysClk) then
ps2ClkR <= ps2_clk_i;
ps2ClkRR <= ps2ClkR;
ps2ClkRRR <= ps2ClkRR;
end if;
end process clk_proc;
-- Handle new key presses.
keypress : process (sysClk, sysRst)
begin
if sysRst = '1' then
keyDataR <= (others => '0');
flagsR <= (others => '0');
keyRdyR <= '0';
realSpeedEnR <= '0';
ledBrightR <= "100";
elsif rising_edge(sysClk) then
if keyRdy = '1' then keyDataR <= keyData; end if;
-- Key codes with the lower three bits set are special keys and are not
-- sent to the calculator core.
if keyRdy = '1' and keyData(2 downto 0) /= "111" then keyRdyR <= '1'; else keyRdyR <= '0'; end if;
if keyRdy = '1' and keyData(2 downto 0) = "111" then
if keyData = x"3F" then
-- change the brighness of the LED display
if ledBrightR = "000" then
ledBrightR <= (others => '1');
else
ledBrightR <= ledBrightR - 1;
end if;
elsif keyData = x"27" then
-- Fast speed
if HP55 then
if flagsR(11) /= '1' then
-- only allow fast speed when not in timer mode
realSpeedEnR <= '0';
end if;
else
realSpeedEnR <= '0';
end if;
elsif keyData = x"1F" then
-- RealSpeed
realSpeedEnR <= '1';
elsif keyData = x"07" and HP55 then
flagsR <= (others => '0'); -- Switch in RUN mode
elsif keyData = x"0F" and HP55 then
flagsR <= (others => '0');
flagsR(3) <= '1'; -- Switch in PROG mode
elsif keyData = x"17" and HP55 then
flagsR <= (others => '0');
flagsR(11) <= '1'; -- Switch in TIMER mode
realSpeedEnR <= '1'; -- need to be in realspeed mode for timer to be correct
end if;
end if;
end if;
end process keypress;
-----------------------------------------
-- Start of the UART driver
-----------------------------------------
UART_YES : if UART_TX or UART_RX generate
begin
RX_YES : if UART_RX generate
constant KEY_PREFIX : std_logic_vector(7 downto 0) := x"0F";
constant CMD_PREFIX : std_logic_vector(7 downto 0) := x"F0";
type fsmType is (IDLE, GET_KEY, GET_CMD);
signal fsmR : fsmType;
signal dataRcv : std_logic_vector(7 downto 0);
signal rxSerial : std_logic;
signal rxDataAv : std_logic;
begin
uut_rx: entity work.uart_rx(rtl)
generic map (
CLK_HZ => CLK_FREQ,
BAUD => 115200
)
port map (
clk_i => sysClk,
rst_i => sysRst,
rx_i => rxSerial,
data_o => dataRcv,
val_o => rxDataAv
);
rxSerial <= uart_rx_i;
rx_fsm : process (sysClk, sysRst)
begin
if sysRst = '1' then
fsmR <= IDLE;
uartKeyRdyR <= '0';
uartKeyDataR <= (others => '0');
elsif rising_edge(sysClk) then
uartKeyRdyR <= '0';
case fsmR is
when IDLE =>
if rxDataAv = '1' and dataRcv = KEY_PREFIX then
-- A keycode is being received
fsmR <= GET_KEY;
elsif rxDataAv = '1' and dataRcv = CMD_PREFIX then
-- A command is being received
fsmR <= GET_CMD;
end if;
when GET_KEY =>
if rxDataAv = '1' then
uartKeyRdyR <= '1';
uartKeyDataR <= dataRcv;
fsmR <= IDLE;
end if;
when GET_CMD =>
if rxDataAv = '1' then
uartKeyRdyR <= '1';
uartKeyDataR <= dataRcv;
fsmR <= IDLE;
end if;
end case;
end if;
end process rx_fsm;
end generate RX_YES;
RX_NO : if not UART_RX generate
begin
uartKeyRdyR <= '0';
uartKeyDataR <= (others => '0');
end generate RX_NO;
TX_YES : if UART_TX generate
constant PREFIX : std_logic_vector(7 downto 0) := x"FA";
constant BYTES : natural := WSIZE/2;
subtype byteCntType is natural range 0 to BYTES-1;
type fsmType is (IDLE, SEND_XREG, SEND_MASK, SEND_FLAGS);
signal fsmR : fsmType;
signal dataSendR : std_logic_vector(7 downto 0);
signal txSerial : std_logic;
signal txEot : std_logic;
signal txRdy : std_logic;
signal txWrEnR : std_logic;
signal cntR : byteCntType;
signal xregR : std_logic_vector(55 downto 0);
signal maskR : std_logic_vector(55 downto 0);
signal dcntR : pCntType;
signal pulseR : std_logic;
signal flags : std_logic_vector(7 downto 0);
begin
uut_tx: entity work.uart_tx(rtl)
generic map (
CLK_HZ => CLK_FREQ,
BAUD => 115200
)
port map (
clk_i => sysClk,
rst_i => sysRst,
data_i => dataSendR,
wr_i => txWrEnR,
tx_o => txSerial,
rdy_o => txRdy
);
uart_tx_o <= txSerial;
timer : process (sysClk, sysRst)
begin
if sysRst = '1' then
dcntR <= 0;
pulseR <= '0';
elsif rising_edge(sysClk) then
pulseR <= '0';
if clkEnR = '1' then
if dcntR = DELAY_100MS-1 then
dcntR <= 0;
pulseR <= '1';
else
dcntR <= dcntR + 1;
end if;
end if;
end if;
end process timer;
flags <= "0001" & realSpeedEnR & flagsR(11) & status(6) & status(4) when HP55 else
"0010" & realSpeedEnR & "00" & status(10) when HP45 else
"0100" & realSpeedEnR & "00" & status(10);
tx_fsm : process (sysClk, sysRst)
begin
if sysRst = '1' then
fsmR <= IDLE;
cntR <= 0;
txWrEnR <= '0';
maskR <= (others => '0');
xregR <= (others => '0');
dataSendR <= (others => '0');
elsif rising_edge(sysClk) then
txWrEnR <= '0';
case fsmR is
when IDLE =>
dataSendR <= PREFIX;
cntR <= 0;
maskR <= mask;
xregR <= xreg;
-- if txRdy = '1' and (maskR /= mask or xregR /= xreg) then
if txRdy = '1' and pulseR = '1' then
maskR <= mask;
xregR <= xreg;
txWrEnR <= '1';
fsmR <= SEND_XREG;
end if;
when SEND_XREG =>
dataSendR <= xregR(xregR'left downto xregR'left-7);
if txRdy = '1' then
txWrEnR <= '1';
xregR <= xregR(xregR'left-8 downto 0) & xregR(xregR'left downto xregR'left-7);
if cntR = BYTES-1 then
cntR <= 0;
fsmR <= SEND_MASK;
else
cntR <= cntR + 1;
end if;
end if;
when SEND_MASK =>
dataSendR <= maskR(maskR'left downto maskR'left-7);
if txRdy = '1' then
txWrEnR <= '1';
maskR <= maskR(maskR'left-8 downto 0) & maskR(maskR'left downto maskR'left-7);
if cntR = BYTES-1 then
fsmR <= SEND_FLAGS;
else
cntR <= cntR + 1;
end if;
end if;
when SEND_FLAGS =>
dataSendR <= flags;
if txRdy = '1' then
txWrEnR <= '1';
fsmR <= IDLE;
end if;
end case;
end if;
end process tx_fsm;
end generate TX_YES;
TX_NO : if not UART_TX generate
begin
end generate TX_NO;
end generate UART_YES;
UART_NO : if not(UART_TX or UART_RX) generate
begin
uart_tx_o <= '1';
uartKeyRdyR <= '0';
uartKeyDataR <= (others => '0');
end generate UART_NO;
-----------------------------------------
-- End of the UART driver
-----------------------------------------
-----------------------------------------
-- Start of the LED Display Module driver
-----------------------------------------
-- The led process is a low level driver for the LED display.
-- It will send one byte to the display.
-- Inputs:
-- ledSendR : pulse high to start sending byte to the display
-- ledStartR : should a start bit be sent first?
-- ledSendDataR : 8-bit data to send to the display
-- Output:
-- ledDoneR : pulses high when data has been sent
--
led : process (sysClk, sysRst)
begin
if sysRst = '1' then
ledFsmR <= IDLE;
ledDoneR <= '0';
ledClkR <= '1';
ledDataR <= '1';
ledCntR <= 0;
elsif rising_edge(sysClk) then
if clkEnR='1' then
ledDoneR <= '0';
case ledFsmR is
when IDLE =>
ledCntR <= 0;
if ledSendR = '1' then
if ledStartR = '1' then
ledFsmR <= START;
else
ledFsmR <= SEND1;
end if;
end if;
when START =>
-- Signal a "start" command: drive ledDataR low while ledClkR is high
-- Note: ledClkR is assumed to be already high
ledDataR <= '0';
ledFsmR <= SEND1;
when SEND1 =>
-- drive clock low (assumes it is high already)
ledClkR <= '0';
ledFsmR <= SEND2;
when SEND2 =>
-- drive data
ledDataR <= ledSendDataR(ledCntR);
ledFsmR <= SEND3;
when SEND3 =>
-- drive clock back high
ledClkR <= '1';
if ledCntR = 7 then
if ledEndR = '1' then
ledFsmR <= END1;
else
ledDoneR <= '1';
ledFsmR <= IDLE;
end if;
else
ledCntR <= ledCntR + 1;
ledFsmR <= SEND1;
end if;
when END1 =>
ledClkR <= '0';
ledFsmR <= END2;
when END2 =>
ledDataR <= '0';
ledFsmR <= END3;
when END3 =>
ledClkR <= '1';
ledFsmR <= END4;
when END4 =>
ledDoneR <= '1';
ledDataR <= '1';
ledFsmR <= IDLE;
end case;
end if;
end if;
end process led;
dis_clk_o <= ledClkR;
dis_data_o <= ledDataR;
-- there are two extra digits on the LED display that are not used to
-- dispaly calculator output. These two extra digits can display additiona
-- info. The first extra digit is not used, yet. The second digit is used
-- to display "shift" key status. The "shift" key status varies for each
-- calculator type.
HP35_DIS : if HP35 generate
-- The HP-35 only shift key to do arc-sin, arc-cos, and arc-tan
-- status bit indicates this.
ledExDigit1R <= LED_SPACE;
fShift : process (sysClk, sysRst)
begin
if sysRst = '1' then
ledExDigit2R <= LED_SPACE;
elsif rising_edge(sysClk) then
if status(10) = '1' then
ledExDigit2R <= LED_A;
else
ledExDigit2R <= LED_SPACE;
end if;
end if;
end process fShift;
end generate HP35_DIS;
HP45_DIS : if HP45 generate
-- The HP-45 has one shift key. Status
-- bit 10 indicates its state.
ledExDigit1R <= LED_SPACE;
fShift : process (sysClk, sysRst)
begin
if sysRst = '1' then
ledExDigit2R <= LED_SPACE;
elsif rising_edge(sysClk) then
if status(10) = '1' then
ledExDigit2R <= LED_F;
else
ledExDigit2R <= LED_SPACE;
end if;
end if;
end process fShift;
end generate HP45_DIS;
HP55_DIS : if HP55 generate
-- The HP-45 has two shift keys. Status bits 4, 6, and 11
-- need to be looked at to determine the shift key states.
ledExDigit1R <= LED_SPACE;
fgShift : process (sysClk, sysRst)
begin
if sysRst = '1' then
ledExDigit2R <= LED_SPACE;
elsif rising_edge(sysClk) then
-- Note: When in timer mode (flagsR(11) is set) status(6)
-- indicates if the timer is stopped (status(6)=1 if timer is stopped)
if status(6) = '1' and flagsR(11) /= '1' then
ledExDigit2R <= LED_F;
elsif status(4) = '1' then
ledExDigit2R <= LED_G;
else
ledExDigit2R <= LED_SPACE;
end if;
ledExDigit2R(7) <= realSpeedEnR;
end if;
end process fgShift;
end generate HP55_DIS;
-- The ledDisplay process is the higher level LED display
-- driver. It displays the current value of the X register,
-- the sign-on message or the error message.
ledDisplay : process (sysClk, sysRst, xregLedR, maskLedR)
variable bcd : std_logic_vector(3 downto 0);
variable dMask: std_logic_vector(3 downto 0);
begin
bcd := xregLedR(55 downto 52);
dMask := maskLedR(55 downto 52);
if sysRst = '1' then
disLedFsmR <= CMD1;
indexLedR <= 0;
ledStartR <= '0';
ledEndR <= '0';
ledSendR <= '0';
ledFirstR <= '1'; -- flag for the first time throught the FSM (for Sign On message)
ledSendDataR<= (others => '0');
maskLedR <= (others => '0');
xregLedR <= (others => '0');
elsif rising_edge(sysClk) then
if clkEnR='1' then
ledSendR <= '0';
case disLedFsmR is
when CMD1 =>
maskLedR <= mask;
xregLedR <= xreg;
indexLedR <= 0;
ledSendDataR <= "01000000"; -- Data Mode: Address Auto + 1
ledSendR <= '1';
ledEndR <= '1';
-- if realSpeedEnR /= '1' or displayEn = '1' then
if ledFirstR = '1' or error = '1' or displayEn = '1' then
ledStartR <= '1';
disLedFsmR <= CMD2;
end if;
when CMD2 =>
if ledDoneR = '1' then
ledSendDataR <= x"C0" or x"00"; -- set address
ledStartR <= '1';
ledSendR <= '1';
ledEndR <= '0';
if ledFirstR = '1' then
disLedFsmR <= SIGNON_D;
elsif error = '1' then
disLedFsmR <= ERROR_D;
else
disLedFsmR <= CMD2W;
end if;
end if;
when SIGNON_D =>
if ledDoneR = '1' then
ledSendDataR <= LED_SIGNON(indexLedR);
ledStartR <= '0';
ledSendR <= '1';
if indexLedR = 13 then
ledEndR <= '0';
disLedFsmR <= DIS_EX1;
else
indexLedR <= indexLedR + 1;
ledEndR <= '0';
end if;
end if;
when ERROR_D =>
if ledDoneR = '1' then
ledSendDataR <= LED_ERROR(indexLedR);
ledStartR <= '0';
ledSendR <= '1';
if indexLedR = 13 then
ledEndR <= '0';
disLedFsmR <= DIS_EX1;
else
indexLedR <= indexLedR + 1;
ledEndR <= '0';
end if;
end if;
when CMD2W =>
if ledDoneR = '1' then
disLedFsmR <= T0;
end if;
when T0 =>
if dMask = "1001" then
ledSendDataR <= LED_SPACE; -- space
else
if indexLedR=11 or indexLedR=0 then -- the two sign positions
if bcd = "1001" then
if dMask = "0000" then
ledSendDataR <= LED_MINUS; -- '-'
else
ledSendDataR <= LED_MINUS or LED_DP; -- '-.'
end if;
else
if dMask = "0000" then
ledSendDataR <= LED_SPACE; -- space
else
ledSendDataR <= LED_0 or LED_DP; -- space
end if;
end if;
elsif dMask = "0000" then
ledSendDataR <= LED_HEX_FONT(to_integer(unsigned(bcd)));
else
ledSendDataR <= LED_HEX_FONT(to_integer(unsigned(bcd))) or LED_DP;
end if;
end if;
ledStartR <= '0';
ledSendR <= '1';
if indexLedR = 13 then
ledEndR <= '0';
disLedFsmR <= DIS_EX1;
else
ledEndR <= '0';
disLedFsmR <= T1;
end if;
when T1 =>
if ledDoneR = '1' then
indexLedR <= indexLedR + 1;
maskLedR <= maskLedR(51 downto 0) & "0000";
xregLedR <= xregLedR(51 downto 0) & "0000";
disLedFsmR <= T0;
end if;
when DIS_EX1 =>
if ledDoneR = '1' then
ledSendDataR <= ledExDigit1R;
ledStartR <= '0';
ledSendR <= '1';
ledEndR <= '0';
disLedFsmR <= DIS_EX2;
end if;
when DIS_EX2 =>
if ledDoneR = '1' then
ledSendDataR <= ledExDigit2R;
ledStartR <= '0';
ledSendR <= '1';
ledEndR <= '1';
disLedFsmR <= DIS_CMD1;
end if;
when DIS_CMD1 =>
if ledDoneR = '1' then
ledSendDataR <= x"80" or x"08" or "00000"&std_logic_vector(ledBrightR); -- Display Command: On & full brighness
ledStartR <= '1';
ledSendR <= '1';
ledEndR <= '1';
disLedFsmR <= DIS_CMD2;
end if;
when DIS_CMD2 =>
if ledDoneR = '1' then
if ledFirstR = '1' then
disLedFsmR <= SIGNON_W;
else
disLedFsmR <= CMD1;
end if;
end if;
when SIGNON_W =>
-- wait for keyboard activity
ledFirstR <= '0'; -- reset first flag
if (ps2ClkRRR='0' and ps2ClkRR = '1') or keyRdyLongR = '1' then
disLedFsmR <= CMD1;
end if;
end case;
end if;
end if;
end process ledDisplay;
-----------------------------------------
-- End of the LED Display Module driver
-----------------------------------------
end rtl;
|
mit
|
Umesh8Joshi/VHDL-programs
|
Transport_delay.vhdl
|
1
|
235
|
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY delay_line IS
PORT ( a : IN std_logic;
PORT (
b : OUT std_logic);
END delay_line;
ARCHITECTURE delay_line OF delay_line IS
BEGIN
b <= TRANSPORT a AFTER 20 ns;
END delay_line;
|
mit
|
jelliotartz/Active-Record-Drill
|
public/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
abidrahmank/MyRoughWork
|
vitbi/ACSunit.vhd
|
1
|
3303
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------
entity ACSunit is
port (din : IN std_logic_vector(1 downto 0);-- data input
RESET,CLK:IN std_logic;
outPM1,outPM2,outPM3,outPM4:out std_logic_vector (2 downto 0);-- path metric values
--- dec1,dec2,dec3,dec4:out std_logic
decisions:out std_logic_vector (3 downto 0));--decisions of 4 ACS
end ACSunit;
---------------------------------------------------------------
architecture arch_ACSunit of ACSunit is
component BMU
port (CLK,reset: in std_logic;
din : IN std_logic_vector(1 downto 0);
W,X,Y,Z : OUT std_logic_vector(1 downto 0));
end component;
component ACS
port (PMU,PMD:in std_logic_vector (2 downto 0);
BMU,BMD:in std_logic_vector (1 downto 0);
DECISION:OUT std_logic;
RESET,CLK:IN std_logic;
PM:OUT std_logic_vector (2 downto 0));
end component;
signal PM1,PM2,PM3,PM4:std_logic_vector (2 downto 0);--path metric values
signal pr_PM1,pr_PM2,pr_PM3,pr_PM4:std_logic_vector (2 downto 0);--previous path metric values
signal BM1,BM2,BM3,BM4:std_logic_vector (1 downto 0);--branch metric values
signal reg1,reg2,reg3,reg4:std_logic_vector(2 downto 0);--registers to store pm values
signal dec1,dec2,dec3,dec4:std_logic;
--------------------------------------------------------------------------
begin
bmUnits:BMU port map(CLK,reset,din,BM1,BM2,BM3,BM4); -- calling branch metric units
ACS1:ACS port map(pr_PM1,pr_PM3,BM1,BM4,dec1,RESET,CLK,PM1);-- calling acs units
ACS2:ACS port map(pr_PM1,pr_PM3,BM4,BM1,dec2,RESET,CLK,PM2);
ACS3:ACS port map(pr_PM2,pr_PM4,BM2,BM3,dec3,RESET,CLK,PM3);
ACS4:ACS port map(pr_PM2,pr_PM4,BM3,BM2,dec4,RESET,CLK,PM4);
decisions(0) <= dec1;
decisions(1) <= dec2;
decisions(2) <= dec3;
decisions(3) <= dec4;
process(CLK,RESET,reg1,reg2,reg3,reg4)
begin
if(reset = '1')then
pr_PM1 <= "000";-- resetting the previous path metric
pr_PM2 <= "000";-- values to 000
pr_PM3 <= "000";
pr_PM4 <= "000";
elsif (clk'event and clk = '0')then
pr_PM1 <= reg1; -- reading previous path metric values from
pr_PM2 <= reg2; -- registers and assigning them to corresponding
pr_PM3 <= reg3; -- signals
pr_PM4 <= reg4;
end if;
end process;
process (PM1,PM2,PM3,PM4)
begin
reg1 <= PM1; -- saving path metric values to registers
reg2 <= PM2;
reg3 <= PM3;
reg4 <= PM4;
outPM1 <= PM1; -- taking path metric values to out put ports
outPM2 <= PM2;
outPM3 <= PM3;
outPM4 <= PM4;
end process;
end arch_ACSunit;
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_router_20_1/sim/sys_router_20_1.vhd
|
1
|
7453
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:router:1.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sys_router_20_1 IS
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VIN : IN STD_LOGIC;
S_RIN : OUT STD_LOGIC;
S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VOUT : OUT STD_LOGIC;
S_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END sys_router_20_1;
ARCHITECTURE sys_router_20_1_arch OF sys_router_20_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_router_20_1_arch: ARCHITECTURE IS "yes";
COMPONENT router_struct IS
GENERIC (
ADDR_X : INTEGER;
ADDR_Y : INTEGER;
N_INST : BOOLEAN;
S_INST : BOOLEAN;
E_INST : BOOLEAN;
W_INST : BOOLEAN
);
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
N_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VIN : IN STD_LOGIC;
N_RIN : OUT STD_LOGIC;
N_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VOUT : OUT STD_LOGIC;
N_ROUT : IN STD_LOGIC;
S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VIN : IN STD_LOGIC;
S_RIN : OUT STD_LOGIC;
S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VOUT : OUT STD_LOGIC;
S_ROUT : IN STD_LOGIC;
E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VIN : IN STD_LOGIC;
E_RIN : OUT STD_LOGIC;
E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VOUT : OUT STD_LOGIC;
E_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END COMPONENT router_struct;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLOCK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLOCK CLK";
ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST";
ATTRIBUTE X_INTERFACE_INFO OF L_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF L_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TREADY";
BEGIN
U0 : router_struct
GENERIC MAP (
ADDR_X => 2,
ADDR_Y => 2,
N_INST => false,
S_INST => true,
E_INST => false,
W_INST => true
)
PORT MAP (
CLOCK => CLOCK,
RESET => RESET,
L_DIN => L_DIN,
L_VIN => L_VIN,
L_RIN => L_RIN,
L_DOUT => L_DOUT,
L_VOUT => L_VOUT,
L_ROUT => L_ROUT,
N_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
N_VIN => '0',
N_ROUT => '0',
S_DIN => S_DIN,
S_VIN => S_VIN,
S_RIN => S_RIN,
S_DOUT => S_DOUT,
S_VOUT => S_VOUT,
S_ROUT => S_ROUT,
E_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
E_VIN => '0',
E_ROUT => '0',
W_DIN => W_DIN,
W_VIN => W_VIN,
W_RIN => W_RIN,
W_DOUT => W_DOUT,
W_VOUT => W_VOUT,
W_ROUT => W_ROUT
);
END sys_router_20_1_arch;
|
mit
|
jdeblese/clocksync
|
driveseg.vhd
|
1
|
3688
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
package driveseg_comp is
component driveseg
Port(
data : in STD_LOGIC_VECTOR (15 downto 0);
seg_c : out STD_LOGIC_VECTOR (7 downto 0);
seg_a : out std_logic_vector (3 downto 0);
en : in std_logic_vector(3 downto 0);
clk : in std_logic;
rst : in std_logic);
end component;
end package;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.driveseg_comp.all;
entity driveseg is
Port ( data : in STD_LOGIC_VECTOR (15 downto 0);
seg_c : out STD_LOGIC_VECTOR (7 downto 0);
seg_a : out std_logic_vector (3 downto 0);
en : in std_logic_vector(3 downto 0);
clk : in std_logic;
rst : in std_logic);
end driveseg;
architecture Behavioral of driveseg is
signal latch : std_logic_vector(15 downto 0);
signal active : std_logic_vector(3 downto 0);
signal active_new : std_logic_vector(3 downto 0);
signal cathode, cathode_new : std_logic_vector(7 downto 0);
signal divider : unsigned(27 downto 0);
begin
seg_a <= active;
seg_c <= cathode;
process(clk,rst)
variable div,old : std_logic;
begin
if rst = '1' then
latch <= (others => '0');
active <= "1110";
cathode <= (others => '0');
divider <= (others => '0');
old := '0';
elsif rising_edge(clk) then
div := divider(16);
if old = '0' and div = '1' then
active <= active_new;
cathode <= cathode_new;
end if;
latch <= data;
divider <= divider + "1";
old := div;
end if;
end process;
process(en,active,latch,cathode)
variable digit : std_logic_vector(3 downto 0);
variable segen : std_logic;
variable active_next : std_logic_vector(3 downto 0);
variable cathode_next : std_logic_vector(7 downto 0);
begin
active_next := active(2 downto 0) & active(3);
cathode_next := cathode;
-- Turn off dots
cathode_next(7) := '1';
-- Extract the current digit
case active_next is
when "1110" => digit := latch( 3 downto 0);
when "1101" => digit := latch( 7 downto 4);
when "1011" => digit := latch(11 downto 8);
when "0111" => digit := latch(15 downto 12);
when others => digit := "0000";
end case;
-- Check if the current digit is active
segen := (not active_next(3) and en(3)) or (not active_next(2) and en(2)) or (not active_next(1) and en(1)) or (not active_next(0) and en(0));
-- Drive the segment cathode based on the given digit
if segen = '1' then
case digit is
when "0000" => cathode_next(6 downto 0) := "1000000";
when "0001" => cathode_next(6 downto 0) := "1111001";
when "0010" => cathode_next(6 downto 0) := "0100100";
when "0011" => cathode_next(6 downto 0) := "0110000";
when "0100" => cathode_next(6 downto 0) := "0011001";
when "0101" => cathode_next(6 downto 0) := "0010010";
when "0110" => cathode_next(6 downto 0) := "0000010";
when "0111" => cathode_next(6 downto 0) := "1111000";
when "1000" => cathode_next(6 downto 0) := "0000000";
when "1001" => cathode_next(6 downto 0) := "0010000";
when "1010" => cathode_next(6 downto 0) := "0001000";
when "1011" => cathode_next(6 downto 0) := "0000011";
when "1100" => cathode_next(6 downto 0) := "1000110";
when "1101" => cathode_next(6 downto 0) := "0100001";
when "1110" => cathode_next(6 downto 0) := "0000110";
when "1111" => cathode_next(6 downto 0) := "0001110";
when others => cathode_next(6 downto 0) := "0111111";
end case;
else
cathode_next(6 downto 0) := "1111111";
end if;
active_new <= active_next;
cathode_new <= cathode_next;
end process;
end Behavioral;
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_router_10_1/sim/sys_router_10_1.vhd
|
1
|
8266
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:router:1.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sys_router_10_1 IS
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VIN : IN STD_LOGIC;
S_RIN : OUT STD_LOGIC;
S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VOUT : OUT STD_LOGIC;
S_ROUT : IN STD_LOGIC;
E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VIN : IN STD_LOGIC;
E_RIN : OUT STD_LOGIC;
E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VOUT : OUT STD_LOGIC;
E_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END sys_router_10_1;
ARCHITECTURE sys_router_10_1_arch OF sys_router_10_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_router_10_1_arch: ARCHITECTURE IS "yes";
COMPONENT router_struct IS
GENERIC (
ADDR_X : INTEGER;
ADDR_Y : INTEGER;
N_INST : BOOLEAN;
S_INST : BOOLEAN;
E_INST : BOOLEAN;
W_INST : BOOLEAN
);
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
N_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VIN : IN STD_LOGIC;
N_RIN : OUT STD_LOGIC;
N_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VOUT : OUT STD_LOGIC;
N_ROUT : IN STD_LOGIC;
S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VIN : IN STD_LOGIC;
S_RIN : OUT STD_LOGIC;
S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VOUT : OUT STD_LOGIC;
S_ROUT : IN STD_LOGIC;
E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VIN : IN STD_LOGIC;
E_RIN : OUT STD_LOGIC;
E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VOUT : OUT STD_LOGIC;
E_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END COMPONENT router_struct;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLOCK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLOCK CLK";
ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST";
ATTRIBUTE X_INTERFACE_INFO OF L_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF L_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 S_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF S_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF S_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF S_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 S_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF E_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF E_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF E_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF E_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF E_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF E_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TREADY";
BEGIN
U0 : router_struct
GENERIC MAP (
ADDR_X => 1,
ADDR_Y => 2,
N_INST => false,
S_INST => true,
E_INST => true,
W_INST => true
)
PORT MAP (
CLOCK => CLOCK,
RESET => RESET,
L_DIN => L_DIN,
L_VIN => L_VIN,
L_RIN => L_RIN,
L_DOUT => L_DOUT,
L_VOUT => L_VOUT,
L_ROUT => L_ROUT,
N_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
N_VIN => '0',
N_ROUT => '0',
S_DIN => S_DIN,
S_VIN => S_VIN,
S_RIN => S_RIN,
S_DOUT => S_DOUT,
S_VOUT => S_VOUT,
S_ROUT => S_ROUT,
E_DIN => E_DIN,
E_VIN => E_VIN,
E_RIN => E_RIN,
E_DOUT => E_DOUT,
E_VOUT => E_VOUT,
E_ROUT => E_ROUT,
W_DIN => W_DIN,
W_VIN => W_VIN,
W_RIN => W_RIN,
W_DOUT => W_DOUT,
W_VOUT => W_VOUT,
W_ROUT => W_ROUT
);
END sys_router_10_1_arch;
|
mit
|
ssabogal/nocturnal
|
ip_repo/router/src/fifo_tb.vhd
|
1
|
1643
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_tb is
end entity;
architecture testbench of fifo_tb is
component fifo32x1K is
port (
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component;
signal CLOCK : std_logic := '0';
signal RESET : std_logic := '0';
signal DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal VIN : std_logic := '0';
signal RIN : std_logic;
signal DOUT : std_logic_vector(31 downto 0);
signal VOUT : std_logic;
signal ROUT : std_logic := '0';
signal reset_n : std_logic;
begin
UUT: fifo32x1K
port map (
s_aclk => CLOCK,
s_aresetn => reset_n,
s_axis_tdata => DIN,
s_axis_tvalid => VIN,
s_axis_tready => RIN,
m_axis_tdata => DOUT,
m_axis_tvalid => VOUT,
m_axis_tready => ROUT
);
CLOCK <= not CLOCK after 5 ns;
reset_n <= not RESET;
process
begin
RESET <= '1';
wait until rising_edge(CLOCK);
RESET <= '0';
wait until RIN = '1';
wait until rising_edge(CLOCK);
for i in 1 to 8 loop
DIN <= std_logic_vector(to_unsigned(i, 32));
VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
DIN <= (others => 'X');
VIN <= '0';
wait for 50 ns;
for i in 1 to 8 loop
ROUT <= '1';
wait until rising_edge(CLOCK);
end loop;
ROUT <= '0';
wait for 500 ns;
wait;
end process;
end architecture;
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_router_00_0/sim/sys_router_00_0.vhd
|
1
|
8266
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:router:1.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sys_router_00_0 IS
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
N_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VIN : IN STD_LOGIC;
N_RIN : OUT STD_LOGIC;
N_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VOUT : OUT STD_LOGIC;
N_ROUT : IN STD_LOGIC;
E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VIN : IN STD_LOGIC;
E_RIN : OUT STD_LOGIC;
E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VOUT : OUT STD_LOGIC;
E_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END sys_router_00_0;
ARCHITECTURE sys_router_00_0_arch OF sys_router_00_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_router_00_0_arch: ARCHITECTURE IS "yes";
COMPONENT router_struct IS
GENERIC (
ADDR_X : INTEGER;
ADDR_Y : INTEGER;
N_INST : BOOLEAN;
S_INST : BOOLEAN;
E_INST : BOOLEAN;
W_INST : BOOLEAN
);
PORT (
CLOCK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
L_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VIN : IN STD_LOGIC;
L_RIN : OUT STD_LOGIC;
L_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
L_VOUT : OUT STD_LOGIC;
L_ROUT : IN STD_LOGIC;
N_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VIN : IN STD_LOGIC;
N_RIN : OUT STD_LOGIC;
N_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
N_VOUT : OUT STD_LOGIC;
N_ROUT : IN STD_LOGIC;
S_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VIN : IN STD_LOGIC;
S_RIN : OUT STD_LOGIC;
S_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_VOUT : OUT STD_LOGIC;
S_ROUT : IN STD_LOGIC;
E_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VIN : IN STD_LOGIC;
E_RIN : OUT STD_LOGIC;
E_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
E_VOUT : OUT STD_LOGIC;
E_ROUT : IN STD_LOGIC;
W_DIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VIN : IN STD_LOGIC;
W_RIN : OUT STD_LOGIC;
W_DOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
W_VOUT : OUT STD_LOGIC;
W_ROUT : IN STD_LOGIC
);
END COMPONENT router_struct;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLOCK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLOCK CLK";
ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST";
ATTRIBUTE X_INTERFACE_INFO OF L_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 L_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF L_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF L_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF L_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 L_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF N_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 N_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF N_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 N_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF N_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 N_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF N_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 N_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF N_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 N_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF N_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 N_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF E_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF E_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF E_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 E_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF E_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF E_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF E_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 E_OUT TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_RIN: SIGNAL IS "xilinx.com:interface:axis:1.0 W_IN TREADY";
ATTRIBUTE X_INTERFACE_INFO OF W_DOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TDATA";
ATTRIBUTE X_INTERFACE_INFO OF W_VOUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF W_ROUT: SIGNAL IS "xilinx.com:interface:axis:1.0 W_OUT TREADY";
BEGIN
U0 : router_struct
GENERIC MAP (
ADDR_X => 1,
ADDR_Y => 0,
N_INST => true,
S_INST => false,
E_INST => true,
W_INST => true
)
PORT MAP (
CLOCK => CLOCK,
RESET => RESET,
L_DIN => L_DIN,
L_VIN => L_VIN,
L_RIN => L_RIN,
L_DOUT => L_DOUT,
L_VOUT => L_VOUT,
L_ROUT => L_ROUT,
N_DIN => N_DIN,
N_VIN => N_VIN,
N_RIN => N_RIN,
N_DOUT => N_DOUT,
N_VOUT => N_VOUT,
N_ROUT => N_ROUT,
S_DIN => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
S_VIN => '0',
S_ROUT => '0',
E_DIN => E_DIN,
E_VIN => E_VIN,
E_RIN => E_RIN,
E_DOUT => E_DOUT,
E_VOUT => E_VOUT,
E_ROUT => E_ROUT,
W_DIN => W_DIN,
W_VIN => W_VIN,
W_RIN => W_RIN,
W_DOUT => W_DOUT,
W_VOUT => W_VOUT,
W_ROUT => W_ROUT
);
END sys_router_00_0_arch;
|
mit
|
ssabogal/nocturnal
|
ip_repo/router/src/shift_reg_stub.vhd
|
2
|
2647
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity shift_reg_stub is
port (
CLOCK : in std_logic;
RESET : in std_logic;
DIN : in std_logic_vector(31 downto 0);
VIN : in std_logic;
RIN : out std_logic;
DOUT : out std_logic_vector(31 downto 0);
VOUT : out std_logic;
ROUT : in std_logic;
AX : out std_logic_vector(1 downto 0);
AY : out std_logic_vector(1 downto 0);
SZ : out std_logic_vector(15 downto 0);
VAL3 : out std_logic
);
end entity;
architecture structure of shift_reg_stub is
type shift_reg_t is array(2 downto 0) of std_logic_vector(31 downto 0);
signal shift_reg : shift_reg_t;
signal shift_reg_next : shift_reg_t;
type state_t is (S000, S001, S011, S111);
signal state, state_next : state_t;
begin
DOUT <= shift_reg(2);
AX <= shift_reg(2)(31 downto 30);
AY <= shift_reg(2)(29 downto 28);
SZ <= shift_reg(0)(15 downto 0);
process (CLOCK)
begin
if rising_edge(CLOCK) then
if RESET = '1' then
state <= S000;
else
state <= state_next;
shift_reg(2) <= shift_reg_next(2);
shift_reg(1) <= shift_reg_next(1);
shift_reg(0) <= shift_reg_next(0);
end if;
end if;
end process;
process (state, shift_reg, DIN, VIN, ROUT)
begin
state_next <= state;
RIN <= '0';
VOUT <= '0';
VAL3 <= '0';
shift_reg_next <= shift_reg;
case state is
when S000 =>
if VIN = '1' then
RIN <= '1';
state_next <= S001;
shift_reg_next(2) <= DIN;
end if;
when S001 =>
VOUT <= '1';
if VIN = '1' and ROUT = '1' then
RIN <= '1';
state_next <= S001;
shift_reg_next(2) <= DIN;
elsif VIN = '1' then
RIN <= '1';
state_next <= S011;
shift_reg_next(1) <= DIN;
elsif ROUT = '1' then
state_next <= S000;
end if;
when S011 =>
VOUT <= '1';
if VIN = '1' and ROUT = '1' then
RIN <= '1';
state_next <= S011;
shift_reg_next(2) <= shift_reg(1);
shift_reg_next(1) <= DIN;
elsif VIN = '1' then
RIN <= '1';
state_next <= S111;
shift_reg_next(0) <= DIN;
elsif ROUT = '1' then
shift_reg_next(2) <= shift_reg(1);
state_next <= S001;
end if;
when S111 =>
VAL3 <= '1';
VOUT <= '1';
if VIN = '1' and ROUT = '1' then
RIN <= '1';
state_next <= S111;
shift_reg_next(2) <= shift_reg(1);
shift_reg_next(1) <= shift_reg(0);
shift_reg_next(0) <= DIN;
elsif ROUT = '1' then
shift_reg_next(2) <= shift_reg(1);
shift_reg_next(1) <= shift_reg(0);
state_next <= S011;
end if;
end case;
end process;
end architecture;
|
mit
|
kauecano/eel5105
|
vhds/mux2x1.vhd
|
1
|
481
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity mux2x1 is
port (x: in std_logic_vector(9 downto 0);--entrada do mux.
y: in std_logic_vector(9 downto 0);--entrada do mux.
s: in std_logic;--entrada de seleção.
m: out std_logic_vector(9 downto 0)--saída do mux que será uma das entradas conforme o valor do bit de seleção.
);
end mux2x1;
architecture mux2x1_estr of mux2x1 is
begin
m <= x when s = '0' else-- abordagem comportamental.
y;
end mux2x1_estr;
|
mit
|
ssabogal/nocturnal
|
noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_axi_nic_10_1/synth/sys_axi_nic_10_1.vhd
|
1
|
9482
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:axi_nic:1.0
-- IP Revision: 11
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sys_axi_nic_10_1 IS
PORT (
RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
RX_VALID : IN STD_LOGIC;
RX_READY : OUT STD_LOGIC;
TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TX_VALID : OUT STD_LOGIC;
TX_READY : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC
);
END sys_axi_nic_10_1;
ARCHITECTURE sys_axi_nic_10_1_arch OF sys_axi_nic_10_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_axi_nic_10_1_arch: ARCHITECTURE IS "yes";
COMPONENT nic_v1_0 IS
GENERIC (
C_S00_AXI_DATA_WIDTH : INTEGER;
C_S00_AXI_ADDR_WIDTH : INTEGER;
USE_1K_NOT_4K_FIFO_DEPTH : BOOLEAN
);
PORT (
RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
RX_VALID : IN STD_LOGIC;
RX_READY : OUT STD_LOGIC;
TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TX_VALID : OUT STD_LOGIC;
TX_READY : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC
);
END COMPONENT nic_v1_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF sys_axi_nic_10_1_arch: ARCHITECTURE IS "nic_v1_0,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF sys_axi_nic_10_1_arch : ARCHITECTURE IS "sys_axi_nic_10_1,nic_v1_0,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF RX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TDATA";
ATTRIBUTE X_INTERFACE_INFO OF RX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TVALID";
ATTRIBUTE X_INTERFACE_INFO OF RX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TREADY";
ATTRIBUTE X_INTERFACE_INFO OF TX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TDATA";
ATTRIBUTE X_INTERFACE_INFO OF TX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TVALID";
ATTRIBUTE X_INTERFACE_INFO OF TX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY";
BEGIN
U0 : nic_v1_0
GENERIC MAP (
C_S00_AXI_DATA_WIDTH => 32,
C_S00_AXI_ADDR_WIDTH => 5,
USE_1K_NOT_4K_FIFO_DEPTH => false
)
PORT MAP (
RX_DATA => RX_DATA,
RX_VALID => RX_VALID,
RX_READY => RX_READY,
TX_DATA => TX_DATA,
TX_VALID => TX_VALID,
TX_READY => TX_READY,
s00_axi_aclk => s00_axi_aclk,
s00_axi_aresetn => s00_axi_aresetn,
s00_axi_awaddr => s00_axi_awaddr,
s00_axi_awprot => s00_axi_awprot,
s00_axi_awvalid => s00_axi_awvalid,
s00_axi_awready => s00_axi_awready,
s00_axi_wdata => s00_axi_wdata,
s00_axi_wstrb => s00_axi_wstrb,
s00_axi_wvalid => s00_axi_wvalid,
s00_axi_wready => s00_axi_wready,
s00_axi_bresp => s00_axi_bresp,
s00_axi_bvalid => s00_axi_bvalid,
s00_axi_bready => s00_axi_bready,
s00_axi_araddr => s00_axi_araddr,
s00_axi_arprot => s00_axi_arprot,
s00_axi_arvalid => s00_axi_arvalid,
s00_axi_arready => s00_axi_arready,
s00_axi_rdata => s00_axi_rdata,
s00_axi_rresp => s00_axi_rresp,
s00_axi_rvalid => s00_axi_rvalid,
s00_axi_rready => s00_axi_rready
);
END sys_axi_nic_10_1_arch;
|
mit
|
kauecano/eel5105
|
vhds/topo.vhd
|
1
|
3817
|
library ieee;
use ieee.std_logic_1164.all;
entity topo is
port (SW: in std_logic_vector(9 downto 0);
KEY: in std_logic_vector(3 downto 0);
CLOCK_50: in std_logic;
HEX5: out std_logic_vector(6 downto 0);
HEX4: out std_logic_vector(6 downto 0);
HEX3: out std_logic_vector(6 downto 0);
HEX2: out std_logic_vector(6 downto 0);
HEX1: out std_logic_vector(6 downto 0);
HEX0: out std_logic_vector(6 downto 0);
LEDR: out std_logic_vector(9 downto 0)
);
end topo;
architecture topo_arch of topo is
signal CONTA_ASC: std_logic_vector(19 DOWNTO 0);
signal AGENDA, PASSWORD, REG: std_logic_vector(19 downto 0);
signal LED_OUT, CONTA_DESC: std_logic_vector(9 downto 0);
signal ESTADOS, SEQ_3, SEQ_2, SEQ_1, SEQ_0: std_logic_vector(4 downto 0);
signal TENTATIVAS, SEL_DISP: std_logic_vector(1 downto 0);
signal C3, C2, C1, C0, CLK1, CLK2: std_logic;
signal BTN3, BTN2, BTN1, BTN0: std_logic;
signal SEL_LED, TESTE_PASS, PASS_CERTO, SALDO: std_logic;
component FSM_control
port (PASS_CERTO, BTN3, BTN0, SALDO, CLOCK_50: in std_logic;
C3, C2, C1, C0, SEL_LED, TESTE_PASS: out std_logic;
SEL_DISP, TENTATIVAS: out std_logic_vector(1 downto 0);
ESTADOS: out std_logic_vector(4 downto 0)
);
end component;
component topo_reg
port (BTN0, C3, C2, C1, C0, CLOCK_50: IN STD_LOGIC;
REG: IN STD_LOGIC_VECTOR(19 downto 0);
SEQ_3, SEQ_2, SEQ_1, SEQ_0 : OUT STD_LOGIC_VECTOR(4 downto 0)
);
end component;
component topo_selec
port (SW: in std_logic_vector(9 downto 0);
AGENDA: in std_logic_vector(19 downto 0);
CONTA_ASC: in std_logic_vector(19 downto 0);
CONTA_DESC: in std_logic_vector(9 downto 0);
SEL_DISP: in std_logic_vector(1 downto 0);
TENTATIVAS: in std_logic_vector(1 downto 0);
SEL_LED: in std_logic;
REG: out std_logic_vector(19 downto 0);
LED_OUT: out std_logic_vector(9 downto 0)
);
end component;
component topo_comparadores
port (SEQ_3, SEQ_2, SEQ_1, SEQ_0 : IN STD_LOGIC_VECTOR(4 downto 0);
CONTA_DES: IN STD_LOGIC_VECTOR(9 downto 0);
TESTE_PASS: IN STD_LOGIC;
PASS_CERTO, SALDO : OUT STD_LOGIC
);
end component;
component ROM
port ( address: in std_logic_vector(3 downto 0);
data: out std_logic_vector(19 downto 0) );
end component;
component ButtonSync
port(
-- Input ports
key0 : in std_logic;
key1 : in std_logic;
key2 : in std_logic;
key3 : in std_logic;
clk : in std_logic;
-- Output ports
btn0 : out std_logic;
btn1 : out std_logic;
btn2 : out std_logic;
btn3 : out std_logic
);
end component;
component decod7seg
port (C: in std_logic_vector(4 downto 0);
F: out std_logic_vector(6 downto 0)
);
end component;
component topo_contador
port (BTN0, SEL_LED, CLOCK_50: in std_logic;
SEL_DISP: in std_logic_vector(1 downto 0);
CONTA_ASC: out std_logic_vector(19 downto 0);
CONTA_DESC: out std_logic_vector(9 downto 0)
);
end component;
begin
HEX5 <= "0000110";
LEDR <= LED_OUT;
L00: FSM_control port map (PASS_CERTO, BTN3, BTN0, SALDO, CLOCK_50, C3, C2, C1, C0, SEL_LED, TESTE_PASS, SEL_DISP, TENTATIVAS, ESTADOS);
L01: topo_reg port map (BTN0, C3, C2, C1, C0, CLOCK_50, REG, SEQ_3, SEQ_2, SEQ_1, SEQ_0);
L02: topo_selec port map (SW, AGENDA, CONTA_ASC, CONTA_DESC, SEL_DISP, TENTATIVAS, SEL_LED, REG, LED_OUT);
L03: topo_comparadores port map (SEQ_3, SEQ_2, SEQ_1, SEQ_0, CONTA_DESC, TESTE_PASS, PASS_CERTO, SALDO);
L04: ROM port map (SW(3 downto 0), AGENDA);
L05: ButtonSync port map (KEY(0), KEY(1), KEY(2), KEY(3), CLOCK_50, BTN0, BTN1, BTN2, BTN3);
L06: decod7seg port map (ESTADOS, HEX4);
L07: decod7seg port map (SEQ_3, HEX3);
L08: decod7seg port map (SEQ_2, HEX2);
L09: decod7seg port map (SEQ_1, HEX1);
L10: decod7seg port map (SEQ_0, HEX0);
L11: topo_contador port map (BTN0, SEL_LED, CLOCK_50, SEL_DISP, CONTA_ASC, CONTA_DESC);
end topo_arch;
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.