repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/wrap_brst.vhd
7
51441
------------------------------------------------------------------------------- -- wrap_brst.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: wrap_brst.vhd -- -- Description: Create sub module for logic to generate WRAP burst -- address for rd_chnl and wr_chnl. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/4/2011 v1.03a -- ~~~~~~ -- Edit for scalability and support of 512 and 1024-bit data widths. -- Add axi_bram_ctrl_funcs package inclusion. -- ^^^^^^ -- JLJ 2/7/2011 v1.03a -- ~~~~~~ -- Remove axi_bram_ctrl_funcs package use. -- ^^^^^^ -- JLJ 3/15/2011 v1.03a -- ~~~~~~ -- Update multiply function on signal, wrap_burst_total_cmb, -- for timing path improvements. Replace with left shift operation. -- ^^^^^^ -- JLJ 3/17/2011 v1.03a -- ~~~~~~ -- Add comments as noted in Spyglass runs. And general code clean-up. -- ^^^^^^ -- JLJ 3/24/2011 v1.03a -- ~~~~~~ -- Add specific generate blocks based on C_AXI_DATA_WIDTH to calculate -- total WRAP burst size for improved FPGA resource utilization. -- ^^^^^^ -- JLJ 3/30/2011 v1.03a -- ~~~~~~ -- Clean up code. -- Re-code wrap_burst_total_cmb process blocks for each data width -- to improve and catch all false conditions in code coverage analysis. -- ^^^^^^ -- -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity wrap_brst is generic ( C_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_BRAM_ADDR_ADJUST_FACTOR : integer := 32; -- Adjust BRAM address width based on C_AXI_DATA_WIDTH C_AXI_DATA_WIDTH : integer := 32 -- Width of AXI data bus (in bits) ); port ( S_AXI_AClk : in std_logic; S_AXI_AResetn : in std_logic; curr_axlen : in std_logic_vector(7 downto 0) := (others => '0'); curr_axsize : in std_logic_vector(2 downto 0) := (others => '0'); curr_narrow_burst : in std_logic; narrow_bram_addr_inc_re : in std_logic; bram_addr_ld_en : in std_logic; bram_addr_ld : in std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); bram_addr_int : in std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); bram_addr_ld_wrap : out std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); max_wrap_burst_mod : out std_logic := '0' ); end entity wrap_brst; ------------------------------------------------------------------------------- architecture implementation of wrap_brst is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Reset active level (common through core) constant C_RESET_ACTIVE : std_logic := '0'; -- AXI Size Constants constant C_AXI_SIZE_1BYTE : std_logic_vector (2 downto 0) := "000"; -- 1 byte constant C_AXI_SIZE_2BYTE : std_logic_vector (2 downto 0) := "001"; -- 2 bytes constant C_AXI_SIZE_4BYTE : std_logic_vector (2 downto 0) := "010"; -- 4 bytes = max size for 32-bit BRAM constant C_AXI_SIZE_8BYTE : std_logic_vector (2 downto 0) := "011"; -- 8 bytes = max size for 64-bit BRAM constant C_AXI_SIZE_16BYTE : std_logic_vector (2 downto 0) := "100"; -- 16 bytes = max size for 128-bit BRAM constant C_AXI_SIZE_32BYTE : std_logic_vector (2 downto 0) := "101"; -- 32 bytes = max size for 256-bit BRAM constant C_AXI_SIZE_64BYTE : std_logic_vector (2 downto 0) := "110"; -- 64 bytes = max size for 512-bit BRAM constant C_AXI_SIZE_128BYTE : std_logic_vector (2 downto 0) := "111"; -- 128 bytes = max size for 1024-bit BRAM -- Determine the number of bytes based on the AXI data width. constant C_AXI_DATA_WIDTH_BYTES : integer := C_AXI_DATA_WIDTH/8; constant C_AXI_DATA_WIDTH_BYTES_LOG2 : integer := log2(C_AXI_DATA_WIDTH_BYTES); -- 8d = size of AxLEN vector constant C_MAX_LSHIFT_SIZE : integer := C_AXI_DATA_WIDTH_BYTES_LOG2 + 8; -- Constants for WRAP size decoding to simplify integer represenation. constant C_WRAP_SIZE_2 : std_logic_vector (2 downto 0) := "001"; constant C_WRAP_SIZE_4 : std_logic_vector (2 downto 0) := "010"; constant C_WRAP_SIZE_8 : std_logic_vector (2 downto 0) := "011"; constant C_WRAP_SIZE_16 : std_logic_vector (2 downto 0) := "100"; ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- signal max_wrap_burst : std_logic := '0'; signal save_init_bram_addr_ld : std_logic_vector (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) := (others => '0'); -- signal curr_axsize_unsigned : unsigned (2 downto 0) := (others => '0'); -- signal curr_axsize_int : integer := 0; -- signal curr_axlen_unsigned : unsigned (7 downto 0) := (others => '0'); -- Holds burst length/size total (based on width of BRAM width) -- Max size = max length of burst (256 beats) -- signal wrap_burst_total_cmb : integer range 0 to 256 := 1; -- Max 256 (= 32768d / 128 bytes) -- signal wrap_burst_total : integer range 0 to 256 := 1; signal wrap_burst_total_cmb : std_logic_vector (2 downto 0) := (others => '0'); signal wrap_burst_total : std_logic_vector (2 downto 0) := (others => '0'); -- signal curr_axlen_unsigned_plus1 : unsigned (7 downto 0) := (others => '0'); -- signal curr_axlen_unsigned_plus1_lshift : unsigned (C_MAX_LSHIFT_SIZE downto 0) := (others => '0'); -- Max = 32768d ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- Modify counter size based on size of current write burst operation -- For WRAP burst types, the counter value will roll over when the burst -- boundary is reached. -- Based on AxSIZE and AxLEN -- To minimize muxing on initial load of counter value -- Detect on WRAP burst types, when the max address is reached. -- When the max address is reached, re-load counter with lower -- address value. -- Save initial load address value. REG_INIT_BRAM_ADDR: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then save_init_bram_addr_ld <= (others => '0'); elsif (bram_addr_ld_en = '1') then save_init_bram_addr_ld <= bram_addr_ld(C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1); else save_init_bram_addr_ld <= save_init_bram_addr_ld; end if; end if; end process REG_INIT_BRAM_ADDR; --------------------------------------------------------------------------- -- v1.03a -- Calculate AXI size (integer) -- curr_axsize_unsigned <= unsigned (curr_axsize); -- curr_axsize_int <= to_integer (curr_axsize_unsigned); -- Calculate AXI length (integer) -- curr_axlen_unsigned <= unsigned (curr_axlen); -- curr_axlen_unsigned_plus1 <= curr_axlen_unsigned + "00000001"; -- WRAP = size * length (based on BRAM data width in bytes) -- -- Original multiply function: -- wrap_burst_total_cmb <= (size_bytes_int * len_int) / C_AXI_DATA_WIDTH_BYTES; -- For XST, modify integer multiply function to improve timing. -- Replace multiply of AxLEN * AxSIZE with a left shift function. -- LEN_LSHIFT: process (curr_axlen_unsigned_plus1, curr_axsize_int) -- begin -- -- for i in C_MAX_LSHIFT_SIZE downto 0 loop -- -- if (i >= curr_axsize_int + 8) then -- curr_axlen_unsigned_plus1_lshift (i) <= '0'; -- elsif (i >= curr_axsize_int) then -- curr_axlen_unsigned_plus1_lshift (i) <= curr_axlen_unsigned_plus1 (i - curr_axsize_int); -- else -- curr_axlen_unsigned_plus1_lshift (i) <= '0'; -- end if; -- -- end loop; -- -- end process LEN_LSHIFT; -- Final signal assignment for XST & timing improvements. -- wrap_burst_total_cmb <= to_integer (curr_axlen_unsigned_plus1_lshift) / C_AXI_DATA_WIDTH_BYTES; --------------------------------------------------------------------------- -- v1.03a -- For best FPGA resource implementation, hard code the generation of -- WRAP burst size based on each C_AXI_DATA_WIDTH possibility. --------------------------------------------------------------------------- -- Generate: GEN_32_WRAP_SIZE -- Purpose: These wrap size values only apply to 32-bit BRAM. --------------------------------------------------------------------------- GEN_32_WRAP_SIZE: if C_AXI_DATA_WIDTH = 32 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 4 bytes (full AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/2 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 1 byte (1/4 AXI size) when C_AXI_SIZE_1BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_32_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_64_WRAP_SIZE -- Purpose: These wrap size values only apply to 64-bit BRAM. --------------------------------------------------------------------------- GEN_64_WRAP_SIZE: if C_AXI_DATA_WIDTH = 64 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 8 bytes (full AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/2 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/4 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 1 byte (1/8 AXI size) when C_AXI_SIZE_1BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_1BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_64_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_128_WRAP_SIZE -- Purpose: These wrap size values only apply to 128-bit BRAM. --------------------------------------------------------------------------- GEN_128_WRAP_SIZE: if C_AXI_DATA_WIDTH = 128 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 16 bytes (full AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/2 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/4 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 2 bytes (1/8 AXI size) when C_AXI_SIZE_2BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_2BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_128_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_256_WRAP_SIZE -- Purpose: These wrap size values only apply to 256-bit BRAM. --------------------------------------------------------------------------- GEN_256_WRAP_SIZE: if C_AXI_DATA_WIDTH = 256 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 32 bytes (full AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/2 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/4 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 4 bytes (1/8 AXI size) when C_AXI_SIZE_4BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_4BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_256_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_512_WRAP_SIZE -- Purpose: These wrap size values only apply to 512-bit BRAM. --------------------------------------------------------------------------- GEN_512_WRAP_SIZE: if C_AXI_DATA_WIDTH = 512 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 64 bytes (full AXI size) when C_AXI_SIZE_64BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 32 bytes (1/2 AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/4 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 8 bytes (1/8 AXI size) when C_AXI_SIZE_8BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_8BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_512_WRAP_SIZE; --------------------------------------------------------------------------- -- Generate: GEN_1024_WRAP_SIZE -- Purpose: These wrap size values only apply to 1024-bit BRAM. --------------------------------------------------------------------------- GEN_1024_WRAP_SIZE: if C_AXI_DATA_WIDTH = 1024 generate begin WRAP_SIZE_CMB: process (curr_axlen, curr_axsize) begin -- v1.03a -- Attempt to re code this to improve conditional coverage checks. -- Use case statment to replace if/else with no priority enabled. -- Current size of transaction case (curr_axsize (2 downto 0)) is -- 128 bytes (full AXI size) when C_AXI_SIZE_128BYTE => case (curr_axlen (3 downto 0)) is when "0001" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_16; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 64 bytes (1/2 AXI size) when C_AXI_SIZE_64BYTE => case (curr_axlen (3 downto 0)) is when "0011" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_8; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 32 bytes (1/4 AXI size) when C_AXI_SIZE_32BYTE => case (curr_axlen (3 downto 0)) is when "0111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_4; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- 16 bytes (1/8 AXI size) when C_AXI_SIZE_16BYTE => case (curr_axlen (3 downto 0)) is when "1111" => wrap_burst_total_cmb <= C_WRAP_SIZE_2; when others => wrap_burst_total_cmb <= (others => '0'); end case; when others => wrap_burst_total_cmb <= (others => '0'); end case; -- v1.03 Original HDL -- -- -- if ((curr_axlen (3 downto 0) = "0001") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_16BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_2; -- -- elsif ((curr_axlen (3 downto 0) = "0011") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_32BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_4; -- -- elsif ((curr_axlen (3 downto 0) = "0111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) or -- ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_64BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_8; -- -- elsif ((curr_axlen (3 downto 0) = "1111") and -- (curr_axsize (2 downto 0) = C_AXI_SIZE_128BYTE)) then -- -- wrap_burst_total_cmb <= C_WRAP_SIZE_16; -- -- else -- wrap_burst_total_cmb <= (others => '0'); -- end if; end process WRAP_SIZE_CMB; end generate GEN_1024_WRAP_SIZE; --------------------------------------------------------------------------- -- Early decode to determine size of WRAP transfer -- Goal to break up long timing path to generate max_wrap_burst signal. REG_WRAP_TOTAL: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (S_AXI_AResetn = C_RESET_ACTIVE) then wrap_burst_total <= (others => '0'); elsif (bram_addr_ld_en = '1') then wrap_burst_total <= wrap_burst_total_cmb; else wrap_burst_total <= wrap_burst_total; end if; end if; end process REG_WRAP_TOTAL; --------------------------------------------------------------------------- CHECK_WRAP_MAX : process ( wrap_burst_total, bram_addr_int, save_init_bram_addr_ld ) begin -- Check BRAM address value if max value is reached. -- Max value is based on burst size/length for operation. -- Address bits to check vary based on C_S_AXI_DATA_WIDTH and burst size/length. -- (use signal, wrap_burst_total, based on current WRAP burst size/length/data width). case wrap_burst_total is when C_WRAP_SIZE_2 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR) = '1') then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR) <= '0'; when C_WRAP_SIZE_4 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 1 downto C_BRAM_ADDR_ADJUST_FACTOR) = "11") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+2) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+2); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 1 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "00"; when C_WRAP_SIZE_8 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 2 downto C_BRAM_ADDR_ADJUST_FACTOR) = "111") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+3) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+3); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 2 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "000"; when C_WRAP_SIZE_16 => if (bram_addr_int (C_BRAM_ADDR_ADJUST_FACTOR + 3 downto C_BRAM_ADDR_ADJUST_FACTOR) = "1111") then max_wrap_burst <= '1'; else max_wrap_burst <= '0'; end if; -- Use saved BRAM load value bram_addr_ld_wrap (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+4) <= save_init_bram_addr_ld (C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+4); -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR + 3 downto C_BRAM_ADDR_ADJUST_FACTOR ) <= "0000"; when others => max_wrap_burst <= '0'; bram_addr_ld_wrap(C_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR+1) <= save_init_bram_addr_ld; -- Reset lower order address bits to zero (to wrap address) bram_addr_ld_wrap (C_BRAM_ADDR_ADJUST_FACTOR) <= '0'; end case; end process CHECK_WRAP_MAX; --------------------------------------------------------------------------- -- Move outside of CHECK_WRAP_MAX process. -- Account for narrow burst operations. -- -- Currently max_wrap_burst is getting asserted at the first address beat to BRAM -- that indicates the maximum WRAP burst boundary. Must wait for the completion of the -- narrow wrap burst counter to assert max_wrap_burst. -- -- Indicates when narrow burst address counter hits max (all zeros value) -- narrow_bram_addr_inc_re max_wrap_burst_mod <= max_wrap_burst when (curr_narrow_burst = '0') else (max_wrap_burst and narrow_bram_addr_inc_re); --------------------------------------------------------------------------- end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/or_gate.vhd
15
9199
------------------------------------------------------------------------------- -- $Id: or_gate.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_gate.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_gate.vhd -- Version: v1.00a -- Description: OR gate implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- or_gate.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- History: -- BLT 2001-05-23 First Version -- ^^^^^^ -- First version of OPB Bus. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_OR_WIDTH -- Which Xilinx FPGA family to target when -- syntesizing, affect the RLOC string values -- C_BUS_WIDTH -- Which Y position the RLOC should start from -- -- Definition of Ports: -- A -- Input. Input buses are concatenated together to -- form input A. Example: to OR buses R, S, and T, -- assign A <= R & S & T; -- Y -- Output. Same width as input buses. -- ------------------------------------------------------------------------------- entity or_gate is generic ( C_OR_WIDTH : natural range 1 to 32 := 17; C_BUS_WIDTH : natural range 1 to 64 := 1; C_USE_LUT_OR : boolean := TRUE ); port ( A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); Y : out std_logic_vector(0 to C_BUS_WIDTH-1) ); end entity or_gate; architecture imp of or_gate is ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component or_muxcy generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end component or_muxcy; signal test : std_logic_vector(0 to C_BUS_WIDTH-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin USE_LUT_OR_GEN: if C_USE_LUT_OR generate OR_PROCESS: process( A ) is variable yi : std_logic_vector(0 to (C_OR_WIDTH)); begin for j in 0 to C_BUS_WIDTH-1 loop yi(0) := '0'; for i in 0 to C_OR_WIDTH-1 loop yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j); end loop; Y(j) <= yi(C_OR_WIDTH); end loop; end process OR_PROCESS; end generate USE_LUT_OR_GEN; USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1); begin ORDER_INPUT_BUS_PROCESS: process( A ) is begin for k in 0 to C_OR_WIDTH-1 loop in_Bus(k) <= A(k*C_BUS_WIDTH+i); end loop; end process ORDER_INPUT_BUS_PROCESS; OR_BITS_I: or_muxcy generic map ( C_NUM_BITS => C_OR_WIDTH ) port map ( In_bus => in_Bus, --[in] Or_out => Y(i) --[out] ); end generate BUS_WIDTH_FOR_GEN; end generate USE_MUXCY_OR_GEN; end architecture imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/mux_onehot.vhd
15
14596
------------------------------------------------------------------------------- -- $Id: mux_onehot.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- mux_onehot - arch and entity ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: mux_onehot.vhd -- -- Description: Parameterizable multiplexer with one hot select lines -- -- ------------------------------------------------------------------------------- -- Structure: -- Multi- use module -------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2/22/01 -- First version -- -- ALS 3/30/01 -- ^^^^^^ -- Added process to replicate select bus for each of the data buses -- ~~~~~~ -- -- ALS 4/19/01 -- ^^^^^^ -- Modified assignments of DI and CI to use signals one and zero. VHDL87 -- doesn't support direct assignment of these signals to '0' and '1'. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- --------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Generic definitions: -- -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus --------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- UNISIM library is required when Xilinx primitives are instantiated. library unisim; use unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_DW: Data width of buses entering the mux. Valid range is 1 to 256. -- C_NB: Number of data buses entering the mux. Valid range is 1 to 64. -- -- The input data is represented by a one-dimensional bus that is made up -- of all of the data buses concatenated together. For example, a 4 to 1 -- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by: -- -- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1, -- Bus3Data0, Bus3Data1) -- -- There is a separate select line for EACH data bit, leaving it to the -- user to set fanout on the select lines before using this mux. The select -- bus into the mux is created by concatenating the one-hot select bus for -- a single output bit as many times as needed for the data width. Continuing -- the 4 to 1, 2 bit example from above: -- -- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0, -- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1) -- -- 4/3/01 ALS - modified the code slightly to have the select bus generated -- from within this code - input select bus is simply one bit per bus -- -- Definition of Ports: -- input D -- input data bus -- input S -- input select bus -- -- output Y -- output bus ------------------------------------------------------------------------------- entity mux_onehot is generic( C_DW: integer := 32; C_NB: integer := 5 ); port( D: in std_logic_vector(0 to C_DW*C_NB-1); S: in std_logic_vector(0 to C_NB-1); Y: out std_logic_vector(0 to C_DW-1)); end mux_onehot; architecture imp of mux_onehot is ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal Dreord: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal sel: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1); signal lutout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal cyout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1); signal one: std_logic := '1'; signal zero: std_logic := '0'; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- MUXCY used to multiplex busses component MUXCY port( O : out STD_LOGIC; DI : in STD_LOGIC; CI : in STD_LOGIC; S : in STD_LOGIC); end component; begin -- Reorder data buses REORD: process( D ) variable m,n: integer; begin for m in 0 to C_DW-1 loop for n in 0 to C_NB-1 loop Dreord( m*C_NB+n) <= D( n*C_DW+m ); end loop; end loop; end process REORD; ------------------------------------------------------------------------------- -- REPSELS_PROCESS ------------------------------------------------------------------------------- -- The one-hot select bus contains 1-bit for each bus. To more easily -- parameterize the carry chains and reduce loading on the select bus, these -- signals are replicated into a bus that replicates the select bits for the -- data width of the busses ------------------------------------------------------------------------------- REPSELS_PROCESS : process ( S ) variable i, j : integer; begin -- loop through all data bits and busses for i in 0 to C_DW-1 loop for j in 0 to C_NB-1 loop sel(i*C_NB+j) <= S(j); end loop; end loop; end process REPSELS_PROCESS; -- Handle case for even number of buses EVEN_GEN: if C_NB rem 2 = 0 and C_NB /= 2 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; -- Handle case for odd number of buses ODD_GEN: if C_NB rem 2 /= 0 and C_NB /= 1 generate DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); CYMUX_FIRST: MUXCY port map (CI=> zero, DI=> one, S=>lutout(i*(C_NB+1)/2), O=>cyout(i*(C_NB+1)/2)); NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-2 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or (Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; ODD_BUS_GEN: for j in (C_NB+1)/2-1 to (C_NB+1)/2-1 generate lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2))); CARRY_MUX: MUXCY port map (CI=>cyout(i*(C_NB+1)/2+j-1), DI=> one, S=>lutout(i*(C_NB+1)/2+j), O=>cyout(i*(C_NB+1)/2+j)); end generate; Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1); end generate; end generate; ONE_GEN: if C_NB = 1 generate Y <= D; end generate; TWO_GEN: if C_NB = 2 generate DATA_WIDTH_GEN2: for i in 0 to C_DW-1 generate lutout(i*(C_NB+1)/2) <= ((Dreord(i*C_NB) and sel(i*C_NB)) or (Dreord(i*C_NB+1) and sel(i*C_NB+1))); Y(i) <= lutout(i*(C_NB+1)/2); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0_defaults.vhd
19
30145
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lHZAv/MVAAt3F19GG6CyO2D9ozHTHXUyHUqVqPhHJ9Up8V3v4BMtL2rZCdPHvvrLl9m3lxdPLeMd yZjuwpNKug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V11pDh2GdTX922gInHRdE4PGQC5LocLJP7s9hbeXjPbTiX/dPLHGusbEN2B0toY0K8U4vuWNSniM 1aH2SNR2JV5BnhJYTc5D8l2e07TnA0V6ktY1z+NOBfbsIHPai5FO4rlYQdX0gfNxjRiE4WpTGufJ +8B9yaPmasK5qJ0hmyc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0akrUk3wQb4EqzKkib7F59nSOOeoy+q3qc0fQDYykXO49Ll/FgY0ewL69TWySlFx1Cac/+BCy6vf iumuPLpTjOS55mFm1JTMxYzM9NsagXEQHLi1lEkcr65/dw7cjFH/RPICXrv18S5beJM408VyZvsr NCAeZ9gbVAaeGzkHq6VNPIh/P5GGGWEK3241GOn4p1v1t2GkteaDbOSjGK7wX7a4kTfRzrAH+xYH 86BcPdOp3oyEseFdQgL0BZboHxt4zJr0bXL7Ln+oOm7kGCKk4PXPdudDDSsXKQUPtDHqr2MHJwZk LDVjKe6pX7e2DnCF/lojAxyhWqtc4aJmRRvYWw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P2FMFi4MUNbCcmQEmOw8kkGKpCf5liEfyrVflbrNDPfCQyQhrfO1z3elwJF/eYuRk4Q8ng49IhJM QbJUTOajY+rTGsCSJpmNj13e1oNpCtCwEA2TBzHdzEyAxDwQ0hUh3ZqnFSNQ0MMnavo9wEIKRylK MAHL5TjDsmLJG1Zi4ZQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GFuz3jjDcNus13vZfabnTsKTQz9Q9tOYpUUTv0v99miJHiWg9X4Bm37tDSsBPgge2ZWYV/fIZNhM o9RFowO2ZPIK8CdMOp5y1r9QlxbgxiEVYj1tH56LRgvbv2A1ghGFDDY3Qvyz5G2dmEuSZ/58uAtK A8Mm1zy2Ln16qChURWHrjkDuCcIOuGQ1GysEn2sqg3E/XWxojTbAmy+LaQrAOqIwoDTGFZ/Ek5fe 49U6fyDbugt8sjMOq32EEkOAQwWmc5uVOZWv3KIDCD6tRxPMIg8J9cwcCTEoanlasaaRs9KqN5go 7g24OWiCSjQz8Pf4KXR9USnCWt9Xh2mPsrZAPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576) `protect data_block Im59AZ0tyI9QVvGpilKg9HEOiAHuKQ2NKYRjb1pd0X7WwD7SaI6W4ecFLl1slrZiOg38vNT2jeLC sUifOcYtYKBpwUhczYuM0NU8ofXJE+tVEcgK0WeUxBa3Nm3JxIY8ceE0NiVlhzqucj6Zs6YSrTVR IzoeekVAa08tJhIKjoAlFSa9wyTBR9RhdCoCDrL9mX0yChKzY08VowGrwE1Vx+je849cntpZtWLj UyErf3AoiR5zlyY6+F9+ZJu0iKZ5kSqPVAsIbJdASVNhiUP9Gb2tkTyXlEqtQ2Q7TdzbUXD1P+e4 RIW0EDv1PJV3dgWa35jqC7S/Abo4GdaYudtrFmvXPh15P2UAEcIkAL2sXmw9Cq9e2/B3fe2h2WHZ R6HRrugJiKb9Kz4zHzk0tE46PnEhGKJ3uPPTdumTTx0Jd9X3oCMvA/aBXYLuhkOXpwlU00ghdBZU Hn9nPe9Zr3JJ+jddZRs7qhIGWWbrBF0wXoikisln23kFC+AL+KHs1AxGfnJCltH9K2SkN1vgrg3Y E4eW7JQuIrHSKptWbTNSDTwyCpiUpFlOBRxz3JQqZkoSi7Q63rmxJmjre1xnMQDi+2F4PTZxCebB vT/Clet4j9ITo998fieOV305e2SMayJ8sOY9kIOju5cxqL1xjxVk0J6nQvYkGMgwWd84+nqKMDQz 1bx3tnOa79cF8e1MXUAtikBEn3lqLZMHaI5Bwbx7Etf4/S+QyIwrcAhl4FHKc5RYRPchLN54KOKX euUf+yQHDpRXmnhW3cI/7lZuUNLiHs33rZnSYUfp1Xr6zR/sZgQfFx+wWEZ+OCTLEyXq6qCxehKK duezfDNrScrAS0i2JoIAe4i9ZKUBysFPkAD7HB1sircS37ZiKPgpcpdJiQzQKdB8A/eUfSV+wUcB beubHqWWnfiLG42+Pm/ch9mX/4JcB763jWCEv4/J25vEG7R2xrWoZwfUKZv2M9PZKDY1ilna/ukW N5Ok3Z9MPcXgnkQe28lofLwhehMtMAzVga4/e0ohQx9YzZHPN1hzfyW8A/k9HZiWY5v0tB7AGxkn EruAVlGypO+UuTmayWJK2c9voLBVqfi8G+7+F+7PKZuyjIE62Vb3l8CFanvDr6S62IShU0gQ/xEc C3at4n1VQvcTLLqAAgj2ev3R+JRK7KuksWvR5bb0OxzCat7j50CsKkecb/LfHXlEXBymQFbRzQyz 4d2qN/xHwTrpuixP25+4xuVBDCStx+os8A6IZ5qMe+kXMzjPqP+oJdJ4q20qsddfvb2nvZ4gHoLQ NubwrmPtY356WeGGMnw9VHFc8OSs+MIEWQckOCjD5xhY7/LqUeui8Z1tIXvf0xS1RgLdzTRLWdRi q4WOPSla7ee7S7dVZYAS3ki4sfgP6PVIhLjVexJxiMg/QtebgO6+HhKTeCMVoSJA8oSTTczyaSCw SJqsZQpEKkN1Dm3F8IXAw+1yXZ6ROly7Z5BKBySqGuuexMEDibabYf9+FuElZll2TIK+s7UCTGFn nu56mztixpSo2nxIjDJO1WS63u8a29uMYCXqGn7Nz9BBUrdbNQX6EhWhxZlbFrecwYYwYQoDANvq lE6NhMmmqnIe3+SANBuo2572QPOzGOSVg5T+RGCfklwmzIn1WV9xHbI/yPJwr18TEt+PpGVq69Uc GzFNUYEG8KNUB6muas3VcKvNxEphXlR41nRbzZTcaqwKKTLfyuzKG1uII9ThR1Yzvz6kgulpA1EQ haJ5FWO6GJV7rXhSeBhKyG5EAUMRfcGkkuK5lsI1XYJZO5fJlKrkvMhrrDz+hMBjKnYWNoVNNIO0 uHjMWiUmuhXUif1KsOSbtWRGwdVsJigADeSYSgva8y2e4fVqkk5L2MAdj6BKueGLcxwboaodgZ+D 2QkfleRhz3zg8sy+CbLzaEFt1Fdo0HE9TPufWW6Mlw0RXqFxhqacm8lH1YF6IVXMwmLb89qp/LPc lEOJ977Ns11Ibpv0bBtmceaPPhPNcQw9d+1bNalzHZaYnJELuMM8vkq3K0jWaJq8uG8XUKdDsXya dh5HDBbZNOyD1MHPf74HB96huuOE/RRRA2OnlOx2RHx+oN9wFgA7/eHGylLsGs5xEZobwPAA/1xx hKkLtdnHto/XQ+hrMDGm1GmvQ17RriZ7o/qhfjaCm/R4qW9Tij4zUEmhA1486zZPGIbW7Y3V0SpB 97ll26rJpijNMTUcu7DysTPAkbWvP9R08XcDn0k4wP4GdtPh6lP2fwPUKvBuO298ExnPt+G/7pHB tKyIoB3T2/vLGoaW2/9EKFvs2JZZawize7NukAUFf24F6fp+QsXGVsRDh5ZhBBrD2wt/hvwi3hoj RoTuwtQuRagCJzB5ZD84Aqc6UV0R/PrNMXXm1VXot3HROrD0qTkEo+1BmwX1puEBpd7cs7VpIHTw hlw9PVHHQRgREJU0azV5978j2yK7wmdJ6Km9lbuGL1FUm7NewZjQP2bYCEM+l7Oft2STO7ndmFV8 9RelzQqOddxtZEDpCYZf2HrdDru6P+ohxrrR0SAcbThmi3neXkATR3D4r5BSAGT6s33hbjXtegHM NhDCfnU5xC9i77597wARVfxpethuKJ0euNZNhUtv+XFVWj8VlzL6GCyzqRZryB7MkTnfiWVTFeLi wwu9UVK9mcYLFi4Hlag/ssgdudHLnoqAys/MHb69RtYHQyn24Ex6bCST7gGoxRZGL+Mi3hTgP3aS uPI9zc2DzmBp4DC/Usb7Soo4lDgcI7ZVIH+IV8rSi8zP3bRQ1XWXUCcDKlRGboJIo+vuV4D5jZ6/ ZZ2QHvGGABNyrpOR7Gw+fiZsWWluXSrBQqvIef/xuOMNg0zIXpfHznRoJg5tzs8JL3B/CfepfFyx f2f5JepxChNszbF/R3/zbIGKQP1TyjSG6jIuwueid02fNy2ehbB55HmV/lGLa+/GJLZHVVIhbr3h oIRfWia2Bu+BaMRbL/asbTxUBW1Vvtj8uYUdbqDwMxXrGzWk/YHX3s77lfpNtDBGbqzz/v1bWYy0 ORCHZ+75I9jjqBFjpZryruTahI+MP6maNSapiCs/28mBwWDHZg9TFIb5DJSC72NoLzFm7yrBT0GL GBWFFv8C4lrAVZ5D2+r0Y4mySL0ZRUmDGJz5fI3wKm04eC/lDlS709Cm7xAfhFW0Tt8ql6lCZPry VjKzFVZjw5rv4G2uJ/pDjIIbDeB/fLy6HuOX6xqB24OdTnnpra3tRB2dXPD28sEFRGfpYFjk3ljs 22TipwAUaDVcOmm2vX90cT8fRLqGWaR3citIai/n67i9xU7aPLAquAC+U+X4yW415/IMKYJA6k4f PcoUxVFKrOEyzQuNWD7Kx5LY8Y6ey35mcQ253K7sYyUvCOi04NN0yNW3aXSfrOeam/QFmJo5FSAt zNkeSjI/5pFnqorgrDZdV2WHXsWZTjrEg3QjkWXazJQOVU+x1oJOQBlCDNmPm6haqNhtJ04K0+Ox YG5ewcaDne0fmK2RwjXTg4NxN6aNhwV1UHKHeksAKDSJbQd1Iim4VtZ7bhyOhYAAlO+7TOEHQqDP earFCGMB5PEfEDr2++UO7aDZQKSCMVtTekEojwpIojl5BeTUQslWSl33RjieWIJfrg/rr4EJ8vvL ov0TxeHW2fCCXEdzpUDGTcMSNbVg08dLabhZFPnYTsROCT1wJUVtYPf++PHW6YmKRYwBaYtjOUyZ JYr8UEb2dOQoFPB6bmPMZMoLTYnYZpsVkZAvBvf7NPnoI2bdRKxGRdTctgLC5JsUQ/JP9eLcnApx gLbVfI/O91X+DZJk5JDWmxpRw3n4gYz6iuFwPc4ZoMKxX5BpUVhgR9K2yfEWiIN6ukTZJF115k3R ipqV/yntBarqL56QDHKEeJyCCF/zMUefVjknln4DRHGqnkb0ulM+MVD5tzUKFymTfKrNd8DTu2Wz pxJXkm83EuisQ2IhuRrc3OQm4lWKDKDForm4hsWZWB0lK+piYakQDP1vKxeQOkklVqkmdbe6MffZ 3UX+1sN1OmJ8UKFaBeaEy3I/rJMqFBdJbFFLsTSjXJ9T5Jkl68DuQdfNbobPIHf3prEKWe5vdHZ4 P8TULZgji6zWcY0xo3VOsN6Gsh0E6nnnvDNBaoOlkX5qhjPe1Qh5wgFnn4pYDjgz4zYrhdCf5hxp EWMx/caiUeu2wAZpyMj//wRTbP/stCohT37t6skQnj7YlX+RUd/IDlHlvdJaBaq08+D/eU0hVFkT KmxKx2LooygZVZdONEdaBGfyq+15pnkYKBFB+mf2xVcrgGQUpUL0n+oAk60U0L8lxtO3H9nCF/ae XjTV7LEANAVByqSJUOnl1t2Q30zWE9bVi3NyMsCH+WnnRe5fnKmr72Les+4keDnQjubGxENAnJYq NnOS5YlfP33TzYF5QGgjtDwzJf9JFRBtAxEtU+kDZe53oCsJ77xZnhvpsQZduo3daAu3HAbKI8ZU pFUvjXgsiFtbqpVCdLy+kd6+voPN3GcqB7f/i0qVGN580FgyZNf2QvqULLMB9nOv06mGb4LFoPGr Lh806W+gQTM0P3smgwJede6pEIPsUpJpvVh8c3zCbfS473HwpBAY9m7m5OZ38UI0WVxHZ4oBeSKk rQDAyYRvWyG9dH9hXRXO+VCIVQJgKwWelfGAq7yPUeGyww5vmDaOo/3IGionUM3aLtGXNhb4jV1E QhosSqUH/X+IFYi1BCD6z/f5P7AdGEYwynl3ST54WrQFXhq/5IU2cRe7i1ySv3+Tr4hqnAv4XxYm ohawa15c7ppzhUU+i0W3iozD6QcOI2XHA6Tmp27BKHf7yC5Uze8d9gF1CLmJvCYJV3MiwsdiuPPK gWetq57i1quSzAKB1xFgPgzJhv162e23IOSsbDqTLbddcG8a+z8ooI3/rV2zekIIu3TN3x/Lg0Us s73lHmRzLqeSVuQaJw5ENLE+Pvf3oUVY30Uic4zRYd6ao8sNhHJByi7k/Co6kxVDp0+juqdlgocg Un/oSYtN1OXqnQJIoaVpgYRIXsSgkVyFBF4tI9zrDgWn1ERyELvHObAPeGzTjF7K/sabM/NA8dc8 UHv0BLoLsw5LEnSPFvcZuRydPWQ2SVROViOtwJV91U4JdV32PYbaBE9Rt4Wa9Bb6nvG/Y8kzIwfy JuKZ4e8TuPzC8LH4rP7T5/0MQX4N3z47Q4O0X9eqVq7RaGc3pO9rjnGKbqWgSuso8IVURzZilIbw ABkVOfyQWxYA8VWDkd6g2h6NQAruiGxjvciuZ3u3BPkbz0LSYHOlamv4DF3apsTCx8VXr5diqV9p RhLKEwa9t4YrqcxuVKp4mUz4CMmjDW6p54F9t3ZjMxhLlGCzCJbgtsrlcSLQ2mLJGeYFN6ywQf4b X0s1Q1hCD4mjaTiMmS7uKff+H9/ts+f/GGf+Qhb+Y6hqTHSyI596u9BFMRr+9iejaCSgQNFJ3wze jKcmbqMxcxQqUxlL8xR9sxyRdEv1rNUzvV+o9b/iKRkkHndp5hjsjnlIAKCnSbD5aMC8MX3a/vVV nkIvw9skzxgYH/4z6ptNy27lfj84sWhejbgPljRw/JXpkah23C8E/iH9Y2XUnNuYQX1cqp3AuOos YEiA5yH44bKDEJ9Qpd65vbKVsoyEziPc72k95m7/P+y4Ghs+eUY8V1CKGsQNRGqpTe1bseATH2+v 1KZK5FfNt0pG1Pv1HzxE4FZVOzJSZrTNb5IXJye3bf8DIgcwBGFU+YUSIEqQFyptzZfjT3aZ7b59 RWlbFcFltT/BtNBqQOgaVcf3nDszO4I+RbCzBj5DK46CtNM1DqPaHOJ7OVAdy9bt7uZTIQy3SPXH NZLWYvaj2KTh7JIQccblICUuYOIvi6PH4UI+SB36kGagi0+QqaAMLo7OodmGfBGTWTd06acBdSXl 3QomHfjlgZCBRS26xtjQy0w5g1ow+vaEVt+U2EcMjPLKK+4QWB/3tMqpd8kNm94rwDrfO/FqYaSu dTF3+kCzqYO/iVXlc5NFxyzG9ZTx1ZqIo/E+J1kXEwhUWd9tBRewtjtF+OoLR3pklXGBHDZ5LxWQ truHBLWK2/MaOeHhrgBtDKj/1mRrUEhcUWAVimy8XSb6hGkmjBDUeaP8U9OiS+IEVFCqgrPlOFae UiVN3tgFfJRTDzvcRJ93eOnBfg/mt1xSrEbOToivfCpyFF17B/UkpJhcQXNVtJuSXbHn3y3In8qV eZ0EEsl2Z0lijlNZ4LWzHLDU4tFUM6CgINaMCgtyB7AcN9FBeORT2Tnj2Ed57UEjdHZ4acnVR6ya DghHwyJBf6KPoeZhiNaZqZUXHDwI/W0TxdXgjRiOpjk5lIOOLu+zNwN4e3WvtsMK/qaCF5jKA19e iAosC3IOqIsKZCmv9x69+UamxkKsi4cUW6jhEqafWAu+jCkatqHke8yqI+n37qkr3c6yqDjMmuO7 QM09IhpXM1+cr+OItVAAratOT3oV2uEto6sMoIdYee3XPJKj5YgsH9OQbuXI1/vA1BhqzEwABmI2 mWMrvk0WJCrb3dvtfb6DwP8dFq387dNLU0plopitOJy11n0xEo0F/vhRAO5ZgfnajoIk2K1hlh7h 3Qx8TAex6XTwz6VUeJ2rFEgy+w72AQgXONpCANpMOHte39RkqaW1VQzjp9YpM7wOnKcWoA+PwSJw Bn4pVigTKAP1okAsjg+e/7DaLGndG2LwzvgsQMSsz/5J1EoTvpEdXSU6bQLP+B3I7S4R5F821tNE tswEdgC8lFGcU5p1ztM+vmEZYqgjtcXEiTdbe4gC1U1D5IBSjblhMdN5XMUnrTQaGBpZ4+J/AYWg RIIfDT92d1XUB772kp9MfyN8IRG32mZwcin+N0f9+J1DHRS0T4piw0dTMovpUvMm8Yb672sqB0mk OOBXlI8V5i023Hfiw0YKPJJY1kmn28bZ2RHlMxDriXgxJgD1cnSyxn6leV0YpAz7joSTF2zyq4Y6 +c+F+FPkzMpBH8BE1a81XRdPiIQGecMdWSAp+9iKIKaSJdXlQbMlS4b+lh/S4AyPu5f8BxCKJino AkEug7HSnNYlM/ckc/EttcvKqsIQthpSRP/IHmXkKiixjwW13hDqzY05YyyHKQ+r0qo2KFx3GvIY LfyGkSBv9SCdMNQmqgPqdCUM7WJlyh4cqQKppVXSBvFbOvPR82nBY2hXXKrIUJYTpfnyAqarELM9 8dSHgihE0xF1URQmCPil+9InXfZOVj4YFRW/EGgIUSQcPOZqVqnX0C/8fB2z2g/CHWJHkFJp+V1D 11ImYhq3cYHDs/wWzIHTpQ8mQCZXSH7+QVn8YXPKEs1Mqeb2kWL3HjgVMbuwvOc+wRaRgYoZfL4y /AMCyMLwr4QowVvCIghJzh0zq+bUry00yEVNjUA7McuhRVBr745tobGdlLw27cN6Yy2qWK+vZtQt tkcd1tcJGVYh691yaMiW/sAGEfzC1yzHil2xPKTfodTpj371gz3N12xdo6wXTQwUohFmtxgu+7ki dPJTdJQ6uMtIbfCRWc+ZEMozHI2VWsbob8uI2hKK/Du+pgcni4Geg5QsV5KnYgbNFen9/bBw8EJu o37XMnTrFTiHYwnV8Y3DJ92H4jZIucVXKoUPaUoIVblPuEoPlZfQnm5yllNjU4UF/CZXWhQt8Wv9 woCFRQHdFMcO/khUxVl9nR8IxrvNaH9wYHep2nCPjuKt22V3VDHbQDsiV3P1s6qlM5WBuSKv3lMu LpukIpvGS3BhjPE8l1C12fLr7uTbxvIyeuFDRAuvTYAaBOrO1Io3AYNydWc7SAGq5nzfJzn2djNL 7QEgfaYfhVU552yduppXrH8BS1tYlLZBv1ovf5aesK30UD+WMPAFCjdXsOX/QWbvW03He2/0GQPF PNzX9jW9TPkXnR3dHnt+CXbJmXuTocE7rJky39xZXNjbtBWuDoFOkxuSiAZW5OLzOjPZLrkdue6D K90oEKnCQP6AAVYVqAFsEX6/h1tsXeSyX8Ya90YAvCJWdqqXiL/MNiW10aC71n08kESACtGUMjBP 2LRdvdX8M14vaJDPR8+3gRcMla9M1c/sfFHt1o+RDV2z81KwH558U4c3JGz3qQCqLVAXcTPoTRUr Efair8zbcRanRrry+fUbxpRSGjS5pqmZd4F5OIrSpiRdX5akzmdmgSK20Yzi2vtNHNHDOqQy/1wm gLnhXjsIwlRch5wNwAxHXzqdACKk/Ec0AWpuParr2t7za2w7sYUqttSx/SxbnYHIWHjzGEVHGW2J nLwDf2yfqmqeIeGCb25Spm9XuLkmlilshTyene1/GDMe3BRHV2RGPCINxJs4SUUkBkOwDTypB29D ixUS9kmUysptJPyqU9TTIIPhhz2d7091m+9Mk0iIrOIPIc4WPIc89CH3VQtSzgi75SdRARzxGPl/ hoKI20LS/gc+MWQHOqGeI4cF8oMQUbFWb3Ra04kG4hp6Ammh7Y0yeJ8WqY4ha13piMbrHmYvWVhJ z1DZZhoLjK+FRWQ5I3L2DH4iVi6qSfwfRzf6lY/ln1QhtzXFy+7Qs+ieLf3SZybm37F1uKW/8tmd Vu8VEZh6qwYBJ8l3AkYTngWXZRz9uhBxNBoqI4J4QZjpaAIeeJ5WbR18purBCipKUHCEFAeRXyHN /paYV7PJ119MLSMjNp1NEoZXb6EfBQ54nS6OfXrjslefqUDTiybRui5EooLCumFd3K4Qk1pe5Vxd NiXTknEujxfTMGME5ZfPDddQYXgvSOxc9yLsuio2/m73UWAOHoYGGnAPxdqcdUcoUoW9yf9Ws3Uy 0HZJ/Ql49rfDImH1Amt0V9w7DtQF9jYfyMZn0cOybORgqwpBtVj0hTazVZp2oLXaToD7J+oGG9K7 nT053C8FkZB0BS4OJcT6aVkYeypQKA+mrc1kbuz96r/pG/LFIHsSYL2pCLGTr0ItzFTxifSJo48r V+BxXZRPSXMM+hEZbxbcMZxnwsTPAvnZc7hbmsrpLzjPDlAvc+dHroGPn5ntX2TqZln5UYmO3VAi 8+8GFJtzqYwctRSJb5u5QZZBRA0cpq+tNswnzW6PQ/g9t2GyIlYmZdVNrp8mXtXkZBvvi77Hf0Kw +hWG4cwEWkMfcJ9Wt6QgWPAmO3RckY8H00JABijAGWt4+B40FjpUgVtPCe2VX9/IV+SW7qegs3m4 U6w6z56moJNB68lV0+CHS+XQZZPi4UmFD5mO1MH2GVs1M7L9jqEkddBkRyG5Rdi3MTk+/+FIFDpK 1yIGe2g/baH5PvdPb8pPnWxHXpOKCEZzZ2oObOuMDfE8IKv56xX37W9DviW79sMFMzCd8EYtSfxr omRggwEF+xoKmdkThOF+TfzpYGM6xUjzH/DcdL2mJIJEdtWdEgXV245t/dkqZCDSjAFBQ2aNxNCe kv7e/cq+AxyfKmv/wjSyPkjZq4oNH98oek1QXN1ux862XOjpbpr7i3P7Ypd3UXxcpP0d4b7c3dsX Rt2guOXG2UZGcokOpmR8fzwGdUiL4OGAVMx7n0BMkapPSZsjALxEEUMYt4fqt/xYA8/kx9WcUNfi xnyzfePGBBhhZ0cOo8BcIgY6MGWN0ZkZtGljEfaz+Zj7/hQqaUKdec+db9v3/+119/ik0NHRhioT Z1xWwIDkiPpqrVASRzi57GbUKZnuzevdDMyLBMgR4PualoszGX70F+tc7GWM0ymg5NG1fG5C2/SI 4P15LwKGMftfzjwBVfobYIAxAZvO5UokYFz5ZRkswVlwAVnktsgWKMpPnkJEg8XioGHNBL9r1wya mR1SQRQlR2FOzdHoaipDAZmazcMmDKC0kjnb9uYBCtU9d0bC+RhW0XSNXBbFdYLPNfBpcDNqr0ED C2MTpQDBi82FGPinsNFP3G7CAQ2Uz/kDDlsmP1apXq3DOJf5HFmchowHlNojWDhlgfXsboZbhwJW WUlSgkxlvYtaLYIQV3SvhlDQxindQtTz2ZZIG8jUmLUw2Yh75cwoo47VI+lLB6UriWSCXKuxo6PL 0LI9zWhkXx/jEgI+rZ5FpGxMB8XJpkvU/3VIlcBQPDvtDuyPgy+HhXFYh6TORAtwGzi5niGB5z0l vDXOV9oXyiyNUUNqYzko+7g2bl+4niTIW3Pc8ebFjKCw8OLciY/C6O1HcZs4pambsLlkFeAzn6YS i35GyxOSs6mSvN5zHCAD4VKyhC83EhoDFMcsIdLL/X+WDSlAOhQdWpMc5TNCpJ3DjwEQ4g1++3h6 wboWx1E7Yt6Pss1YkStpl+AJnxZsgI2gkw9I34OZugm6ci8DvkBcktZ38ewKxET59SfpMek0UKI/ V0rHjATYuGVVvosRO2Oscwi8DC6FxPVYkWrHkweafn1iOKPPTrdr1mGJasqwj77fFeYbYukmRDng Is7zDu6TUL7q3YX/mDQXrCw9jzNjwWOi9zZgFGlST/lpytl+s+k9knfzIWzATEIaIcIO1XKsQPTc fkI1z0Ou2g7iAp0ZpARrtSH1FXl4eMYNy+ZpEaEy7RuMIa6ro9qwpSBUxQbytxVXQdXNsWOHtSxi rWl+28pQId3BSrEwdudLWb8CCA4TOTLhUzewAYehtCi8OCx9lLCtIfuKYsJTDXfm7ih1flwZUilU 2QUzmDPF8VXzux/Mpd5TIv5lz9IU162e5/G/KH5xLieY+Q1wQW3YXIT95URzJxlHA5Jl42K740yw OYC7dlYqYkV9+5WKGrXmNSmJZlBthwr8Yszk6Xr0G8rodUlOT8JFAD9Y/En03KkYBwIGo/Xc/XVK OuulCFCS9BQVte1IhktNlsmTgth1GsVptbWr225b4RNYa6z6oRA4xiV7rUD0MmwsmHYgoRKM6c69 4OMuMP7rGlZKC8VaRs1Af+NKtOYy6nWVc0Y++CEu3azaSJm1z1lVJQq0yiAvr0LCu+6uXB0s1rAF qFLjLrOe9mazxxzvu6pVHuzV0r/L9uqt5l4YZelCzPVZVAM+9qPuUCFeO1TSReAxxJMpPMxmmrDk A5QufIydz+NfNJqPLgWKagXrLYR0AJo4A8Yt09ki5fW0KOZ4HcRk2/pxHMp0Cgt5ZzjaB0kouHdH iPNct92Y+MMiArMq7kIwh7PUtfB8Hees0CQSnEB3qWZbmJlX5zDt7Jo/tySrzllhcQjqxO0qgVSp Tq82BYAlctDA0rgODAwHeYW+OWP7nbIr/jZIkJSZrMT1AuT/7R14XcYvoMB521Fekje7h5NbPAMq lCLaPSBCWPgmeY4Hll6nPMud8ZyPYgQp4C2nAVdtJkAX6XePdMNPKltdJ/HFJRj1ykjMZ+V+Gf1J 4asFcYCziozFK4CV4k5eMJaarJgNcV4u0oCPzIT5Bciv6fwXigVCEqAZmGpmxJ4WsKlTZEm373Au ZjHQh7T+m6IGxsjNmPLaKK7MBCR/S2F1IL5A9pwaBtP2wlmD5fpQ+s8lwoNRUD91SV+uzt/XlYbw XM3uAHGxXYP2JqSPmOCfxAMxpiDfomgsqNOvMUH5u/CB8yq3l5N9g2fI1wPYPHJcmzDtTXcq8Z+a 1QgkIDDseCwbEU2LbRswo+X+WNbFNKf/XVx2eSuG1QNFDbBboct0e5HtqU8s9YSlez3SQnXPpO72 0mwr7j5tNelmwlYZsN1PXRpnPRbNUVTRXVGpEem05kYQLGApcT2w6Py+XPYlo0P4ukBZg5vbJOXw nE3ZdSGXfuw0AOfZKDn/jj5E2pxav5VaKb/NRgHl3lNY27QsLogyNciaqyfV3Hbyn5xzEBK9IM2A Q6OM9JyDafbpgpEEG49MnbWQfvbco9D0pEqEIQoKgiDWYrHr7hfjpqGEGecqqMT4NvSFbM5+fp61 5Y+9HHYJMc2zG5s4nEiR+utfB4+U2sDey3v5Z6vKAWAI0VRtCZWqW2OVwYJ2V7QEttS4OkUwXV+G AWb2R8vZXNRjWsl6Huq6vjsCsON1ZullTIz9xbU/8DcY10+jRReysZP9IM7QDRl0r+Gh/xTpWuNE TR9o/l0ark7t1y+Cy3csehjEA+7K+9EdReZOtDnONapH6VNB+A3l8MWeU3u+wziMrRKbBGuls+pE jULfqjC9tO1DtNejrBxbpR7gDDXc/n7ImfhlYCULhGmZyAhkq0IGYJr9WMIZf/4l0BwZCGYrjnet CZqM3Ys2Q+grN+bN4JLWXrmTtMI7UhlrLm8SaPO8KrUW4LqBREP3mCdRvMbhmGn+SmxC8xTZma6F rs04g8Z5/ywdODtwqql0OBml9JzVs5vwVAT9Mmdre4R7zE5zK9cTiVsBFFk0szQumyfAEwyNfyUq FynMp3Wq5dA/GMMwjh9ifcWvteuz1/4Z3LVgsWQa08aYG1kYJNSq8/AG7ti8QyzYSKvRKbXW6xij yZVSqvpqy941AtVkh5MOnrSmhwAgeZ22RZGLL3snX3+vk+FZJiiV04mrHyvVKw8jtm40Sb4Ibsig qNh0h1kpCMGkIip5il3rNn8R5CVEuxXbH6+tIYdxTA3ndaFK1Ye4QFfROQgeUIq0wffSLkhznNKg A+v8k2jT6HUxJ6r++Dqi/B6cdbNiXrDuosEB/+GDMSMg/QZ0owa4iVwSHfVvF7L8fLQFN9yvfhjE rPu16aO3BKEdkaavQ5CohOjmuiCi/Db/qVGA9shif9Idejk3tVMqCM1j3IoPHhQjGanpMCq1Iw33 Lp1BU0y6hvHBlnpHF7I8S0RsvmVO6jNWMyjunfCpK2wcQbw6Hs71Tc0ErLOBXR1OWZob9JvSllFh mqdqkCUffvQFrFo7S4KAvG4d+SSjfSiBqu7uIKvl41fLz7Ujx+DkJP8iv4EYBfe/2/Ivjb+TV2xy bnqUj9+ZFLO/mofq9uCtTv/y80dMVQeZyToz7Bi/sR1ge9EGq3to2Fkl8tvoMEJ0InlOUg15GvfE cHpjGcoKjSWXTvOu6sKaRGu26QpEDXQ6bKZOSQAnwDpdHqfWCeUVmOF0Ppo2LBCVuqqxHJRkj2Fn +TL257dMfeDoJjJwrcOUZACO101upByptDHy/naVaAoiY3p02L++Tt67SfiW8+jUaSgL2FKogXrl z51BWdYRXB2Qk+6Q22eISFtVciZgEoYTSLUHsMFVRkL3CFkdSM/Siq5cUzSBQ4JbfyGVKz6JFf/e KwwYxjBXHxBue/K5SPIGjr0F1Jv+asNPLYAVPOUDVKzgOTC5SUFvY2PfYugWx9s6cmkMWu6AaHyl NVM08c9ILPB4rWQP7vrYFqjL8hXmmpAngD8F9Qlf7rAKKdiRoZJZjEsvFMOzVYuZPSmbY070u42d tcpZcPp+ctGgIxYJkFLOyl78Ui1A+a3Fj+bXkh9J3tZZzLnHTD7ysQidEX0LVAFvIsjezngfkLr9 tPBiDYFNbtl3p4dfDO74/xUt0gtZpYWbHDPnBtPyq/CHbZQ2+Nm1RBAWuogr+90nEcAwuWL9AJmr MaIVd8kmAl5J4Paaj25p2dBhFN5sIIHvXuKsMFBKlpJbnya2wic+JypKcq/om5hi9TpHUOMpH0mM +sPXA1q1QlkFPbRuoelbDUkVihm7iUu+tpyWI/h8tCanksZVcZZAw9Jyb1R6vO/wdIwE3cXs1t9L QbOeSv3O597bO1EovS+FwGL6aaFfXF8NeCURiEqbWRsCz1LIiOLD42Nglu6i4DQoHeo8GJux0OAq q9g3g4hdWfRmCvAiAK0WK0rGmPrwOrxKfEoWU2uj0t8Cw7zOkbQdoJ5F1G2gKXvpWcntYRmD5KO4 FSGOvkCncIpFDmsesy9kDuHMHKwzziiAjFMSMGUTOd9hiJuuI2xd4xsU96PBkVNSS0DHU1HxP1iP S2PXz+KHOaHLmngD+IgFNR7n81yZGd/PwFis+xJmx/Ya26YGOKxJcBkYOhUePbO+tyGdIXi7i7oB 5pFH/FF4e81ILV3JpKpROat21M24AVaZGY1LHw3XXTPkhOb+f8lM8DRoef7Y3+PofQrogtz7mfqE FDuBa73apnYDJP1uD34og4lmK1oXUXiC2PrXmq53bWroyVWhpJ3iswS8GGUxkn8+25kx3gHPpXdt +f2vwAnDtmiC0Fx4hMeWqR0uEnzUS17/yRl/z0+S49HHgPZBMI3mppNufRGN7D9IgO7vQgk3ASgi LTSPvy1nZsOVFdxN+HWVsTN1ieJExRgBGKkZn3s6WvocLVlNZP3/5rzwCLvgB/DBgHuR2eQPCszr JLQHD0rywOByuRef7cYhLmDybpNJD0wZGIYvAvE7DccvoBCRJPnv6M9uJW0lzuSXmQbpeKJS/qlq aCusUDID3X8TKagE6wZiknXz7G5VH8WbxNcRNDxJ+zz0aBCNUgVeLdUcL+pSh1rDJGDySYA4Y4fS ly1VKBIVvsGhTVnG5Dcl3VM5rrHALNrlxYWIoSx1ZQ5O8405eTW3PN08hBDcuHynMG0XJjnXuaAJ UJItiNvL+SLw3zfrTwcwC2iZXjFDlNviUw5/fKwbjXqeGlpjKqKviOAWGimQkVByU+RaoA4YlLP+ 1IVZIO+sV8yljVRWhJk80h5Af7hR1CcmB11mZYKFUxYyPkfRHxvnv9sErdEmIMn5rysYLzDWKYLC QDnN2jUWb/WwnT9uudxpSolC8x7xJQ4KpBQY7+8h4JbMoQKDE/HJIWfEKgyXWF47QofU2VHiF+Za 166QnVxvumefTppYFq1meHip8vVBCR1Zaq0kgIpsTTawL3Yii/183J/2NzBPX1XrETwFiFllQdQ9 vusJGNZbjZTbCLogv+jPVskPktokW33rKLinEKOKFdWqiE3Y4wxCBMj6Da4bnzdNHPh+hV4UJjor w1hKxLXe50Gt4wc3j4CBGoTnttI5ocfkB5lqGrOBU7xLQQCQ6bTbwMtK4rj+btPdLGDCGVNlONFQ yUfGO/3/SWiTBCvZ/xRgUy02H1C/5Gl6WaA9opNUKMH6tLgSjDbNPy+rY5KZoZR8pyHCQ/Vxk8I2 pguYB82mFYgmsLpblu9Njf++YcT44wHwuPi/ZmexpLXGiqxEDueA3l0mzaODLnY0T6wf94u3rHYA x2SKcJl+/Kl03Xzms0BxTJvydVqSP0w8JS7us0Giyhc5MIRWhEq5E93GqkUTR+BrVSe+1WxCDZFW TRNSAdqKtBjQ6+Os62lrxp8qj6WmSfaHjNe3xrYEMtsYYZdUHxHbISQXs9+bCrRSUjxMCxkduBlr 2KJX6SVJmUjQK9Hv9BfhRlrziz+aUlYDHSNB8WaSt/oqR80+DyJ247lWEz/PlCVVjw5KpGhLRdk3 +PLpyIGDxcX1KxZSkhRXwSDlEceGBEHCbv3+8SGhJyCPrRlWW871GwFok1laFxwJJ+4WCb78x2Fw 6T14G2RUh0BS8e/CoXTU5JdUjehtzMkQP7UPF7bw+cteWdpDKyVJlgQ/9ZMBGTGXUHt9mYJpyWZ7 HB+kNE4g1Fgzdiv55Np+VmlriPbbVcx/ePwOpprMsHj0bZxPf/moqQsq5AjoFsMiKe+Nw2dV6cNg OgHJ5gqt3bkiXaAa/XBwDt6sNiSvbm7UEHuID7S6D1NtVen29KVa9V97d1aL1Six+5SohKasQv4Q UHjtrGzmujCl0845Y/JU4mkzwoHqYFg/mKjUSsZqKZxad8NBzrA9G+dvJSOdKqpy4fQu396th/+Z A5i6IoX5V8S4ZZpPFuix3AWoldpae9pdW9PIU5MWki1zgjvnmP2DxSSIGd2BiMMqR1b4lECKVcWp 0ZjTf5L99QkqXRmXRQneHKtagNtWAu4YXpiVlHp2WdElQF8z7rxpLuBKm2QWVpfsK4i6S2gADcxM +2QjjwbDwCznlQ2nizRtyuW/xQfbqW8S/ptUiE/Vx3M91rpuSq48fiiDHhKNLPma8cdge/XgqSMI yJ8p2kXu0iU5xhp8lyZa8SoeIpXBfkFrE1JU1ZkYSO9eUbMCqVCJZCCZ+3whro1pB9j1tgbYX814 yfO7db5xR7cfknlrlIlsET0oZxKLO3MAwqHAznadDXOuwVxomlPZewVrX2JclK/ww5sC7/lULXIA qk7vmKaSNvpBMR9ayx2yp75hQuum6kLAx5OH+ITBOZrXeIINPnm6/Y9ZuVIc9e0qgsL4Kdre8B1y eti4avsI0WBdyRILXNJDDRxcj+PfmBWvxN3+SY1c+er4eIMADK+s4ePqr6hyB/j515xgMYbAr1Ez xsQ6sMWQQx8Z4rh79iq9oY5OF3eeQJnjLYH/Hz6M4Bga4HgKHsfvmLcfmPKOndDNYfktXr6yMp3t cInYQKoiBO1MmjkAqU48nH8Vdzi3bKt6cx6j0716xYXzpiiAzEDbi3JbinFzZcYneXaKECj+caX+ Gm953EDrNrx/f4wHHfjYE3YEZl6bbPpYqN7MyAPCWu6NJB6isqiYX0IZxW4L3B+N+46kSoSQapil 7TjPp10rwVbQDhFJGe1Ok0egGVSeZzr+yWec5kOHqOxny2aTi/nkkLQBiearmg6LQYDC1IJDfZ9Q P7vgpEbj8I8dACVFLYpqI7LmZeqYEq4NWYxqkJy/XYbwiivEDXxS3xWg4Hccafcv/vZsO54a5t4S B0M5THouzVb5B3CyrkLUPD4aPJ/xUIsITX4dUV+/+ZrToyv/jApJH3YcKmxYcATwPSovpxKuYdCf 6mU9UweyOVDU9qm7MRFpSeMLnrwAwS/elW/S47BH6LLKvrs8GjyGj5t/Z8HpBTAwa4qAkFwjwfpp 1dW//ABy9M61Egv/WHqlnHMCb6R0utypbFEKGL9vu9qgrQz7mSDJ1phBDMGldVMn2DyK3Rd6O2K0 Mk+GMOkqSiujP6wUhFQMhsyyUNag0oYIGMOeEVAl3fVyqtFcJWPXSpHH88P+anziphfh3iFt4g3W NZZDZhUV8nBSKttksHDLvS9ovb7m8iLynIV5EqhNQJz6Evbnwv0bZY+XjVpyiP5wVSZtAtVwDtt7 IwlY389jSn4Q/hXZx+onid7BJ/1M6NZboop2U2T5t/5E19TqSU/v+IvIwatfTnCc1TXc9p6rJi9P CiYYSnobnGo0CGTBYsa3kyobJN6hYcFehr3DH0hMsfrTBsTpdee0M+P3DJO+Lhp3fJZFzfhWv6GJ cZbAfAfRIBeXDx691/MdTX5tnyNr1sCOI9pSk4RG1y/EIFvM3fNhxJsb93vX6ce7PAcMPYWbWriI KnCa9DTy0U9zvwImuVO8XBQdDgR4rExFL2/AzCB/W4lZXnrMfCoPkvyfdovKdH5prRMHi387PaH/ FXaU40yXieclB6YHIuCDvdazxMOjGibaJkaHUEN5W1zMeDuyuX0caDwhqsExTNOqaViTkoeGO80j WnKW7tmPdDf01zy0oJgsemc6riuK50hwqVnORhXg3RWUlYc+17F8Jigam+E0k5gB4xTJjtD5L5VD OnwEFVKo+SjcUcIXI++KI7QGFS0pnUOqMJL9mqzDVuf5O4zJRCKyR3tMeveYrkGglzlZlnC1eCbU gbYI20RRtdil0EOd+7lSphQLf8f4vb/lyVsHYkzkjSNRT6dCpmMfAG4RPd2o21Uf43ocBOBXMfMG UCdZMKzPDdqyrGTT++3VzdwWIxJ4ycprv7wyVHMVZjQ1MlLF0AHXIe4SCtQxiwmOKa61KaInhcmA H+THjwfPdsl96xEohUMGzhbAIBBF4iBFbrRuO/opajtssfVyaUAA3331f+2DVAjwRGFGIJ6hgy9X P8XXWIYTuUwWsxicKNXJ34JJ+qrZNQD6m4mx+FuN7rqmDB6qyOW08SZDvMqnnjPhCH0K59UIhnqA p+dfb0CjhK9fDimm+rgi8E9R2w0g7vu+7kdC5JMv0484Yq+vxmgu0doXHMxIIOhQmskJi1d7goGb GxeHiPSHixglhIRjWwWaRRHkl+xm8C8tYGbGPYrBxsN4I8ZospM/2i2X26nk0cq3nxALotq2xRpB pI8cNDHlOYKI9tSSIv9XSBmrS814NgEAz4X+R23omekqB86DvaeIb5h7veFgga//q+ASDBUw7klZ JhnumvUcXueshH1X8vuTKCKYYHogx9kXIGd2BA2lXO/yps4E6AYAbFoaD/XPoxhegfrA7KUM4+l1 hwyoSN1NjwbOI5lmflAjM4t4lFj2GsFp1SfmU9NYLKRTwPR2MSkv5cEmcjI+Xo50dghKlcqGuM1s BUkbZAoldDIpUasuDTj7T0L8+0nETxQXUuFcc4z9ki89rmyh/b15JJRbnNTtU/9xtNlBzAzCAUnc QWnuuFDliou+1BN5tQO3hqb0NZySHmgVy5B1N5yMUL5MyPzEqMrTXojs8+kaqCJrXOR2ZageEnnF fRH0vynog5pyEwOuAbcVarEJr5ApDJeXKRkmPd0UWpJ0qoS8/rAyr+FEEnZeVnBlMvEJhLXzYkt5 pxqIzRB491UFZQktNuzbOyBMAeqhUl1P7Hn5SIA6zgAO0gkBxqJ8D7iB0cZa2Fhbp0t6qSxKX1d9 U6yGqUK8f+98pFMnx8scrQimTjQXAq7rmGKTThFrnB6fR89tyL3v8bi/aC+1M1KNVouNSvJUJDYL moePHRAr4XtxjC3NZV4nxOydDawYwzJLKa9exYdb5qu/8DInntylxHnJCkkr0WR6xHlnRZf9NVM1 834yY3Mg274P4gNDytvSfXckdtkQS1LbzALfUuI34xYYzhhivVfYpx4TSDg9RxVc2LXVm6U1hkUP 5KrnP3kEMQlRLeBXXKe8RkJem9HTL6XAV66Z9ZeiYHoSZ8US1RBpnPkqAY5KR2BzZlHewDjZ+pBk AZUymjF3POZ+a9DoUiPqycFKXJWqRE9kbjJd8oP32z+w2cu7hDRRgE5yenEwJCNVieSbTeBdckz1 r5aDRugKl91Kv0ijwsUMI5NB0fDw+So1tqNu6gBG4WEQr+VwxQjet8OL38NR4p3ma1PDC7iL1Xav cPEouxc3RrFqMBP9EFLacoPIJCZf22zDti0w2ipEzv1Bve02aQbmjPoUUNQabYVIhvuypOtxY9zE lJj/4F2dYM4Rgo+EBQxPYtYWLqcQUVbpIpYG6Wm1hrGWGnbzGce0C/anfOBOUBlqfAKHk2MhC+Np DUtMMmR5QI5mRhRmux+CuhHNYAutNa+5XvRS8gI5En7Ff/NWCMf9tbFZhMQUciHcNzFjopbe7UJj 2mZrWvhQqQyYkRAVyV0Mp7CHLHZFbjmlWnNSnEGPPvMJQz8cLtTQmtHcZdArW64QnziEXwKvK4UE +S+SrYHDGugeiE+puf3/22oHv/ngPln1B/+jQSwjwmxe75HVijTzrrs0MUWXDx6jAt+L+2DkplLD xZmhAEjZ4/fuh2JPVhMQUktjcrBXHJHrH8qgypaihv7BDOin48/fYI495hQ5mL/22q0gT01uQq6T Z09oZar/MPK7EPvZuFbidq0EwvNSS7KyhGqo8buOj30gFdd/aAGoaRt/1a7v+O3LCvOhx5FLuXLU W4d1/ljnQ3DCE8ato0IrZ6MFYRs6v1dr8eojIzkHuv2VsqSFQtqpWwJI1qm/bYPAn4LmI57sTXvx hV1BXZJsAYeUK+BVwMNjU9wH2rtyLNkaPie14j+ZjwvLJA/t2puaEPoyKoKscppkZw/v9yOdgvos r4Jho1sulKsNAPXeysMVpoUYso531x8SI5+uqOsQvTZGXPljkFyK8FhaBxI5AlHUsFDgHiBdCA7k 2Z7gC5QIuRFa4z9/EnUHe2GVaQGSocEtBu16RlCtkttXOl7xKbnONWJiw6OLpL2H0cHDEPWlw3k9 C8EtKtQ1v+go6V/HClGTzWrVxgeXN+53zVrWV+1bYw3QKLkwj/LB86RCK+/NaSJb3SnqADRMbLMg 6JSsDt2Jk4c+IMcbLbvaoQZgeuoulHCTNZ/pdB/j9GI4ClbIkBe1eupKXYt3PWBE3r0HFzWRlNIO o0YDvmv/HsxkZZeGNa52OE+beMtirGQmDqaOgh/kQuAL/J5vq1k6lxSouEFoX1qq5cHw9vi5eVae 0Me9X8MOu/Reyo04idXM+uVoztxk5ZEVSBV0nrij0mCmd5apFTYAUoIBMKr8a+j+10i1JRc2/ypA CuO8h46+s8pT955GhwDlnUIBBM5DOVDEuDuzjmdWrEGwiHJbJAzHfp3r+ANPnkPPxQdkFfY6Hhxu a4LhzyAJCeaYbU3NuRi7X/x43NJwgbSJsPgI/aC7xSPAvL3wIAY7fOF5267tTWwBViRwAs4t8gko UPA+cWiXvTH4dANA+7ZUi9VCJ3m8vK5UCyyyZHlq83FwxbOOdlxjx6wh/xWjIBzWjWLc91FWCvfU bwhS0Rkvp4lLife+Vsj9e/0O+oB+NMNSX8zDgkPjdqxEN4T8GRSgCa+hi/66iO+qf4UVavyylZQL vCfTrF0z5u5GntjpNWs8LWCK3EHj+o7+BrjlgDVd0X/uREUsj7fxpuDsGipRqi+J7u54P/c8CLpz FRrjFGwkvW9dCfIrs6to83AnV1HGp/7UhpDl2Y/UnNqCNR3B8KA41dYDqPmGv/j+8Eq9JE+LzCWh qQwNYgCxM4DZ0FBLd7+qEPPaqqsBgbICa+3IpOqhWWHOz0924FyMNJ6ylMwOfD4pmuwHQDotGjRX Fe0WcvPAMFrFZ7dzPqkyGQQeu+xemyrM4wmGv4kzWZ8HumxJgQF2rgqOAnuj/RvgbMyjpsZgLN5H XyE+bR91qY4m2BfHiB2HyJJjb8ro1U3XTlo5/yawz2R118JGBA3EMVvToZ0N0g7DngMpYhA64bD4 I0Kvje0R6rl9pPtIatFkFJ6W2VEwgbZudErGDUizZETXIa+25wFjAAfTH4FsTD0AE27hPOV6AbOE 9DEXWmkYILOyDoLdJSdLBBSBRmI+KTzdhWuaDb4yl8f6+Qv27kfXxbx+aRTRCKinvEG2zBmPouJE aoPSxH8sVTyTmCAuBvJ7+0jQTTmdouMgFnszYZtPvbBdnDgMlFOttRyWYptNRm3B1MBE4tqIL/PT uM5aPYvNsN1xsReN9keY5Mlfo31e8fC6MjN7to/3hAFy2ad+0DyODbXGuLXfKIQaKUX3PD86SkWa rwCsjTqFVrh+CRYQnY2A2w8ucVgJxLSDienRplhpyoxO685wOfeuPd8VkUQgm+ajbNOKZOuHSSKp Iasp/wxDnKa/NQ67U5fmzeTtbcXijzD21lNcn6iXOOnZP0rtdTn+SLMaEG8kRHMdJGiGFcLRO6KE XTJetOLnIN2xGCs1rN3yI5Q1sdFj8HwmJfprCrr9pLaL64iM7EQAkgt/rPl0sfEYEnT6+AJoc0Ep +Bxv0Z2WndbSNmUVP33cTi5N5mE0jZbqicKljJh1rxqSBzTzMO46sMaMjnzwN3b4UqG6lBe2jLEn f52w7xRiUuFZ9TFucMmNrAvjiogTnrc/nor7J4jEf6Gg6UJnsdgg4I+zlIB1B39Tgc67c3notWs8 81Z6ZemkJaisTPB0vO6YYQ+MwCYf/JM2CHv40M1sT3xT4BhQT9zt7TA+VkBCRL+UbIJqPo2NQ0zC EeUI9WWoAsRY4G9lIs3JrvUNdAHhQu9Byf2ZbyEtAPZk3Ynn3GX5CgJMVcBZcU1gDNEZ/+NMAOnt ggV0XiIzCxE8/tJ2ROFIonsvJ5DYJ91XH6bNJSL5cMHR0bgieLkzwd2njOoCByzwShbyHjMbbi/x cYqPpFP+60gFJMeGyfI8JMSKxNKfEFg/2od5PZjetE5V5Cw1oWrvoNnJdWTSN0+V4d5bNZVlAPPP kiUq9KJiIhFx17bP7fWFYDJf4pP1fEjll/drjdGgUg3r58AgtNY8o4ZiRwuWgtGReIq9xpjUn5lQ VMtzK8sgAJb0gpAHrzSDop5datztxVNkyPnmC16NjCWhrn2VwfUCxBwTn+ZfucWQqZ6+whvhcmG3 cYIKgtgwIkRwAhEPnb19EaJh/i7q/fHKthbgaRUp72jRm93+Dsk35s6CbCoEVEM4j7MrWg3eF119 iC/jkNLO5aHm1qCU5E9cVpIgufgdRaQN6UAbaVDIZCZBLaJkeebQccIPSSR++NxyjqO4smWI3DWZ KZLb87y/xkzF9eDzY9MqJpniGyLq9Nm+NYl/Y2md1hb2W4Fg7SJa//7Azozw8Z+1vtIbJAu8r6Vm RNNwnqz7ofjuMJGE2/wNFqHwSZTJjaHr3qkoBeEWX/FBFf4rs7cgc8MXfov7tUHXlA4w0bH3cAha VmKNlxPBP9Uv/PVmAwIM9bLw8HOKti6HrwWo0ufILhRr0qmtJFlk9jPE6UtsckDJ7Vt4SqxQHvCr QbO4x/yKsjgiTobUIAXuthSvihh62h8Fy/FHreGujuX4mKEt/uoRIQF4xrZMWavCk2isNqsk1Gzt 65aEtN3d7K1DTaOLturNE4YPC8Rs4+iDRiNhqStyEtuumPYXmKXKo9g8y9Sz+POoEP4cXrSe29cT QmeUbD5rz6ReAPZyOBJ0RHE8FzWNqxiTEqdu4V68E+dRIqf1a3MpmwGpnQwEc59L5e+RqOcIkiWG gWQQm8bPMMImzqvnWTYcLtnp0NFpzcDory6MoeY5dMiXQb/ys7ULeQEa4Pz1YW1DoK24lidkYy0B 9CmFdC09ElCECXf2KKmwLeWT765/5EnoGX/SRB0hho2EMoFAhdTbLGxDwZ3jg5o2fA2jIrYWemoh v2qFAnKk3Plr7MAHgw3gXKXI8uaXZ4ovaEIrs26Mh6Qp0gKUXHHxdykxfmatgKoRMhl26nA4lb7t gq4WqO84BiT5JADZkIk3sVveigpzcrx1yeKlprQOJb/Ld9oEUhrZ25yYEFmIdknJPg5K/AtwDQzV M3CgvnQm5iRxHcsu3ICLdsfO47orKrsjFVzlTRsr0ubBinZAt3iDj3Q8zdiK+ev0vLxmLz3qmAm9 oxQUaQXjbJcFTawsamA52d6rmuvvXNTJOeYhBU1fkX10p9NoJA6anj2WzdYmaKHn0tZEr6Lsopz8 FYQyaYY21XMMg99ENzicc95nwvaND8nN29xFtBHnWC7P2ShoBSP0+lnDWMNxNPycvdAQW+dwC2Rc tc7ExstF4HFqXEM4sFY9CHKkVnzqubea2VQiVNL/0TWtHPo7b7NzMD8cHtZsiKKh5yyz/IRP0GUM CNWlDCX2OblyvKkpY7I3uvD4Fb5bfMz9v/WEejKYr459y/QKZdKe3/K+5DfTIzu0yL+GSKlvPqxM wAaf4dVlxlPzCCVfvafT1XjSoeTdk5jz5Le7OMi0g/sr2UaZE/8RxUqOgOx9rbEngNdRdL9TSQDr LsjpUbQw+naoL8u/PmTJpwE586+AOzmgsVmG1DG7IgzE/k8B7AaPZgJCkfgMtjGgNRcsIhw8nyi+ Lbq9y6a6ReQwGHQNn+T+b0j+PG78HyOf/6+yBesO3pqX+tnHqOlHKaB9v+bhQHC1FmPNNn2FkbGQ dTzwr6phwJoAnYR+XQkSO+UA1hRO4SADyuUhAHlhTcGd8rWwBiQKiA+RMbrF0iOZ1owLpkYBCUao kMjX+C2efmSjFVsQvE6EtjjkoBZRIM6nyo+7eqJwS/Cy1zgh0wwr06wnm0UUfecwk8D3VZslnXak +dmgMxZ2vZZXdo1t7y9/FbdukDbLo5qzZJTH8070GCywo3xkFc1oYRNF0CsgCGSRywd12kv7QEFM JnBdmDaW+1D5g0N+tNc92cOgK3Ulax1CbCvFU8gUDOTgVmNjbvOknXT7rSpdZWCFETiNSZI9kUFM jgfnuc8Tvc5HdO9VAPAWabI/osfyqusm36wl+Q5HW0/q3Nsdm2X/E5SB9OE7trMzGBahsgPPM7hL qZC3bsmn6+2RZ5WEqFD8d9oxGACaJd32b9figFGur5z6XPqb9DcQVNpzyCNj9evkmIpm++Co6P0i +znnKqdl6ezHuBeJ+tHoK85htmycyJXAFb+giwsXKfgb9/pxwv0YpOJFJgIAHIKUJpqUOWF1X1El 98u2lBgBU+pMqbdSPYVe6JSkr7pedXerduFUS8RUcz9XWrtj/KgGBlOHp4TypMGTGrhsAxkbcerQ aU4oaJsZagJNZ1DTmVfGGteG2WWjXVxEkQ0HuZE2AW6s/7zCMzGLvqUV0IOvtAbXopwtj7UE9cDD CI8EmcvRb1JCypCKNe/1snHO3OIqYLR49H/7VHQtOYtIUxN9vNUW4+tXQMN4P9eu37r6SfFrLAOb JwBwkDwBAwqK4TTDMOMjwz5t7egGZzHFEFXI46sslw6ggVTif7V7OMxCcztl+ncU1SuEt6Z/whEy KEhjKYXiY3jus0D6LVtTZqTF2ehL1405MpgYrLl5UO3DZ2KMop+gQyz5Ar/p7JTVwW4PRKbwYo1/ /SaDrrLuiSO1Cg4eSNLiDVgV77cUVQI1WlutEclez7ELjlyDoIp2qTJ1vw03QNDPv+BjmH+92YYI Q28p3kTYrmOCuzTMwDyZeGCOl+VunkYj5395u6rGcRN3tX41fKDEpUIMnpAmZILl5Tl/vdb9DwIv 4Y60CumK1cVQF4llaj2U9MkyOUG5yEcKgGKz0Xkmmy4jeToBlgwOSpssyoZD98VW9VSfVAk0aw0+ pz1ra2d0uKu+g1MlbYpx/SmLRJquo0Tgo6zFZlQLiw0m5PFCoya5E33FY61dTB+GodfJ1LiUZ0tp wrFJRylP2ldoK91uNqESnDO4jFr5inppK2lBujbAO4twhDRigc6IOkNkwDMtbC14Ia+bihmVSQWq m4A2+OFYPEB1Scbe8H4cyIKtT5xuBldgfdAdwUMfzK2JK18teUo0xDY2zueLxeLmV1JEGi7+n+Sn HmuMkl8UHMGGFjxyLX8SRq6PrtCpSbbpedp5MkF2KqNb7DqYZ5smiOb4oECr6OB1nQpXWJdET0dH 3DHuth9C61QEZB0Zuuh+OCdsbrCLvrWMMBSAq/lJG5MHd+cYy0H+qy2/XofdznnNw7C4yMnfO4g8 EYNYP7UsDs3M7nbd+ZTCQUHayqF1HHR9xm68fBwGk9R4IiJ97dT7zcDFja7sVCekwNsOtbeVP27y kf2vYoFF4IPIdXsYUIW0V5a/OAqn0T9rUCcscCvj6Bxun3BSUGutJMsXjzhUFpgtc24lt4g/vsaK COjL+db5LW5kDtkOhKA2kmpgnSA1YAGyY++xxxoxloquW/MIk1iJKtjVMdJfaNMRbgvfk2KqGlFX EY4wVtAcFAFU7R3RztDpveChWptYo9uhbOJ3MLE/S5Kl+QnW+NqrkamKJJna50ZdvOoWdSH8jwcl jZaom6wTqJVJzJG8+ROpFw8ZmUllwhzJOjgk5sWqjeJ7ztWVM/4StC0ds1UufyGQRQCi3B1wntKL StRD0Ede5xyID7A1zYJlY+nYaVVABNy5TiqNHbZLkV1YS8viG1a8sLpUYfgae/NGiSV+ZPWT+9nX hcegrs/X8ajO34vtWoGUCEg0KeumWe7wrsA3MHLkzBFwDLaKgToOwt7IrXXhV5Yz2URx8+mnqo6N MsPBak7CuII05rEjbJ/ZnHKHpyjuQ55+yCMXeXce3EojUMzRkx2nbtQTxK4h0CdV8YbEPJlFIBLi gVM31sMVoh3xDEbp+oohbkeSWrcy4ZtMxYgKhe6Npi/12ratS0+Q+7n9FM+frGERapaeHO+Mm7Hs tNqqxZFju5gQFPch+DW248nBSxqrgnGgRWnBZnLTNeTzyqaG8wU2B/SdfZ6B3+BO62+8K/wU2Faa LaJ26lNoAZlAo33qQ/KY1x1hEHHHXVT7sbO9k29zrjcZPE3y2jiRaVzdOzDueRZEJF5uCNPQpyW1 wmV/B4OLZe4ZiQLK74NCzNHDNkfnX3EnBXD08xAQAe6WqbHO/c41pL9FKxUGB6uUHd7qR4yCRRCV milQtvf8wAxsVQ2kKkhaPdf8zuQgM/8mP3Ni0SHjU0ygJDn/PAjc4b+m44NoLvWzTTxZBK8h2VTK m321CYgGJ9jckK8uAMnyVKJRPrNiJe/vn7BuvbRsJG3cfdGirX8HhYjUQb9Q5fMWcfwhq/kNxA3G gWROfBdW4aBLcfJf+4YZsPXmlIDqFeuEIAI9TwaeEZ6o+e88d1Lo2fsV8QXq0TgD32TSxP5c39YV KG9RutbxafMNpRpebRrCqIIe8neKG+8eJf8k0qPbLui4t16WLxam4Xiq8rm9ZmyEjMIF2x43YbTX skOsvrEr0u1IVyjz6HsUY+fpO+z7+w9TazlsX2JZXzOWMxLjhDH/PV4XUZgdAzwPhbWyUb5TsG4M dzrkuj0CmNWs7ZaDMelNT1zyMCSroSYHUhla5nAmodPYvwRuXjOdVxnyRQF8Gn4JhxyIz/KIGLdh /vj6SFAgJyaqoVIB/KxtWrjEYqYXOhl3upsF8dE1yMbFvlCiWqBD0FqAtxUehWY0w0pLRoqcV2nm NWCgltASWRry7pXAhiDkiQ4w5i4wGjgV/IifqIw0jKeJQ7hZ4pyPsteyK1fRWP/mRJpcXyetNsx0 HI4XFJPvq94S/omTLAd2U6EuphzEnIM7RoPVZsHLv9vuRRR9D4qxVwT8h28Osiw4HTBEN3wMrXfS d6u7QdtrG23W9nH4kKJNpoEpC2WGSNHPgzggta6OaquVvyfFKpM+qiOnN8cSZYCLhanA0lF03nSD 3r7RSTSsePFkZNgG0YRJZtRbh8phBA6VI4yUQBOVRXzB6xK6At6Av+Qa8OD79YfpAfV8NV8GV49U PdlkDnVYMLbVw6SDKnOdkUndSBViCQqodeQdOXlMP/yIKUyMF5Cv2VVac4EikorD9+qL1oI3nLjL KQZerg81NeEIZ4Q4vdsRYP3q//qQjuQVTdxjsjsRitRsX+sBEr8Mxq8eKuHC9DXNzATDx3EinW3s oKXgDsCM8OkF3mafuQNlTCZOoQBb2LZMWpQWIAxIgLlGsWYvzGJkb6Zd7crpDSP2ECYn5l9kHWJJ nI8tv3Rh76r2gClOuAfANKONjFIDGYBRYl/p+Dad4rM8kTOp61ewAgYOoTDFpQTEdc8sFuvE54LK FXRhloiSwUUfrR6UvGaVCCoq29nH1U9P+unlC/SzUIAZ9qtpFN79UkdZrkircRmKzmQd9QfXkSTG 4K/HX2iEeUAeKPotwaHljFz/GfY04Hyy9O2nnjbQ6u1jy1zQACp2hMOq6fj7kx33cPkT2NwVYyeA +BQrhdg5ZH0fUcUBWIJfg1Wobod2mWQ9bjE/uyRGJBuY8g6u5bHGb3DcO5lPiL2N/L8kil2b4B3e aTckYdEHfn+drKmSx2P0GwAtfvIF7DZxpyldrbrsPi8JyFNVWS8SwNvvF0qLM2cjcxrmq959pzZA AqTM4SDb9Lr82wo4wPTgUHa96wuWFS9vpExFmsoyaF5WwsHyeHsvC41xDJIxAKIiYNmLRRylSDJj vKV1E/3dhzs424GE2ENNaR/2XNB0U5bcx8nI0wBW/WMGuCitIxkuxvgEpIZUhHktd30l9TsXF2Y= `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0_defaults.vhd
19
30145
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lHZAv/MVAAt3F19GG6CyO2D9ozHTHXUyHUqVqPhHJ9Up8V3v4BMtL2rZCdPHvvrLl9m3lxdPLeMd yZjuwpNKug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V11pDh2GdTX922gInHRdE4PGQC5LocLJP7s9hbeXjPbTiX/dPLHGusbEN2B0toY0K8U4vuWNSniM 1aH2SNR2JV5BnhJYTc5D8l2e07TnA0V6ktY1z+NOBfbsIHPai5FO4rlYQdX0gfNxjRiE4WpTGufJ +8B9yaPmasK5qJ0hmyc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0akrUk3wQb4EqzKkib7F59nSOOeoy+q3qc0fQDYykXO49Ll/FgY0ewL69TWySlFx1Cac/+BCy6vf iumuPLpTjOS55mFm1JTMxYzM9NsagXEQHLi1lEkcr65/dw7cjFH/RPICXrv18S5beJM408VyZvsr NCAeZ9gbVAaeGzkHq6VNPIh/P5GGGWEK3241GOn4p1v1t2GkteaDbOSjGK7wX7a4kTfRzrAH+xYH 86BcPdOp3oyEseFdQgL0BZboHxt4zJr0bXL7Ln+oOm7kGCKk4PXPdudDDSsXKQUPtDHqr2MHJwZk LDVjKe6pX7e2DnCF/lojAxyhWqtc4aJmRRvYWw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P2FMFi4MUNbCcmQEmOw8kkGKpCf5liEfyrVflbrNDPfCQyQhrfO1z3elwJF/eYuRk4Q8ng49IhJM QbJUTOajY+rTGsCSJpmNj13e1oNpCtCwEA2TBzHdzEyAxDwQ0hUh3ZqnFSNQ0MMnavo9wEIKRylK MAHL5TjDsmLJG1Zi4ZQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GFuz3jjDcNus13vZfabnTsKTQz9Q9tOYpUUTv0v99miJHiWg9X4Bm37tDSsBPgge2ZWYV/fIZNhM o9RFowO2ZPIK8CdMOp5y1r9QlxbgxiEVYj1tH56LRgvbv2A1ghGFDDY3Qvyz5G2dmEuSZ/58uAtK A8Mm1zy2Ln16qChURWHrjkDuCcIOuGQ1GysEn2sqg3E/XWxojTbAmy+LaQrAOqIwoDTGFZ/Ek5fe 49U6fyDbugt8sjMOq32EEkOAQwWmc5uVOZWv3KIDCD6tRxPMIg8J9cwcCTEoanlasaaRs9KqN5go 7g24OWiCSjQz8Pf4KXR9USnCWt9Xh2mPsrZAPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576) `protect data_block Im59AZ0tyI9QVvGpilKg9HEOiAHuKQ2NKYRjb1pd0X7WwD7SaI6W4ecFLl1slrZiOg38vNT2jeLC sUifOcYtYKBpwUhczYuM0NU8ofXJE+tVEcgK0WeUxBa3Nm3JxIY8ceE0NiVlhzqucj6Zs6YSrTVR IzoeekVAa08tJhIKjoAlFSa9wyTBR9RhdCoCDrL9mX0yChKzY08VowGrwE1Vx+je849cntpZtWLj UyErf3AoiR5zlyY6+F9+ZJu0iKZ5kSqPVAsIbJdASVNhiUP9Gb2tkTyXlEqtQ2Q7TdzbUXD1P+e4 RIW0EDv1PJV3dgWa35jqC7S/Abo4GdaYudtrFmvXPh15P2UAEcIkAL2sXmw9Cq9e2/B3fe2h2WHZ R6HRrugJiKb9Kz4zHzk0tE46PnEhGKJ3uPPTdumTTx0Jd9X3oCMvA/aBXYLuhkOXpwlU00ghdBZU Hn9nPe9Zr3JJ+jddZRs7qhIGWWbrBF0wXoikisln23kFC+AL+KHs1AxGfnJCltH9K2SkN1vgrg3Y E4eW7JQuIrHSKptWbTNSDTwyCpiUpFlOBRxz3JQqZkoSi7Q63rmxJmjre1xnMQDi+2F4PTZxCebB vT/Clet4j9ITo998fieOV305e2SMayJ8sOY9kIOju5cxqL1xjxVk0J6nQvYkGMgwWd84+nqKMDQz 1bx3tnOa79cF8e1MXUAtikBEn3lqLZMHaI5Bwbx7Etf4/S+QyIwrcAhl4FHKc5RYRPchLN54KOKX euUf+yQHDpRXmnhW3cI/7lZuUNLiHs33rZnSYUfp1Xr6zR/sZgQfFx+wWEZ+OCTLEyXq6qCxehKK duezfDNrScrAS0i2JoIAe4i9ZKUBysFPkAD7HB1sircS37ZiKPgpcpdJiQzQKdB8A/eUfSV+wUcB beubHqWWnfiLG42+Pm/ch9mX/4JcB763jWCEv4/J25vEG7R2xrWoZwfUKZv2M9PZKDY1ilna/ukW N5Ok3Z9MPcXgnkQe28lofLwhehMtMAzVga4/e0ohQx9YzZHPN1hzfyW8A/k9HZiWY5v0tB7AGxkn EruAVlGypO+UuTmayWJK2c9voLBVqfi8G+7+F+7PKZuyjIE62Vb3l8CFanvDr6S62IShU0gQ/xEc C3at4n1VQvcTLLqAAgj2ev3R+JRK7KuksWvR5bb0OxzCat7j50CsKkecb/LfHXlEXBymQFbRzQyz 4d2qN/xHwTrpuixP25+4xuVBDCStx+os8A6IZ5qMe+kXMzjPqP+oJdJ4q20qsddfvb2nvZ4gHoLQ NubwrmPtY356WeGGMnw9VHFc8OSs+MIEWQckOCjD5xhY7/LqUeui8Z1tIXvf0xS1RgLdzTRLWdRi q4WOPSla7ee7S7dVZYAS3ki4sfgP6PVIhLjVexJxiMg/QtebgO6+HhKTeCMVoSJA8oSTTczyaSCw SJqsZQpEKkN1Dm3F8IXAw+1yXZ6ROly7Z5BKBySqGuuexMEDibabYf9+FuElZll2TIK+s7UCTGFn nu56mztixpSo2nxIjDJO1WS63u8a29uMYCXqGn7Nz9BBUrdbNQX6EhWhxZlbFrecwYYwYQoDANvq lE6NhMmmqnIe3+SANBuo2572QPOzGOSVg5T+RGCfklwmzIn1WV9xHbI/yPJwr18TEt+PpGVq69Uc GzFNUYEG8KNUB6muas3VcKvNxEphXlR41nRbzZTcaqwKKTLfyuzKG1uII9ThR1Yzvz6kgulpA1EQ haJ5FWO6GJV7rXhSeBhKyG5EAUMRfcGkkuK5lsI1XYJZO5fJlKrkvMhrrDz+hMBjKnYWNoVNNIO0 uHjMWiUmuhXUif1KsOSbtWRGwdVsJigADeSYSgva8y2e4fVqkk5L2MAdj6BKueGLcxwboaodgZ+D 2QkfleRhz3zg8sy+CbLzaEFt1Fdo0HE9TPufWW6Mlw0RXqFxhqacm8lH1YF6IVXMwmLb89qp/LPc lEOJ977Ns11Ibpv0bBtmceaPPhPNcQw9d+1bNalzHZaYnJELuMM8vkq3K0jWaJq8uG8XUKdDsXya dh5HDBbZNOyD1MHPf74HB96huuOE/RRRA2OnlOx2RHx+oN9wFgA7/eHGylLsGs5xEZobwPAA/1xx hKkLtdnHto/XQ+hrMDGm1GmvQ17RriZ7o/qhfjaCm/R4qW9Tij4zUEmhA1486zZPGIbW7Y3V0SpB 97ll26rJpijNMTUcu7DysTPAkbWvP9R08XcDn0k4wP4GdtPh6lP2fwPUKvBuO298ExnPt+G/7pHB tKyIoB3T2/vLGoaW2/9EKFvs2JZZawize7NukAUFf24F6fp+QsXGVsRDh5ZhBBrD2wt/hvwi3hoj RoTuwtQuRagCJzB5ZD84Aqc6UV0R/PrNMXXm1VXot3HROrD0qTkEo+1BmwX1puEBpd7cs7VpIHTw hlw9PVHHQRgREJU0azV5978j2yK7wmdJ6Km9lbuGL1FUm7NewZjQP2bYCEM+l7Oft2STO7ndmFV8 9RelzQqOddxtZEDpCYZf2HrdDru6P+ohxrrR0SAcbThmi3neXkATR3D4r5BSAGT6s33hbjXtegHM NhDCfnU5xC9i77597wARVfxpethuKJ0euNZNhUtv+XFVWj8VlzL6GCyzqRZryB7MkTnfiWVTFeLi wwu9UVK9mcYLFi4Hlag/ssgdudHLnoqAys/MHb69RtYHQyn24Ex6bCST7gGoxRZGL+Mi3hTgP3aS uPI9zc2DzmBp4DC/Usb7Soo4lDgcI7ZVIH+IV8rSi8zP3bRQ1XWXUCcDKlRGboJIo+vuV4D5jZ6/ ZZ2QHvGGABNyrpOR7Gw+fiZsWWluXSrBQqvIef/xuOMNg0zIXpfHznRoJg5tzs8JL3B/CfepfFyx f2f5JepxChNszbF/R3/zbIGKQP1TyjSG6jIuwueid02fNy2ehbB55HmV/lGLa+/GJLZHVVIhbr3h oIRfWia2Bu+BaMRbL/asbTxUBW1Vvtj8uYUdbqDwMxXrGzWk/YHX3s77lfpNtDBGbqzz/v1bWYy0 ORCHZ+75I9jjqBFjpZryruTahI+MP6maNSapiCs/28mBwWDHZg9TFIb5DJSC72NoLzFm7yrBT0GL GBWFFv8C4lrAVZ5D2+r0Y4mySL0ZRUmDGJz5fI3wKm04eC/lDlS709Cm7xAfhFW0Tt8ql6lCZPry VjKzFVZjw5rv4G2uJ/pDjIIbDeB/fLy6HuOX6xqB24OdTnnpra3tRB2dXPD28sEFRGfpYFjk3ljs 22TipwAUaDVcOmm2vX90cT8fRLqGWaR3citIai/n67i9xU7aPLAquAC+U+X4yW415/IMKYJA6k4f PcoUxVFKrOEyzQuNWD7Kx5LY8Y6ey35mcQ253K7sYyUvCOi04NN0yNW3aXSfrOeam/QFmJo5FSAt zNkeSjI/5pFnqorgrDZdV2WHXsWZTjrEg3QjkWXazJQOVU+x1oJOQBlCDNmPm6haqNhtJ04K0+Ox YG5ewcaDne0fmK2RwjXTg4NxN6aNhwV1UHKHeksAKDSJbQd1Iim4VtZ7bhyOhYAAlO+7TOEHQqDP earFCGMB5PEfEDr2++UO7aDZQKSCMVtTekEojwpIojl5BeTUQslWSl33RjieWIJfrg/rr4EJ8vvL ov0TxeHW2fCCXEdzpUDGTcMSNbVg08dLabhZFPnYTsROCT1wJUVtYPf++PHW6YmKRYwBaYtjOUyZ JYr8UEb2dOQoFPB6bmPMZMoLTYnYZpsVkZAvBvf7NPnoI2bdRKxGRdTctgLC5JsUQ/JP9eLcnApx gLbVfI/O91X+DZJk5JDWmxpRw3n4gYz6iuFwPc4ZoMKxX5BpUVhgR9K2yfEWiIN6ukTZJF115k3R ipqV/yntBarqL56QDHKEeJyCCF/zMUefVjknln4DRHGqnkb0ulM+MVD5tzUKFymTfKrNd8DTu2Wz pxJXkm83EuisQ2IhuRrc3OQm4lWKDKDForm4hsWZWB0lK+piYakQDP1vKxeQOkklVqkmdbe6MffZ 3UX+1sN1OmJ8UKFaBeaEy3I/rJMqFBdJbFFLsTSjXJ9T5Jkl68DuQdfNbobPIHf3prEKWe5vdHZ4 P8TULZgji6zWcY0xo3VOsN6Gsh0E6nnnvDNBaoOlkX5qhjPe1Qh5wgFnn4pYDjgz4zYrhdCf5hxp EWMx/caiUeu2wAZpyMj//wRTbP/stCohT37t6skQnj7YlX+RUd/IDlHlvdJaBaq08+D/eU0hVFkT KmxKx2LooygZVZdONEdaBGfyq+15pnkYKBFB+mf2xVcrgGQUpUL0n+oAk60U0L8lxtO3H9nCF/ae XjTV7LEANAVByqSJUOnl1t2Q30zWE9bVi3NyMsCH+WnnRe5fnKmr72Les+4keDnQjubGxENAnJYq NnOS5YlfP33TzYF5QGgjtDwzJf9JFRBtAxEtU+kDZe53oCsJ77xZnhvpsQZduo3daAu3HAbKI8ZU pFUvjXgsiFtbqpVCdLy+kd6+voPN3GcqB7f/i0qVGN580FgyZNf2QvqULLMB9nOv06mGb4LFoPGr Lh806W+gQTM0P3smgwJede6pEIPsUpJpvVh8c3zCbfS473HwpBAY9m7m5OZ38UI0WVxHZ4oBeSKk rQDAyYRvWyG9dH9hXRXO+VCIVQJgKwWelfGAq7yPUeGyww5vmDaOo/3IGionUM3aLtGXNhb4jV1E QhosSqUH/X+IFYi1BCD6z/f5P7AdGEYwynl3ST54WrQFXhq/5IU2cRe7i1ySv3+Tr4hqnAv4XxYm ohawa15c7ppzhUU+i0W3iozD6QcOI2XHA6Tmp27BKHf7yC5Uze8d9gF1CLmJvCYJV3MiwsdiuPPK gWetq57i1quSzAKB1xFgPgzJhv162e23IOSsbDqTLbddcG8a+z8ooI3/rV2zekIIu3TN3x/Lg0Us s73lHmRzLqeSVuQaJw5ENLE+Pvf3oUVY30Uic4zRYd6ao8sNhHJByi7k/Co6kxVDp0+juqdlgocg Un/oSYtN1OXqnQJIoaVpgYRIXsSgkVyFBF4tI9zrDgWn1ERyELvHObAPeGzTjF7K/sabM/NA8dc8 UHv0BLoLsw5LEnSPFvcZuRydPWQ2SVROViOtwJV91U4JdV32PYbaBE9Rt4Wa9Bb6nvG/Y8kzIwfy JuKZ4e8TuPzC8LH4rP7T5/0MQX4N3z47Q4O0X9eqVq7RaGc3pO9rjnGKbqWgSuso8IVURzZilIbw ABkVOfyQWxYA8VWDkd6g2h6NQAruiGxjvciuZ3u3BPkbz0LSYHOlamv4DF3apsTCx8VXr5diqV9p RhLKEwa9t4YrqcxuVKp4mUz4CMmjDW6p54F9t3ZjMxhLlGCzCJbgtsrlcSLQ2mLJGeYFN6ywQf4b X0s1Q1hCD4mjaTiMmS7uKff+H9/ts+f/GGf+Qhb+Y6hqTHSyI596u9BFMRr+9iejaCSgQNFJ3wze jKcmbqMxcxQqUxlL8xR9sxyRdEv1rNUzvV+o9b/iKRkkHndp5hjsjnlIAKCnSbD5aMC8MX3a/vVV nkIvw9skzxgYH/4z6ptNy27lfj84sWhejbgPljRw/JXpkah23C8E/iH9Y2XUnNuYQX1cqp3AuOos YEiA5yH44bKDEJ9Qpd65vbKVsoyEziPc72k95m7/P+y4Ghs+eUY8V1CKGsQNRGqpTe1bseATH2+v 1KZK5FfNt0pG1Pv1HzxE4FZVOzJSZrTNb5IXJye3bf8DIgcwBGFU+YUSIEqQFyptzZfjT3aZ7b59 RWlbFcFltT/BtNBqQOgaVcf3nDszO4I+RbCzBj5DK46CtNM1DqPaHOJ7OVAdy9bt7uZTIQy3SPXH NZLWYvaj2KTh7JIQccblICUuYOIvi6PH4UI+SB36kGagi0+QqaAMLo7OodmGfBGTWTd06acBdSXl 3QomHfjlgZCBRS26xtjQy0w5g1ow+vaEVt+U2EcMjPLKK+4QWB/3tMqpd8kNm94rwDrfO/FqYaSu dTF3+kCzqYO/iVXlc5NFxyzG9ZTx1ZqIo/E+J1kXEwhUWd9tBRewtjtF+OoLR3pklXGBHDZ5LxWQ truHBLWK2/MaOeHhrgBtDKj/1mRrUEhcUWAVimy8XSb6hGkmjBDUeaP8U9OiS+IEVFCqgrPlOFae UiVN3tgFfJRTDzvcRJ93eOnBfg/mt1xSrEbOToivfCpyFF17B/UkpJhcQXNVtJuSXbHn3y3In8qV eZ0EEsl2Z0lijlNZ4LWzHLDU4tFUM6CgINaMCgtyB7AcN9FBeORT2Tnj2Ed57UEjdHZ4acnVR6ya DghHwyJBf6KPoeZhiNaZqZUXHDwI/W0TxdXgjRiOpjk5lIOOLu+zNwN4e3WvtsMK/qaCF5jKA19e iAosC3IOqIsKZCmv9x69+UamxkKsi4cUW6jhEqafWAu+jCkatqHke8yqI+n37qkr3c6yqDjMmuO7 QM09IhpXM1+cr+OItVAAratOT3oV2uEto6sMoIdYee3XPJKj5YgsH9OQbuXI1/vA1BhqzEwABmI2 mWMrvk0WJCrb3dvtfb6DwP8dFq387dNLU0plopitOJy11n0xEo0F/vhRAO5ZgfnajoIk2K1hlh7h 3Qx8TAex6XTwz6VUeJ2rFEgy+w72AQgXONpCANpMOHte39RkqaW1VQzjp9YpM7wOnKcWoA+PwSJw Bn4pVigTKAP1okAsjg+e/7DaLGndG2LwzvgsQMSsz/5J1EoTvpEdXSU6bQLP+B3I7S4R5F821tNE tswEdgC8lFGcU5p1ztM+vmEZYqgjtcXEiTdbe4gC1U1D5IBSjblhMdN5XMUnrTQaGBpZ4+J/AYWg RIIfDT92d1XUB772kp9MfyN8IRG32mZwcin+N0f9+J1DHRS0T4piw0dTMovpUvMm8Yb672sqB0mk OOBXlI8V5i023Hfiw0YKPJJY1kmn28bZ2RHlMxDriXgxJgD1cnSyxn6leV0YpAz7joSTF2zyq4Y6 +c+F+FPkzMpBH8BE1a81XRdPiIQGecMdWSAp+9iKIKaSJdXlQbMlS4b+lh/S4AyPu5f8BxCKJino AkEug7HSnNYlM/ckc/EttcvKqsIQthpSRP/IHmXkKiixjwW13hDqzY05YyyHKQ+r0qo2KFx3GvIY LfyGkSBv9SCdMNQmqgPqdCUM7WJlyh4cqQKppVXSBvFbOvPR82nBY2hXXKrIUJYTpfnyAqarELM9 8dSHgihE0xF1URQmCPil+9InXfZOVj4YFRW/EGgIUSQcPOZqVqnX0C/8fB2z2g/CHWJHkFJp+V1D 11ImYhq3cYHDs/wWzIHTpQ8mQCZXSH7+QVn8YXPKEs1Mqeb2kWL3HjgVMbuwvOc+wRaRgYoZfL4y /AMCyMLwr4QowVvCIghJzh0zq+bUry00yEVNjUA7McuhRVBr745tobGdlLw27cN6Yy2qWK+vZtQt tkcd1tcJGVYh691yaMiW/sAGEfzC1yzHil2xPKTfodTpj371gz3N12xdo6wXTQwUohFmtxgu+7ki dPJTdJQ6uMtIbfCRWc+ZEMozHI2VWsbob8uI2hKK/Du+pgcni4Geg5QsV5KnYgbNFen9/bBw8EJu o37XMnTrFTiHYwnV8Y3DJ92H4jZIucVXKoUPaUoIVblPuEoPlZfQnm5yllNjU4UF/CZXWhQt8Wv9 woCFRQHdFMcO/khUxVl9nR8IxrvNaH9wYHep2nCPjuKt22V3VDHbQDsiV3P1s6qlM5WBuSKv3lMu LpukIpvGS3BhjPE8l1C12fLr7uTbxvIyeuFDRAuvTYAaBOrO1Io3AYNydWc7SAGq5nzfJzn2djNL 7QEgfaYfhVU552yduppXrH8BS1tYlLZBv1ovf5aesK30UD+WMPAFCjdXsOX/QWbvW03He2/0GQPF PNzX9jW9TPkXnR3dHnt+CXbJmXuTocE7rJky39xZXNjbtBWuDoFOkxuSiAZW5OLzOjPZLrkdue6D K90oEKnCQP6AAVYVqAFsEX6/h1tsXeSyX8Ya90YAvCJWdqqXiL/MNiW10aC71n08kESACtGUMjBP 2LRdvdX8M14vaJDPR8+3gRcMla9M1c/sfFHt1o+RDV2z81KwH558U4c3JGz3qQCqLVAXcTPoTRUr Efair8zbcRanRrry+fUbxpRSGjS5pqmZd4F5OIrSpiRdX5akzmdmgSK20Yzi2vtNHNHDOqQy/1wm gLnhXjsIwlRch5wNwAxHXzqdACKk/Ec0AWpuParr2t7za2w7sYUqttSx/SxbnYHIWHjzGEVHGW2J nLwDf2yfqmqeIeGCb25Spm9XuLkmlilshTyene1/GDMe3BRHV2RGPCINxJs4SUUkBkOwDTypB29D ixUS9kmUysptJPyqU9TTIIPhhz2d7091m+9Mk0iIrOIPIc4WPIc89CH3VQtSzgi75SdRARzxGPl/ hoKI20LS/gc+MWQHOqGeI4cF8oMQUbFWb3Ra04kG4hp6Ammh7Y0yeJ8WqY4ha13piMbrHmYvWVhJ z1DZZhoLjK+FRWQ5I3L2DH4iVi6qSfwfRzf6lY/ln1QhtzXFy+7Qs+ieLf3SZybm37F1uKW/8tmd Vu8VEZh6qwYBJ8l3AkYTngWXZRz9uhBxNBoqI4J4QZjpaAIeeJ5WbR18purBCipKUHCEFAeRXyHN /paYV7PJ119MLSMjNp1NEoZXb6EfBQ54nS6OfXrjslefqUDTiybRui5EooLCumFd3K4Qk1pe5Vxd NiXTknEujxfTMGME5ZfPDddQYXgvSOxc9yLsuio2/m73UWAOHoYGGnAPxdqcdUcoUoW9yf9Ws3Uy 0HZJ/Ql49rfDImH1Amt0V9w7DtQF9jYfyMZn0cOybORgqwpBtVj0hTazVZp2oLXaToD7J+oGG9K7 nT053C8FkZB0BS4OJcT6aVkYeypQKA+mrc1kbuz96r/pG/LFIHsSYL2pCLGTr0ItzFTxifSJo48r V+BxXZRPSXMM+hEZbxbcMZxnwsTPAvnZc7hbmsrpLzjPDlAvc+dHroGPn5ntX2TqZln5UYmO3VAi 8+8GFJtzqYwctRSJb5u5QZZBRA0cpq+tNswnzW6PQ/g9t2GyIlYmZdVNrp8mXtXkZBvvi77Hf0Kw +hWG4cwEWkMfcJ9Wt6QgWPAmO3RckY8H00JABijAGWt4+B40FjpUgVtPCe2VX9/IV+SW7qegs3m4 U6w6z56moJNB68lV0+CHS+XQZZPi4UmFD5mO1MH2GVs1M7L9jqEkddBkRyG5Rdi3MTk+/+FIFDpK 1yIGe2g/baH5PvdPb8pPnWxHXpOKCEZzZ2oObOuMDfE8IKv56xX37W9DviW79sMFMzCd8EYtSfxr omRggwEF+xoKmdkThOF+TfzpYGM6xUjzH/DcdL2mJIJEdtWdEgXV245t/dkqZCDSjAFBQ2aNxNCe kv7e/cq+AxyfKmv/wjSyPkjZq4oNH98oek1QXN1ux862XOjpbpr7i3P7Ypd3UXxcpP0d4b7c3dsX Rt2guOXG2UZGcokOpmR8fzwGdUiL4OGAVMx7n0BMkapPSZsjALxEEUMYt4fqt/xYA8/kx9WcUNfi xnyzfePGBBhhZ0cOo8BcIgY6MGWN0ZkZtGljEfaz+Zj7/hQqaUKdec+db9v3/+119/ik0NHRhioT Z1xWwIDkiPpqrVASRzi57GbUKZnuzevdDMyLBMgR4PualoszGX70F+tc7GWM0ymg5NG1fG5C2/SI 4P15LwKGMftfzjwBVfobYIAxAZvO5UokYFz5ZRkswVlwAVnktsgWKMpPnkJEg8XioGHNBL9r1wya mR1SQRQlR2FOzdHoaipDAZmazcMmDKC0kjnb9uYBCtU9d0bC+RhW0XSNXBbFdYLPNfBpcDNqr0ED C2MTpQDBi82FGPinsNFP3G7CAQ2Uz/kDDlsmP1apXq3DOJf5HFmchowHlNojWDhlgfXsboZbhwJW WUlSgkxlvYtaLYIQV3SvhlDQxindQtTz2ZZIG8jUmLUw2Yh75cwoo47VI+lLB6UriWSCXKuxo6PL 0LI9zWhkXx/jEgI+rZ5FpGxMB8XJpkvU/3VIlcBQPDvtDuyPgy+HhXFYh6TORAtwGzi5niGB5z0l vDXOV9oXyiyNUUNqYzko+7g2bl+4niTIW3Pc8ebFjKCw8OLciY/C6O1HcZs4pambsLlkFeAzn6YS i35GyxOSs6mSvN5zHCAD4VKyhC83EhoDFMcsIdLL/X+WDSlAOhQdWpMc5TNCpJ3DjwEQ4g1++3h6 wboWx1E7Yt6Pss1YkStpl+AJnxZsgI2gkw9I34OZugm6ci8DvkBcktZ38ewKxET59SfpMek0UKI/ V0rHjATYuGVVvosRO2Oscwi8DC6FxPVYkWrHkweafn1iOKPPTrdr1mGJasqwj77fFeYbYukmRDng Is7zDu6TUL7q3YX/mDQXrCw9jzNjwWOi9zZgFGlST/lpytl+s+k9knfzIWzATEIaIcIO1XKsQPTc fkI1z0Ou2g7iAp0ZpARrtSH1FXl4eMYNy+ZpEaEy7RuMIa6ro9qwpSBUxQbytxVXQdXNsWOHtSxi rWl+28pQId3BSrEwdudLWb8CCA4TOTLhUzewAYehtCi8OCx9lLCtIfuKYsJTDXfm7ih1flwZUilU 2QUzmDPF8VXzux/Mpd5TIv5lz9IU162e5/G/KH5xLieY+Q1wQW3YXIT95URzJxlHA5Jl42K740yw OYC7dlYqYkV9+5WKGrXmNSmJZlBthwr8Yszk6Xr0G8rodUlOT8JFAD9Y/En03KkYBwIGo/Xc/XVK OuulCFCS9BQVte1IhktNlsmTgth1GsVptbWr225b4RNYa6z6oRA4xiV7rUD0MmwsmHYgoRKM6c69 4OMuMP7rGlZKC8VaRs1Af+NKtOYy6nWVc0Y++CEu3azaSJm1z1lVJQq0yiAvr0LCu+6uXB0s1rAF qFLjLrOe9mazxxzvu6pVHuzV0r/L9uqt5l4YZelCzPVZVAM+9qPuUCFeO1TSReAxxJMpPMxmmrDk A5QufIydz+NfNJqPLgWKagXrLYR0AJo4A8Yt09ki5fW0KOZ4HcRk2/pxHMp0Cgt5ZzjaB0kouHdH iPNct92Y+MMiArMq7kIwh7PUtfB8Hees0CQSnEB3qWZbmJlX5zDt7Jo/tySrzllhcQjqxO0qgVSp Tq82BYAlctDA0rgODAwHeYW+OWP7nbIr/jZIkJSZrMT1AuT/7R14XcYvoMB521Fekje7h5NbPAMq lCLaPSBCWPgmeY4Hll6nPMud8ZyPYgQp4C2nAVdtJkAX6XePdMNPKltdJ/HFJRj1ykjMZ+V+Gf1J 4asFcYCziozFK4CV4k5eMJaarJgNcV4u0oCPzIT5Bciv6fwXigVCEqAZmGpmxJ4WsKlTZEm373Au ZjHQh7T+m6IGxsjNmPLaKK7MBCR/S2F1IL5A9pwaBtP2wlmD5fpQ+s8lwoNRUD91SV+uzt/XlYbw XM3uAHGxXYP2JqSPmOCfxAMxpiDfomgsqNOvMUH5u/CB8yq3l5N9g2fI1wPYPHJcmzDtTXcq8Z+a 1QgkIDDseCwbEU2LbRswo+X+WNbFNKf/XVx2eSuG1QNFDbBboct0e5HtqU8s9YSlez3SQnXPpO72 0mwr7j5tNelmwlYZsN1PXRpnPRbNUVTRXVGpEem05kYQLGApcT2w6Py+XPYlo0P4ukBZg5vbJOXw nE3ZdSGXfuw0AOfZKDn/jj5E2pxav5VaKb/NRgHl3lNY27QsLogyNciaqyfV3Hbyn5xzEBK9IM2A Q6OM9JyDafbpgpEEG49MnbWQfvbco9D0pEqEIQoKgiDWYrHr7hfjpqGEGecqqMT4NvSFbM5+fp61 5Y+9HHYJMc2zG5s4nEiR+utfB4+U2sDey3v5Z6vKAWAI0VRtCZWqW2OVwYJ2V7QEttS4OkUwXV+G AWb2R8vZXNRjWsl6Huq6vjsCsON1ZullTIz9xbU/8DcY10+jRReysZP9IM7QDRl0r+Gh/xTpWuNE TR9o/l0ark7t1y+Cy3csehjEA+7K+9EdReZOtDnONapH6VNB+A3l8MWeU3u+wziMrRKbBGuls+pE jULfqjC9tO1DtNejrBxbpR7gDDXc/n7ImfhlYCULhGmZyAhkq0IGYJr9WMIZf/4l0BwZCGYrjnet CZqM3Ys2Q+grN+bN4JLWXrmTtMI7UhlrLm8SaPO8KrUW4LqBREP3mCdRvMbhmGn+SmxC8xTZma6F rs04g8Z5/ywdODtwqql0OBml9JzVs5vwVAT9Mmdre4R7zE5zK9cTiVsBFFk0szQumyfAEwyNfyUq FynMp3Wq5dA/GMMwjh9ifcWvteuz1/4Z3LVgsWQa08aYG1kYJNSq8/AG7ti8QyzYSKvRKbXW6xij yZVSqvpqy941AtVkh5MOnrSmhwAgeZ22RZGLL3snX3+vk+FZJiiV04mrHyvVKw8jtm40Sb4Ibsig qNh0h1kpCMGkIip5il3rNn8R5CVEuxXbH6+tIYdxTA3ndaFK1Ye4QFfROQgeUIq0wffSLkhznNKg A+v8k2jT6HUxJ6r++Dqi/B6cdbNiXrDuosEB/+GDMSMg/QZ0owa4iVwSHfVvF7L8fLQFN9yvfhjE rPu16aO3BKEdkaavQ5CohOjmuiCi/Db/qVGA9shif9Idejk3tVMqCM1j3IoPHhQjGanpMCq1Iw33 Lp1BU0y6hvHBlnpHF7I8S0RsvmVO6jNWMyjunfCpK2wcQbw6Hs71Tc0ErLOBXR1OWZob9JvSllFh mqdqkCUffvQFrFo7S4KAvG4d+SSjfSiBqu7uIKvl41fLz7Ujx+DkJP8iv4EYBfe/2/Ivjb+TV2xy bnqUj9+ZFLO/mofq9uCtTv/y80dMVQeZyToz7Bi/sR1ge9EGq3to2Fkl8tvoMEJ0InlOUg15GvfE cHpjGcoKjSWXTvOu6sKaRGu26QpEDXQ6bKZOSQAnwDpdHqfWCeUVmOF0Ppo2LBCVuqqxHJRkj2Fn +TL257dMfeDoJjJwrcOUZACO101upByptDHy/naVaAoiY3p02L++Tt67SfiW8+jUaSgL2FKogXrl z51BWdYRXB2Qk+6Q22eISFtVciZgEoYTSLUHsMFVRkL3CFkdSM/Siq5cUzSBQ4JbfyGVKz6JFf/e KwwYxjBXHxBue/K5SPIGjr0F1Jv+asNPLYAVPOUDVKzgOTC5SUFvY2PfYugWx9s6cmkMWu6AaHyl NVM08c9ILPB4rWQP7vrYFqjL8hXmmpAngD8F9Qlf7rAKKdiRoZJZjEsvFMOzVYuZPSmbY070u42d tcpZcPp+ctGgIxYJkFLOyl78Ui1A+a3Fj+bXkh9J3tZZzLnHTD7ysQidEX0LVAFvIsjezngfkLr9 tPBiDYFNbtl3p4dfDO74/xUt0gtZpYWbHDPnBtPyq/CHbZQ2+Nm1RBAWuogr+90nEcAwuWL9AJmr MaIVd8kmAl5J4Paaj25p2dBhFN5sIIHvXuKsMFBKlpJbnya2wic+JypKcq/om5hi9TpHUOMpH0mM +sPXA1q1QlkFPbRuoelbDUkVihm7iUu+tpyWI/h8tCanksZVcZZAw9Jyb1R6vO/wdIwE3cXs1t9L QbOeSv3O597bO1EovS+FwGL6aaFfXF8NeCURiEqbWRsCz1LIiOLD42Nglu6i4DQoHeo8GJux0OAq q9g3g4hdWfRmCvAiAK0WK0rGmPrwOrxKfEoWU2uj0t8Cw7zOkbQdoJ5F1G2gKXvpWcntYRmD5KO4 FSGOvkCncIpFDmsesy9kDuHMHKwzziiAjFMSMGUTOd9hiJuuI2xd4xsU96PBkVNSS0DHU1HxP1iP S2PXz+KHOaHLmngD+IgFNR7n81yZGd/PwFis+xJmx/Ya26YGOKxJcBkYOhUePbO+tyGdIXi7i7oB 5pFH/FF4e81ILV3JpKpROat21M24AVaZGY1LHw3XXTPkhOb+f8lM8DRoef7Y3+PofQrogtz7mfqE FDuBa73apnYDJP1uD34og4lmK1oXUXiC2PrXmq53bWroyVWhpJ3iswS8GGUxkn8+25kx3gHPpXdt +f2vwAnDtmiC0Fx4hMeWqR0uEnzUS17/yRl/z0+S49HHgPZBMI3mppNufRGN7D9IgO7vQgk3ASgi LTSPvy1nZsOVFdxN+HWVsTN1ieJExRgBGKkZn3s6WvocLVlNZP3/5rzwCLvgB/DBgHuR2eQPCszr JLQHD0rywOByuRef7cYhLmDybpNJD0wZGIYvAvE7DccvoBCRJPnv6M9uJW0lzuSXmQbpeKJS/qlq aCusUDID3X8TKagE6wZiknXz7G5VH8WbxNcRNDxJ+zz0aBCNUgVeLdUcL+pSh1rDJGDySYA4Y4fS ly1VKBIVvsGhTVnG5Dcl3VM5rrHALNrlxYWIoSx1ZQ5O8405eTW3PN08hBDcuHynMG0XJjnXuaAJ UJItiNvL+SLw3zfrTwcwC2iZXjFDlNviUw5/fKwbjXqeGlpjKqKviOAWGimQkVByU+RaoA4YlLP+ 1IVZIO+sV8yljVRWhJk80h5Af7hR1CcmB11mZYKFUxYyPkfRHxvnv9sErdEmIMn5rysYLzDWKYLC QDnN2jUWb/WwnT9uudxpSolC8x7xJQ4KpBQY7+8h4JbMoQKDE/HJIWfEKgyXWF47QofU2VHiF+Za 166QnVxvumefTppYFq1meHip8vVBCR1Zaq0kgIpsTTawL3Yii/183J/2NzBPX1XrETwFiFllQdQ9 vusJGNZbjZTbCLogv+jPVskPktokW33rKLinEKOKFdWqiE3Y4wxCBMj6Da4bnzdNHPh+hV4UJjor w1hKxLXe50Gt4wc3j4CBGoTnttI5ocfkB5lqGrOBU7xLQQCQ6bTbwMtK4rj+btPdLGDCGVNlONFQ yUfGO/3/SWiTBCvZ/xRgUy02H1C/5Gl6WaA9opNUKMH6tLgSjDbNPy+rY5KZoZR8pyHCQ/Vxk8I2 pguYB82mFYgmsLpblu9Njf++YcT44wHwuPi/ZmexpLXGiqxEDueA3l0mzaODLnY0T6wf94u3rHYA x2SKcJl+/Kl03Xzms0BxTJvydVqSP0w8JS7us0Giyhc5MIRWhEq5E93GqkUTR+BrVSe+1WxCDZFW TRNSAdqKtBjQ6+Os62lrxp8qj6WmSfaHjNe3xrYEMtsYYZdUHxHbISQXs9+bCrRSUjxMCxkduBlr 2KJX6SVJmUjQK9Hv9BfhRlrziz+aUlYDHSNB8WaSt/oqR80+DyJ247lWEz/PlCVVjw5KpGhLRdk3 +PLpyIGDxcX1KxZSkhRXwSDlEceGBEHCbv3+8SGhJyCPrRlWW871GwFok1laFxwJJ+4WCb78x2Fw 6T14G2RUh0BS8e/CoXTU5JdUjehtzMkQP7UPF7bw+cteWdpDKyVJlgQ/9ZMBGTGXUHt9mYJpyWZ7 HB+kNE4g1Fgzdiv55Np+VmlriPbbVcx/ePwOpprMsHj0bZxPf/moqQsq5AjoFsMiKe+Nw2dV6cNg OgHJ5gqt3bkiXaAa/XBwDt6sNiSvbm7UEHuID7S6D1NtVen29KVa9V97d1aL1Six+5SohKasQv4Q UHjtrGzmujCl0845Y/JU4mkzwoHqYFg/mKjUSsZqKZxad8NBzrA9G+dvJSOdKqpy4fQu396th/+Z A5i6IoX5V8S4ZZpPFuix3AWoldpae9pdW9PIU5MWki1zgjvnmP2DxSSIGd2BiMMqR1b4lECKVcWp 0ZjTf5L99QkqXRmXRQneHKtagNtWAu4YXpiVlHp2WdElQF8z7rxpLuBKm2QWVpfsK4i6S2gADcxM +2QjjwbDwCznlQ2nizRtyuW/xQfbqW8S/ptUiE/Vx3M91rpuSq48fiiDHhKNLPma8cdge/XgqSMI yJ8p2kXu0iU5xhp8lyZa8SoeIpXBfkFrE1JU1ZkYSO9eUbMCqVCJZCCZ+3whro1pB9j1tgbYX814 yfO7db5xR7cfknlrlIlsET0oZxKLO3MAwqHAznadDXOuwVxomlPZewVrX2JclK/ww5sC7/lULXIA qk7vmKaSNvpBMR9ayx2yp75hQuum6kLAx5OH+ITBOZrXeIINPnm6/Y9ZuVIc9e0qgsL4Kdre8B1y eti4avsI0WBdyRILXNJDDRxcj+PfmBWvxN3+SY1c+er4eIMADK+s4ePqr6hyB/j515xgMYbAr1Ez xsQ6sMWQQx8Z4rh79iq9oY5OF3eeQJnjLYH/Hz6M4Bga4HgKHsfvmLcfmPKOndDNYfktXr6yMp3t cInYQKoiBO1MmjkAqU48nH8Vdzi3bKt6cx6j0716xYXzpiiAzEDbi3JbinFzZcYneXaKECj+caX+ Gm953EDrNrx/f4wHHfjYE3YEZl6bbPpYqN7MyAPCWu6NJB6isqiYX0IZxW4L3B+N+46kSoSQapil 7TjPp10rwVbQDhFJGe1Ok0egGVSeZzr+yWec5kOHqOxny2aTi/nkkLQBiearmg6LQYDC1IJDfZ9Q P7vgpEbj8I8dACVFLYpqI7LmZeqYEq4NWYxqkJy/XYbwiivEDXxS3xWg4Hccafcv/vZsO54a5t4S B0M5THouzVb5B3CyrkLUPD4aPJ/xUIsITX4dUV+/+ZrToyv/jApJH3YcKmxYcATwPSovpxKuYdCf 6mU9UweyOVDU9qm7MRFpSeMLnrwAwS/elW/S47BH6LLKvrs8GjyGj5t/Z8HpBTAwa4qAkFwjwfpp 1dW//ABy9M61Egv/WHqlnHMCb6R0utypbFEKGL9vu9qgrQz7mSDJ1phBDMGldVMn2DyK3Rd6O2K0 Mk+GMOkqSiujP6wUhFQMhsyyUNag0oYIGMOeEVAl3fVyqtFcJWPXSpHH88P+anziphfh3iFt4g3W NZZDZhUV8nBSKttksHDLvS9ovb7m8iLynIV5EqhNQJz6Evbnwv0bZY+XjVpyiP5wVSZtAtVwDtt7 IwlY389jSn4Q/hXZx+onid7BJ/1M6NZboop2U2T5t/5E19TqSU/v+IvIwatfTnCc1TXc9p6rJi9P CiYYSnobnGo0CGTBYsa3kyobJN6hYcFehr3DH0hMsfrTBsTpdee0M+P3DJO+Lhp3fJZFzfhWv6GJ cZbAfAfRIBeXDx691/MdTX5tnyNr1sCOI9pSk4RG1y/EIFvM3fNhxJsb93vX6ce7PAcMPYWbWriI KnCa9DTy0U9zvwImuVO8XBQdDgR4rExFL2/AzCB/W4lZXnrMfCoPkvyfdovKdH5prRMHi387PaH/ FXaU40yXieclB6YHIuCDvdazxMOjGibaJkaHUEN5W1zMeDuyuX0caDwhqsExTNOqaViTkoeGO80j WnKW7tmPdDf01zy0oJgsemc6riuK50hwqVnORhXg3RWUlYc+17F8Jigam+E0k5gB4xTJjtD5L5VD OnwEFVKo+SjcUcIXI++KI7QGFS0pnUOqMJL9mqzDVuf5O4zJRCKyR3tMeveYrkGglzlZlnC1eCbU gbYI20RRtdil0EOd+7lSphQLf8f4vb/lyVsHYkzkjSNRT6dCpmMfAG4RPd2o21Uf43ocBOBXMfMG UCdZMKzPDdqyrGTT++3VzdwWIxJ4ycprv7wyVHMVZjQ1MlLF0AHXIe4SCtQxiwmOKa61KaInhcmA H+THjwfPdsl96xEohUMGzhbAIBBF4iBFbrRuO/opajtssfVyaUAA3331f+2DVAjwRGFGIJ6hgy9X P8XXWIYTuUwWsxicKNXJ34JJ+qrZNQD6m4mx+FuN7rqmDB6qyOW08SZDvMqnnjPhCH0K59UIhnqA p+dfb0CjhK9fDimm+rgi8E9R2w0g7vu+7kdC5JMv0484Yq+vxmgu0doXHMxIIOhQmskJi1d7goGb GxeHiPSHixglhIRjWwWaRRHkl+xm8C8tYGbGPYrBxsN4I8ZospM/2i2X26nk0cq3nxALotq2xRpB pI8cNDHlOYKI9tSSIv9XSBmrS814NgEAz4X+R23omekqB86DvaeIb5h7veFgga//q+ASDBUw7klZ JhnumvUcXueshH1X8vuTKCKYYHogx9kXIGd2BA2lXO/yps4E6AYAbFoaD/XPoxhegfrA7KUM4+l1 hwyoSN1NjwbOI5lmflAjM4t4lFj2GsFp1SfmU9NYLKRTwPR2MSkv5cEmcjI+Xo50dghKlcqGuM1s BUkbZAoldDIpUasuDTj7T0L8+0nETxQXUuFcc4z9ki89rmyh/b15JJRbnNTtU/9xtNlBzAzCAUnc QWnuuFDliou+1BN5tQO3hqb0NZySHmgVy5B1N5yMUL5MyPzEqMrTXojs8+kaqCJrXOR2ZageEnnF fRH0vynog5pyEwOuAbcVarEJr5ApDJeXKRkmPd0UWpJ0qoS8/rAyr+FEEnZeVnBlMvEJhLXzYkt5 pxqIzRB491UFZQktNuzbOyBMAeqhUl1P7Hn5SIA6zgAO0gkBxqJ8D7iB0cZa2Fhbp0t6qSxKX1d9 U6yGqUK8f+98pFMnx8scrQimTjQXAq7rmGKTThFrnB6fR89tyL3v8bi/aC+1M1KNVouNSvJUJDYL moePHRAr4XtxjC3NZV4nxOydDawYwzJLKa9exYdb5qu/8DInntylxHnJCkkr0WR6xHlnRZf9NVM1 834yY3Mg274P4gNDytvSfXckdtkQS1LbzALfUuI34xYYzhhivVfYpx4TSDg9RxVc2LXVm6U1hkUP 5KrnP3kEMQlRLeBXXKe8RkJem9HTL6XAV66Z9ZeiYHoSZ8US1RBpnPkqAY5KR2BzZlHewDjZ+pBk AZUymjF3POZ+a9DoUiPqycFKXJWqRE9kbjJd8oP32z+w2cu7hDRRgE5yenEwJCNVieSbTeBdckz1 r5aDRugKl91Kv0ijwsUMI5NB0fDw+So1tqNu6gBG4WEQr+VwxQjet8OL38NR4p3ma1PDC7iL1Xav cPEouxc3RrFqMBP9EFLacoPIJCZf22zDti0w2ipEzv1Bve02aQbmjPoUUNQabYVIhvuypOtxY9zE lJj/4F2dYM4Rgo+EBQxPYtYWLqcQUVbpIpYG6Wm1hrGWGnbzGce0C/anfOBOUBlqfAKHk2MhC+Np DUtMMmR5QI5mRhRmux+CuhHNYAutNa+5XvRS8gI5En7Ff/NWCMf9tbFZhMQUciHcNzFjopbe7UJj 2mZrWvhQqQyYkRAVyV0Mp7CHLHZFbjmlWnNSnEGPPvMJQz8cLtTQmtHcZdArW64QnziEXwKvK4UE +S+SrYHDGugeiE+puf3/22oHv/ngPln1B/+jQSwjwmxe75HVijTzrrs0MUWXDx6jAt+L+2DkplLD xZmhAEjZ4/fuh2JPVhMQUktjcrBXHJHrH8qgypaihv7BDOin48/fYI495hQ5mL/22q0gT01uQq6T Z09oZar/MPK7EPvZuFbidq0EwvNSS7KyhGqo8buOj30gFdd/aAGoaRt/1a7v+O3LCvOhx5FLuXLU W4d1/ljnQ3DCE8ato0IrZ6MFYRs6v1dr8eojIzkHuv2VsqSFQtqpWwJI1qm/bYPAn4LmI57sTXvx hV1BXZJsAYeUK+BVwMNjU9wH2rtyLNkaPie14j+ZjwvLJA/t2puaEPoyKoKscppkZw/v9yOdgvos r4Jho1sulKsNAPXeysMVpoUYso531x8SI5+uqOsQvTZGXPljkFyK8FhaBxI5AlHUsFDgHiBdCA7k 2Z7gC5QIuRFa4z9/EnUHe2GVaQGSocEtBu16RlCtkttXOl7xKbnONWJiw6OLpL2H0cHDEPWlw3k9 C8EtKtQ1v+go6V/HClGTzWrVxgeXN+53zVrWV+1bYw3QKLkwj/LB86RCK+/NaSJb3SnqADRMbLMg 6JSsDt2Jk4c+IMcbLbvaoQZgeuoulHCTNZ/pdB/j9GI4ClbIkBe1eupKXYt3PWBE3r0HFzWRlNIO o0YDvmv/HsxkZZeGNa52OE+beMtirGQmDqaOgh/kQuAL/J5vq1k6lxSouEFoX1qq5cHw9vi5eVae 0Me9X8MOu/Reyo04idXM+uVoztxk5ZEVSBV0nrij0mCmd5apFTYAUoIBMKr8a+j+10i1JRc2/ypA CuO8h46+s8pT955GhwDlnUIBBM5DOVDEuDuzjmdWrEGwiHJbJAzHfp3r+ANPnkPPxQdkFfY6Hhxu a4LhzyAJCeaYbU3NuRi7X/x43NJwgbSJsPgI/aC7xSPAvL3wIAY7fOF5267tTWwBViRwAs4t8gko UPA+cWiXvTH4dANA+7ZUi9VCJ3m8vK5UCyyyZHlq83FwxbOOdlxjx6wh/xWjIBzWjWLc91FWCvfU bwhS0Rkvp4lLife+Vsj9e/0O+oB+NMNSX8zDgkPjdqxEN4T8GRSgCa+hi/66iO+qf4UVavyylZQL vCfTrF0z5u5GntjpNWs8LWCK3EHj+o7+BrjlgDVd0X/uREUsj7fxpuDsGipRqi+J7u54P/c8CLpz FRrjFGwkvW9dCfIrs6to83AnV1HGp/7UhpDl2Y/UnNqCNR3B8KA41dYDqPmGv/j+8Eq9JE+LzCWh qQwNYgCxM4DZ0FBLd7+qEPPaqqsBgbICa+3IpOqhWWHOz0924FyMNJ6ylMwOfD4pmuwHQDotGjRX Fe0WcvPAMFrFZ7dzPqkyGQQeu+xemyrM4wmGv4kzWZ8HumxJgQF2rgqOAnuj/RvgbMyjpsZgLN5H XyE+bR91qY4m2BfHiB2HyJJjb8ro1U3XTlo5/yawz2R118JGBA3EMVvToZ0N0g7DngMpYhA64bD4 I0Kvje0R6rl9pPtIatFkFJ6W2VEwgbZudErGDUizZETXIa+25wFjAAfTH4FsTD0AE27hPOV6AbOE 9DEXWmkYILOyDoLdJSdLBBSBRmI+KTzdhWuaDb4yl8f6+Qv27kfXxbx+aRTRCKinvEG2zBmPouJE aoPSxH8sVTyTmCAuBvJ7+0jQTTmdouMgFnszYZtPvbBdnDgMlFOttRyWYptNRm3B1MBE4tqIL/PT uM5aPYvNsN1xsReN9keY5Mlfo31e8fC6MjN7to/3hAFy2ad+0DyODbXGuLXfKIQaKUX3PD86SkWa rwCsjTqFVrh+CRYQnY2A2w8ucVgJxLSDienRplhpyoxO685wOfeuPd8VkUQgm+ajbNOKZOuHSSKp Iasp/wxDnKa/NQ67U5fmzeTtbcXijzD21lNcn6iXOOnZP0rtdTn+SLMaEG8kRHMdJGiGFcLRO6KE XTJetOLnIN2xGCs1rN3yI5Q1sdFj8HwmJfprCrr9pLaL64iM7EQAkgt/rPl0sfEYEnT6+AJoc0Ep +Bxv0Z2WndbSNmUVP33cTi5N5mE0jZbqicKljJh1rxqSBzTzMO46sMaMjnzwN3b4UqG6lBe2jLEn f52w7xRiUuFZ9TFucMmNrAvjiogTnrc/nor7J4jEf6Gg6UJnsdgg4I+zlIB1B39Tgc67c3notWs8 81Z6ZemkJaisTPB0vO6YYQ+MwCYf/JM2CHv40M1sT3xT4BhQT9zt7TA+VkBCRL+UbIJqPo2NQ0zC EeUI9WWoAsRY4G9lIs3JrvUNdAHhQu9Byf2ZbyEtAPZk3Ynn3GX5CgJMVcBZcU1gDNEZ/+NMAOnt ggV0XiIzCxE8/tJ2ROFIonsvJ5DYJ91XH6bNJSL5cMHR0bgieLkzwd2njOoCByzwShbyHjMbbi/x cYqPpFP+60gFJMeGyfI8JMSKxNKfEFg/2od5PZjetE5V5Cw1oWrvoNnJdWTSN0+V4d5bNZVlAPPP kiUq9KJiIhFx17bP7fWFYDJf4pP1fEjll/drjdGgUg3r58AgtNY8o4ZiRwuWgtGReIq9xpjUn5lQ VMtzK8sgAJb0gpAHrzSDop5datztxVNkyPnmC16NjCWhrn2VwfUCxBwTn+ZfucWQqZ6+whvhcmG3 cYIKgtgwIkRwAhEPnb19EaJh/i7q/fHKthbgaRUp72jRm93+Dsk35s6CbCoEVEM4j7MrWg3eF119 iC/jkNLO5aHm1qCU5E9cVpIgufgdRaQN6UAbaVDIZCZBLaJkeebQccIPSSR++NxyjqO4smWI3DWZ KZLb87y/xkzF9eDzY9MqJpniGyLq9Nm+NYl/Y2md1hb2W4Fg7SJa//7Azozw8Z+1vtIbJAu8r6Vm RNNwnqz7ofjuMJGE2/wNFqHwSZTJjaHr3qkoBeEWX/FBFf4rs7cgc8MXfov7tUHXlA4w0bH3cAha VmKNlxPBP9Uv/PVmAwIM9bLw8HOKti6HrwWo0ufILhRr0qmtJFlk9jPE6UtsckDJ7Vt4SqxQHvCr QbO4x/yKsjgiTobUIAXuthSvihh62h8Fy/FHreGujuX4mKEt/uoRIQF4xrZMWavCk2isNqsk1Gzt 65aEtN3d7K1DTaOLturNE4YPC8Rs4+iDRiNhqStyEtuumPYXmKXKo9g8y9Sz+POoEP4cXrSe29cT QmeUbD5rz6ReAPZyOBJ0RHE8FzWNqxiTEqdu4V68E+dRIqf1a3MpmwGpnQwEc59L5e+RqOcIkiWG gWQQm8bPMMImzqvnWTYcLtnp0NFpzcDory6MoeY5dMiXQb/ys7ULeQEa4Pz1YW1DoK24lidkYy0B 9CmFdC09ElCECXf2KKmwLeWT765/5EnoGX/SRB0hho2EMoFAhdTbLGxDwZ3jg5o2fA2jIrYWemoh v2qFAnKk3Plr7MAHgw3gXKXI8uaXZ4ovaEIrs26Mh6Qp0gKUXHHxdykxfmatgKoRMhl26nA4lb7t gq4WqO84BiT5JADZkIk3sVveigpzcrx1yeKlprQOJb/Ld9oEUhrZ25yYEFmIdknJPg5K/AtwDQzV M3CgvnQm5iRxHcsu3ICLdsfO47orKrsjFVzlTRsr0ubBinZAt3iDj3Q8zdiK+ev0vLxmLz3qmAm9 oxQUaQXjbJcFTawsamA52d6rmuvvXNTJOeYhBU1fkX10p9NoJA6anj2WzdYmaKHn0tZEr6Lsopz8 FYQyaYY21XMMg99ENzicc95nwvaND8nN29xFtBHnWC7P2ShoBSP0+lnDWMNxNPycvdAQW+dwC2Rc tc7ExstF4HFqXEM4sFY9CHKkVnzqubea2VQiVNL/0TWtHPo7b7NzMD8cHtZsiKKh5yyz/IRP0GUM CNWlDCX2OblyvKkpY7I3uvD4Fb5bfMz9v/WEejKYr459y/QKZdKe3/K+5DfTIzu0yL+GSKlvPqxM wAaf4dVlxlPzCCVfvafT1XjSoeTdk5jz5Le7OMi0g/sr2UaZE/8RxUqOgOx9rbEngNdRdL9TSQDr LsjpUbQw+naoL8u/PmTJpwE586+AOzmgsVmG1DG7IgzE/k8B7AaPZgJCkfgMtjGgNRcsIhw8nyi+ Lbq9y6a6ReQwGHQNn+T+b0j+PG78HyOf/6+yBesO3pqX+tnHqOlHKaB9v+bhQHC1FmPNNn2FkbGQ dTzwr6phwJoAnYR+XQkSO+UA1hRO4SADyuUhAHlhTcGd8rWwBiQKiA+RMbrF0iOZ1owLpkYBCUao kMjX+C2efmSjFVsQvE6EtjjkoBZRIM6nyo+7eqJwS/Cy1zgh0wwr06wnm0UUfecwk8D3VZslnXak +dmgMxZ2vZZXdo1t7y9/FbdukDbLo5qzZJTH8070GCywo3xkFc1oYRNF0CsgCGSRywd12kv7QEFM JnBdmDaW+1D5g0N+tNc92cOgK3Ulax1CbCvFU8gUDOTgVmNjbvOknXT7rSpdZWCFETiNSZI9kUFM jgfnuc8Tvc5HdO9VAPAWabI/osfyqusm36wl+Q5HW0/q3Nsdm2X/E5SB9OE7trMzGBahsgPPM7hL qZC3bsmn6+2RZ5WEqFD8d9oxGACaJd32b9figFGur5z6XPqb9DcQVNpzyCNj9evkmIpm++Co6P0i +znnKqdl6ezHuBeJ+tHoK85htmycyJXAFb+giwsXKfgb9/pxwv0YpOJFJgIAHIKUJpqUOWF1X1El 98u2lBgBU+pMqbdSPYVe6JSkr7pedXerduFUS8RUcz9XWrtj/KgGBlOHp4TypMGTGrhsAxkbcerQ aU4oaJsZagJNZ1DTmVfGGteG2WWjXVxEkQ0HuZE2AW6s/7zCMzGLvqUV0IOvtAbXopwtj7UE9cDD CI8EmcvRb1JCypCKNe/1snHO3OIqYLR49H/7VHQtOYtIUxN9vNUW4+tXQMN4P9eu37r6SfFrLAOb JwBwkDwBAwqK4TTDMOMjwz5t7egGZzHFEFXI46sslw6ggVTif7V7OMxCcztl+ncU1SuEt6Z/whEy KEhjKYXiY3jus0D6LVtTZqTF2ehL1405MpgYrLl5UO3DZ2KMop+gQyz5Ar/p7JTVwW4PRKbwYo1/ /SaDrrLuiSO1Cg4eSNLiDVgV77cUVQI1WlutEclez7ELjlyDoIp2qTJ1vw03QNDPv+BjmH+92YYI Q28p3kTYrmOCuzTMwDyZeGCOl+VunkYj5395u6rGcRN3tX41fKDEpUIMnpAmZILl5Tl/vdb9DwIv 4Y60CumK1cVQF4llaj2U9MkyOUG5yEcKgGKz0Xkmmy4jeToBlgwOSpssyoZD98VW9VSfVAk0aw0+ pz1ra2d0uKu+g1MlbYpx/SmLRJquo0Tgo6zFZlQLiw0m5PFCoya5E33FY61dTB+GodfJ1LiUZ0tp wrFJRylP2ldoK91uNqESnDO4jFr5inppK2lBujbAO4twhDRigc6IOkNkwDMtbC14Ia+bihmVSQWq m4A2+OFYPEB1Scbe8H4cyIKtT5xuBldgfdAdwUMfzK2JK18teUo0xDY2zueLxeLmV1JEGi7+n+Sn HmuMkl8UHMGGFjxyLX8SRq6PrtCpSbbpedp5MkF2KqNb7DqYZ5smiOb4oECr6OB1nQpXWJdET0dH 3DHuth9C61QEZB0Zuuh+OCdsbrCLvrWMMBSAq/lJG5MHd+cYy0H+qy2/XofdznnNw7C4yMnfO4g8 EYNYP7UsDs3M7nbd+ZTCQUHayqF1HHR9xm68fBwGk9R4IiJ97dT7zcDFja7sVCekwNsOtbeVP27y kf2vYoFF4IPIdXsYUIW0V5a/OAqn0T9rUCcscCvj6Bxun3BSUGutJMsXjzhUFpgtc24lt4g/vsaK COjL+db5LW5kDtkOhKA2kmpgnSA1YAGyY++xxxoxloquW/MIk1iJKtjVMdJfaNMRbgvfk2KqGlFX EY4wVtAcFAFU7R3RztDpveChWptYo9uhbOJ3MLE/S5Kl+QnW+NqrkamKJJna50ZdvOoWdSH8jwcl jZaom6wTqJVJzJG8+ROpFw8ZmUllwhzJOjgk5sWqjeJ7ztWVM/4StC0ds1UufyGQRQCi3B1wntKL StRD0Ede5xyID7A1zYJlY+nYaVVABNy5TiqNHbZLkV1YS8viG1a8sLpUYfgae/NGiSV+ZPWT+9nX hcegrs/X8ajO34vtWoGUCEg0KeumWe7wrsA3MHLkzBFwDLaKgToOwt7IrXXhV5Yz2URx8+mnqo6N MsPBak7CuII05rEjbJ/ZnHKHpyjuQ55+yCMXeXce3EojUMzRkx2nbtQTxK4h0CdV8YbEPJlFIBLi gVM31sMVoh3xDEbp+oohbkeSWrcy4ZtMxYgKhe6Npi/12ratS0+Q+7n9FM+frGERapaeHO+Mm7Hs tNqqxZFju5gQFPch+DW248nBSxqrgnGgRWnBZnLTNeTzyqaG8wU2B/SdfZ6B3+BO62+8K/wU2Faa LaJ26lNoAZlAo33qQ/KY1x1hEHHHXVT7sbO9k29zrjcZPE3y2jiRaVzdOzDueRZEJF5uCNPQpyW1 wmV/B4OLZe4ZiQLK74NCzNHDNkfnX3EnBXD08xAQAe6WqbHO/c41pL9FKxUGB6uUHd7qR4yCRRCV milQtvf8wAxsVQ2kKkhaPdf8zuQgM/8mP3Ni0SHjU0ygJDn/PAjc4b+m44NoLvWzTTxZBK8h2VTK m321CYgGJ9jckK8uAMnyVKJRPrNiJe/vn7BuvbRsJG3cfdGirX8HhYjUQb9Q5fMWcfwhq/kNxA3G gWROfBdW4aBLcfJf+4YZsPXmlIDqFeuEIAI9TwaeEZ6o+e88d1Lo2fsV8QXq0TgD32TSxP5c39YV KG9RutbxafMNpRpebRrCqIIe8neKG+8eJf8k0qPbLui4t16WLxam4Xiq8rm9ZmyEjMIF2x43YbTX skOsvrEr0u1IVyjz6HsUY+fpO+z7+w9TazlsX2JZXzOWMxLjhDH/PV4XUZgdAzwPhbWyUb5TsG4M dzrkuj0CmNWs7ZaDMelNT1zyMCSroSYHUhla5nAmodPYvwRuXjOdVxnyRQF8Gn4JhxyIz/KIGLdh /vj6SFAgJyaqoVIB/KxtWrjEYqYXOhl3upsF8dE1yMbFvlCiWqBD0FqAtxUehWY0w0pLRoqcV2nm NWCgltASWRry7pXAhiDkiQ4w5i4wGjgV/IifqIw0jKeJQ7hZ4pyPsteyK1fRWP/mRJpcXyetNsx0 HI4XFJPvq94S/omTLAd2U6EuphzEnIM7RoPVZsHLv9vuRRR9D4qxVwT8h28Osiw4HTBEN3wMrXfS d6u7QdtrG23W9nH4kKJNpoEpC2WGSNHPgzggta6OaquVvyfFKpM+qiOnN8cSZYCLhanA0lF03nSD 3r7RSTSsePFkZNgG0YRJZtRbh8phBA6VI4yUQBOVRXzB6xK6At6Av+Qa8OD79YfpAfV8NV8GV49U PdlkDnVYMLbVw6SDKnOdkUndSBViCQqodeQdOXlMP/yIKUyMF5Cv2VVac4EikorD9+qL1oI3nLjL KQZerg81NeEIZ4Q4vdsRYP3q//qQjuQVTdxjsjsRitRsX+sBEr8Mxq8eKuHC9DXNzATDx3EinW3s oKXgDsCM8OkF3mafuQNlTCZOoQBb2LZMWpQWIAxIgLlGsWYvzGJkb6Zd7crpDSP2ECYn5l9kHWJJ nI8tv3Rh76r2gClOuAfANKONjFIDGYBRYl/p+Dad4rM8kTOp61ewAgYOoTDFpQTEdc8sFuvE54LK FXRhloiSwUUfrR6UvGaVCCoq29nH1U9P+unlC/SzUIAZ9qtpFN79UkdZrkircRmKzmQd9QfXkSTG 4K/HX2iEeUAeKPotwaHljFz/GfY04Hyy9O2nnjbQ6u1jy1zQACp2hMOq6fj7kx33cPkT2NwVYyeA +BQrhdg5ZH0fUcUBWIJfg1Wobod2mWQ9bjE/uyRGJBuY8g6u5bHGb3DcO5lPiL2N/L8kil2b4B3e aTckYdEHfn+drKmSx2P0GwAtfvIF7DZxpyldrbrsPi8JyFNVWS8SwNvvF0qLM2cjcxrmq959pzZA AqTM4SDb9Lr82wo4wPTgUHa96wuWFS9vpExFmsoyaF5WwsHyeHsvC41xDJIxAKIiYNmLRRylSDJj vKV1E/3dhzs424GE2ENNaR/2XNB0U5bcx8nI0wBW/WMGuCitIxkuxvgEpIZUhHktd30l9TsXF2Y= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/wr_status_flags_sshft.vhd
19
23122
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qn7IteVsnZ/mdHCLR8tB/KgmTn8ijcYuBtDLGh2oUVKuF3qoFWhv7eC1IOCXLirwb60qousghfg7 0xqsSbRyrA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VgzxfdCZunpPyUwqbYGeC3ulpMsK7w2LNEgFOrFKGlFGTp9v30dyUA7MsiKFgCrzzKT+VrIPwMvw QxU3GQIE0b38WJ5xx5bDenrFuj9fMfRnJLJFcG2V0iBV/hYdVoEecQkZyqCPVfkUdjfKW2nQQ9vE YSgHM9qDx8fLqyQ6zAA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ig4g7vOmzvtScDRtVb+tZEnSyg+feSk/Z8usEB/u9AljT40pDkFhR2JxLDYn3XXgfKo9dhNCFm0 whMJYjKNylxxgSFkNtQwR2XIg0BWg/XJdnzmvhE+MtmxAUvbHjuEhgVFiobIjRufLvFlBirtf174 Rb6IlMY8DFzGP8TNtNYlVuQtzXS4NvjPSDwmxdLLBUryIvh8XgTaS4XKcRx4c9SU8usSs2eZmKp1 PQzsFR6KYhbJsoU+KNdgC0qr7WxKSf9E11HFfNp3O241b9T36xgfVJMNzGcu/ZHXpRemcPttjJFK GMln0o/DwR0gidlS+JLK6pgrPDgP5/6nmLlP6Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yE7rDdP/qWpLchJqOpJirpc1zOl8T978Yfk6G9kBcFGYD0r+ZC5agvccz99iMwduJEgIxwFmjnzG 7g7dI8mK6Rjj6eLbQ31Mhsmq+p5Y7KQTNM1pfCzFCw+oJzuBbgsBggo35NClB7Hfb8DM7OriNRWJ U8K86UkzA2Prba4TIBs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BN9F+vWJYtgfrzbWbiAE08ecWOdWyzeeA+i0U6sGshkhExwtl0R/3hfy5ttqQZECat07SJZlP3jh V4CCuSQw513kvIfiNR1n8KZK1ODiyg59gOwmz19wCVgWfDfnfDXmgYxf+0derYmc4F2n9+pXRhDQ enznNCCvV1TM+SbAXbMWWC77ZJDkWposT7aeuix0KzNLkoMsiFOvzPJVJxWsxkGPtD/xLXraVjuo /R9zbJjLpYz0T/O/R4G6FwuMiIZFlEBmhA8YI04Xnb8Of0h/udsHa/BIz80Zs9KgMYw1jOPT6P6u 7aYcNrAi7eu92a51ZSDtMllbDqQBzVGgrUZg9A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block MHoDEg5HKtbJQm4sK1SpIfR5UGuP1fChct1ge0FTJ9uENwKWzH9DVy9373oZu5dXZ2iXujcOQkUU yGylArXwOlj5uCSnYXRf2suPORRilA/0E2ysX6/H8qKxz6n24/JPJNg+ArO9aJJyjGNO5IGKyZfm chzlSKyt6KixypOknWRq25rBM92ZcDeBN8ExlM0nc63Mrq8YBD76IIXeex7YcfXvq79yytZMKbcH IuRNu7Mxqyg7C24I5jTAOgB/t9PImRs/SzqvMEQlI11Y8xH8U/V2/jp2qzEmwRPa7T2ImhuVnVZy YZhkH4f4dtFyrE2i2T4MD4AggUsDFkqvqUEzc+K9tQWsqHSkwAGKH+vBIJvxFhKZtv45p8N2qqGB Sv5ftAV1w4COuxq7wTCJIDkwlsm+qg7o2za255FBfBGGbG2CSpWxWyBk0eF2UhMUTFvkWKQYQ7X/ EAmgaV+iwhZTckU7O8qcnier/XGNDTrGXXki4kVNTwyMsAFScs+FDM5Xqrs2C6fgs0041IZexgyu ubQlRkOzbJqsFiNLeV45OKVnBxI/NWYH8uQOQeqo5byb3j+8reVIOkRDFIr863DCCmC6XWIH40zC rjl7zQYMNS5ZRXEfJ1bjsva+5/SHy5cFMuTMuHBGNPuhXA8t4NFjPImC/mWco6+4cT8YbMy/+xu8 CEW7FlIvI+EQtyWFLT+j4ThtFcKw/72V/Z1Iu3Oi3WBjs6b9031FvfGbQy5eIy0WcWk4p3KyrOE9 cRcciV0XoS6fZB+a+lWkLoa/Pr6B9WJRe7gCNSW59fJQ9sdUkpsDefqIMfiJtglVSNSrCayDiHnE G39kPtzmf7KIIy6IFq/wBZGbre510AbLlbDflLxlQXjhZhfE/Z9A5yhQBbNtBNlhBr2CaYb+O8Xa NZW7OBRUBOMwfer3Jv0IG3kqspnu97dkO44HiZ2Na1Lt9WQmHi+4jIgfOExJHRgs8MN6x12L6cYd Knv8aTucM9EzEFGIf3CxMHDDHH2Ct15jNXUgxQyXHBkMfeYJhdvolieUlTNeeyXXqEN5dmic6YTo L0iN/dXrSoZN/4lc0FtFnCh4lfgUBmjjGTWTnaoAhRl0ElAfC00cxLtyguoWOSgom1baxDnX/tGI inoynxdyfa/gpCGeAFyhca8sKp7fHJjcCHjJVCX68E6a38WpM6gvlr1RpOQndWKOi3adKBvkTLbP t1GdLza8VoW093F+dI7ferEqFWWBwLyFfZxzicVdXcoFXkyoZq5T9LG6nQLsN9Q0vzk1ME6IeR1k 9WZtxrdVQJxnRN+XWcikuJLWTs3So4R7prhFcla5gB1Pgz3hg8wFREBB60TpqIXOEWP9JIevHvch amJQH2yXa1cy8bxPdUBMJC0QNYFBZt3cqnUr1TRfCetrLqfOyYhT0ItPIMVWMCKckqvyRuIyUjOY bBhEcbBlcPSgKkALdw/AHGTQmuHBIh8OWsGgeNnoD7EQkQTLfLq5el041MX7NYjPjmXH94vsTvWs NWaS/GYiGCQtaoqn0eBXKkXrhn5DRLvmFci2n+IBjVpAftPsiOy4rJUP8Zk/RAV+2lUH2kRM75cV 7ZrQWLAtfTpQYL0Z0eYOYwxDLVlv/Qz/++uyajfEro3RUtVHKiHtfoGMp6NmLwUOrQZ0ZwoNyxvI eyzSuSl0qqwjO1Z+6V4ozkGwZPBJaXTlYZ8niFaBdFImcCyB7EMf3LynXboa9wrKMqaFqGNUIePK FWI8NVyTllF5Lkr+Dr/UdPkCi/MeFcv1vA+Sx4gi4p5LALLdkVewe+g0oW8i0wVehNLXmfshlgmb Qv/+aGurcoTiWq0Sb+UMkPdNEepjYDBCON7kUbLeTlr+wdXZvf0N9s2C9i1BQM+tp1FYV7VZPhZo SmeiDWv+qz/Vdm/g9wcjeZc+3d8Q0EbLE00cR6zHWrA3YIKb+vGAse4FwmrfNZnCaynnHx3fs3dj /wrkn0TRJIKIb1Jna+hmAE8p7GQ7B+ZLOs1OPBWhtO+QLAd0zDAt2Bt6yhD2uJLMSQ6UvHhTl8/W gzQ6ApXDlZOo0WqFTmHXAserDMz8uvZmdvWguAiVLctoMi0raC5QkMDGHbD14Cre7MRcLCfGT/AD A4U1TeC5r/Zsq2Efw1ZbfBdYft5wjwK8c2abJcjGqbM9Bh0Sao+sY5hwSmdTbKPsIFi36mMceBuz KU33xtuQHrnYwg6PjF86luW0NTYDFEnyXSe4nAdSiT4CAEgx7Z6N3A1emygLFAbmHqGDxs6MGrzC VFwR/1ZApzAyWYm+dIFgzqSAut3T507m+PB4dAtJPmg43K997qcEoIu9hPERCZeCBBvXkASh/mBF ry5IApITeSPyAciHAwPuEBroGbA46Q7gFR806JJdlSmz376wE/XV8JF5kcEpm1RncJGNHYwpAGnw VdGgfSrG5kk7iV7Ro9d32ReT1QOaRWCaNKeqEjdHzw5eGoZl0tMMSJ0b2XrffeBFspqKulIUbb// OrDW1LW2UewbvyHBOSoW2597rVQXroff5ia+zRdnV+2GXPCCCZTBpYAYeyUHWE+2o6pI2UVUVk9V htojdrDV5y2KU5sq8qH9H78sZQ3R2/rGvYtX6f0sgB3qaom8rsTJf2XjGOAl4hlNvqqUcyzYj01K WPg6jnkKzgYK5g5dxi//js97H1INOZVtlcBbBqT1xG0xc1Z4s8hHKe4Pk/lJitSZIuHKZUQbIz8j IZmHNm1o2FS4SCOT/VU6C6pWCMBOueJrziW2JD58K5IEPqj8CFe0kiL/2vv9wMHsZ7T1mEoKa+iE PZYJFfcBb/hE/Q+hpNYnIetTLAbBvwhFxZFUwgZvSJx1Ue1ssduPG+XwkVbCf7Wn5pHP1Iq/7COW C06HnSOOH7f6LLvYSMXJoN2PeZDTFTuuC8YFepKX8sRnolLIBOGgSd0omsNHrNHBq+AOqoI3VZiz dTnhZwbhhKvL8JG0aW3yzAZDoYKW8iL1a5gYEv0fCbTIf8kjq13flRokA5VADGyns9q7/1fqOQCC X1KY+1XbHX5FCkA42D21nAkiCnQJ1GZjjEtfm4gC8Kgs16DMeFF2dPsET63EjbM6XtG0yvRXKFas jOn77q4eL+mOYpXZsoO4ifDdMY7dy029F998f57jM9NLDqUpEtGT98bxPti5SCwbZ8wLoeyz/WXz SUJP05q8GG6LJ5OlZX6vcfIy8FXaVGyySoFCYdHT4HoPTaMXWCXN8+JeiwJw+61YR4Cx8kkBlSu6 h32bsEMwEfWy/1XoEQWGU9RdXbtOgQu3POLvh7MtSZLXj2QY7gDzHpR2AxU3TmxRK55lSQIw5hPF wol/L2x/DV+ZsXB+IkGScsmFfiycTgVXk1KLTTz56DTTnvIMWMKnAxBU9GLMQ4UOjdAwrLGi5IKS lM9tJkx3MtX7Q2B9xL4+A3A1QDq0yk5SYQts4yWgoPgNzW1E8nO7FseLdY4jh6SiZ7L0ihG92oeZ bJMkX3ySQsJPwhuNxe0cDscyLCIlEfLbpTkjco6HsHmpHHFA4lvMU4sQXS89xFlHq24wwIc6IRY1 bj9GISdvBEcPVPmW2RFzytzSNZ1tPQoFTkTGkceIHkwAGFdpjB5kz8O2to8JSc9PaSliQ1soF3Pi PuHtDhKpSylzcRbr86QH/JKvU10J++ZnQdAid7QD7kMW3kCuXlweNMK5YELur6AYvCSWB9rFz5f4 rC68kcrBXd5GFrXELaZSNk4yg3vzH+rfBbWg9WDbejOo21JtDX0pp0GOdDTaYToAMMEZIn5Q2Dr7 zDxEedBKrQxBERRKvlLigZUBImtLTvRtpUkP0YOVDZQOEKXfGNAlCMg9QovUU6y830Fg83adLc2e m2Bppmtngd6k31Kj3Xj3rDPJsb3oAu1asW8R+6c+LWPDuFO/U7FHYG0NrLOppePJK3YwfHBwRNVk DWXOSimslcALtewBn+BpOr6RxGqd8uA+GPvQwp8f/IfoNN9Q7jRHdPs82LffU2aSIrFuJnh3UoQy h+Uo7CKeOUpKOpPtAeF/eK9Ev3DB6oJdqhHocbWJwTXDPm5dSjz8fgOqePzgmBh77yZPMl2aeTL+ uhIypmgw3/hLIfBgII+l/TyqV+oGYYtVMvgqiYp93NmJpwKuwW5jiYta2p9cf6cKb+wflEFB7vmR dF95uvNWBMpb9mQUcivJMtMk0RI9O4f7PZq4IfUEv5T5Xw7w+QUHXDFDCXGIt7STqLhjbAUf8Dk9 UfNkQc9LpzHHaESy09rUC57ZfyRyRQq8ZcDewzu59zrh1SrgK5xVLXhAcllwsY+Si2P3KuaARiI3 aY6B67h2fETv+8FfcrShtCgTGgLhN/QtToz5vYjSM418CnceqOS4UuHzBv0C27/UOpOd+ZNhmRK+ jsPOvfYqDCYwlq2tm6X19iHkKpFeHY1XVHKWE+SJrKGGP6985pWbaIAvOmPyi1AbB4EggYdgBrpD 6nUUtBfOtUFuP0ldZbiWPU7KkL5agDQv2iFEA5T4NtyzmwNB03ZszppsS6oHC+zbaTKfWbVlfJaJ pM3y0lKkYflYtZ1F0q+HNItxZtTwNH0pok7hKPWp2kojkThEDcivXyGe+XdJZAUO3+U8CKxaLPnZ 6rvjB6tc3zXReJEDZ7K2MaSLx/X84H9Y/r+weBjBh5PIjm5HZWJc5jlA4O18pYrGgb+I4yhG6xK2 5hTgNji1vi7HZaYk+Ar7O3HvSph4u1NtawoSLKl0FSX9V5i0yXNpkiN7ZpM7Unc42AV2kzm15BU5 fhuJLFeGuQx0jwCzxoOrtk/KCv8RmUks6vxtzyussCYF2loC9d2uVYIyfzLFheBIPC2dmEVrm8xW MyfL1NQXEnKnm+bbeHsXKeTiaZIchQRfOWlYim/v+MX1VEQQ+m6atT5qZwtRqTZXqbzn2wXhNEZu +toFCPiQNE82CHAFTEaxBpeA8vkpogVmQfYOEzoIiswMQarfNCu76f/70fVmgyGuDlSQl6gPINQ2 SAbaICEWkIy0AErh83unZjztH6qC5UV6i+UIPnzE43Dtt9XMISY7i/M1UXd9SOcGF+7q3DRrC8Db p6P+1QCgUD0FFysmZsK4zHTR/O1W9/B0c8SmKH+Mdl7BO4Zzz/TCD4CHXr2wKTkic+BoFWnocHvj 7WrXJC+JdC33I94AZYqAf7swWkX6hLVwOSAyvj6yrMs39apmzL8ZqLK0J+WU8YXGgzn3yCagErci UEOz+t+9isvCUrCcqlQUwfGjlehxPYrkbX4DdoVcN6NQ8cbWnSJUIZGQpzgelvi6SW6JLEC8pyQ2 lrWxjGocUcbAp2+LUe767/dyZKLwI0Ekgip4KhTxVZrbE1orIaYfjiJZQBrrdbqTd7YplWKiR1Tl JACpFXB+82i6PV/yqFltHlz/673r/XFWahyes8btBeF3E4cXwZlN5J6RPmNiK/GTdx2TwvG34fN3 D+xEilaVwVk10uVZyqIY4AEuNlekpxM07VlKxocB67iKroaSoqjfdhble3IWmaRdJkdKQkTLm+X1 YxjfprGK6a0k+fd48OicaIzvzN0WpOjkdK4HQJf+WmfowemSeZDW3jQQ5IowdcHCLcZmiQ0QxalU Wvdlrpalact+Imy/4D8w9Sbz9oywA8+jeWEpOWMHn8YydJQsk+Dzrp2W/Y2qCAQ8LslZ5lDxKYHK YioYRTEwyrqNF5iOVdm6YLf9uIhp7k4YU/Ibi1/sIukCQsk3NlvkcPG7blEzwh7Dn/Bg0crlyXKo kIen6kdEm6UhtOkksw7yz2I3pE2RukEJvEiAAIAtyEO7LERNO5+MSOeIFVAEF0xf/GDZuWHYoMcD LaUvyttyP06Ruy1v2RnOOtEOU9F2EYyl9PFrfv88IyrILZUsWmHWJw0O5np4QcrXv+mnN+23x/w7 XFobvscj+eGMhLLR/pmW1G30z3txdX3r1vcSdHUb9NFbM9S9lqOwIb6aajxJfeJaq+gshs1sQJX1 OGOYL7C6IFgcQYXF3g9ouz0PBtjaZDrPJKbF1e1TpwsI9S/FDQS9rK7Tkpx2jc3SNU54WKMctAUv 3DMZ4LzjeAuftzjJg+Nb9LKfrCpgmjFbB87EyLNtGHu5SPvX4FwvmbWk4BnCDRUFRETKNIA3e0Qu w+6L3+IryIIfri1ROMJfCKw6xZmGkb//78uwOvIZeDoUku456imXS9D98lmQrdut4maDH+QtTxhf AfOoO8r/tgP4XchyekHqh8BOA7CeDBcsxZJGFlNRLta9Yhjb3TAkgomx3eb70FcBDZfsI1k2J+U9 DKuGNEy0VLI7UuIQcIcT7gaKpDve8rMLlnyLh8W773R9LLE6/8hHfyEuCCSMec2YvIQy6E0y0YyJ vukXqe465UHqKY4Wjsb+H8hfzBRpZxyhNWP1lBj8gmKY4JDUk2KFyFnt46WysPUGn1kPzHsnpYSD Mkt8CBbGUbibYa+3xFwWnJbj/JX7Tg1E1mw49MP2T5qsYINet4Vwv79MCwjUqHMFl1wfGydnNER3 mn67ZBcdOGr9EpmcXgtFAJmjoZr6H9nfNHSabNMXAQwmfigFY+9ijyqGfkrWsB9f8WCGmuiytbev kdTfeTJj1XG7R2nXN/hc2bcnQe0LG5i0MAnmqYrLWkNObEnSkqW4liBwAI3RP+PgWxyggkyZgAGc +pi7ckvTay22h3uLdOIW/JFRs74agxg7Y5FYyUw7WhrQ6WdSqJxg1zvMxLfL5FkqoBWUEG1KMA69 wGXHZ2fxmoMLtJ6sa2kT+Wdru+x6fOLiK8lgAc9HAOKnIxnrWDGlP/NP/AzDyXzGb2MHCk/ChCEx uuREokBlzxU4ziEvzoDdBWM+e/UBTFgesF00RdhotI0oW3UsSW7/fdYid5z9kGk2NjPx3CMcODEX VcwkiQ/OesPIoD0gVb41ODngCtLnY5EC4trKuf0CiYd6N3SmouLLXEMqqOXSzHvQ4xr2oUIfs1Sz h5Ltshf3nIq5cDWmV+vyfBHi3cgDPKJJXuZ1xznQE453Kvc/vobkYQE8/jypsslRoRJhC/re4C3L vt/MyMRU3eQQbyS4YYgYjy1rZpOe1/wHzYMcxraXQXwoxL+qfO6TSYfu+9/m1JIYnBSGmqD78EuD k7QZ01rHgfFM3BRBXYTdkvqkioL2GVLY7mp/8iTcsTn5CfSgpUyvojE1hu3CE3xH75CoZx9ZNMt2 CPgjEfmBaogK/9b0nm25Kw6ab6XUGxpB7UhQPFEKeWFzvboCK2aIVchPOYlY2epFLwiUBley3IQt t73fwbUm8X6aUwYut41U2YJUbPIPiVC7rrLBpoY77GhsNBv5UphY6vkLkTx6R2Cm/pb0BlHXEz5N 2gdrCJstvAYUFJQ2WngE7TKfdOQy9ELgpi0p6oE09JfDAfvrvpYK4Su+osqmbBMvk6jpy9WpyMq8 n1h22nV7UVvbB5TQt/LTuxhvDu7SfVzjlZAyayb4rvTBFvhPE3QMsUc7xHDKv3miBV6x+OyjyQdt PeRfyJGMhRh7ulTjFStE8nL37IFPR0FjdbBL/v4sGGouEy2f4AYjQZGoxIBGeI5g23F5iMVfQptD X8EcBYSuPDg8KYcwhWBUoaSDcWlrUnCP/i1C8MDm9KjxXk4TRTkGIuLWHbO6gp7th29KO//Kfo11 +Oi0XR7/6V8alF/fxGrhHcBLiukIvHgOUbGUr64Ql4MXk054PsGWb4YWDN5cxjdXbln/AuxTAqij Eh59Pv6zqPsqwQ0F+9HM4pz5cmvpu4VZEYstxPJRZdsOEH0B3rZpyZRuIhJ9aYTUZ28mDAaL1rRN RlPg7qeBUXVj52vpqB6bSMciIsLSthEqDqECwZRjhfYaHQK5T2v0XuVBGk5PV+J6/wdcKAerzFie tg2tCUAm/o9bx59VHpyPurZ4CiOAR40SX+8o5HlvO+xmh7brV8PUIjVTCbtuctc2FgAQ3OchJRPZ ToIaSNYf+w0S2VKisLGUHZonPHQ0kwsNzSl1VRvKXc5RYASGSMUByvXVARWfBvcgX9c1LUmlzZoO o5VSTtTe635rDHkSWbctkWd45UfSPkHyZ51SrXOeZ2ZxfxEEvfgaH1nVtFi6VkQXkcLue9ReRAhE Ahq01oAP8N8oCpRAFe50ifoYvWUqH56ZMeB+fV+CRKn9fn/nOdnAmNnGb3TGcsLpq0EjixtOgFns czMwWR2RyvNY45qzV7GFRXQlLbYifI0Art49GgqhNJcp9KKg4TsNjA2nNlUif+5XZ3aD24/ZtLIY iI8Tf6yteGex8zqf3zCVF68QdGUnhxdspxG6v4DNL33vM9RZcAKAvu/lw0y3AX/fUoPrpRm+LIMp w7YhqvXIS5ls5srDRqovOeWB4MSFLqlOLKz0UkALYxdFWp5ypMyi9Foe9NDJjl/pMBIhdMr93Fu+ J2diwiLwR37FNLZIcfNt0xulZhK8HAtby9kIRTpaiRsTW2raAWaTa9mEBzzU67MBG8mN4hjYuLTL oqDh8vOfB4pGecK1jSxG7NiMFGuN/XMFyxUHU8Qx3jjUs2uYF6s06Q7DId74FANm/jneoUnTNyEG uhHwyZCQ39m042OVx9q5I2g01uCumARgWgaZdggVs+viZUl6ze4RsBH05wxKrBYRk6VaK+ciUSiU YhEx1C3KlQJ08vs3sgX2T+NSye1ia6sowPHRodaVyhAw7bxD17G0xP2sI+KT2ZU76+g0SRhhvGxx KuJ1lclUnMPVDqWMnHSX5qkUyZNNqJ1FomTzhg6zHGGFRNuoAlma2HBmdWk5gyUHCvwB/yoJlv4J gP+PjtzMQYVh2c8wVl9KAm/9qLqVKgQIqwPuWf30/zD/GCTygBQnfkA0woFxzeemdwGDTM3xRwWc rBp7T0fKsEOK2AT3ZeshaDe/qL9E6n2MX4Ryq80iabZ1VROpakyv28eEILPH4di/XL0dMt3e8gqY u9m/PRsCyPGr1x/KnpC5IKfwGdCta/gPi9QuNDpKD7VApe5DjjjHRa5aJ08JZptjYbeik5fZC1QY nvhj8Q0E0dHIst/OYOUzxzj/L5J7X8AAg+35xkLrC8KvpVgtVVN+E2bp1Px92/cvOZPLa2rURZBY kgDAZ8v+qpNehNUspi4gaXqBRhNxluf4JFc24I0S6/V94ZZuPAn/mbgK15WaI82OVHp06aPFSzl+ UEzS080PLr37WRuOkQ1zT+KdFh7umGPoPtxl1XyPkSZ6Id1++8zOVU1jEe4GZ7frT7vLNvWwBAcN IhA7zluZ+SazbuOHjhZnyk0nuuEsMz9J57mrxduSiMmLCg/eR0Hl9a1+bZqwWaVsYKjkZSVt3m4M L5PHWKyq/P8hMrsH7JKGBvyCYR++rfrtWSD/dK+qsYOKBQgj6YP4T/2f0ZTS7bhmVKH95u3xwBZz yXxgaw08pCJkyFB0AXX8NFyKMwzBERbYpb+XBUN/uU/wZRGZINZ4CD/L/Rvv/w0lWWPGjmW5D6Yf 8QMysBjm2MIrjqTZqTWK46cyHy2dfAuY1Yg5Ie8GXe5yWkmLUZhXtcepBUfdVdgHhk7/Z7VfWnxd +Dx7JEvkQMj/RAf0rauj/Ys+zfM0n6OSPUV3SzJyrMerCm1guGJcFteYzoFJMN6+bB2+vCioIPL5 yd834HbdRK8hhd/1GjgtddLXaVLQiVdzwEAhJr7huQ4rWV+Hh/cjRL4vp9/eJTDnJdrcqN0L+YVC UwRdt4aLinoBMy36TID3PTF3PSuQviIdTA1YG1CiUloteH8DP8ORA1Zb0xHWQwvaGKy+p5jmDRyr b24K83Zi6UMcRVd+ZE+NlD6QTLRjsMxCqzCDeGV5MUVCuAFfhv1ckbigD7IewC0HUm1vLGx8LueF YU7nfESgguPtVuSUvIRVuE5Pkp3fNpXAxciyZEebkwwJJE59OcqwxZ/xGrqiWkLXk7U5050DVpXd ccfI9tEmbZ9/p4Y3oDvziSAbpNOWUJLzrAleY8JR3/6FJfUXEAMqj/DDCV8/1fGG7NWunFTlRgsI FfyqZOiANMovFRwNLmIK9UfMcyorUiRLuP0R3Yg78AesNg9M+fMXD8BLx1eTp9GpVOkZBa1RovP2 +6Q3yxBObTNEJEQwTY59Sfjfn6o0tapcBYxlFHzyT1SMka1iP1pSCEUnOsDWp3T+ChlONdczdbMc +LfCjNe0aIEVqki8rvnlIc0Dt2PxeN/4kez89lr402XjEyuoetXUc4y598eL/+18SjoNjsmkPyRv o73HK9kbiCMHa1EWgzmp1Ld4P2UazAQNIqFZon/p2fAel76tm6n8I/FjFAYHV3YxYrSz/phkszjB PDXWGZbd/bSV5zmMVLsfALCyoRgslOBBs/3fazWT8uCyC6Eg1toozqOv4ti3oSY7ffQq/kbO25iZ bagijV0QlcdlH7s15Sz2n0a3ABq74/StDb+/gFejE2l+89vl9yMYn9tcOuTD72AuN4djY/bGJd3R t8gnrHJetz2kg0Fl6Kc699IxUddmAzWbXqb7IZFJN9Fej2ujZ23mH9KnRGUyzzxgDGIKze4pFzly wseocKlLpi73hWRamLnj96L68Q2I1/9+VcNh0zUX++fgcvEuyG1zhjwtE6PKDl8X73XGy2kZyvGA e9lxamyRb0RLF+W9KlvFuUnHna6YhAklv1j1ovXl62zI3/XryFuysgONAVKU0lTtfl3PslUSydaa PDQDwWyaHBl/biEQDyGQrD5TMIVRe7V5krDVAf1z9NPn9V9/CILOLrYyhjXEoFKrlNAwBA/Wx4/p m8qe20z47t+X5dGwXu1nZufV5zoVme3LuAHaimhJPDWto5ejxOIisjQfZ/UATxzv6TNb2IyN0aZ8 9i7VLucJwk+4hiPwdiaKrH4XU5zogHTVUD723y/cwK/n59oCAp61Gpo3sJ/sKPzGUzTX7rKeDVjk fGKlZOEf1lxr2OKaobOrbHcVhetplMqx0czRMpBzfvLtktb+FOAL0qMjLrZmaDa2oci0od1n5/3m ZD2+czsgL9fHG/MGO4nqsowazAp8a4oTM+GmTeeFM5V887dxv2fRq4I1Fzd7CcZRCmIK7RazCCG/ ON7Jbp8Bh8WJBv+vx1+iVKp0cd62DjH3dnBvxV1HjQxsvqw87wFrCR/kEPdjZJJK232F8724KwrY Y5b+/As5alfLqul42D7/09eYJUuaiciCi0wB91IzYDfRUYYEkzKnMwWmuNMoDClHEnmcFNY2qLz7 wrb4Bd5AxpyAXbc8wbrjmxVvc8mf9khqY7119Pbb+ON2Qxh5L4MPsZ0KtePgvmOSieM6XdVMLV6S A9Zh5CuooNk0Ls+UuaiqjquQ5PThAwoNS5hJNlW0qxXV9iHZs7OPISNyt7UaCd/mtMrJzCdywssH CqZ365TvlnGFMujm3nQIGIjaO2pwl0WWKSbJWWCozIYx2cUqjpqdkCv8jmN/XsbDQST5IoxvhqzY 54RvQXKXV8VOMEF9PFjNrY1DPTWOOW3cL81DCdancSAcGK5i2U8Lj/2gP8tm17E65Vye0CyKpdqh CLqMumNk8xC9GjJEPCEgyHDrHDjxKrtef6BoiuGncN6l44UDfvzLKw8pP5itSn1s6s06Z2IS3kOY /phtd8DOmW52a5YXb1LaDodXncTNnoj8+jTkngR4QgAwGVWWCA0JWrT6Rs3xvzkiqKQ3UcooET3K jR876mmKTuJCZoS/TsTadMtIQaXWCpfB+sxDi0qac7cqrnkwzKam70ebtBaDnYBZ7ntpeLn8k3ca GareiAsvH/S46+irUfH5iSotB/nfbBMlT7fjNZv8EranK5yqDEcUTc+jgaDMnmvgYEPvZhHdEMNh ZgmoY5ZkB8ELxdYQ/vN6oCIlig9q9L9XA930Y81biQBDKgI4yZn9k1jSMyGjH884r9KqEUSSK4Vi iOM9QvNCAbQSVVGRQSQYl15tNNVCqT5JNkATdMC5CNbwSEBp1heo+LVLknP5O1uNuy7QeJv8lpuy uib5p8/XoSRheHs08fPuWqWcKfMbc1FaOclEE1gx3vT+2rUs9QHV0T//xjtodTFFBq7SouEhkNDI isoAQEePM6qTSFeuCrPKlfKkAKwXiNtc+Gy69pv/IY5Bt56buQ2qLKQH/ylcc9zZLmxqnS0OhoFT /U/vjH37n/C/29enM6oBzoP9QL1sak0/WYz/BBgkDsKesBxW7IM29KzAgiModvRy+jSAOTeARUvg ZWIb835mjmyxObunbJtB4jH/QjuVg3JxmvzYdCJ5QVtMMwD7PkJDMGot7BB1tK5zxtJyww7PiWfn lria+zCTzlPjgUUsgv6yfVdk6zvJbkdjOWWlG1oAnTsKL4kLtn6Pxj+7khGjBGVg/9bj5qqNwjUI /YyJ7iLH6feHqNyFEMHs1YNrb0SopP0TGPSNOdsXVoU7smHZN1nMIGQcM7QkNGm2wI7jaX/w8qga s6knujMQjZ4zIKj2zFr+lp3EHloIAFYFN7OdiUbC4pA6iV0KFOlVG3yH1Z1Zg86GEWCm0mA2lDCA ON50qSpBh7uwrJphZ2TKwT3ksoC1ZTy26MxBteCwYk6BZsxhh1wqmNHQCwPOtiCYk4JnfS2eBqI3 JV2+JqibYAV6JboGkG0honGFArhx9jm+qSKApDEB2Wx7hzdaXzXQGEWZH9vALCDtelXcY56OCcOQ EWjSyrBLvz+F2R+VlStOhu+uTDrxkuufDuLwmm8sRJIcAgeFlMQDrgn/EJW4HvhEdOfxHkSAOB1+ baEPdFo2c0U1lcXmoUhmNbD1GrYsiEu2trc4EUAs4c6aFk52zMMIHSWIpRROo8l7MbXyHSkdc9j/ VtQcLshCE7tHpNi0MwbLoTA2N0iQOZlR2vub+PUEXpY/AeuzkC2VLZzkTB/l581B67YlzqG+nn/g FXkdIanLF+QiQft1QtzfxFnUC8Ji2OrRHd23BPPQ6crmwpJR8kceUnnd1iSYUuGOEjl2cm28oCV+ jwd+wlH7YazBrT8pYoYF2gnWQ8p2zJTML4L4k5DvJKftqjbmVGnE9PruMD3w24xreYP2kQIghasH K0jotIglIEz+YYEEic/iagBzk2IEz7EzRn3FmUC8WPIa95d1FnT3SpVbqKEp2zaw2Zcywm3dmq1y VeMMMQMbch+H3XseHVs78ROxxjg5DCJt04rUq6RyrgF7gMgIwsa4nJlHwqzKdF8tdZmwdQ/0BCAL aA6ptMDBSdlljkMqpB4g8+DeEEbCePhj0OkRk2Yvq/RcdXdvT2tGYdn5XovCzektsx0KnhC5/SdI vAXqEadvIAHQyv7V6u14FXnDlWjDV+tE0RD5ww+4JDVZeOvWwrHgpEtGLfyjquiq2IZTNwJGj0Aw E5sUktAEfcCGpXgMuYQIY09dQxNga5ZzQYAviUBoxToLHOW4vdvYYiUs7dQSqEV2QdAZpp5xBZlk WgyqSp+5KvWa78+BH2m5fqYIFeOa992WSrwZIUM2S9fpkFhP6VFlyZ1jFHR4snPUWmu/sjbLl1aI ZFO1MsgD/rd1EoolvpNmUuQ9WiFfvxB1TKeZyldgXwoKjytt5GrMH+WOwFd6fJrziA4p3dLLhQix olSW6oAdpEuDlig/yv0SYFbz0y5Jbs8xFR29vn8SjXp2jidmkLR4XmfvTY1sjKSlTakzGQwyLhnz fZVrk4tT5emoHRDru0b7lYL7ISAhjXJjBN/3SogelxWTEkg3nnUdQwLHcdtzAcMz66uK21IZjUWI bbzQxM1X7VKlcJSE8uqny1eUkt0RBQ9hVWkbzAYqxgicrbZW+8gpkcoaaHJau3qJwtpfnuG7Z7mt AlYR9b34n6A+IO+qNdaVv6gIIbcuoZw4riaoAAjQw6QcQXHhDSKDlIUDa8lf2+cH94INnYmpL9/e 2GUCOJXrsYFWuqy+Ryz4MwfvEDwlQFZDhKPNML9DH0hd6zDsE7uX5fzc9E+Tp6h3qhq70tVKIlxe 7H1XixC3YWMNvhzHdWZSZ9I+Xv9sisczfjkxkwBL8qAfAMFPEQzWoeaqynq6u/IzRk1y1FMuz3yr J76kKDRqjTZQNENAinKA6geLBjTXvA5hhCavtkQcRwo58cPJOhepy7SPaI7RcKJ9JPpHi9Mhsyu5 ZvQmY7ylb7cCoF+QCI0KFDzEOeer5g8hXOe+K05kmrnRyLr9o47dlx84g/+t8CFXIfO+TRjiQxR0 qmmlvEe9L+S2PrNnsxRn29PYavtVK6xLspx50yNaG4dhVBwCKqEYlPOHQilyIYu7+8+RxdJUwtg3 hCaGnL4U4en8JROC44q9MCfsxqTMB7g3e/g/TN12/mj8stDN7MvyeAvsbGl/6x9AtOfPDAPRFTHq 25/0JhcJd3wnKMTuPky0SZ5Dz4YWYg3vuWFBfbiY3DdvkpehvnC14cd7h3tX2QMw+L7iF2prKASX oWOt8rTQ5J6uv2eDeupWzCS4s0guym3v3yjXZLoCHYhevMDcj2oEXpSaFgy4vPnxgILeezZTjlBo bc9RAcv+CKM63YzCLHeVKuh7urolgFs0DAdHiVfwpE1hCWJ6GFtMOkL3doRYjMCEJnLKDIp+YR4E DI8pIOK1KD88Hql7HvBS0AG36gLEHP0qfQF4ZjsQjzW6RuWncAr1GGnbAzXXGOYPkI7dwdPK8SKf 2HSpS9Y0sh7nLJ+tQ30GIK3omiJYQ37a6BMA9DNR4cvVoA/I4KdSv0ZtHZZtjSnqEuDDZHEShiZB vTxgIcyfxC5ydHOVEq6SlA9cCEAyai3J15b4z8/G1jh33l0tnkX5EoexXL8N7P9D75Ftft3gW56a IVIqfxLuMm6jBlqloRjKG3+dmTaJ1pKLVOtXhaqyuIDvRURHsxmjYeqH5fthdSTp7ug8yzK/QBUS 0617MXl2D/nmesSwUQWVJywR+lC+UTl9I1QzZcWfGWBNFmspq4TVxha3qHpz2KlmvY9Yknz448f1 Fu6B2sDct5BvS6BojUW83IGO88B815znOt9/5UCwzil8Qs0Tdjj+7Z/N3YCoMPchRNB5RE/7jF/q K3wieFYTSrPbCTVtDalEgpPgBThS+letJ2NiXZS03IlY32+s1UJMGajrjVzhl1LZyhAr0WcegREX QjRTfnmf2pZm9n1sA5LyAYR0fu//BG4JWVZjI4f7rWXphKAqFWDMqb3rZXvjN7d6rp92Q/2absG5 3V9763J7AphvKaKHNI8L7I3goBtyg5z3tkc5Cs1Bd9jQGAHPLh+7akwLj8gGuy/VNsxh4A0fD/Kb Wi2uYTa/uXidPe7l9JLXPfsHegX50XXw/fJa8TDmgtVbi1aE69xwYrBxIwS4GkML7ZqdIcuCE0kl G8F44MCd7gs4auZd9Yj++trXjSdUCr5Ybq1TABGGQ7RRykucAOBJ/wn++oe8FLw1YS3BiiU7LIEe xkFaxklEhoASxYahDw4i108QWBLGGw42T1J7fwuYqE1ZRQFw6mOvi7Oqb+RKfM9EUSAP9KUXzMJh WTasb0ejzHjgqp4BtsHKAMPd+iWkDmvJMjMHPLmH0aVrhDTP7NgEb1iI42oWdvfzkpLcPBv7w8Tu urYvRDt6j44UDbvsgaVUiMqxucHv1WAeZJ7Jwr2WmVn4ilsO5t8xap25iSfmw6YQIMEPPXZJVKoZ W6CEBWGhALhNhuKeo/fKwv5mmbRTCXvFAy9TbWBzY5fiPZ8icGX2Oh/P2tjMeJbcBCpLZhTG1xZD NDgazp/9YuSMQk/TzFnCk9LOMPQEUzw1f9Y9NCw0ThMVO7NeDZEMEmsSZQiRyy7JWxeRJwiGXVuE Ke6KhAU4M/Rnf6eMd6xGgY20qc7opt0J8Fz39zKDet+8G+mebCQFaIyAzQZ9iNlw8bORa75Y5iCI fzi7yeEAcRAg6px7OEbUm+xWsf0I4RBfPwZMKuaUAbKOgK6WrB+qisJJx0KnGvVQngqn4axKPkYZ WYFgjV2UbaPRzt5p/bkA9sIK8sITNf9VdQhSs/rSQOS3liK7PSJvhe4A8jQrmlsDNdnmojhHIrvA xV6MBiwKxcPnA+874DHF59ai8R2jAnMAqiaxYZRyH5yCudMIeyG0J5kWrfARKeukRiu2pBEQtHZL MArFC9fJbgFM5LJeTHJqnEalVPizGkQ+R6qQPYt0KNQh85ZL46D84hMVlZOdGCh2BwNsrpnHZjDm +PtjPJP/VY4PxW2dmdZj4Sr+hUMUhgB6IIu9ar7ZYzb/i2/eHlmZ76kpzV2swmqRwlqtLBqUBVDU U6NuBrDnAvDp2UVjfkkQHl7Dslqok/EWaqdL14EpgqQSxdmcUB8I0WD6N5piI4SYS+0i+cEL3hjW Qh4M6bWpxjqJ8zDJFh6gjd1XjnUblkAzos2tKD1w9M0gsLN+4vsH+MssDR1MKI3cmgbmsEKifauI YKp9Bl5JKt62CAqACZvBrronuq5B9QRKtqy7hU481X9JDy04fpoiYIE1v/xAd2XU+Qi1MyrgpLAs umNZdtRO2hx/QitgKplSQtbBWO5gA1dfEF+V7j3pQDiGHoI+htY6q3Og642BSTpGGWk2z0FNl5DH qc2RLM0IPlONH9WWmzPFm/kS17UtGqY7jWCCma3HlNcD5DfOv4A6EMqrxiBebpqeMmRRc5WRc4fu VAo+TsiZmzGXQ5AVjwAQr/gg4t/u4NnhrZB/HKYCzOIz2w6qTqa3BoiGV3Bu3IDDIoHYpnuoy4os wXRhzVhepTYRy4B5WtQEWrT9hNXG1pTjPOKaJM6EwQyoTbrht94NeCMMd0UZ4m+p4BOqwEI+4k8n YWzChcwa0A07mE6YdqCbdKnXa290AE7Ql6285XCLWV1gxu6tsFynRWm/MU2EdU64gz8OdxSxCbvw k84vPW2eptTJeKiQt+aIGwDQz5ecTb69t43w11k9TXipJ6p8IWEWu+wjiNOuRYGeA+YOyNtQjbfl 550AkAJfPe59JlDVIamL53lGe2uPwgXugfE9mmGV+IgV3H0ArqZnrVjhJxpWuKuqX0KTJkDS8Lnl 59OYHANuo01fHLWtsbND9x31Aqp1vchSpIaq5kSLCGfwd7v5jvoO94UYFE5nW2qD9ciKm1R2/jhe QxhTV+xzHf+nzJyUtPfdxEWqG5g76iiL9hyhvPpHw/ALNfOphiD5zPpvfkRUe8DfGNQ2/9L1mxUT DJt7MjMvzS4CQ+hEfTH19wrOVas+kLOzIWtFFrkOhou5CRWFnLif7lFWWM4N/FR9E7U7ufSd/5hz RIJ+korxQq8P5wDtb39V392404lmT39zemzybQh6Ehlw1Nk159mwagvfO2Vh4vwuWh2ydLM78lW8 Htj7WTFi85NxRkEmktdC1koG62vF0BPhQ1WHJ3SMpp3/sEDqQRCmFGb8y3/49LAtYfhN4B8UEE3U AKnIIyew2bD+B46eyluLAf/y6xFE+ekMeh7R9P+piH0Je9vHzsxpdgheypXfPFf4quOksDpcl26l QL7p/Is194/zOxXkyk34hDZQAe0OmivLH85QEdfy/9Ana9YXDj3+F+MpRD2MQokahjDkTymVynbw r0fICBnuzIyM2/hmIJo9cRWW/2D92Rso4UFIAn1fQO9DG8PHeiF4ikJ0QrDogKhLPORlDHbOXece fGzI1SxEw78nnPzuyog9iemoRsUi78+kzjm2iZLjmVDHpeCAqDqv5PK4twZzuvx6eSJTEzH8HAt+ leEHlAHQE2gDV75M5i0pIfM7C6Ge+r85fkgAx8SU/BFMwMwAzDhU11tCFxGhulXMo01BmKac0O45 K90NYjHHpjzNraLjwbJn2Kvv+aBCAfW05idcnNcW2uFrlqjMs7XUqZU/PMhdg2jLSLVzc8RUdp9w ZQZdgDYS+GGzn5khWftCt+aHs2xgmRPiaZq+EfMyCbIxqvsczSlJczIdkWa1ZPw/xEQjDjqaJjdu OkyZ8DXRivPPUGOhHsv1QzUG1708VmG49x9vkLA6Ng6lMxmAs8M+/2j1/KGse90bW0rJD32VijVO bl+eXhqF0j01MCUWREzlf+fJocuvQZ3mbtl6KNyMHsl2j1n/4Kl+5zChIoXVv7l9NtixXgPl+IUY bbeF2+adnyuJmqspgBHQA+MrgLPBbJEaCIPr1DnFOTamjPIqNhOEWQyR/3IBnZLaKgNWZfDL/Sh+ 96/a1Gq45TFtyM68SVTWXT84H7+dBweJn11qaU/X2Okwk8ByOX70UXZyOLJYYuT+Zpy47/9UpmhP cwhtQJD1OherxVCRTg31l4+3SnvN3Z6xEYpGX/BwqqFIiGSBvKb5Q4jH38gt4erYvsR2q3qDeknp RKZha+R3vJwhHISvjionQOVny6FDYrzILoBj1nY+W75cccgG2CEthFxLqQ3/zjeJx/JJ/MtfWUi2 OJ6bNDUU9f+RrVNVF3ZcKfsKGgjC6/MDdShxK/j0u8eOcY7oaWCE8Ov2e5PTjd0s0ThNqmE3jbQ3 7IfgCVa5OgsnnjsCetCsLastDNW+9MHH8K3cqR8gq7s/7hsFVlyxHSV72GrW/5E9DXMyk0gxFntU OHPTxyqjnuGY33Qazegphh2bhsUpgeMLTZHf/swtYrD2r7X7hohwXF+8GHyK5PV4XIX2GH17Pace IfxqPPzqy7FYnjHpVfAQCyeqKoecR3z1aAX/NOhVUtxlzNcvAe63+l0F19qyquFPAvhI5h+VYCPV q4KqjfP25pdUerMboc9r9ZdOUrFbXrCFJX0+htsEtlqmkFWRglPr9JvF8STuTZfUMTyYBk2BwkOu CarSJVJ8vDOo2kVD23VXHWcu1QuEcA6+xSAZ79qNgv/5WY1QmVKrs6JQtJ+1enzSJbQ4SNHZXWqh f43VHQ9h0bK9byFlykCQ0sOtwb3xEcVa2lIQKWok9ApO9yrr0ihcTJ8fkWOIzqbwoJEIgrEYFOUA Ku28+nod/WxfmNojWPGgFhWUTFxJby1KDEm4PB7GblbcXLF3rIqZPS2AZNXYnGXQ/1Mw+4HIwgPY t5JRf+6jXYYw2Y80GdulWRkEyoiLMt1OYvCTLCCoqGIXPRmcWwTLIdEP0kmqT9FK2PdjU9w7/OF8 AP5X2NtQKmE3umSVe5rOxFBNutPPRxYf0blxWD+0jTYkXz3kkPVKovMuM/VJvNdmdYY8fUhW4sEb TCBBNthBRyNWqsM3zCzuUX/n4QAWrBuE+9ZveDYxLsJWUrTWo6P6+Ni9jMEuzserTZj+Bk5+G7am a2YuOIxPDzhzXKpXupkU6CbEAMs5VnlCZUpzfbWqZNMB6XeL69hzsJxd98KbJ7QDMvEkqNWvHqHG MpBFfoBitBJbHuMDtFps8Wpl9rYdFK11QKDLfKRlP5j39Ud+PEcHJ6fG6Xwu5Ym+DyTJShqe8cnR rTmQ/S5D5xw/izj1Ns9EyW4khMWCYDvRU8h1NpPXlI00en0xk7ei0PLog9ypsQigGTiBNda3qZEj Xw017ZRrAIY0VUXQRa5sEvvh3VOWkhJvKTznC/OdetZadBpB0nMpFmrcyBLy+LUfnaCAHRv/5yht eaBtAyLdLy0uzNrxyjoyKjO+J8TbaQy/93e0o7WXSJ38+lB1jMxXMprL4kf6pkUAjucNPT5IZa1L f6RXLMpjXf+b3cfG7autGAU6ndv29sVbIkXzZYsfx4MxGDNllVX7rBXQa7PjIE5rnXrbpy1xpmZ4 erlWrb1BZM2/jTuvIxXqk7EpZhMgZeE6W1hVWZbW1R2iaR9s7KNhvg2zd4YpWfzRCk9dUVZitQ9n TotLqUN3qOVf17TQDXENeA2UsEzvlTQkK4AZh5JfDlHTPFs/sggDbKdUv6Q7bZS2c6hP1d1EMkq/ J5SCGzfoN193sQkgY/k0LBHTIkfVGXgO0GPT6ULzVGgO0NbVAms2wvj7OLq084E2gcoXTM+C8Cp/ EeoKWgAQmBqIoqxc/GK9lH/9ebJuV/23wRmlQIHuHkx6sITBjmyVK3CfuoZP9E2xgl7Py0JkWW3o TXZkd9u2ClsUS/EeJ1v8SrLEu9vF2RZ0o4IZfMTpbIRF70XTfEjmEYzaOMq+/im1PPHWTCUplTZ+ kKjr/PlLOc2e4EllZOuA9Y/pO0XWPZ1fecxrCkW533oCBnJWQrSSwtjpkE8X71pdXSV4lnnAxJih 09Zz5JzpQz4l1rsDatExdYJGGIDBhebd8UlrOXeNdEZu4bhA2V47kuI13E/m2Kh7A2hmSKYqUvgt dZce2tt9L3t1KFpkRB2mZEPNeNmrwkLEQ3mFoyqoWpdaDYXVS09sHg7h6OguSeUyPF2fJf8xWCeJ ELaxgXAU++a+CnqvjM0wR/sjpzM4hWcvVcY3LsTmtt1idHI7IyME96mYkeaUL6PSz/oy/Cf8jZzk 5xZ6FcQHaEPlPQ+icSNVISRP7mj1Ouy7nWNLHsquUli4q7YzNWR1FUQP3r67sXfC5f+6TJloMw8Y lTVsRypUr44+MvHKl1FqCvoFq40Sy55uRpxivUvywxwtgvRjbFepsUJOBA== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_status_flags_sshft.vhd
19
23122
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qn7IteVsnZ/mdHCLR8tB/KgmTn8ijcYuBtDLGh2oUVKuF3qoFWhv7eC1IOCXLirwb60qousghfg7 0xqsSbRyrA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VgzxfdCZunpPyUwqbYGeC3ulpMsK7w2LNEgFOrFKGlFGTp9v30dyUA7MsiKFgCrzzKT+VrIPwMvw QxU3GQIE0b38WJ5xx5bDenrFuj9fMfRnJLJFcG2V0iBV/hYdVoEecQkZyqCPVfkUdjfKW2nQQ9vE YSgHM9qDx8fLqyQ6zAA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ig4g7vOmzvtScDRtVb+tZEnSyg+feSk/Z8usEB/u9AljT40pDkFhR2JxLDYn3XXgfKo9dhNCFm0 whMJYjKNylxxgSFkNtQwR2XIg0BWg/XJdnzmvhE+MtmxAUvbHjuEhgVFiobIjRufLvFlBirtf174 Rb6IlMY8DFzGP8TNtNYlVuQtzXS4NvjPSDwmxdLLBUryIvh8XgTaS4XKcRx4c9SU8usSs2eZmKp1 PQzsFR6KYhbJsoU+KNdgC0qr7WxKSf9E11HFfNp3O241b9T36xgfVJMNzGcu/ZHXpRemcPttjJFK GMln0o/DwR0gidlS+JLK6pgrPDgP5/6nmLlP6Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yE7rDdP/qWpLchJqOpJirpc1zOl8T978Yfk6G9kBcFGYD0r+ZC5agvccz99iMwduJEgIxwFmjnzG 7g7dI8mK6Rjj6eLbQ31Mhsmq+p5Y7KQTNM1pfCzFCw+oJzuBbgsBggo35NClB7Hfb8DM7OriNRWJ U8K86UkzA2Prba4TIBs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BN9F+vWJYtgfrzbWbiAE08ecWOdWyzeeA+i0U6sGshkhExwtl0R/3hfy5ttqQZECat07SJZlP3jh V4CCuSQw513kvIfiNR1n8KZK1ODiyg59gOwmz19wCVgWfDfnfDXmgYxf+0derYmc4F2n9+pXRhDQ enznNCCvV1TM+SbAXbMWWC77ZJDkWposT7aeuix0KzNLkoMsiFOvzPJVJxWsxkGPtD/xLXraVjuo /R9zbJjLpYz0T/O/R4G6FwuMiIZFlEBmhA8YI04Xnb8Of0h/udsHa/BIz80Zs9KgMYw1jOPT6P6u 7aYcNrAi7eu92a51ZSDtMllbDqQBzVGgrUZg9A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block MHoDEg5HKtbJQm4sK1SpIfR5UGuP1fChct1ge0FTJ9uENwKWzH9DVy9373oZu5dXZ2iXujcOQkUU yGylArXwOlj5uCSnYXRf2suPORRilA/0E2ysX6/H8qKxz6n24/JPJNg+ArO9aJJyjGNO5IGKyZfm chzlSKyt6KixypOknWRq25rBM92ZcDeBN8ExlM0nc63Mrq8YBD76IIXeex7YcfXvq79yytZMKbcH IuRNu7Mxqyg7C24I5jTAOgB/t9PImRs/SzqvMEQlI11Y8xH8U/V2/jp2qzEmwRPa7T2ImhuVnVZy YZhkH4f4dtFyrE2i2T4MD4AggUsDFkqvqUEzc+K9tQWsqHSkwAGKH+vBIJvxFhKZtv45p8N2qqGB Sv5ftAV1w4COuxq7wTCJIDkwlsm+qg7o2za255FBfBGGbG2CSpWxWyBk0eF2UhMUTFvkWKQYQ7X/ EAmgaV+iwhZTckU7O8qcnier/XGNDTrGXXki4kVNTwyMsAFScs+FDM5Xqrs2C6fgs0041IZexgyu ubQlRkOzbJqsFiNLeV45OKVnBxI/NWYH8uQOQeqo5byb3j+8reVIOkRDFIr863DCCmC6XWIH40zC rjl7zQYMNS5ZRXEfJ1bjsva+5/SHy5cFMuTMuHBGNPuhXA8t4NFjPImC/mWco6+4cT8YbMy/+xu8 CEW7FlIvI+EQtyWFLT+j4ThtFcKw/72V/Z1Iu3Oi3WBjs6b9031FvfGbQy5eIy0WcWk4p3KyrOE9 cRcciV0XoS6fZB+a+lWkLoa/Pr6B9WJRe7gCNSW59fJQ9sdUkpsDefqIMfiJtglVSNSrCayDiHnE G39kPtzmf7KIIy6IFq/wBZGbre510AbLlbDflLxlQXjhZhfE/Z9A5yhQBbNtBNlhBr2CaYb+O8Xa NZW7OBRUBOMwfer3Jv0IG3kqspnu97dkO44HiZ2Na1Lt9WQmHi+4jIgfOExJHRgs8MN6x12L6cYd Knv8aTucM9EzEFGIf3CxMHDDHH2Ct15jNXUgxQyXHBkMfeYJhdvolieUlTNeeyXXqEN5dmic6YTo L0iN/dXrSoZN/4lc0FtFnCh4lfgUBmjjGTWTnaoAhRl0ElAfC00cxLtyguoWOSgom1baxDnX/tGI inoynxdyfa/gpCGeAFyhca8sKp7fHJjcCHjJVCX68E6a38WpM6gvlr1RpOQndWKOi3adKBvkTLbP t1GdLza8VoW093F+dI7ferEqFWWBwLyFfZxzicVdXcoFXkyoZq5T9LG6nQLsN9Q0vzk1ME6IeR1k 9WZtxrdVQJxnRN+XWcikuJLWTs3So4R7prhFcla5gB1Pgz3hg8wFREBB60TpqIXOEWP9JIevHvch amJQH2yXa1cy8bxPdUBMJC0QNYFBZt3cqnUr1TRfCetrLqfOyYhT0ItPIMVWMCKckqvyRuIyUjOY bBhEcbBlcPSgKkALdw/AHGTQmuHBIh8OWsGgeNnoD7EQkQTLfLq5el041MX7NYjPjmXH94vsTvWs NWaS/GYiGCQtaoqn0eBXKkXrhn5DRLvmFci2n+IBjVpAftPsiOy4rJUP8Zk/RAV+2lUH2kRM75cV 7ZrQWLAtfTpQYL0Z0eYOYwxDLVlv/Qz/++uyajfEro3RUtVHKiHtfoGMp6NmLwUOrQZ0ZwoNyxvI eyzSuSl0qqwjO1Z+6V4ozkGwZPBJaXTlYZ8niFaBdFImcCyB7EMf3LynXboa9wrKMqaFqGNUIePK FWI8NVyTllF5Lkr+Dr/UdPkCi/MeFcv1vA+Sx4gi4p5LALLdkVewe+g0oW8i0wVehNLXmfshlgmb Qv/+aGurcoTiWq0Sb+UMkPdNEepjYDBCON7kUbLeTlr+wdXZvf0N9s2C9i1BQM+tp1FYV7VZPhZo SmeiDWv+qz/Vdm/g9wcjeZc+3d8Q0EbLE00cR6zHWrA3YIKb+vGAse4FwmrfNZnCaynnHx3fs3dj /wrkn0TRJIKIb1Jna+hmAE8p7GQ7B+ZLOs1OPBWhtO+QLAd0zDAt2Bt6yhD2uJLMSQ6UvHhTl8/W gzQ6ApXDlZOo0WqFTmHXAserDMz8uvZmdvWguAiVLctoMi0raC5QkMDGHbD14Cre7MRcLCfGT/AD A4U1TeC5r/Zsq2Efw1ZbfBdYft5wjwK8c2abJcjGqbM9Bh0Sao+sY5hwSmdTbKPsIFi36mMceBuz KU33xtuQHrnYwg6PjF86luW0NTYDFEnyXSe4nAdSiT4CAEgx7Z6N3A1emygLFAbmHqGDxs6MGrzC VFwR/1ZApzAyWYm+dIFgzqSAut3T507m+PB4dAtJPmg43K997qcEoIu9hPERCZeCBBvXkASh/mBF ry5IApITeSPyAciHAwPuEBroGbA46Q7gFR806JJdlSmz376wE/XV8JF5kcEpm1RncJGNHYwpAGnw VdGgfSrG5kk7iV7Ro9d32ReT1QOaRWCaNKeqEjdHzw5eGoZl0tMMSJ0b2XrffeBFspqKulIUbb// OrDW1LW2UewbvyHBOSoW2597rVQXroff5ia+zRdnV+2GXPCCCZTBpYAYeyUHWE+2o6pI2UVUVk9V htojdrDV5y2KU5sq8qH9H78sZQ3R2/rGvYtX6f0sgB3qaom8rsTJf2XjGOAl4hlNvqqUcyzYj01K WPg6jnkKzgYK5g5dxi//js97H1INOZVtlcBbBqT1xG0xc1Z4s8hHKe4Pk/lJitSZIuHKZUQbIz8j IZmHNm1o2FS4SCOT/VU6C6pWCMBOueJrziW2JD58K5IEPqj8CFe0kiL/2vv9wMHsZ7T1mEoKa+iE PZYJFfcBb/hE/Q+hpNYnIetTLAbBvwhFxZFUwgZvSJx1Ue1ssduPG+XwkVbCf7Wn5pHP1Iq/7COW C06HnSOOH7f6LLvYSMXJoN2PeZDTFTuuC8YFepKX8sRnolLIBOGgSd0omsNHrNHBq+AOqoI3VZiz dTnhZwbhhKvL8JG0aW3yzAZDoYKW8iL1a5gYEv0fCbTIf8kjq13flRokA5VADGyns9q7/1fqOQCC X1KY+1XbHX5FCkA42D21nAkiCnQJ1GZjjEtfm4gC8Kgs16DMeFF2dPsET63EjbM6XtG0yvRXKFas jOn77q4eL+mOYpXZsoO4ifDdMY7dy029F998f57jM9NLDqUpEtGT98bxPti5SCwbZ8wLoeyz/WXz SUJP05q8GG6LJ5OlZX6vcfIy8FXaVGyySoFCYdHT4HoPTaMXWCXN8+JeiwJw+61YR4Cx8kkBlSu6 h32bsEMwEfWy/1XoEQWGU9RdXbtOgQu3POLvh7MtSZLXj2QY7gDzHpR2AxU3TmxRK55lSQIw5hPF wol/L2x/DV+ZsXB+IkGScsmFfiycTgVXk1KLTTz56DTTnvIMWMKnAxBU9GLMQ4UOjdAwrLGi5IKS lM9tJkx3MtX7Q2B9xL4+A3A1QDq0yk5SYQts4yWgoPgNzW1E8nO7FseLdY4jh6SiZ7L0ihG92oeZ bJMkX3ySQsJPwhuNxe0cDscyLCIlEfLbpTkjco6HsHmpHHFA4lvMU4sQXS89xFlHq24wwIc6IRY1 bj9GISdvBEcPVPmW2RFzytzSNZ1tPQoFTkTGkceIHkwAGFdpjB5kz8O2to8JSc9PaSliQ1soF3Pi PuHtDhKpSylzcRbr86QH/JKvU10J++ZnQdAid7QD7kMW3kCuXlweNMK5YELur6AYvCSWB9rFz5f4 rC68kcrBXd5GFrXELaZSNk4yg3vzH+rfBbWg9WDbejOo21JtDX0pp0GOdDTaYToAMMEZIn5Q2Dr7 zDxEedBKrQxBERRKvlLigZUBImtLTvRtpUkP0YOVDZQOEKXfGNAlCMg9QovUU6y830Fg83adLc2e m2Bppmtngd6k31Kj3Xj3rDPJsb3oAu1asW8R+6c+LWPDuFO/U7FHYG0NrLOppePJK3YwfHBwRNVk DWXOSimslcALtewBn+BpOr6RxGqd8uA+GPvQwp8f/IfoNN9Q7jRHdPs82LffU2aSIrFuJnh3UoQy h+Uo7CKeOUpKOpPtAeF/eK9Ev3DB6oJdqhHocbWJwTXDPm5dSjz8fgOqePzgmBh77yZPMl2aeTL+ uhIypmgw3/hLIfBgII+l/TyqV+oGYYtVMvgqiYp93NmJpwKuwW5jiYta2p9cf6cKb+wflEFB7vmR dF95uvNWBMpb9mQUcivJMtMk0RI9O4f7PZq4IfUEv5T5Xw7w+QUHXDFDCXGIt7STqLhjbAUf8Dk9 UfNkQc9LpzHHaESy09rUC57ZfyRyRQq8ZcDewzu59zrh1SrgK5xVLXhAcllwsY+Si2P3KuaARiI3 aY6B67h2fETv+8FfcrShtCgTGgLhN/QtToz5vYjSM418CnceqOS4UuHzBv0C27/UOpOd+ZNhmRK+ jsPOvfYqDCYwlq2tm6X19iHkKpFeHY1XVHKWE+SJrKGGP6985pWbaIAvOmPyi1AbB4EggYdgBrpD 6nUUtBfOtUFuP0ldZbiWPU7KkL5agDQv2iFEA5T4NtyzmwNB03ZszppsS6oHC+zbaTKfWbVlfJaJ pM3y0lKkYflYtZ1F0q+HNItxZtTwNH0pok7hKPWp2kojkThEDcivXyGe+XdJZAUO3+U8CKxaLPnZ 6rvjB6tc3zXReJEDZ7K2MaSLx/X84H9Y/r+weBjBh5PIjm5HZWJc5jlA4O18pYrGgb+I4yhG6xK2 5hTgNji1vi7HZaYk+Ar7O3HvSph4u1NtawoSLKl0FSX9V5i0yXNpkiN7ZpM7Unc42AV2kzm15BU5 fhuJLFeGuQx0jwCzxoOrtk/KCv8RmUks6vxtzyussCYF2loC9d2uVYIyfzLFheBIPC2dmEVrm8xW MyfL1NQXEnKnm+bbeHsXKeTiaZIchQRfOWlYim/v+MX1VEQQ+m6atT5qZwtRqTZXqbzn2wXhNEZu +toFCPiQNE82CHAFTEaxBpeA8vkpogVmQfYOEzoIiswMQarfNCu76f/70fVmgyGuDlSQl6gPINQ2 SAbaICEWkIy0AErh83unZjztH6qC5UV6i+UIPnzE43Dtt9XMISY7i/M1UXd9SOcGF+7q3DRrC8Db p6P+1QCgUD0FFysmZsK4zHTR/O1W9/B0c8SmKH+Mdl7BO4Zzz/TCD4CHXr2wKTkic+BoFWnocHvj 7WrXJC+JdC33I94AZYqAf7swWkX6hLVwOSAyvj6yrMs39apmzL8ZqLK0J+WU8YXGgzn3yCagErci UEOz+t+9isvCUrCcqlQUwfGjlehxPYrkbX4DdoVcN6NQ8cbWnSJUIZGQpzgelvi6SW6JLEC8pyQ2 lrWxjGocUcbAp2+LUe767/dyZKLwI0Ekgip4KhTxVZrbE1orIaYfjiJZQBrrdbqTd7YplWKiR1Tl JACpFXB+82i6PV/yqFltHlz/673r/XFWahyes8btBeF3E4cXwZlN5J6RPmNiK/GTdx2TwvG34fN3 D+xEilaVwVk10uVZyqIY4AEuNlekpxM07VlKxocB67iKroaSoqjfdhble3IWmaRdJkdKQkTLm+X1 YxjfprGK6a0k+fd48OicaIzvzN0WpOjkdK4HQJf+WmfowemSeZDW3jQQ5IowdcHCLcZmiQ0QxalU Wvdlrpalact+Imy/4D8w9Sbz9oywA8+jeWEpOWMHn8YydJQsk+Dzrp2W/Y2qCAQ8LslZ5lDxKYHK YioYRTEwyrqNF5iOVdm6YLf9uIhp7k4YU/Ibi1/sIukCQsk3NlvkcPG7blEzwh7Dn/Bg0crlyXKo kIen6kdEm6UhtOkksw7yz2I3pE2RukEJvEiAAIAtyEO7LERNO5+MSOeIFVAEF0xf/GDZuWHYoMcD LaUvyttyP06Ruy1v2RnOOtEOU9F2EYyl9PFrfv88IyrILZUsWmHWJw0O5np4QcrXv+mnN+23x/w7 XFobvscj+eGMhLLR/pmW1G30z3txdX3r1vcSdHUb9NFbM9S9lqOwIb6aajxJfeJaq+gshs1sQJX1 OGOYL7C6IFgcQYXF3g9ouz0PBtjaZDrPJKbF1e1TpwsI9S/FDQS9rK7Tkpx2jc3SNU54WKMctAUv 3DMZ4LzjeAuftzjJg+Nb9LKfrCpgmjFbB87EyLNtGHu5SPvX4FwvmbWk4BnCDRUFRETKNIA3e0Qu w+6L3+IryIIfri1ROMJfCKw6xZmGkb//78uwOvIZeDoUku456imXS9D98lmQrdut4maDH+QtTxhf AfOoO8r/tgP4XchyekHqh8BOA7CeDBcsxZJGFlNRLta9Yhjb3TAkgomx3eb70FcBDZfsI1k2J+U9 DKuGNEy0VLI7UuIQcIcT7gaKpDve8rMLlnyLh8W773R9LLE6/8hHfyEuCCSMec2YvIQy6E0y0YyJ vukXqe465UHqKY4Wjsb+H8hfzBRpZxyhNWP1lBj8gmKY4JDUk2KFyFnt46WysPUGn1kPzHsnpYSD Mkt8CBbGUbibYa+3xFwWnJbj/JX7Tg1E1mw49MP2T5qsYINet4Vwv79MCwjUqHMFl1wfGydnNER3 mn67ZBcdOGr9EpmcXgtFAJmjoZr6H9nfNHSabNMXAQwmfigFY+9ijyqGfkrWsB9f8WCGmuiytbev kdTfeTJj1XG7R2nXN/hc2bcnQe0LG5i0MAnmqYrLWkNObEnSkqW4liBwAI3RP+PgWxyggkyZgAGc +pi7ckvTay22h3uLdOIW/JFRs74agxg7Y5FYyUw7WhrQ6WdSqJxg1zvMxLfL5FkqoBWUEG1KMA69 wGXHZ2fxmoMLtJ6sa2kT+Wdru+x6fOLiK8lgAc9HAOKnIxnrWDGlP/NP/AzDyXzGb2MHCk/ChCEx uuREokBlzxU4ziEvzoDdBWM+e/UBTFgesF00RdhotI0oW3UsSW7/fdYid5z9kGk2NjPx3CMcODEX VcwkiQ/OesPIoD0gVb41ODngCtLnY5EC4trKuf0CiYd6N3SmouLLXEMqqOXSzHvQ4xr2oUIfs1Sz h5Ltshf3nIq5cDWmV+vyfBHi3cgDPKJJXuZ1xznQE453Kvc/vobkYQE8/jypsslRoRJhC/re4C3L vt/MyMRU3eQQbyS4YYgYjy1rZpOe1/wHzYMcxraXQXwoxL+qfO6TSYfu+9/m1JIYnBSGmqD78EuD k7QZ01rHgfFM3BRBXYTdkvqkioL2GVLY7mp/8iTcsTn5CfSgpUyvojE1hu3CE3xH75CoZx9ZNMt2 CPgjEfmBaogK/9b0nm25Kw6ab6XUGxpB7UhQPFEKeWFzvboCK2aIVchPOYlY2epFLwiUBley3IQt t73fwbUm8X6aUwYut41U2YJUbPIPiVC7rrLBpoY77GhsNBv5UphY6vkLkTx6R2Cm/pb0BlHXEz5N 2gdrCJstvAYUFJQ2WngE7TKfdOQy9ELgpi0p6oE09JfDAfvrvpYK4Su+osqmbBMvk6jpy9WpyMq8 n1h22nV7UVvbB5TQt/LTuxhvDu7SfVzjlZAyayb4rvTBFvhPE3QMsUc7xHDKv3miBV6x+OyjyQdt PeRfyJGMhRh7ulTjFStE8nL37IFPR0FjdbBL/v4sGGouEy2f4AYjQZGoxIBGeI5g23F5iMVfQptD X8EcBYSuPDg8KYcwhWBUoaSDcWlrUnCP/i1C8MDm9KjxXk4TRTkGIuLWHbO6gp7th29KO//Kfo11 +Oi0XR7/6V8alF/fxGrhHcBLiukIvHgOUbGUr64Ql4MXk054PsGWb4YWDN5cxjdXbln/AuxTAqij Eh59Pv6zqPsqwQ0F+9HM4pz5cmvpu4VZEYstxPJRZdsOEH0B3rZpyZRuIhJ9aYTUZ28mDAaL1rRN RlPg7qeBUXVj52vpqB6bSMciIsLSthEqDqECwZRjhfYaHQK5T2v0XuVBGk5PV+J6/wdcKAerzFie tg2tCUAm/o9bx59VHpyPurZ4CiOAR40SX+8o5HlvO+xmh7brV8PUIjVTCbtuctc2FgAQ3OchJRPZ ToIaSNYf+w0S2VKisLGUHZonPHQ0kwsNzSl1VRvKXc5RYASGSMUByvXVARWfBvcgX9c1LUmlzZoO o5VSTtTe635rDHkSWbctkWd45UfSPkHyZ51SrXOeZ2ZxfxEEvfgaH1nVtFi6VkQXkcLue9ReRAhE Ahq01oAP8N8oCpRAFe50ifoYvWUqH56ZMeB+fV+CRKn9fn/nOdnAmNnGb3TGcsLpq0EjixtOgFns czMwWR2RyvNY45qzV7GFRXQlLbYifI0Art49GgqhNJcp9KKg4TsNjA2nNlUif+5XZ3aD24/ZtLIY iI8Tf6yteGex8zqf3zCVF68QdGUnhxdspxG6v4DNL33vM9RZcAKAvu/lw0y3AX/fUoPrpRm+LIMp w7YhqvXIS5ls5srDRqovOeWB4MSFLqlOLKz0UkALYxdFWp5ypMyi9Foe9NDJjl/pMBIhdMr93Fu+ J2diwiLwR37FNLZIcfNt0xulZhK8HAtby9kIRTpaiRsTW2raAWaTa9mEBzzU67MBG8mN4hjYuLTL oqDh8vOfB4pGecK1jSxG7NiMFGuN/XMFyxUHU8Qx3jjUs2uYF6s06Q7DId74FANm/jneoUnTNyEG uhHwyZCQ39m042OVx9q5I2g01uCumARgWgaZdggVs+viZUl6ze4RsBH05wxKrBYRk6VaK+ciUSiU YhEx1C3KlQJ08vs3sgX2T+NSye1ia6sowPHRodaVyhAw7bxD17G0xP2sI+KT2ZU76+g0SRhhvGxx KuJ1lclUnMPVDqWMnHSX5qkUyZNNqJ1FomTzhg6zHGGFRNuoAlma2HBmdWk5gyUHCvwB/yoJlv4J gP+PjtzMQYVh2c8wVl9KAm/9qLqVKgQIqwPuWf30/zD/GCTygBQnfkA0woFxzeemdwGDTM3xRwWc rBp7T0fKsEOK2AT3ZeshaDe/qL9E6n2MX4Ryq80iabZ1VROpakyv28eEILPH4di/XL0dMt3e8gqY u9m/PRsCyPGr1x/KnpC5IKfwGdCta/gPi9QuNDpKD7VApe5DjjjHRa5aJ08JZptjYbeik5fZC1QY nvhj8Q0E0dHIst/OYOUzxzj/L5J7X8AAg+35xkLrC8KvpVgtVVN+E2bp1Px92/cvOZPLa2rURZBY kgDAZ8v+qpNehNUspi4gaXqBRhNxluf4JFc24I0S6/V94ZZuPAn/mbgK15WaI82OVHp06aPFSzl+ UEzS080PLr37WRuOkQ1zT+KdFh7umGPoPtxl1XyPkSZ6Id1++8zOVU1jEe4GZ7frT7vLNvWwBAcN IhA7zluZ+SazbuOHjhZnyk0nuuEsMz9J57mrxduSiMmLCg/eR0Hl9a1+bZqwWaVsYKjkZSVt3m4M L5PHWKyq/P8hMrsH7JKGBvyCYR++rfrtWSD/dK+qsYOKBQgj6YP4T/2f0ZTS7bhmVKH95u3xwBZz yXxgaw08pCJkyFB0AXX8NFyKMwzBERbYpb+XBUN/uU/wZRGZINZ4CD/L/Rvv/w0lWWPGjmW5D6Yf 8QMysBjm2MIrjqTZqTWK46cyHy2dfAuY1Yg5Ie8GXe5yWkmLUZhXtcepBUfdVdgHhk7/Z7VfWnxd +Dx7JEvkQMj/RAf0rauj/Ys+zfM0n6OSPUV3SzJyrMerCm1guGJcFteYzoFJMN6+bB2+vCioIPL5 yd834HbdRK8hhd/1GjgtddLXaVLQiVdzwEAhJr7huQ4rWV+Hh/cjRL4vp9/eJTDnJdrcqN0L+YVC UwRdt4aLinoBMy36TID3PTF3PSuQviIdTA1YG1CiUloteH8DP8ORA1Zb0xHWQwvaGKy+p5jmDRyr b24K83Zi6UMcRVd+ZE+NlD6QTLRjsMxCqzCDeGV5MUVCuAFfhv1ckbigD7IewC0HUm1vLGx8LueF YU7nfESgguPtVuSUvIRVuE5Pkp3fNpXAxciyZEebkwwJJE59OcqwxZ/xGrqiWkLXk7U5050DVpXd ccfI9tEmbZ9/p4Y3oDvziSAbpNOWUJLzrAleY8JR3/6FJfUXEAMqj/DDCV8/1fGG7NWunFTlRgsI FfyqZOiANMovFRwNLmIK9UfMcyorUiRLuP0R3Yg78AesNg9M+fMXD8BLx1eTp9GpVOkZBa1RovP2 +6Q3yxBObTNEJEQwTY59Sfjfn6o0tapcBYxlFHzyT1SMka1iP1pSCEUnOsDWp3T+ChlONdczdbMc +LfCjNe0aIEVqki8rvnlIc0Dt2PxeN/4kez89lr402XjEyuoetXUc4y598eL/+18SjoNjsmkPyRv o73HK9kbiCMHa1EWgzmp1Ld4P2UazAQNIqFZon/p2fAel76tm6n8I/FjFAYHV3YxYrSz/phkszjB PDXWGZbd/bSV5zmMVLsfALCyoRgslOBBs/3fazWT8uCyC6Eg1toozqOv4ti3oSY7ffQq/kbO25iZ bagijV0QlcdlH7s15Sz2n0a3ABq74/StDb+/gFejE2l+89vl9yMYn9tcOuTD72AuN4djY/bGJd3R t8gnrHJetz2kg0Fl6Kc699IxUddmAzWbXqb7IZFJN9Fej2ujZ23mH9KnRGUyzzxgDGIKze4pFzly wseocKlLpi73hWRamLnj96L68Q2I1/9+VcNh0zUX++fgcvEuyG1zhjwtE6PKDl8X73XGy2kZyvGA e9lxamyRb0RLF+W9KlvFuUnHna6YhAklv1j1ovXl62zI3/XryFuysgONAVKU0lTtfl3PslUSydaa PDQDwWyaHBl/biEQDyGQrD5TMIVRe7V5krDVAf1z9NPn9V9/CILOLrYyhjXEoFKrlNAwBA/Wx4/p m8qe20z47t+X5dGwXu1nZufV5zoVme3LuAHaimhJPDWto5ejxOIisjQfZ/UATxzv6TNb2IyN0aZ8 9i7VLucJwk+4hiPwdiaKrH4XU5zogHTVUD723y/cwK/n59oCAp61Gpo3sJ/sKPzGUzTX7rKeDVjk fGKlZOEf1lxr2OKaobOrbHcVhetplMqx0czRMpBzfvLtktb+FOAL0qMjLrZmaDa2oci0od1n5/3m ZD2+czsgL9fHG/MGO4nqsowazAp8a4oTM+GmTeeFM5V887dxv2fRq4I1Fzd7CcZRCmIK7RazCCG/ ON7Jbp8Bh8WJBv+vx1+iVKp0cd62DjH3dnBvxV1HjQxsvqw87wFrCR/kEPdjZJJK232F8724KwrY Y5b+/As5alfLqul42D7/09eYJUuaiciCi0wB91IzYDfRUYYEkzKnMwWmuNMoDClHEnmcFNY2qLz7 wrb4Bd5AxpyAXbc8wbrjmxVvc8mf9khqY7119Pbb+ON2Qxh5L4MPsZ0KtePgvmOSieM6XdVMLV6S A9Zh5CuooNk0Ls+UuaiqjquQ5PThAwoNS5hJNlW0qxXV9iHZs7OPISNyt7UaCd/mtMrJzCdywssH CqZ365TvlnGFMujm3nQIGIjaO2pwl0WWKSbJWWCozIYx2cUqjpqdkCv8jmN/XsbDQST5IoxvhqzY 54RvQXKXV8VOMEF9PFjNrY1DPTWOOW3cL81DCdancSAcGK5i2U8Lj/2gP8tm17E65Vye0CyKpdqh CLqMumNk8xC9GjJEPCEgyHDrHDjxKrtef6BoiuGncN6l44UDfvzLKw8pP5itSn1s6s06Z2IS3kOY /phtd8DOmW52a5YXb1LaDodXncTNnoj8+jTkngR4QgAwGVWWCA0JWrT6Rs3xvzkiqKQ3UcooET3K jR876mmKTuJCZoS/TsTadMtIQaXWCpfB+sxDi0qac7cqrnkwzKam70ebtBaDnYBZ7ntpeLn8k3ca GareiAsvH/S46+irUfH5iSotB/nfbBMlT7fjNZv8EranK5yqDEcUTc+jgaDMnmvgYEPvZhHdEMNh ZgmoY5ZkB8ELxdYQ/vN6oCIlig9q9L9XA930Y81biQBDKgI4yZn9k1jSMyGjH884r9KqEUSSK4Vi iOM9QvNCAbQSVVGRQSQYl15tNNVCqT5JNkATdMC5CNbwSEBp1heo+LVLknP5O1uNuy7QeJv8lpuy uib5p8/XoSRheHs08fPuWqWcKfMbc1FaOclEE1gx3vT+2rUs9QHV0T//xjtodTFFBq7SouEhkNDI isoAQEePM6qTSFeuCrPKlfKkAKwXiNtc+Gy69pv/IY5Bt56buQ2qLKQH/ylcc9zZLmxqnS0OhoFT /U/vjH37n/C/29enM6oBzoP9QL1sak0/WYz/BBgkDsKesBxW7IM29KzAgiModvRy+jSAOTeARUvg ZWIb835mjmyxObunbJtB4jH/QjuVg3JxmvzYdCJ5QVtMMwD7PkJDMGot7BB1tK5zxtJyww7PiWfn lria+zCTzlPjgUUsgv6yfVdk6zvJbkdjOWWlG1oAnTsKL4kLtn6Pxj+7khGjBGVg/9bj5qqNwjUI /YyJ7iLH6feHqNyFEMHs1YNrb0SopP0TGPSNOdsXVoU7smHZN1nMIGQcM7QkNGm2wI7jaX/w8qga s6knujMQjZ4zIKj2zFr+lp3EHloIAFYFN7OdiUbC4pA6iV0KFOlVG3yH1Z1Zg86GEWCm0mA2lDCA ON50qSpBh7uwrJphZ2TKwT3ksoC1ZTy26MxBteCwYk6BZsxhh1wqmNHQCwPOtiCYk4JnfS2eBqI3 JV2+JqibYAV6JboGkG0honGFArhx9jm+qSKApDEB2Wx7hzdaXzXQGEWZH9vALCDtelXcY56OCcOQ EWjSyrBLvz+F2R+VlStOhu+uTDrxkuufDuLwmm8sRJIcAgeFlMQDrgn/EJW4HvhEdOfxHkSAOB1+ baEPdFo2c0U1lcXmoUhmNbD1GrYsiEu2trc4EUAs4c6aFk52zMMIHSWIpRROo8l7MbXyHSkdc9j/ VtQcLshCE7tHpNi0MwbLoTA2N0iQOZlR2vub+PUEXpY/AeuzkC2VLZzkTB/l581B67YlzqG+nn/g FXkdIanLF+QiQft1QtzfxFnUC8Ji2OrRHd23BPPQ6crmwpJR8kceUnnd1iSYUuGOEjl2cm28oCV+ jwd+wlH7YazBrT8pYoYF2gnWQ8p2zJTML4L4k5DvJKftqjbmVGnE9PruMD3w24xreYP2kQIghasH K0jotIglIEz+YYEEic/iagBzk2IEz7EzRn3FmUC8WPIa95d1FnT3SpVbqKEp2zaw2Zcywm3dmq1y VeMMMQMbch+H3XseHVs78ROxxjg5DCJt04rUq6RyrgF7gMgIwsa4nJlHwqzKdF8tdZmwdQ/0BCAL aA6ptMDBSdlljkMqpB4g8+DeEEbCePhj0OkRk2Yvq/RcdXdvT2tGYdn5XovCzektsx0KnhC5/SdI vAXqEadvIAHQyv7V6u14FXnDlWjDV+tE0RD5ww+4JDVZeOvWwrHgpEtGLfyjquiq2IZTNwJGj0Aw E5sUktAEfcCGpXgMuYQIY09dQxNga5ZzQYAviUBoxToLHOW4vdvYYiUs7dQSqEV2QdAZpp5xBZlk WgyqSp+5KvWa78+BH2m5fqYIFeOa992WSrwZIUM2S9fpkFhP6VFlyZ1jFHR4snPUWmu/sjbLl1aI ZFO1MsgD/rd1EoolvpNmUuQ9WiFfvxB1TKeZyldgXwoKjytt5GrMH+WOwFd6fJrziA4p3dLLhQix olSW6oAdpEuDlig/yv0SYFbz0y5Jbs8xFR29vn8SjXp2jidmkLR4XmfvTY1sjKSlTakzGQwyLhnz fZVrk4tT5emoHRDru0b7lYL7ISAhjXJjBN/3SogelxWTEkg3nnUdQwLHcdtzAcMz66uK21IZjUWI bbzQxM1X7VKlcJSE8uqny1eUkt0RBQ9hVWkbzAYqxgicrbZW+8gpkcoaaHJau3qJwtpfnuG7Z7mt AlYR9b34n6A+IO+qNdaVv6gIIbcuoZw4riaoAAjQw6QcQXHhDSKDlIUDa8lf2+cH94INnYmpL9/e 2GUCOJXrsYFWuqy+Ryz4MwfvEDwlQFZDhKPNML9DH0hd6zDsE7uX5fzc9E+Tp6h3qhq70tVKIlxe 7H1XixC3YWMNvhzHdWZSZ9I+Xv9sisczfjkxkwBL8qAfAMFPEQzWoeaqynq6u/IzRk1y1FMuz3yr J76kKDRqjTZQNENAinKA6geLBjTXvA5hhCavtkQcRwo58cPJOhepy7SPaI7RcKJ9JPpHi9Mhsyu5 ZvQmY7ylb7cCoF+QCI0KFDzEOeer5g8hXOe+K05kmrnRyLr9o47dlx84g/+t8CFXIfO+TRjiQxR0 qmmlvEe9L+S2PrNnsxRn29PYavtVK6xLspx50yNaG4dhVBwCKqEYlPOHQilyIYu7+8+RxdJUwtg3 hCaGnL4U4en8JROC44q9MCfsxqTMB7g3e/g/TN12/mj8stDN7MvyeAvsbGl/6x9AtOfPDAPRFTHq 25/0JhcJd3wnKMTuPky0SZ5Dz4YWYg3vuWFBfbiY3DdvkpehvnC14cd7h3tX2QMw+L7iF2prKASX oWOt8rTQ5J6uv2eDeupWzCS4s0guym3v3yjXZLoCHYhevMDcj2oEXpSaFgy4vPnxgILeezZTjlBo bc9RAcv+CKM63YzCLHeVKuh7urolgFs0DAdHiVfwpE1hCWJ6GFtMOkL3doRYjMCEJnLKDIp+YR4E DI8pIOK1KD88Hql7HvBS0AG36gLEHP0qfQF4ZjsQjzW6RuWncAr1GGnbAzXXGOYPkI7dwdPK8SKf 2HSpS9Y0sh7nLJ+tQ30GIK3omiJYQ37a6BMA9DNR4cvVoA/I4KdSv0ZtHZZtjSnqEuDDZHEShiZB vTxgIcyfxC5ydHOVEq6SlA9cCEAyai3J15b4z8/G1jh33l0tnkX5EoexXL8N7P9D75Ftft3gW56a IVIqfxLuMm6jBlqloRjKG3+dmTaJ1pKLVOtXhaqyuIDvRURHsxmjYeqH5fthdSTp7ug8yzK/QBUS 0617MXl2D/nmesSwUQWVJywR+lC+UTl9I1QzZcWfGWBNFmspq4TVxha3qHpz2KlmvY9Yknz448f1 Fu6B2sDct5BvS6BojUW83IGO88B815znOt9/5UCwzil8Qs0Tdjj+7Z/N3YCoMPchRNB5RE/7jF/q K3wieFYTSrPbCTVtDalEgpPgBThS+letJ2NiXZS03IlY32+s1UJMGajrjVzhl1LZyhAr0WcegREX QjRTfnmf2pZm9n1sA5LyAYR0fu//BG4JWVZjI4f7rWXphKAqFWDMqb3rZXvjN7d6rp92Q/2absG5 3V9763J7AphvKaKHNI8L7I3goBtyg5z3tkc5Cs1Bd9jQGAHPLh+7akwLj8gGuy/VNsxh4A0fD/Kb Wi2uYTa/uXidPe7l9JLXPfsHegX50XXw/fJa8TDmgtVbi1aE69xwYrBxIwS4GkML7ZqdIcuCE0kl G8F44MCd7gs4auZd9Yj++trXjSdUCr5Ybq1TABGGQ7RRykucAOBJ/wn++oe8FLw1YS3BiiU7LIEe xkFaxklEhoASxYahDw4i108QWBLGGw42T1J7fwuYqE1ZRQFw6mOvi7Oqb+RKfM9EUSAP9KUXzMJh WTasb0ejzHjgqp4BtsHKAMPd+iWkDmvJMjMHPLmH0aVrhDTP7NgEb1iI42oWdvfzkpLcPBv7w8Tu urYvRDt6j44UDbvsgaVUiMqxucHv1WAeZJ7Jwr2WmVn4ilsO5t8xap25iSfmw6YQIMEPPXZJVKoZ W6CEBWGhALhNhuKeo/fKwv5mmbRTCXvFAy9TbWBzY5fiPZ8icGX2Oh/P2tjMeJbcBCpLZhTG1xZD NDgazp/9YuSMQk/TzFnCk9LOMPQEUzw1f9Y9NCw0ThMVO7NeDZEMEmsSZQiRyy7JWxeRJwiGXVuE Ke6KhAU4M/Rnf6eMd6xGgY20qc7opt0J8Fz39zKDet+8G+mebCQFaIyAzQZ9iNlw8bORa75Y5iCI fzi7yeEAcRAg6px7OEbUm+xWsf0I4RBfPwZMKuaUAbKOgK6WrB+qisJJx0KnGvVQngqn4axKPkYZ WYFgjV2UbaPRzt5p/bkA9sIK8sITNf9VdQhSs/rSQOS3liK7PSJvhe4A8jQrmlsDNdnmojhHIrvA xV6MBiwKxcPnA+874DHF59ai8R2jAnMAqiaxYZRyH5yCudMIeyG0J5kWrfARKeukRiu2pBEQtHZL MArFC9fJbgFM5LJeTHJqnEalVPizGkQ+R6qQPYt0KNQh85ZL46D84hMVlZOdGCh2BwNsrpnHZjDm +PtjPJP/VY4PxW2dmdZj4Sr+hUMUhgB6IIu9ar7ZYzb/i2/eHlmZ76kpzV2swmqRwlqtLBqUBVDU U6NuBrDnAvDp2UVjfkkQHl7Dslqok/EWaqdL14EpgqQSxdmcUB8I0WD6N5piI4SYS+0i+cEL3hjW Qh4M6bWpxjqJ8zDJFh6gjd1XjnUblkAzos2tKD1w9M0gsLN+4vsH+MssDR1MKI3cmgbmsEKifauI YKp9Bl5JKt62CAqACZvBrronuq5B9QRKtqy7hU481X9JDy04fpoiYIE1v/xAd2XU+Qi1MyrgpLAs umNZdtRO2hx/QitgKplSQtbBWO5gA1dfEF+V7j3pQDiGHoI+htY6q3Og642BSTpGGWk2z0FNl5DH qc2RLM0IPlONH9WWmzPFm/kS17UtGqY7jWCCma3HlNcD5DfOv4A6EMqrxiBebpqeMmRRc5WRc4fu VAo+TsiZmzGXQ5AVjwAQr/gg4t/u4NnhrZB/HKYCzOIz2w6qTqa3BoiGV3Bu3IDDIoHYpnuoy4os wXRhzVhepTYRy4B5WtQEWrT9hNXG1pTjPOKaJM6EwQyoTbrht94NeCMMd0UZ4m+p4BOqwEI+4k8n YWzChcwa0A07mE6YdqCbdKnXa290AE7Ql6285XCLWV1gxu6tsFynRWm/MU2EdU64gz8OdxSxCbvw k84vPW2eptTJeKiQt+aIGwDQz5ecTb69t43w11k9TXipJ6p8IWEWu+wjiNOuRYGeA+YOyNtQjbfl 550AkAJfPe59JlDVIamL53lGe2uPwgXugfE9mmGV+IgV3H0ArqZnrVjhJxpWuKuqX0KTJkDS8Lnl 59OYHANuo01fHLWtsbND9x31Aqp1vchSpIaq5kSLCGfwd7v5jvoO94UYFE5nW2qD9ciKm1R2/jhe QxhTV+xzHf+nzJyUtPfdxEWqG5g76iiL9hyhvPpHw/ALNfOphiD5zPpvfkRUe8DfGNQ2/9L1mxUT DJt7MjMvzS4CQ+hEfTH19wrOVas+kLOzIWtFFrkOhou5CRWFnLif7lFWWM4N/FR9E7U7ufSd/5hz RIJ+korxQq8P5wDtb39V392404lmT39zemzybQh6Ehlw1Nk159mwagvfO2Vh4vwuWh2ydLM78lW8 Htj7WTFi85NxRkEmktdC1koG62vF0BPhQ1WHJ3SMpp3/sEDqQRCmFGb8y3/49LAtYfhN4B8UEE3U AKnIIyew2bD+B46eyluLAf/y6xFE+ekMeh7R9P+piH0Je9vHzsxpdgheypXfPFf4quOksDpcl26l QL7p/Is194/zOxXkyk34hDZQAe0OmivLH85QEdfy/9Ana9YXDj3+F+MpRD2MQokahjDkTymVynbw r0fICBnuzIyM2/hmIJo9cRWW/2D92Rso4UFIAn1fQO9DG8PHeiF4ikJ0QrDogKhLPORlDHbOXece fGzI1SxEw78nnPzuyog9iemoRsUi78+kzjm2iZLjmVDHpeCAqDqv5PK4twZzuvx6eSJTEzH8HAt+ leEHlAHQE2gDV75M5i0pIfM7C6Ge+r85fkgAx8SU/BFMwMwAzDhU11tCFxGhulXMo01BmKac0O45 K90NYjHHpjzNraLjwbJn2Kvv+aBCAfW05idcnNcW2uFrlqjMs7XUqZU/PMhdg2jLSLVzc8RUdp9w ZQZdgDYS+GGzn5khWftCt+aHs2xgmRPiaZq+EfMyCbIxqvsczSlJczIdkWa1ZPw/xEQjDjqaJjdu OkyZ8DXRivPPUGOhHsv1QzUG1708VmG49x9vkLA6Ng6lMxmAs8M+/2j1/KGse90bW0rJD32VijVO bl+eXhqF0j01MCUWREzlf+fJocuvQZ3mbtl6KNyMHsl2j1n/4Kl+5zChIoXVv7l9NtixXgPl+IUY bbeF2+adnyuJmqspgBHQA+MrgLPBbJEaCIPr1DnFOTamjPIqNhOEWQyR/3IBnZLaKgNWZfDL/Sh+ 96/a1Gq45TFtyM68SVTWXT84H7+dBweJn11qaU/X2Okwk8ByOX70UXZyOLJYYuT+Zpy47/9UpmhP cwhtQJD1OherxVCRTg31l4+3SnvN3Z6xEYpGX/BwqqFIiGSBvKb5Q4jH38gt4erYvsR2q3qDeknp RKZha+R3vJwhHISvjionQOVny6FDYrzILoBj1nY+W75cccgG2CEthFxLqQ3/zjeJx/JJ/MtfWUi2 OJ6bNDUU9f+RrVNVF3ZcKfsKGgjC6/MDdShxK/j0u8eOcY7oaWCE8Ov2e5PTjd0s0ThNqmE3jbQ3 7IfgCVa5OgsnnjsCetCsLastDNW+9MHH8K3cqR8gq7s/7hsFVlyxHSV72GrW/5E9DXMyk0gxFntU OHPTxyqjnuGY33Qazegphh2bhsUpgeMLTZHf/swtYrD2r7X7hohwXF+8GHyK5PV4XIX2GH17Pace IfxqPPzqy7FYnjHpVfAQCyeqKoecR3z1aAX/NOhVUtxlzNcvAe63+l0F19qyquFPAvhI5h+VYCPV q4KqjfP25pdUerMboc9r9ZdOUrFbXrCFJX0+htsEtlqmkFWRglPr9JvF8STuTZfUMTyYBk2BwkOu CarSJVJ8vDOo2kVD23VXHWcu1QuEcA6+xSAZ79qNgv/5WY1QmVKrs6JQtJ+1enzSJbQ4SNHZXWqh f43VHQ9h0bK9byFlykCQ0sOtwb3xEcVa2lIQKWok9ApO9yrr0ihcTJ8fkWOIzqbwoJEIgrEYFOUA Ku28+nod/WxfmNojWPGgFhWUTFxJby1KDEm4PB7GblbcXLF3rIqZPS2AZNXYnGXQ/1Mw+4HIwgPY t5JRf+6jXYYw2Y80GdulWRkEyoiLMt1OYvCTLCCoqGIXPRmcWwTLIdEP0kmqT9FK2PdjU9w7/OF8 AP5X2NtQKmE3umSVe5rOxFBNutPPRxYf0blxWD+0jTYkXz3kkPVKovMuM/VJvNdmdYY8fUhW4sEb TCBBNthBRyNWqsM3zCzuUX/n4QAWrBuE+9ZveDYxLsJWUrTWo6P6+Ni9jMEuzserTZj+Bk5+G7am a2YuOIxPDzhzXKpXupkU6CbEAMs5VnlCZUpzfbWqZNMB6XeL69hzsJxd98KbJ7QDMvEkqNWvHqHG MpBFfoBitBJbHuMDtFps8Wpl9rYdFK11QKDLfKRlP5j39Ud+PEcHJ6fG6Xwu5Ym+DyTJShqe8cnR rTmQ/S5D5xw/izj1Ns9EyW4khMWCYDvRU8h1NpPXlI00en0xk7ei0PLog9ypsQigGTiBNda3qZEj Xw017ZRrAIY0VUXQRa5sEvvh3VOWkhJvKTznC/OdetZadBpB0nMpFmrcyBLy+LUfnaCAHRv/5yht eaBtAyLdLy0uzNrxyjoyKjO+J8TbaQy/93e0o7WXSJ38+lB1jMxXMprL4kf6pkUAjucNPT5IZa1L f6RXLMpjXf+b3cfG7autGAU6ndv29sVbIkXzZYsfx4MxGDNllVX7rBXQa7PjIE5rnXrbpy1xpmZ4 erlWrb1BZM2/jTuvIxXqk7EpZhMgZeE6W1hVWZbW1R2iaR9s7KNhvg2zd4YpWfzRCk9dUVZitQ9n TotLqUN3qOVf17TQDXENeA2UsEzvlTQkK4AZh5JfDlHTPFs/sggDbKdUv6Q7bZS2c6hP1d1EMkq/ J5SCGzfoN193sQkgY/k0LBHTIkfVGXgO0GPT6ULzVGgO0NbVAms2wvj7OLq084E2gcoXTM+C8Cp/ EeoKWgAQmBqIoqxc/GK9lH/9ebJuV/23wRmlQIHuHkx6sITBjmyVK3CfuoZP9E2xgl7Py0JkWW3o TXZkd9u2ClsUS/EeJ1v8SrLEu9vF2RZ0o4IZfMTpbIRF70XTfEjmEYzaOMq+/im1PPHWTCUplTZ+ kKjr/PlLOc2e4EllZOuA9Y/pO0XWPZ1fecxrCkW533oCBnJWQrSSwtjpkE8X71pdXSV4lnnAxJih 09Zz5JzpQz4l1rsDatExdYJGGIDBhebd8UlrOXeNdEZu4bhA2V47kuI13E/m2Kh7A2hmSKYqUvgt dZce2tt9L3t1KFpkRB2mZEPNeNmrwkLEQ3mFoyqoWpdaDYXVS09sHg7h6OguSeUyPF2fJf8xWCeJ ELaxgXAU++a+CnqvjM0wR/sjpzM4hWcvVcY3LsTmtt1idHI7IyME96mYkeaUL6PSz/oy/Cf8jZzk 5xZ6FcQHaEPlPQ+icSNVISRP7mj1Ouy7nWNLHsquUli4q7YzNWR1FUQP3r67sXfC5f+6TJloMw8Y lTVsRypUr44+MvHKl1FqCvoFq40Sy55uRpxivUvywxwtgvRjbFepsUJOBA== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/wr_status_flags_sshft.vhd
19
23122
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qn7IteVsnZ/mdHCLR8tB/KgmTn8ijcYuBtDLGh2oUVKuF3qoFWhv7eC1IOCXLirwb60qousghfg7 0xqsSbRyrA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VgzxfdCZunpPyUwqbYGeC3ulpMsK7w2LNEgFOrFKGlFGTp9v30dyUA7MsiKFgCrzzKT+VrIPwMvw QxU3GQIE0b38WJ5xx5bDenrFuj9fMfRnJLJFcG2V0iBV/hYdVoEecQkZyqCPVfkUdjfKW2nQQ9vE YSgHM9qDx8fLqyQ6zAA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ig4g7vOmzvtScDRtVb+tZEnSyg+feSk/Z8usEB/u9AljT40pDkFhR2JxLDYn3XXgfKo9dhNCFm0 whMJYjKNylxxgSFkNtQwR2XIg0BWg/XJdnzmvhE+MtmxAUvbHjuEhgVFiobIjRufLvFlBirtf174 Rb6IlMY8DFzGP8TNtNYlVuQtzXS4NvjPSDwmxdLLBUryIvh8XgTaS4XKcRx4c9SU8usSs2eZmKp1 PQzsFR6KYhbJsoU+KNdgC0qr7WxKSf9E11HFfNp3O241b9T36xgfVJMNzGcu/ZHXpRemcPttjJFK GMln0o/DwR0gidlS+JLK6pgrPDgP5/6nmLlP6Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yE7rDdP/qWpLchJqOpJirpc1zOl8T978Yfk6G9kBcFGYD0r+ZC5agvccz99iMwduJEgIxwFmjnzG 7g7dI8mK6Rjj6eLbQ31Mhsmq+p5Y7KQTNM1pfCzFCw+oJzuBbgsBggo35NClB7Hfb8DM7OriNRWJ U8K86UkzA2Prba4TIBs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BN9F+vWJYtgfrzbWbiAE08ecWOdWyzeeA+i0U6sGshkhExwtl0R/3hfy5ttqQZECat07SJZlP3jh V4CCuSQw513kvIfiNR1n8KZK1ODiyg59gOwmz19wCVgWfDfnfDXmgYxf+0derYmc4F2n9+pXRhDQ enznNCCvV1TM+SbAXbMWWC77ZJDkWposT7aeuix0KzNLkoMsiFOvzPJVJxWsxkGPtD/xLXraVjuo /R9zbJjLpYz0T/O/R4G6FwuMiIZFlEBmhA8YI04Xnb8Of0h/udsHa/BIz80Zs9KgMYw1jOPT6P6u 7aYcNrAi7eu92a51ZSDtMllbDqQBzVGgrUZg9A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block MHoDEg5HKtbJQm4sK1SpIfR5UGuP1fChct1ge0FTJ9uENwKWzH9DVy9373oZu5dXZ2iXujcOQkUU yGylArXwOlj5uCSnYXRf2suPORRilA/0E2ysX6/H8qKxz6n24/JPJNg+ArO9aJJyjGNO5IGKyZfm chzlSKyt6KixypOknWRq25rBM92ZcDeBN8ExlM0nc63Mrq8YBD76IIXeex7YcfXvq79yytZMKbcH IuRNu7Mxqyg7C24I5jTAOgB/t9PImRs/SzqvMEQlI11Y8xH8U/V2/jp2qzEmwRPa7T2ImhuVnVZy YZhkH4f4dtFyrE2i2T4MD4AggUsDFkqvqUEzc+K9tQWsqHSkwAGKH+vBIJvxFhKZtv45p8N2qqGB Sv5ftAV1w4COuxq7wTCJIDkwlsm+qg7o2za255FBfBGGbG2CSpWxWyBk0eF2UhMUTFvkWKQYQ7X/ EAmgaV+iwhZTckU7O8qcnier/XGNDTrGXXki4kVNTwyMsAFScs+FDM5Xqrs2C6fgs0041IZexgyu ubQlRkOzbJqsFiNLeV45OKVnBxI/NWYH8uQOQeqo5byb3j+8reVIOkRDFIr863DCCmC6XWIH40zC rjl7zQYMNS5ZRXEfJ1bjsva+5/SHy5cFMuTMuHBGNPuhXA8t4NFjPImC/mWco6+4cT8YbMy/+xu8 CEW7FlIvI+EQtyWFLT+j4ThtFcKw/72V/Z1Iu3Oi3WBjs6b9031FvfGbQy5eIy0WcWk4p3KyrOE9 cRcciV0XoS6fZB+a+lWkLoa/Pr6B9WJRe7gCNSW59fJQ9sdUkpsDefqIMfiJtglVSNSrCayDiHnE G39kPtzmf7KIIy6IFq/wBZGbre510AbLlbDflLxlQXjhZhfE/Z9A5yhQBbNtBNlhBr2CaYb+O8Xa NZW7OBRUBOMwfer3Jv0IG3kqspnu97dkO44HiZ2Na1Lt9WQmHi+4jIgfOExJHRgs8MN6x12L6cYd Knv8aTucM9EzEFGIf3CxMHDDHH2Ct15jNXUgxQyXHBkMfeYJhdvolieUlTNeeyXXqEN5dmic6YTo L0iN/dXrSoZN/4lc0FtFnCh4lfgUBmjjGTWTnaoAhRl0ElAfC00cxLtyguoWOSgom1baxDnX/tGI inoynxdyfa/gpCGeAFyhca8sKp7fHJjcCHjJVCX68E6a38WpM6gvlr1RpOQndWKOi3adKBvkTLbP t1GdLza8VoW093F+dI7ferEqFWWBwLyFfZxzicVdXcoFXkyoZq5T9LG6nQLsN9Q0vzk1ME6IeR1k 9WZtxrdVQJxnRN+XWcikuJLWTs3So4R7prhFcla5gB1Pgz3hg8wFREBB60TpqIXOEWP9JIevHvch amJQH2yXa1cy8bxPdUBMJC0QNYFBZt3cqnUr1TRfCetrLqfOyYhT0ItPIMVWMCKckqvyRuIyUjOY bBhEcbBlcPSgKkALdw/AHGTQmuHBIh8OWsGgeNnoD7EQkQTLfLq5el041MX7NYjPjmXH94vsTvWs NWaS/GYiGCQtaoqn0eBXKkXrhn5DRLvmFci2n+IBjVpAftPsiOy4rJUP8Zk/RAV+2lUH2kRM75cV 7ZrQWLAtfTpQYL0Z0eYOYwxDLVlv/Qz/++uyajfEro3RUtVHKiHtfoGMp6NmLwUOrQZ0ZwoNyxvI eyzSuSl0qqwjO1Z+6V4ozkGwZPBJaXTlYZ8niFaBdFImcCyB7EMf3LynXboa9wrKMqaFqGNUIePK FWI8NVyTllF5Lkr+Dr/UdPkCi/MeFcv1vA+Sx4gi4p5LALLdkVewe+g0oW8i0wVehNLXmfshlgmb Qv/+aGurcoTiWq0Sb+UMkPdNEepjYDBCON7kUbLeTlr+wdXZvf0N9s2C9i1BQM+tp1FYV7VZPhZo SmeiDWv+qz/Vdm/g9wcjeZc+3d8Q0EbLE00cR6zHWrA3YIKb+vGAse4FwmrfNZnCaynnHx3fs3dj /wrkn0TRJIKIb1Jna+hmAE8p7GQ7B+ZLOs1OPBWhtO+QLAd0zDAt2Bt6yhD2uJLMSQ6UvHhTl8/W gzQ6ApXDlZOo0WqFTmHXAserDMz8uvZmdvWguAiVLctoMi0raC5QkMDGHbD14Cre7MRcLCfGT/AD A4U1TeC5r/Zsq2Efw1ZbfBdYft5wjwK8c2abJcjGqbM9Bh0Sao+sY5hwSmdTbKPsIFi36mMceBuz KU33xtuQHrnYwg6PjF86luW0NTYDFEnyXSe4nAdSiT4CAEgx7Z6N3A1emygLFAbmHqGDxs6MGrzC VFwR/1ZApzAyWYm+dIFgzqSAut3T507m+PB4dAtJPmg43K997qcEoIu9hPERCZeCBBvXkASh/mBF ry5IApITeSPyAciHAwPuEBroGbA46Q7gFR806JJdlSmz376wE/XV8JF5kcEpm1RncJGNHYwpAGnw VdGgfSrG5kk7iV7Ro9d32ReT1QOaRWCaNKeqEjdHzw5eGoZl0tMMSJ0b2XrffeBFspqKulIUbb// OrDW1LW2UewbvyHBOSoW2597rVQXroff5ia+zRdnV+2GXPCCCZTBpYAYeyUHWE+2o6pI2UVUVk9V htojdrDV5y2KU5sq8qH9H78sZQ3R2/rGvYtX6f0sgB3qaom8rsTJf2XjGOAl4hlNvqqUcyzYj01K WPg6jnkKzgYK5g5dxi//js97H1INOZVtlcBbBqT1xG0xc1Z4s8hHKe4Pk/lJitSZIuHKZUQbIz8j IZmHNm1o2FS4SCOT/VU6C6pWCMBOueJrziW2JD58K5IEPqj8CFe0kiL/2vv9wMHsZ7T1mEoKa+iE PZYJFfcBb/hE/Q+hpNYnIetTLAbBvwhFxZFUwgZvSJx1Ue1ssduPG+XwkVbCf7Wn5pHP1Iq/7COW C06HnSOOH7f6LLvYSMXJoN2PeZDTFTuuC8YFepKX8sRnolLIBOGgSd0omsNHrNHBq+AOqoI3VZiz dTnhZwbhhKvL8JG0aW3yzAZDoYKW8iL1a5gYEv0fCbTIf8kjq13flRokA5VADGyns9q7/1fqOQCC X1KY+1XbHX5FCkA42D21nAkiCnQJ1GZjjEtfm4gC8Kgs16DMeFF2dPsET63EjbM6XtG0yvRXKFas jOn77q4eL+mOYpXZsoO4ifDdMY7dy029F998f57jM9NLDqUpEtGT98bxPti5SCwbZ8wLoeyz/WXz SUJP05q8GG6LJ5OlZX6vcfIy8FXaVGyySoFCYdHT4HoPTaMXWCXN8+JeiwJw+61YR4Cx8kkBlSu6 h32bsEMwEfWy/1XoEQWGU9RdXbtOgQu3POLvh7MtSZLXj2QY7gDzHpR2AxU3TmxRK55lSQIw5hPF wol/L2x/DV+ZsXB+IkGScsmFfiycTgVXk1KLTTz56DTTnvIMWMKnAxBU9GLMQ4UOjdAwrLGi5IKS lM9tJkx3MtX7Q2B9xL4+A3A1QDq0yk5SYQts4yWgoPgNzW1E8nO7FseLdY4jh6SiZ7L0ihG92oeZ bJMkX3ySQsJPwhuNxe0cDscyLCIlEfLbpTkjco6HsHmpHHFA4lvMU4sQXS89xFlHq24wwIc6IRY1 bj9GISdvBEcPVPmW2RFzytzSNZ1tPQoFTkTGkceIHkwAGFdpjB5kz8O2to8JSc9PaSliQ1soF3Pi PuHtDhKpSylzcRbr86QH/JKvU10J++ZnQdAid7QD7kMW3kCuXlweNMK5YELur6AYvCSWB9rFz5f4 rC68kcrBXd5GFrXELaZSNk4yg3vzH+rfBbWg9WDbejOo21JtDX0pp0GOdDTaYToAMMEZIn5Q2Dr7 zDxEedBKrQxBERRKvlLigZUBImtLTvRtpUkP0YOVDZQOEKXfGNAlCMg9QovUU6y830Fg83adLc2e m2Bppmtngd6k31Kj3Xj3rDPJsb3oAu1asW8R+6c+LWPDuFO/U7FHYG0NrLOppePJK3YwfHBwRNVk DWXOSimslcALtewBn+BpOr6RxGqd8uA+GPvQwp8f/IfoNN9Q7jRHdPs82LffU2aSIrFuJnh3UoQy h+Uo7CKeOUpKOpPtAeF/eK9Ev3DB6oJdqhHocbWJwTXDPm5dSjz8fgOqePzgmBh77yZPMl2aeTL+ uhIypmgw3/hLIfBgII+l/TyqV+oGYYtVMvgqiYp93NmJpwKuwW5jiYta2p9cf6cKb+wflEFB7vmR dF95uvNWBMpb9mQUcivJMtMk0RI9O4f7PZq4IfUEv5T5Xw7w+QUHXDFDCXGIt7STqLhjbAUf8Dk9 UfNkQc9LpzHHaESy09rUC57ZfyRyRQq8ZcDewzu59zrh1SrgK5xVLXhAcllwsY+Si2P3KuaARiI3 aY6B67h2fETv+8FfcrShtCgTGgLhN/QtToz5vYjSM418CnceqOS4UuHzBv0C27/UOpOd+ZNhmRK+ jsPOvfYqDCYwlq2tm6X19iHkKpFeHY1XVHKWE+SJrKGGP6985pWbaIAvOmPyi1AbB4EggYdgBrpD 6nUUtBfOtUFuP0ldZbiWPU7KkL5agDQv2iFEA5T4NtyzmwNB03ZszppsS6oHC+zbaTKfWbVlfJaJ pM3y0lKkYflYtZ1F0q+HNItxZtTwNH0pok7hKPWp2kojkThEDcivXyGe+XdJZAUO3+U8CKxaLPnZ 6rvjB6tc3zXReJEDZ7K2MaSLx/X84H9Y/r+weBjBh5PIjm5HZWJc5jlA4O18pYrGgb+I4yhG6xK2 5hTgNji1vi7HZaYk+Ar7O3HvSph4u1NtawoSLKl0FSX9V5i0yXNpkiN7ZpM7Unc42AV2kzm15BU5 fhuJLFeGuQx0jwCzxoOrtk/KCv8RmUks6vxtzyussCYF2loC9d2uVYIyfzLFheBIPC2dmEVrm8xW MyfL1NQXEnKnm+bbeHsXKeTiaZIchQRfOWlYim/v+MX1VEQQ+m6atT5qZwtRqTZXqbzn2wXhNEZu +toFCPiQNE82CHAFTEaxBpeA8vkpogVmQfYOEzoIiswMQarfNCu76f/70fVmgyGuDlSQl6gPINQ2 SAbaICEWkIy0AErh83unZjztH6qC5UV6i+UIPnzE43Dtt9XMISY7i/M1UXd9SOcGF+7q3DRrC8Db p6P+1QCgUD0FFysmZsK4zHTR/O1W9/B0c8SmKH+Mdl7BO4Zzz/TCD4CHXr2wKTkic+BoFWnocHvj 7WrXJC+JdC33I94AZYqAf7swWkX6hLVwOSAyvj6yrMs39apmzL8ZqLK0J+WU8YXGgzn3yCagErci UEOz+t+9isvCUrCcqlQUwfGjlehxPYrkbX4DdoVcN6NQ8cbWnSJUIZGQpzgelvi6SW6JLEC8pyQ2 lrWxjGocUcbAp2+LUe767/dyZKLwI0Ekgip4KhTxVZrbE1orIaYfjiJZQBrrdbqTd7YplWKiR1Tl JACpFXB+82i6PV/yqFltHlz/673r/XFWahyes8btBeF3E4cXwZlN5J6RPmNiK/GTdx2TwvG34fN3 D+xEilaVwVk10uVZyqIY4AEuNlekpxM07VlKxocB67iKroaSoqjfdhble3IWmaRdJkdKQkTLm+X1 YxjfprGK6a0k+fd48OicaIzvzN0WpOjkdK4HQJf+WmfowemSeZDW3jQQ5IowdcHCLcZmiQ0QxalU Wvdlrpalact+Imy/4D8w9Sbz9oywA8+jeWEpOWMHn8YydJQsk+Dzrp2W/Y2qCAQ8LslZ5lDxKYHK YioYRTEwyrqNF5iOVdm6YLf9uIhp7k4YU/Ibi1/sIukCQsk3NlvkcPG7blEzwh7Dn/Bg0crlyXKo kIen6kdEm6UhtOkksw7yz2I3pE2RukEJvEiAAIAtyEO7LERNO5+MSOeIFVAEF0xf/GDZuWHYoMcD LaUvyttyP06Ruy1v2RnOOtEOU9F2EYyl9PFrfv88IyrILZUsWmHWJw0O5np4QcrXv+mnN+23x/w7 XFobvscj+eGMhLLR/pmW1G30z3txdX3r1vcSdHUb9NFbM9S9lqOwIb6aajxJfeJaq+gshs1sQJX1 OGOYL7C6IFgcQYXF3g9ouz0PBtjaZDrPJKbF1e1TpwsI9S/FDQS9rK7Tkpx2jc3SNU54WKMctAUv 3DMZ4LzjeAuftzjJg+Nb9LKfrCpgmjFbB87EyLNtGHu5SPvX4FwvmbWk4BnCDRUFRETKNIA3e0Qu w+6L3+IryIIfri1ROMJfCKw6xZmGkb//78uwOvIZeDoUku456imXS9D98lmQrdut4maDH+QtTxhf AfOoO8r/tgP4XchyekHqh8BOA7CeDBcsxZJGFlNRLta9Yhjb3TAkgomx3eb70FcBDZfsI1k2J+U9 DKuGNEy0VLI7UuIQcIcT7gaKpDve8rMLlnyLh8W773R9LLE6/8hHfyEuCCSMec2YvIQy6E0y0YyJ vukXqe465UHqKY4Wjsb+H8hfzBRpZxyhNWP1lBj8gmKY4JDUk2KFyFnt46WysPUGn1kPzHsnpYSD Mkt8CBbGUbibYa+3xFwWnJbj/JX7Tg1E1mw49MP2T5qsYINet4Vwv79MCwjUqHMFl1wfGydnNER3 mn67ZBcdOGr9EpmcXgtFAJmjoZr6H9nfNHSabNMXAQwmfigFY+9ijyqGfkrWsB9f8WCGmuiytbev kdTfeTJj1XG7R2nXN/hc2bcnQe0LG5i0MAnmqYrLWkNObEnSkqW4liBwAI3RP+PgWxyggkyZgAGc +pi7ckvTay22h3uLdOIW/JFRs74agxg7Y5FYyUw7WhrQ6WdSqJxg1zvMxLfL5FkqoBWUEG1KMA69 wGXHZ2fxmoMLtJ6sa2kT+Wdru+x6fOLiK8lgAc9HAOKnIxnrWDGlP/NP/AzDyXzGb2MHCk/ChCEx uuREokBlzxU4ziEvzoDdBWM+e/UBTFgesF00RdhotI0oW3UsSW7/fdYid5z9kGk2NjPx3CMcODEX VcwkiQ/OesPIoD0gVb41ODngCtLnY5EC4trKuf0CiYd6N3SmouLLXEMqqOXSzHvQ4xr2oUIfs1Sz h5Ltshf3nIq5cDWmV+vyfBHi3cgDPKJJXuZ1xznQE453Kvc/vobkYQE8/jypsslRoRJhC/re4C3L vt/MyMRU3eQQbyS4YYgYjy1rZpOe1/wHzYMcxraXQXwoxL+qfO6TSYfu+9/m1JIYnBSGmqD78EuD k7QZ01rHgfFM3BRBXYTdkvqkioL2GVLY7mp/8iTcsTn5CfSgpUyvojE1hu3CE3xH75CoZx9ZNMt2 CPgjEfmBaogK/9b0nm25Kw6ab6XUGxpB7UhQPFEKeWFzvboCK2aIVchPOYlY2epFLwiUBley3IQt t73fwbUm8X6aUwYut41U2YJUbPIPiVC7rrLBpoY77GhsNBv5UphY6vkLkTx6R2Cm/pb0BlHXEz5N 2gdrCJstvAYUFJQ2WngE7TKfdOQy9ELgpi0p6oE09JfDAfvrvpYK4Su+osqmbBMvk6jpy9WpyMq8 n1h22nV7UVvbB5TQt/LTuxhvDu7SfVzjlZAyayb4rvTBFvhPE3QMsUc7xHDKv3miBV6x+OyjyQdt PeRfyJGMhRh7ulTjFStE8nL37IFPR0FjdbBL/v4sGGouEy2f4AYjQZGoxIBGeI5g23F5iMVfQptD X8EcBYSuPDg8KYcwhWBUoaSDcWlrUnCP/i1C8MDm9KjxXk4TRTkGIuLWHbO6gp7th29KO//Kfo11 +Oi0XR7/6V8alF/fxGrhHcBLiukIvHgOUbGUr64Ql4MXk054PsGWb4YWDN5cxjdXbln/AuxTAqij Eh59Pv6zqPsqwQ0F+9HM4pz5cmvpu4VZEYstxPJRZdsOEH0B3rZpyZRuIhJ9aYTUZ28mDAaL1rRN RlPg7qeBUXVj52vpqB6bSMciIsLSthEqDqECwZRjhfYaHQK5T2v0XuVBGk5PV+J6/wdcKAerzFie tg2tCUAm/o9bx59VHpyPurZ4CiOAR40SX+8o5HlvO+xmh7brV8PUIjVTCbtuctc2FgAQ3OchJRPZ ToIaSNYf+w0S2VKisLGUHZonPHQ0kwsNzSl1VRvKXc5RYASGSMUByvXVARWfBvcgX9c1LUmlzZoO o5VSTtTe635rDHkSWbctkWd45UfSPkHyZ51SrXOeZ2ZxfxEEvfgaH1nVtFi6VkQXkcLue9ReRAhE Ahq01oAP8N8oCpRAFe50ifoYvWUqH56ZMeB+fV+CRKn9fn/nOdnAmNnGb3TGcsLpq0EjixtOgFns czMwWR2RyvNY45qzV7GFRXQlLbYifI0Art49GgqhNJcp9KKg4TsNjA2nNlUif+5XZ3aD24/ZtLIY iI8Tf6yteGex8zqf3zCVF68QdGUnhxdspxG6v4DNL33vM9RZcAKAvu/lw0y3AX/fUoPrpRm+LIMp w7YhqvXIS5ls5srDRqovOeWB4MSFLqlOLKz0UkALYxdFWp5ypMyi9Foe9NDJjl/pMBIhdMr93Fu+ J2diwiLwR37FNLZIcfNt0xulZhK8HAtby9kIRTpaiRsTW2raAWaTa9mEBzzU67MBG8mN4hjYuLTL oqDh8vOfB4pGecK1jSxG7NiMFGuN/XMFyxUHU8Qx3jjUs2uYF6s06Q7DId74FANm/jneoUnTNyEG uhHwyZCQ39m042OVx9q5I2g01uCumARgWgaZdggVs+viZUl6ze4RsBH05wxKrBYRk6VaK+ciUSiU YhEx1C3KlQJ08vs3sgX2T+NSye1ia6sowPHRodaVyhAw7bxD17G0xP2sI+KT2ZU76+g0SRhhvGxx KuJ1lclUnMPVDqWMnHSX5qkUyZNNqJ1FomTzhg6zHGGFRNuoAlma2HBmdWk5gyUHCvwB/yoJlv4J gP+PjtzMQYVh2c8wVl9KAm/9qLqVKgQIqwPuWf30/zD/GCTygBQnfkA0woFxzeemdwGDTM3xRwWc rBp7T0fKsEOK2AT3ZeshaDe/qL9E6n2MX4Ryq80iabZ1VROpakyv28eEILPH4di/XL0dMt3e8gqY u9m/PRsCyPGr1x/KnpC5IKfwGdCta/gPi9QuNDpKD7VApe5DjjjHRa5aJ08JZptjYbeik5fZC1QY nvhj8Q0E0dHIst/OYOUzxzj/L5J7X8AAg+35xkLrC8KvpVgtVVN+E2bp1Px92/cvOZPLa2rURZBY kgDAZ8v+qpNehNUspi4gaXqBRhNxluf4JFc24I0S6/V94ZZuPAn/mbgK15WaI82OVHp06aPFSzl+ UEzS080PLr37WRuOkQ1zT+KdFh7umGPoPtxl1XyPkSZ6Id1++8zOVU1jEe4GZ7frT7vLNvWwBAcN IhA7zluZ+SazbuOHjhZnyk0nuuEsMz9J57mrxduSiMmLCg/eR0Hl9a1+bZqwWaVsYKjkZSVt3m4M L5PHWKyq/P8hMrsH7JKGBvyCYR++rfrtWSD/dK+qsYOKBQgj6YP4T/2f0ZTS7bhmVKH95u3xwBZz yXxgaw08pCJkyFB0AXX8NFyKMwzBERbYpb+XBUN/uU/wZRGZINZ4CD/L/Rvv/w0lWWPGjmW5D6Yf 8QMysBjm2MIrjqTZqTWK46cyHy2dfAuY1Yg5Ie8GXe5yWkmLUZhXtcepBUfdVdgHhk7/Z7VfWnxd +Dx7JEvkQMj/RAf0rauj/Ys+zfM0n6OSPUV3SzJyrMerCm1guGJcFteYzoFJMN6+bB2+vCioIPL5 yd834HbdRK8hhd/1GjgtddLXaVLQiVdzwEAhJr7huQ4rWV+Hh/cjRL4vp9/eJTDnJdrcqN0L+YVC UwRdt4aLinoBMy36TID3PTF3PSuQviIdTA1YG1CiUloteH8DP8ORA1Zb0xHWQwvaGKy+p5jmDRyr b24K83Zi6UMcRVd+ZE+NlD6QTLRjsMxCqzCDeGV5MUVCuAFfhv1ckbigD7IewC0HUm1vLGx8LueF YU7nfESgguPtVuSUvIRVuE5Pkp3fNpXAxciyZEebkwwJJE59OcqwxZ/xGrqiWkLXk7U5050DVpXd ccfI9tEmbZ9/p4Y3oDvziSAbpNOWUJLzrAleY8JR3/6FJfUXEAMqj/DDCV8/1fGG7NWunFTlRgsI FfyqZOiANMovFRwNLmIK9UfMcyorUiRLuP0R3Yg78AesNg9M+fMXD8BLx1eTp9GpVOkZBa1RovP2 +6Q3yxBObTNEJEQwTY59Sfjfn6o0tapcBYxlFHzyT1SMka1iP1pSCEUnOsDWp3T+ChlONdczdbMc +LfCjNe0aIEVqki8rvnlIc0Dt2PxeN/4kez89lr402XjEyuoetXUc4y598eL/+18SjoNjsmkPyRv o73HK9kbiCMHa1EWgzmp1Ld4P2UazAQNIqFZon/p2fAel76tm6n8I/FjFAYHV3YxYrSz/phkszjB PDXWGZbd/bSV5zmMVLsfALCyoRgslOBBs/3fazWT8uCyC6Eg1toozqOv4ti3oSY7ffQq/kbO25iZ bagijV0QlcdlH7s15Sz2n0a3ABq74/StDb+/gFejE2l+89vl9yMYn9tcOuTD72AuN4djY/bGJd3R t8gnrHJetz2kg0Fl6Kc699IxUddmAzWbXqb7IZFJN9Fej2ujZ23mH9KnRGUyzzxgDGIKze4pFzly wseocKlLpi73hWRamLnj96L68Q2I1/9+VcNh0zUX++fgcvEuyG1zhjwtE6PKDl8X73XGy2kZyvGA e9lxamyRb0RLF+W9KlvFuUnHna6YhAklv1j1ovXl62zI3/XryFuysgONAVKU0lTtfl3PslUSydaa PDQDwWyaHBl/biEQDyGQrD5TMIVRe7V5krDVAf1z9NPn9V9/CILOLrYyhjXEoFKrlNAwBA/Wx4/p m8qe20z47t+X5dGwXu1nZufV5zoVme3LuAHaimhJPDWto5ejxOIisjQfZ/UATxzv6TNb2IyN0aZ8 9i7VLucJwk+4hiPwdiaKrH4XU5zogHTVUD723y/cwK/n59oCAp61Gpo3sJ/sKPzGUzTX7rKeDVjk fGKlZOEf1lxr2OKaobOrbHcVhetplMqx0czRMpBzfvLtktb+FOAL0qMjLrZmaDa2oci0od1n5/3m ZD2+czsgL9fHG/MGO4nqsowazAp8a4oTM+GmTeeFM5V887dxv2fRq4I1Fzd7CcZRCmIK7RazCCG/ ON7Jbp8Bh8WJBv+vx1+iVKp0cd62DjH3dnBvxV1HjQxsvqw87wFrCR/kEPdjZJJK232F8724KwrY Y5b+/As5alfLqul42D7/09eYJUuaiciCi0wB91IzYDfRUYYEkzKnMwWmuNMoDClHEnmcFNY2qLz7 wrb4Bd5AxpyAXbc8wbrjmxVvc8mf9khqY7119Pbb+ON2Qxh5L4MPsZ0KtePgvmOSieM6XdVMLV6S A9Zh5CuooNk0Ls+UuaiqjquQ5PThAwoNS5hJNlW0qxXV9iHZs7OPISNyt7UaCd/mtMrJzCdywssH CqZ365TvlnGFMujm3nQIGIjaO2pwl0WWKSbJWWCozIYx2cUqjpqdkCv8jmN/XsbDQST5IoxvhqzY 54RvQXKXV8VOMEF9PFjNrY1DPTWOOW3cL81DCdancSAcGK5i2U8Lj/2gP8tm17E65Vye0CyKpdqh CLqMumNk8xC9GjJEPCEgyHDrHDjxKrtef6BoiuGncN6l44UDfvzLKw8pP5itSn1s6s06Z2IS3kOY /phtd8DOmW52a5YXb1LaDodXncTNnoj8+jTkngR4QgAwGVWWCA0JWrT6Rs3xvzkiqKQ3UcooET3K jR876mmKTuJCZoS/TsTadMtIQaXWCpfB+sxDi0qac7cqrnkwzKam70ebtBaDnYBZ7ntpeLn8k3ca GareiAsvH/S46+irUfH5iSotB/nfbBMlT7fjNZv8EranK5yqDEcUTc+jgaDMnmvgYEPvZhHdEMNh ZgmoY5ZkB8ELxdYQ/vN6oCIlig9q9L9XA930Y81biQBDKgI4yZn9k1jSMyGjH884r9KqEUSSK4Vi iOM9QvNCAbQSVVGRQSQYl15tNNVCqT5JNkATdMC5CNbwSEBp1heo+LVLknP5O1uNuy7QeJv8lpuy uib5p8/XoSRheHs08fPuWqWcKfMbc1FaOclEE1gx3vT+2rUs9QHV0T//xjtodTFFBq7SouEhkNDI isoAQEePM6qTSFeuCrPKlfKkAKwXiNtc+Gy69pv/IY5Bt56buQ2qLKQH/ylcc9zZLmxqnS0OhoFT /U/vjH37n/C/29enM6oBzoP9QL1sak0/WYz/BBgkDsKesBxW7IM29KzAgiModvRy+jSAOTeARUvg ZWIb835mjmyxObunbJtB4jH/QjuVg3JxmvzYdCJ5QVtMMwD7PkJDMGot7BB1tK5zxtJyww7PiWfn lria+zCTzlPjgUUsgv6yfVdk6zvJbkdjOWWlG1oAnTsKL4kLtn6Pxj+7khGjBGVg/9bj5qqNwjUI /YyJ7iLH6feHqNyFEMHs1YNrb0SopP0TGPSNOdsXVoU7smHZN1nMIGQcM7QkNGm2wI7jaX/w8qga s6knujMQjZ4zIKj2zFr+lp3EHloIAFYFN7OdiUbC4pA6iV0KFOlVG3yH1Z1Zg86GEWCm0mA2lDCA ON50qSpBh7uwrJphZ2TKwT3ksoC1ZTy26MxBteCwYk6BZsxhh1wqmNHQCwPOtiCYk4JnfS2eBqI3 JV2+JqibYAV6JboGkG0honGFArhx9jm+qSKApDEB2Wx7hzdaXzXQGEWZH9vALCDtelXcY56OCcOQ EWjSyrBLvz+F2R+VlStOhu+uTDrxkuufDuLwmm8sRJIcAgeFlMQDrgn/EJW4HvhEdOfxHkSAOB1+ baEPdFo2c0U1lcXmoUhmNbD1GrYsiEu2trc4EUAs4c6aFk52zMMIHSWIpRROo8l7MbXyHSkdc9j/ VtQcLshCE7tHpNi0MwbLoTA2N0iQOZlR2vub+PUEXpY/AeuzkC2VLZzkTB/l581B67YlzqG+nn/g FXkdIanLF+QiQft1QtzfxFnUC8Ji2OrRHd23BPPQ6crmwpJR8kceUnnd1iSYUuGOEjl2cm28oCV+ jwd+wlH7YazBrT8pYoYF2gnWQ8p2zJTML4L4k5DvJKftqjbmVGnE9PruMD3w24xreYP2kQIghasH K0jotIglIEz+YYEEic/iagBzk2IEz7EzRn3FmUC8WPIa95d1FnT3SpVbqKEp2zaw2Zcywm3dmq1y VeMMMQMbch+H3XseHVs78ROxxjg5DCJt04rUq6RyrgF7gMgIwsa4nJlHwqzKdF8tdZmwdQ/0BCAL aA6ptMDBSdlljkMqpB4g8+DeEEbCePhj0OkRk2Yvq/RcdXdvT2tGYdn5XovCzektsx0KnhC5/SdI vAXqEadvIAHQyv7V6u14FXnDlWjDV+tE0RD5ww+4JDVZeOvWwrHgpEtGLfyjquiq2IZTNwJGj0Aw E5sUktAEfcCGpXgMuYQIY09dQxNga5ZzQYAviUBoxToLHOW4vdvYYiUs7dQSqEV2QdAZpp5xBZlk WgyqSp+5KvWa78+BH2m5fqYIFeOa992WSrwZIUM2S9fpkFhP6VFlyZ1jFHR4snPUWmu/sjbLl1aI ZFO1MsgD/rd1EoolvpNmUuQ9WiFfvxB1TKeZyldgXwoKjytt5GrMH+WOwFd6fJrziA4p3dLLhQix olSW6oAdpEuDlig/yv0SYFbz0y5Jbs8xFR29vn8SjXp2jidmkLR4XmfvTY1sjKSlTakzGQwyLhnz fZVrk4tT5emoHRDru0b7lYL7ISAhjXJjBN/3SogelxWTEkg3nnUdQwLHcdtzAcMz66uK21IZjUWI bbzQxM1X7VKlcJSE8uqny1eUkt0RBQ9hVWkbzAYqxgicrbZW+8gpkcoaaHJau3qJwtpfnuG7Z7mt AlYR9b34n6A+IO+qNdaVv6gIIbcuoZw4riaoAAjQw6QcQXHhDSKDlIUDa8lf2+cH94INnYmpL9/e 2GUCOJXrsYFWuqy+Ryz4MwfvEDwlQFZDhKPNML9DH0hd6zDsE7uX5fzc9E+Tp6h3qhq70tVKIlxe 7H1XixC3YWMNvhzHdWZSZ9I+Xv9sisczfjkxkwBL8qAfAMFPEQzWoeaqynq6u/IzRk1y1FMuz3yr J76kKDRqjTZQNENAinKA6geLBjTXvA5hhCavtkQcRwo58cPJOhepy7SPaI7RcKJ9JPpHi9Mhsyu5 ZvQmY7ylb7cCoF+QCI0KFDzEOeer5g8hXOe+K05kmrnRyLr9o47dlx84g/+t8CFXIfO+TRjiQxR0 qmmlvEe9L+S2PrNnsxRn29PYavtVK6xLspx50yNaG4dhVBwCKqEYlPOHQilyIYu7+8+RxdJUwtg3 hCaGnL4U4en8JROC44q9MCfsxqTMB7g3e/g/TN12/mj8stDN7MvyeAvsbGl/6x9AtOfPDAPRFTHq 25/0JhcJd3wnKMTuPky0SZ5Dz4YWYg3vuWFBfbiY3DdvkpehvnC14cd7h3tX2QMw+L7iF2prKASX oWOt8rTQ5J6uv2eDeupWzCS4s0guym3v3yjXZLoCHYhevMDcj2oEXpSaFgy4vPnxgILeezZTjlBo bc9RAcv+CKM63YzCLHeVKuh7urolgFs0DAdHiVfwpE1hCWJ6GFtMOkL3doRYjMCEJnLKDIp+YR4E DI8pIOK1KD88Hql7HvBS0AG36gLEHP0qfQF4ZjsQjzW6RuWncAr1GGnbAzXXGOYPkI7dwdPK8SKf 2HSpS9Y0sh7nLJ+tQ30GIK3omiJYQ37a6BMA9DNR4cvVoA/I4KdSv0ZtHZZtjSnqEuDDZHEShiZB vTxgIcyfxC5ydHOVEq6SlA9cCEAyai3J15b4z8/G1jh33l0tnkX5EoexXL8N7P9D75Ftft3gW56a IVIqfxLuMm6jBlqloRjKG3+dmTaJ1pKLVOtXhaqyuIDvRURHsxmjYeqH5fthdSTp7ug8yzK/QBUS 0617MXl2D/nmesSwUQWVJywR+lC+UTl9I1QzZcWfGWBNFmspq4TVxha3qHpz2KlmvY9Yknz448f1 Fu6B2sDct5BvS6BojUW83IGO88B815znOt9/5UCwzil8Qs0Tdjj+7Z/N3YCoMPchRNB5RE/7jF/q K3wieFYTSrPbCTVtDalEgpPgBThS+letJ2NiXZS03IlY32+s1UJMGajrjVzhl1LZyhAr0WcegREX QjRTfnmf2pZm9n1sA5LyAYR0fu//BG4JWVZjI4f7rWXphKAqFWDMqb3rZXvjN7d6rp92Q/2absG5 3V9763J7AphvKaKHNI8L7I3goBtyg5z3tkc5Cs1Bd9jQGAHPLh+7akwLj8gGuy/VNsxh4A0fD/Kb Wi2uYTa/uXidPe7l9JLXPfsHegX50XXw/fJa8TDmgtVbi1aE69xwYrBxIwS4GkML7ZqdIcuCE0kl G8F44MCd7gs4auZd9Yj++trXjSdUCr5Ybq1TABGGQ7RRykucAOBJ/wn++oe8FLw1YS3BiiU7LIEe xkFaxklEhoASxYahDw4i108QWBLGGw42T1J7fwuYqE1ZRQFw6mOvi7Oqb+RKfM9EUSAP9KUXzMJh WTasb0ejzHjgqp4BtsHKAMPd+iWkDmvJMjMHPLmH0aVrhDTP7NgEb1iI42oWdvfzkpLcPBv7w8Tu urYvRDt6j44UDbvsgaVUiMqxucHv1WAeZJ7Jwr2WmVn4ilsO5t8xap25iSfmw6YQIMEPPXZJVKoZ W6CEBWGhALhNhuKeo/fKwv5mmbRTCXvFAy9TbWBzY5fiPZ8icGX2Oh/P2tjMeJbcBCpLZhTG1xZD NDgazp/9YuSMQk/TzFnCk9LOMPQEUzw1f9Y9NCw0ThMVO7NeDZEMEmsSZQiRyy7JWxeRJwiGXVuE Ke6KhAU4M/Rnf6eMd6xGgY20qc7opt0J8Fz39zKDet+8G+mebCQFaIyAzQZ9iNlw8bORa75Y5iCI fzi7yeEAcRAg6px7OEbUm+xWsf0I4RBfPwZMKuaUAbKOgK6WrB+qisJJx0KnGvVQngqn4axKPkYZ WYFgjV2UbaPRzt5p/bkA9sIK8sITNf9VdQhSs/rSQOS3liK7PSJvhe4A8jQrmlsDNdnmojhHIrvA xV6MBiwKxcPnA+874DHF59ai8R2jAnMAqiaxYZRyH5yCudMIeyG0J5kWrfARKeukRiu2pBEQtHZL MArFC9fJbgFM5LJeTHJqnEalVPizGkQ+R6qQPYt0KNQh85ZL46D84hMVlZOdGCh2BwNsrpnHZjDm +PtjPJP/VY4PxW2dmdZj4Sr+hUMUhgB6IIu9ar7ZYzb/i2/eHlmZ76kpzV2swmqRwlqtLBqUBVDU U6NuBrDnAvDp2UVjfkkQHl7Dslqok/EWaqdL14EpgqQSxdmcUB8I0WD6N5piI4SYS+0i+cEL3hjW Qh4M6bWpxjqJ8zDJFh6gjd1XjnUblkAzos2tKD1w9M0gsLN+4vsH+MssDR1MKI3cmgbmsEKifauI YKp9Bl5JKt62CAqACZvBrronuq5B9QRKtqy7hU481X9JDy04fpoiYIE1v/xAd2XU+Qi1MyrgpLAs umNZdtRO2hx/QitgKplSQtbBWO5gA1dfEF+V7j3pQDiGHoI+htY6q3Og642BSTpGGWk2z0FNl5DH qc2RLM0IPlONH9WWmzPFm/kS17UtGqY7jWCCma3HlNcD5DfOv4A6EMqrxiBebpqeMmRRc5WRc4fu VAo+TsiZmzGXQ5AVjwAQr/gg4t/u4NnhrZB/HKYCzOIz2w6qTqa3BoiGV3Bu3IDDIoHYpnuoy4os wXRhzVhepTYRy4B5WtQEWrT9hNXG1pTjPOKaJM6EwQyoTbrht94NeCMMd0UZ4m+p4BOqwEI+4k8n YWzChcwa0A07mE6YdqCbdKnXa290AE7Ql6285XCLWV1gxu6tsFynRWm/MU2EdU64gz8OdxSxCbvw k84vPW2eptTJeKiQt+aIGwDQz5ecTb69t43w11k9TXipJ6p8IWEWu+wjiNOuRYGeA+YOyNtQjbfl 550AkAJfPe59JlDVIamL53lGe2uPwgXugfE9mmGV+IgV3H0ArqZnrVjhJxpWuKuqX0KTJkDS8Lnl 59OYHANuo01fHLWtsbND9x31Aqp1vchSpIaq5kSLCGfwd7v5jvoO94UYFE5nW2qD9ciKm1R2/jhe QxhTV+xzHf+nzJyUtPfdxEWqG5g76iiL9hyhvPpHw/ALNfOphiD5zPpvfkRUe8DfGNQ2/9L1mxUT DJt7MjMvzS4CQ+hEfTH19wrOVas+kLOzIWtFFrkOhou5CRWFnLif7lFWWM4N/FR9E7U7ufSd/5hz RIJ+korxQq8P5wDtb39V392404lmT39zemzybQh6Ehlw1Nk159mwagvfO2Vh4vwuWh2ydLM78lW8 Htj7WTFi85NxRkEmktdC1koG62vF0BPhQ1WHJ3SMpp3/sEDqQRCmFGb8y3/49LAtYfhN4B8UEE3U AKnIIyew2bD+B46eyluLAf/y6xFE+ekMeh7R9P+piH0Je9vHzsxpdgheypXfPFf4quOksDpcl26l QL7p/Is194/zOxXkyk34hDZQAe0OmivLH85QEdfy/9Ana9YXDj3+F+MpRD2MQokahjDkTymVynbw r0fICBnuzIyM2/hmIJo9cRWW/2D92Rso4UFIAn1fQO9DG8PHeiF4ikJ0QrDogKhLPORlDHbOXece fGzI1SxEw78nnPzuyog9iemoRsUi78+kzjm2iZLjmVDHpeCAqDqv5PK4twZzuvx6eSJTEzH8HAt+ leEHlAHQE2gDV75M5i0pIfM7C6Ge+r85fkgAx8SU/BFMwMwAzDhU11tCFxGhulXMo01BmKac0O45 K90NYjHHpjzNraLjwbJn2Kvv+aBCAfW05idcnNcW2uFrlqjMs7XUqZU/PMhdg2jLSLVzc8RUdp9w ZQZdgDYS+GGzn5khWftCt+aHs2xgmRPiaZq+EfMyCbIxqvsczSlJczIdkWa1ZPw/xEQjDjqaJjdu OkyZ8DXRivPPUGOhHsv1QzUG1708VmG49x9vkLA6Ng6lMxmAs8M+/2j1/KGse90bW0rJD32VijVO bl+eXhqF0j01MCUWREzlf+fJocuvQZ3mbtl6KNyMHsl2j1n/4Kl+5zChIoXVv7l9NtixXgPl+IUY bbeF2+adnyuJmqspgBHQA+MrgLPBbJEaCIPr1DnFOTamjPIqNhOEWQyR/3IBnZLaKgNWZfDL/Sh+ 96/a1Gq45TFtyM68SVTWXT84H7+dBweJn11qaU/X2Okwk8ByOX70UXZyOLJYYuT+Zpy47/9UpmhP cwhtQJD1OherxVCRTg31l4+3SnvN3Z6xEYpGX/BwqqFIiGSBvKb5Q4jH38gt4erYvsR2q3qDeknp RKZha+R3vJwhHISvjionQOVny6FDYrzILoBj1nY+W75cccgG2CEthFxLqQ3/zjeJx/JJ/MtfWUi2 OJ6bNDUU9f+RrVNVF3ZcKfsKGgjC6/MDdShxK/j0u8eOcY7oaWCE8Ov2e5PTjd0s0ThNqmE3jbQ3 7IfgCVa5OgsnnjsCetCsLastDNW+9MHH8K3cqR8gq7s/7hsFVlyxHSV72GrW/5E9DXMyk0gxFntU OHPTxyqjnuGY33Qazegphh2bhsUpgeMLTZHf/swtYrD2r7X7hohwXF+8GHyK5PV4XIX2GH17Pace IfxqPPzqy7FYnjHpVfAQCyeqKoecR3z1aAX/NOhVUtxlzNcvAe63+l0F19qyquFPAvhI5h+VYCPV q4KqjfP25pdUerMboc9r9ZdOUrFbXrCFJX0+htsEtlqmkFWRglPr9JvF8STuTZfUMTyYBk2BwkOu CarSJVJ8vDOo2kVD23VXHWcu1QuEcA6+xSAZ79qNgv/5WY1QmVKrs6JQtJ+1enzSJbQ4SNHZXWqh f43VHQ9h0bK9byFlykCQ0sOtwb3xEcVa2lIQKWok9ApO9yrr0ihcTJ8fkWOIzqbwoJEIgrEYFOUA Ku28+nod/WxfmNojWPGgFhWUTFxJby1KDEm4PB7GblbcXLF3rIqZPS2AZNXYnGXQ/1Mw+4HIwgPY t5JRf+6jXYYw2Y80GdulWRkEyoiLMt1OYvCTLCCoqGIXPRmcWwTLIdEP0kmqT9FK2PdjU9w7/OF8 AP5X2NtQKmE3umSVe5rOxFBNutPPRxYf0blxWD+0jTYkXz3kkPVKovMuM/VJvNdmdYY8fUhW4sEb TCBBNthBRyNWqsM3zCzuUX/n4QAWrBuE+9ZveDYxLsJWUrTWo6P6+Ni9jMEuzserTZj+Bk5+G7am a2YuOIxPDzhzXKpXupkU6CbEAMs5VnlCZUpzfbWqZNMB6XeL69hzsJxd98KbJ7QDMvEkqNWvHqHG MpBFfoBitBJbHuMDtFps8Wpl9rYdFK11QKDLfKRlP5j39Ud+PEcHJ6fG6Xwu5Ym+DyTJShqe8cnR rTmQ/S5D5xw/izj1Ns9EyW4khMWCYDvRU8h1NpPXlI00en0xk7ei0PLog9ypsQigGTiBNda3qZEj Xw017ZRrAIY0VUXQRa5sEvvh3VOWkhJvKTznC/OdetZadBpB0nMpFmrcyBLy+LUfnaCAHRv/5yht eaBtAyLdLy0uzNrxyjoyKjO+J8TbaQy/93e0o7WXSJ38+lB1jMxXMprL4kf6pkUAjucNPT5IZa1L f6RXLMpjXf+b3cfG7autGAU6ndv29sVbIkXzZYsfx4MxGDNllVX7rBXQa7PjIE5rnXrbpy1xpmZ4 erlWrb1BZM2/jTuvIxXqk7EpZhMgZeE6W1hVWZbW1R2iaR9s7KNhvg2zd4YpWfzRCk9dUVZitQ9n TotLqUN3qOVf17TQDXENeA2UsEzvlTQkK4AZh5JfDlHTPFs/sggDbKdUv6Q7bZS2c6hP1d1EMkq/ J5SCGzfoN193sQkgY/k0LBHTIkfVGXgO0GPT6ULzVGgO0NbVAms2wvj7OLq084E2gcoXTM+C8Cp/ EeoKWgAQmBqIoqxc/GK9lH/9ebJuV/23wRmlQIHuHkx6sITBjmyVK3CfuoZP9E2xgl7Py0JkWW3o TXZkd9u2ClsUS/EeJ1v8SrLEu9vF2RZ0o4IZfMTpbIRF70XTfEjmEYzaOMq+/im1PPHWTCUplTZ+ kKjr/PlLOc2e4EllZOuA9Y/pO0XWPZ1fecxrCkW533oCBnJWQrSSwtjpkE8X71pdXSV4lnnAxJih 09Zz5JzpQz4l1rsDatExdYJGGIDBhebd8UlrOXeNdEZu4bhA2V47kuI13E/m2Kh7A2hmSKYqUvgt dZce2tt9L3t1KFpkRB2mZEPNeNmrwkLEQ3mFoyqoWpdaDYXVS09sHg7h6OguSeUyPF2fJf8xWCeJ ELaxgXAU++a+CnqvjM0wR/sjpzM4hWcvVcY3LsTmtt1idHI7IyME96mYkeaUL6PSz/oy/Cf8jZzk 5xZ6FcQHaEPlPQ+icSNVISRP7mj1Ouy7nWNLHsquUli4q7YzNWR1FUQP3r67sXfC5f+6TJloMw8Y lTVsRypUr44+MvHKl1FqCvoFq40Sy55uRpxivUvywxwtgvRjbFepsUJOBA== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
19
31831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF uJ9eNTpy4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp ysjb+lxmo0pqYRikRIQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54 /3DZJ7o9x/QjyM8WSeM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3 Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY +rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block GL1YZYDJgDckyO08ofxRV1/pUFEsLFUJ7FG9V3wrU5q1PVe5RR6P10/MHVXWhk+5f/BIV7iGCM1S yxrVJWgpgQE0zIKk+cqoane0m/fhmYouNVngFOzauOOSHglx/Xj1qiziwsB6rnrm6ssdaZHViXsy qd+5reSc7U7KPFUy2UIP7UCeKR1/Mfd46D/BPP6QPlNQM3r4/SrTCwKPZZ+Q8zK3jAXyciHfyvuy Y2nXTJ/8/xTMjPM7t/Gnmbz0DoIH1RJWvsCkyHMsHwgPkg4AzC8WlHoefcFQJPxwPEH8pv8oIdLa aviOnBJU57ToHZOtEOck+2AWqCf1L6VMpdGgJUnxWkIDathwDoJ8iRrpzUyz046rlY0tuAv6f5FD HQ9jXr3GYUnbGesTWa9DdO5KnSFl26UOtGG3vEZ3qCvzrVhmENEx4gg+pYCxfkacFoupDlWUg2Fz wWD3gNWZPXpVd+eQE8iJVtdhuGsObpU8ZtGNzqO16j5sPWzS4anSQT+81DTxw+bvw3o5Z3PZUMxi CTTmnd0Y9GLe2wfrYfo3PkJrR30yWuyi2cdZ5Jwx3NNrBwi6lacj/NPTIbl4eJ7Map2wKDL+nsc6 saEUCwtFM6RHPrYz9xiqGLeEohToyY7VRmnEESrjCD47YkLFKZHlik7kvpfUvbMwBYVhedhdZ+84 vjlSDTmluG8dBm4CCXVQcaQE2Pl22IVm1u97zUrYufiFwy9osNPoOlKSeT4S3h5+5IlzTmbwOInb T23s9P8VRHLBgqfABAUabCClwJPH2oi9yf6yhV2WS/zU/ceHZEcIXrl5b07z9+L2slj347lpKdHv ya2SknjfhhohVmu+iZYuWCNcQduTQteKf9KOWxR+2fbRxwO2SBSM1VJGFt0c9OrQ+usfpTftyKSQ Ux8FVw8mNobabT5JGnucunxvnDuK2B1M8yPIJLdW/ap4x1PtolyAQz5cw2cLX1EoNG1b7YjNs+lz qbAeE8i+WaGR8ufZtEz7z5ZBQ1SIQJhWW9MC3kunFWZDeg8A9uPNl0kJxU3dB6xuVl4q2/TpsrHb VQJL29H0UQtKcGG76Bo2mLFpoTmnhuZyVI1sUZWmkLsOLfNF4zRtOxtaF0MBZFGhhLKnmhjXulVb SI9cG3nsObYhF1CPRsCVPZwGUg/2pYIt2rH1B3mHruUSN9UrIUyKd6FXMQ7GaB5B8g7l07oG4WB8 Eof1XJpx9FV6FO+aYuYOSM6rHfxqqSqnt7ndr5aMBpMh6/QbFHruP5Es4TPgmE+XLih/9DIw1dBK LChokfMqg3KdigkNSwYVp9tqaWAPcmNm1Q0V90ikaMxwaeAMj0alEoVEP7M3GaOemUnn30Ej5nZ5 X1f3lvobLpLuD9nojK9VqFbknH2R76IbJ+3VSRwYUKg5cpHghZBpmN9kRmWWb++hkJMXa1p9JI5O lO3TB7G87iCTV1v9gThSrNr5nsJCSt8CO6Bf+NXNvPz4oy7sLT7zUeLdnEPkfE80jQ+vb8uOVact woQBJfuH86F+e30E66xp9buL91Xb0aOb/NMcLMSpvpvSh3uHEISXyS9NALmUsxKzWD6jJmbYgoLI wcMB5iCuKIih4sAHM/Ts07tcDhAxCaHdQPvCxI+P5ZoVnjgpbkScYxQzR3Dw4Vb0p+0nv3Ug5Fj8 Ym4sHnC3EP8kclAEUjP+vvbfGVo2TMxDkQZFRVuFeVBCbFAhlqkJES1KoRHdKx7qiGrMeTJ4T2MZ g5HTx7mIMDxWqAIf4Lj6nhI29THih26hZC+/q/724Ki/a1rGnSDDB9XozfzHIhGTa4+STP1cm2C7 SYendl4HUuL8dpGMD2IGx/l1Tem3S6afwr6BVlU+wReKxpRTvglHZhYnR7wyjXqqdfoaG1aOXxyL jMrgL0WtKiXNN/j7dmiEn5eQ4YvaNucbsRpvv9tXNvZIXfezZqVX29DitX7Fvm73Ca9oUGiNOkdN F3qBt98Drp6bMIl7JtyXkzaolXHupugznCi1T7BFXumY7mSrzLuvawKOjmzlAMh7nSBmty8JEiHX T+M57PDGuySgERDBhksXC7MtxOdVxvcREvPZp+wjakWoNPOEc3M7+ka8QxhW0L3D58PYFsaBSBx2 76Gxhg7o9dGMTfrdPBW6IrJBT5d1ycSBBhro2VW48OgqU7z33WClLzqeLXPDBzBXS9Aj8Eh16Y0F /Qk9Q9bzJsOZBBVEN+ZU80lf3MjtUvOacV6UvOX8ngAZjnG5RRlD3z96XPOVZj91SaTJ1IQ9It7j Wg3I6nfM8hTR2W39JjQiduQcubZTbWcqR3X+x8puhUNvAcxURxHJagOSpOfYqWVBtOPYtWC3S2md 6BMcyMotwK2sPpuTcCHv5HsOpb7ZiMa5OMhAS5HLSaj7VkBXHo9Bu1IpuaqLFUJ/5uDzzwNIsJCy hmFdgyIuq3JwNs2tlD9K6LQkedkzS3aClnU83sJpFLogm7frqNtrbun9Tq1sgOtUqnl4xEbLzunJ l/LYY5WGgSnQYiQv5IDXaChaujy3d3Ih5ISSb2ZruEtDn6EZAjcThefiq7+TTwgiMgkHobbNmiWV MWA5jufViWUciakKo5Lv5hwerMMHLIaqrKx9O5Y5E7w5R7U9/hKhMQk9QjBuw41QeWRf6BkNnRU0 n8oY3thIE5p/tPkg5seFv6Y8lT1LrDT02NlI4a3wXPJDlVIDJKzQX1i2PzW1kTh6sU/PQCXwy6ft 1y/SF7uJnyBNQWJ9YPopqxsivGLLE57K+lxhJwX2e69tl6Ylyj0lMSBmzHQ3QGYDCRNMS/uh/E8U K9YDzc+ym4T+tCFH6jClLgaBa0in39Pv2Impc64PsPjJWKKGMuZ/HQT2sA21fHCTxEmlf40JQLaO tvmEHgfWpiVbV4gt+AAWlU6zNI4hOLjK73/+Xz4rLmKZWr+7B6GvaLzfyoBm4UgLRCtmmWLBOQVW naNzc9mU44CdFJdIHRt/vOr73EdqVNv8NyPjyo9dTPGfUtN5fTv4EdHQme4mB4ukbJMEHe5vDGrS pj80BhT21ooOO+mxLp6wNeku7c28JJwGyC+PIQ+uqlHwjRLbFvy9gHuilBxvCNSxmsEQ4n+PWtk+ jc/dQ00F3lRn+WKMHUvjLXcMxpr710Aj9wPkDeDnPhn5puKSIURmUwJHN613L1LFTTnb46vvHVKP Ru4itYBD7pUZA5NIgfcboTRlmxIkcnMKRtOmn6UPo9fAtlJl1Y79c4XII/Cxdl7sYdZpNd9tSJyE JkspxrvAu4D4peEk8xblSHSBQMAa005ZMtEgfTXbiZhNUmQtni2XcnedgbUn8vsnmQhH3aWhLPrw /ocojbyrXSKUrEltYUDW1w6evRoJuXo+IxkdY+OWr3ko5TRHeJ3oWZzzXIFLtvOeDGUirQho81Iq LzpWPERpaTSep6ZSY0ZSI8GlqLH5lnWlaPmpJvZskV8zaykMGcadKKz4bF/BvtF1VKWqpzKPCZ8X cZ29FNKt+n5dAK9xy1RfpLnHIdcHMV+/8jflqfw+PExXM9mQ9zh8HRp7f+lRC6IIHe5KY4OJ2VnY REwrEQ0h04HIgagWEJa1rpGTg44FiJ6ydMvUAGTdJAW54zUlh72kxk7chcnSNVe7IixoqzDY2IVy 5sDyPakXpP6not3wO/6p8CaQO/iNJRlrCZtZYPq9pq3xsu2oOxt3jlBl8P9ieWnv3RVzkOGdXyZ1 aGAFxi1ZStUMWEQ/0hnxZP5uvNmQVYiJrVo87MrAXyN6hSLAiALqD7/7gWmSvH2epKijCe8tpXHZ lz4rD8yawb0i7A1XPVdCeSXEsoY745e0mpA39jv1J+oKaJW3Xd+Vy1HRsW29fGw19G1BviRvTwHz h9j5oHHMAz0g8GuTdqgpLe56XAWdsQkczdBM/MZVd3tT8FSjqlDNMSt5TdjIbL1CB8FKSAAvHDoN 8SCKDkf+3PsRZcZy1gExsZhe/b7wzA6ex1hHcPdlSfFgyiOPCdWnVPTKK37eOfaUeOHcQsBk12x0 829WJPkEsiRt8MNhk803E9KQUoOWhYKf909YoH/xSGPm7L11//aXbDnlTZ4uhexTV0rdBeNY+E97 SCRI0Mk/0+jKR48hRIm+G27YwmEoTnTEjTWndR6JXl58CrUzBAsd0fqO8NcD/P7seiZiQVK7Oukz m+Nl20hMcBiVkld3Kj2oF+5JH+C8tWUWAxuoWWXY4rhCatxYTcX+xX1M6Cz3SS944FIMYw+llILE UWyCtnftyaG+/m4pHVIv2UJ9SyKyutrE0ykaACPWc8Ok0ab3kN8tlDpn7xcJXCxCWUfQmus1IROg nYe9QVyfMkqW77GJUGDDtPuDsBx1h8EJrwaLuIwGS3fvMvsvjfkXnfYMVurL/op07YkqpBNuGxXp 0MivwG4s8ykBMnxMtKZA6G90kgUOlXvw51NQhSnEeHaUssIsnNFb5ggu4Y++KoacKv+k7cfitjSc 0ayRN1In7/JZRMztWaaG2I/KDAXxiafOWP04OesIQylXjBujNsiEfYVYbQY0fq6FEI6YQbt6SbwP ldl0rM0qUqTi+Ws2wVRSMwYXTIi/rqsagt1MLiL63ueCA+4H9d3/faKgjb+pAGVmf7L2CEVgHblU etcqvLSKdKvlB+ZHXuW8t29Y/JSf0DvDl9YH5RZ/QlQIY13inkVPVm4SIxoq/rMRlg4c+y/62Ya8 N9gr4Ir+y0Mo42tNH6IXdBjisSVkHTnS59x28BNLObsDF/seGyWeEyKeBwNwoF8LKyYuc8TeraKX oypV0RUkYtuRvNZWqTDINbibMEweAw861HK0fKZWflfoQ90NeprUlV05JG6yIwtQ4p2PX5rBWJ1s sOY3llk/BOHjN9fP4l96C9gHwJ+xwYBS6oXIGiRzm0zm1VMaaGQLgFz+kc3cuoMwYi8Ao8D6UZ2z WwrcKlDrOdAY8o1ydoSMljfITfDtcmofpLfSWXLfVR0Vtyj4H7Nw/bFTmyZRp4+ABtgzn0ZFktDz NUSgWeAjpH02qU3ITiYkFQVIjV02ciUEItgsN6J7PpBnWYRww9WX+iOJIcc/5nvOY+7Osp+8972s 4it9flDYYhKTtz+YdUrB7XH11ptxjzoRgTUxEmQHQzJ/K0Y23gpJBK53fWYhcbesrXiDQ058UfEH dIuG/OYFUS45jGwIFuow8Jcjg2i5L0MMJoqk2aBxEtszCbfkIFWOGLVjaF9xaduGTVi7Yr7JGJxz COksTVkWoBlwB6WXR112ap506ZTUujCs+kvcc11PQ8Ea47Xr51tRflakapV49H1ca0uYQdyET33y 1NKPAZvxhDFY+Q1OQdurjQfSJiOihOQ0BFhOEW1Msijq4x/PKqnAZr57I0gUkuMDuAAsIDPmq44a W79zb1Z43nQL8kqAZx49h/AY/DlyN6uVZrCtqTEnMw/zQ84/WZURInKw34AzaxqFyJ10tLqbnHVq FYT6T3Fd02MV7NjLl+9gjLnYKNn3lyIOBhLXUnSxK2XELtb278f5HTBvLPyirMXOHIFBuO+QJUQg cB/A70V35Vw4i+Pui+h/k7i5SPvZS4VPxozRfGSFNo9pSKf+Ha0BiX7h9WJVjVJ1lMRjTqwFpcOA q3VrIaZVQI8cnUzaClEwC33BLcK1PY3IPRR9eSzvUlem2hC7AWgW7E7bQjE3fbl+sjk3zrMrJMcr UVYk5DGzPdLrMNN4Jc42zmbQ0QEV/x8edEqAemZdQmM4t7e78PRWHZoPT5gjulpumFhkhgQp5kjn xW7TEDUq7OMhljIpZnB46bOp3b6VwHjyU0JEWtWBFvbBbWHs5U8PNRDwODOB0AZtkI9mT6WRqWLZ speKrQi8DcEFkHF2hzzfonei2senk4NT9kpp52ymBImdnZnbH11lP+RFZrCiDtx5lwFj/v49aIuf U5Ft05lbXlR9sazBfMsYMX8qQj9eHxXPv2Vfj2srZn+7pgrt2FtIa6UUacDuxoah8slwcT619a7a //K1zF1BEi1UEdr/bNyZNBFqZnT806OIPP5x17dRsdtRjHNFgqm3nBab3unK7fV3Km/ngTTU1BFV SQXAOkL/CcWd4PxB6yXx3YvKX4UYYI0AWUOIoUM8bZ4Z//xguZnPeOFCkpJNLSBwfRj2Lenw6sLv 6eSi9Ospyd3yhblWxbBAhs69gYGVmlI0Q85F2uVxmRsTgoZrj63y6NDLhQQnFR8blS5ZUy+vPEfG WpcJUyUMyMCLUbjbIcktr5OSkyeZDg62esbPic678pm5JmjAycxmSvJHhPNDUi42XTPaNIvouVWQ aom3QVNdDyufE1I4Z1GtvWvJXNDC+xvalYYuvPyfhWY3idJsQYhutWKZB2fi+q/1bOWN7OopjdF8 J3gyLaonZ5HcCzof/Sajq7B829ltWsqdtiWPbTuLZkI7PHvPegaBiyY++1JJk3RiafoufqR6/hkn nuegmGT8bq2+1u1OeQ/Qb6T24yZkIrXSHedgJ1fnl6Nl8/0Zd6QKKzKj4B+qukQbjouBoqB8aOMI oQXQsB+vxQr4hAEJhGHFV8PtZI7AWI0A/tgDLdPz31u5+Dq8BUc6A4hucFzyBzCQlO1WHBkKFNdV hKSvCILXFpVzfQ1JhjLjaYmLhkt7quyKwX53CqkGzWQBCuZYteH9rGP44jk2ZV+dZufunVvU6wre X7Ok5Sy6FAD3cC3owIu662zlGoc/EPV5XfLBzM9yJTkv0U1L9C5xibiy7qvXMIkbctV9N7dkbM/5 Q6mQX/QoTVxLMshO7ZX2LOf2gg0Qfvkao3zIng/IwNEY75PdiYy3xXOJj/h4+qKOY+0dSTQ5NDt6 PBrc7xNVGx0qW55Z7uW1PZYqU/zSBsyKwBJ4Jw4xaCwzFdSyvtCJJyZSOROC01SN6rI1fwZw7KdO 0EhTbs0KfCB5VLu3QSJauT/jRbOdYG3QFNg7o1NvbHnMCL29TyYz9wON4XLzKpMwmFnl1To+PK5a NbMqgFhn7WqncfHc7dsMoh8Gr5PV2GDOpTYuKZi0TCe7igVmQYWiHjE4W78IA5o6Eri78K8FZbsn x9DwCHdQvs23NpG4r1YO254opeX0Uqqy6JCfG3NB/CjQ0xiXyfT47Uz5ehILt7ZhdmqwSIiz7Tss MGzXxtxKJhSsMOilim4FspFyXQZulV9sNTehdAleeXusAr4lMmAh511Jo+oSPl97wycPLJxg9MdZ tew1IAq444EEGj+a/mXSkayzsYTtrcCFNrWQeskeSyUojYLIbunbxiYraHfcHWjdYlL0efN4ENJk 8IT5mA3HzmICl3YYoLSNRSohuyXUUfGHam84dAB+df4iKJm1ILm5c/Zw52vixDWtA05mrZFhfQdI kf4bgLP4YDhjKppCKAUdGKh7zHPRC844W9uBuNn/IE9ANY3JZDWOPhDdrZjlbeny4sBlNXMdw191 uJZfMb052ntwRlPpqSwFjwcVuNN2MwKOXcTi3u1VfbjxmZ066S2O32yD8lXrGDhLm6iAul2355JT XFkgMVsNPIyUoK9fOXMpFjeJPaRNViTVplzK5OJdcpit3h4Gad4jobdTB1Rwmy9TbyKLpVsOMnnw QJQq/957jexDeGN4JTY9uDhXhdOWr4ezAvt2uYvfWp64vhgduW0PxknXpNMwli7jTB2yK5esUcNs WFmyIzkSbXyNkuafPs1Pv3ZKCiUHv8sxcm0bLTEpfxa0XVPFtH1KegFu2uoAL0CDaCs0m3mRg7wj 7BTI8r2qQIE5ESoAoIOMjEkdkamvn+ViI0ewLjXe8Xsl4WT7AhExW8gJzN9X0NAcBZBD8BLprsUw 0HIBpJG+IxV57psLUpmUC021uYlxgkpiI0TwfBQiHZqa/PQQ13MOs1B8Wp1DexWBhiBiJ4QeWpRt C1zjYhdbHvfzhTqNQvWs/JeuzyTaSzvkq+AMp/Q8Ehrv34+pm0IlCJaoiJW6BBSC+6HvEvmDKZzX CbrTwSt/o/J0fRNU9nG3TTrJcE1TBL9kh9LP/cLMhGe+9+py/Rs2NLN/c239ed7RGFVJfv4k1J76 tOrYC+HJy2IsDgj82KayCtMFbbpeWKUYNez79VmV6oFDIopWTi0YjETA1PjJ4v5/Y8gmtWFGa6HH 3d+1VO8grgwRCMzrHkAqV7N7xTfwDuFdYSq46uXvrKR9mLKdRH1V6NpcJXXtAdtPtLT4qM/XkuQb caO3c9BsifgH8uthIqzZbqgvlpyJlCncA2yYKY8icQdn7h5rLeImyArU0yZDVJEROPK8Ww38b1cU IMezAD9q/jsJcoK+Sa1lsLSwUMBpWNEghKel13AWWLT+J2Baapf5stqLw+AMF6jiUdb8/xnIbLSs ZNO9tQU/Uyqlpuqgfb00+kUG53Z8vXNwGdbQ2QpOtnTb/FTXxHcQiR91bua9FDoQ9qhbdRXIFWgz QJIXDCTEGjpLdFk6wtbTNjH2361B8fyW5m6vRhX54aUJTNRkW5ZaMqemKF6XOV/nhCikDU9WQIew sYIVMbrGNVNASNyjdtf2yVZxyVyKkuh7DA2QpdTjjknDvajXevuv2wDzyyRVzYL5tvbz2cm6uoIU 2qrz+SJbJ7mWMDeuhfObIeCXY+iSkOEEAN9pPKYglzl5ZV5n+j61HRHZ8tA/M8NKbCO2yhrucXaY L7CwklJdoNfNHvOSXKosWUzydmIub5PwnB8sbLN1omQmhVvKcZQ6zbc9Eq90H4NBQUWvWZhcTeP6 6WKG65MzEMzsC0tT7kklqs/KYcvZhyBsW0rDjqXVcBLTi1as03tYDfPu0SB11e1DcKa6Kofk37/Q a259Qe90+u4z8oSYgRxSjPz94fCR1ZAtnTLYKWU5VVruqxkDYZDJaIQHUSEBT0kGtFeAHdiHHRoK 3GQGG6dXALfuu0JoaK7s2KliKBHOPZXDiZYS5giZy9hRETBhGLQRLuvkM+2sXRcQ+q1rermfPjNd mddJdVQMlLav2OPtD1zZEC/HSUiQqSZcUiMSEcgFpNeF+UlP0of9TePnj7/VR9PWr1AFonh+l2X+ ldNBExt1bcqWSHuCtaOIoVAxUSqvCl8VVV9Vmw1O/5AfmGRXbdgzcnK4cBCUvi57nVJXtQku0gxV 3J5NiZ/rbza71Pjr9NeiLh6468gNNWqfCH103JkqTb9WiP4zj6SZwoqNm8aeCdgYCBWUBVaRlVnb LJ4kGeu7VEVNC1FFuJH2FX6KjOA/sBKrV8DXtOBaJQ/biIwxwryHEmdJmprE2lv5huiDl/C4Izd/ x8BlCnN/tT07HA55WKcYg5dEgXHiLrp3O76hZgQeaWbSqTMhamFi72hAlreEmvHt7iAXPT431wwU ISEwbyXk83tCJrXmfMUBeyoHh3fAlWYyj9asBdqp/73EM1KygRh/vGW+HKn6Z62DbgYLTAfD13W6 oCMEx5JtAqkmzsm+KV80huXXPIkfsg4An9gWLcXVkKFnsJZpqty9s8nQo0kA9BruVWs9syyK5YQh 9cQVlOaPEG7df3IqWM0Sx0kn4wWcsG3weDm90cMq1TvuOTA1Va3/1LvQqNhrNR+/jKqzjRQ7x8nM RlU36fF1rTYGg2g0LxF3+ICtfM6XDVII/G7sHwQv2uESH+TSToEhauqIzDaeDNNN33g+SXisSpms HAEMdebr1y+cciQPisMPvi7t7shEith9/dg79n9IKwZpfrfHPbib8ITQYQmK62LcvGC/b0FTlIr3 MKwXoL1lD/+gE8TBbaehHHWI3/ITmI++InZadAYzEjMNQn/YBjlf72DmU1b6rIXBv+zyxscmJrwJ kGwsHSedNh0Wm9l5buaMrLcvtfx5DpwwmVqb0qIuJ5tj9n45e0mqWypjP6QKnOf84+BATVXmeA30 xVNQ6DD4xp+BqiIAMk18F7KnOt6WWzA1GiLKCNBsTkzD0B4iD57q780AakZdYbbDVCeKlodUG8LJ +5qHo09LSqgA1kHj8e8mjqyPMJ8P/9C2S102Og7HgfczmQygXIasmH0LSaMIFa2Zt40VLfHOhCD9 RPOXl/m8QWTWy/3EBIRuttl89Ja7hF9wHXxwS6+9yeAITeDBVOLMIGJYp8HFLPphQbFH5Kunyl9u JnvAAg4vw187iEBNiwuFKYo+D/Bvso5pLl6IS0lyqf1XrxlKoSSYXC/H7BnwA2dUHuwQsEg+QKC9 AQEwSZKLH+WJFUtkHt8eMMpktSMltLxMeoaqCmUVszSm4dlIcZ1fFLpaItmmW9McnbRl0BYO7yti WRrW2+VV1V8bxsQn7Pk5ov9ZTR0bXf/t633iKnjWbsmg0Olts1PgfdXDPLxXKqJSdUBgh2hhJveL 61I4AT8br4KuTtjzFJb+HN+w/Nk5McsfezNwHrrgoqWawoRciTfd+tqaVWKh8vfOfkgt6jGdPnq8 J0cKyoat8Oat7bGYIsnEH4WQLHKMYHGnVXQ0BmW3lMZGvBPXYHe8XaCOLl74HC5RGTPn25K8ZRRl ciUQdIehcBjtWYZCe06D7MOLdLm2F0yGdYijmCwgB8isAtQ1o4LAKU/V8jWHScf/cCqlmQr0bGPt 0cwWK1IE7zNCn+Q1aHbcPGLNXWSGwTHKE+hnvgIEhBSnMCh+rJFIOIAJ52RDRbAtWysIIX0+b6OF r5p3UWhFXqC+YDqPMQARQ64gSd5MbLY+pZjJe7DyyNpv/gqWAV9hkX3ppcZ88YWg6fqbLsOXIhS5 2tpCdJNwxPBoAy3rkflxgzpf+73FPvpLIqLG6qnC7ZW3FfmWdpLwhNFNx2A/OLbCpZ5xTn5rPrm7 TOtb/yJoJN1nObTKHXtMO2sKCjGA5B6M4iRbVuJ/M0HBxynLZ9U4bAK+PYnPPH2e4I8LqGvdYMbG 7GzMm8uXTECwBjB0FLEnw17jpPGFBtDJWjvTC6JfTQpi6lNNQaedakh5Lmh+cM3DmfolP23IpFuF qmx6jLN/Oklti8wSjMk4ggoboaCEwKnyby7jkH19ohG9r+7647qSnSMEAMrVp2FQ7D5W97MRIaFH SjCQ2hLBUhOjDDfTUlJ/p0ErwczvXx35mDJZCPI+FeAH1zNhpDwT7cCYYi6VBqZBim8Y1/MAkLdO MrhpdWlbTeWAumEfi2xzOIkXAtO1FTfoU60g7qoEky19drzNtvgJ6U3WIsw/eE5jRxIQfsnq0FT2 bQ6On2l3YE66BXdafRNEyOMiF8TZDbhUcig5bMJxkeaaE1x+X+Beyq1f8Z2WVVTSEhsMzxU0aHsK KeQhBlmBWkstzFVByJ/URyZiFrHj94JZvUwwfT2wDY3oeQE6nQYq1IcftIwe/3qEfIg8ha3gAiy4 1agcXpyCWTnflES9Fk0xqwmoWIx4sPfq2vRvCZf1OQzrbr/7hYZuvMNH99b9KKKD4m/RtnQP/w4m 6a7aLz+qZkgCHPlVBM2wuVxivmJ0bLrnhZhRDCTqqOTf2mheyV7Z0XvWPWm5rq48bmgCowkymTrJ +kPpcpMeUJwfIAeHKppcaQH98lto710v1+/yzLCiep0+w0vEsg++5wzzJZTdLjZatWjdG4lSA4W7 ilGrh6mvnczpT+T3EBDfkzIiVoH0kjryI4RusYYQz2ndjo4yIcMxjo+s4RRXwpjQnH9WRRjWazd2 K1ICGJTEmQnTOs9kfK24NdndZUZ978Qrzy8+gaoTFMJyP3x5q2eRRTWV26ml/de8wHLPatxa/3zL YmF+DQG/lK/9vQ3oTE9zi1NqdnYvKQkUIpj1/M8u6jHReNpTLnfX2NcFuJdgf51QJ+EEuqW5Ljfg ylDowkGaLLih1CHh6DKSACLdxcz3PRi/eEGX2FWAcNiPC0aPa30TEfq2wNfJjUMyR2bXgQ01xoQy cX6HpnkaURWNFJEXeXRGLmLkodi10Iobgr4fBhusczsRQBcgDHRU4mIptV22YXh7bI2WthbH6I6n FQ9pkaRKwwBzbYTaTn1oiXh+9FJEaYY+ni+1dejtYOcHoG7v5Xzn5l67oIfm/fmihSjZNsHjlGyn znSundTnUCzcJWfagr/2gRRXVyfI6YOMoi7Mx3L0Cwesbar9NQ+SWlvQEMso3POgxm6wazrh/L3E 9YOUtgbNuG/KJ1b/O8sQCprchUtgjQcUmMIPjN7TEwN8KkQpnzxHnVwtXLzW040IkBPEP2PVHClu GDv0j916w+FFVSYekYWa7g3ERYuOMeqF/kRfW/d218HbLmrZM2RJvewL98GeVD/alJtvELbpHUSN OAcS1TsmQMbIXoDJkdcIUzLlFzkX8K9XI0MmMdktFP7mU29hrHmf3vYbxOTEP3Ri4+KQogvEE4d7 fo9xDp6LfXYJ4jsLg6fLI1xMT3PyOK2G7F4yN9FKK4myNsfP80qdf4sQLdFBZqZ4WwanCpGu089J /86TLGP7hZlKPTvrwxfft8upfbR0UO3kwPb4NPEDF45T1CHFO0eL7RsiswwQmEBvVEbIbTlaELji iAxsMP/Ot8pjMYEIrksPN59BTagjNJCOH2fplS8YMSuB0NGh6hFUrq3M6U+0YOrmsBsRcDcfokBk 3m87t8nFJLXR5WWMM1f38BHompZYclsiyC4vahSvBDoI/ix+zAcjPo5CnsPUcA0ArM5wojcOl216 +oCzg7Jz2cHtac+FIppvE7zuQZYlaT3aQM8whjcPHT9hx/d/1Csn+/JQcTkIOfPMo2S58KDfu+cP PYhvnHkZ1qyGzwHnar5Q9jaT3VSuu3s66msx6MEEJsx6j0OSA3H37xCC6EKevl9/suV1E4d01UKy rmo27AI9yNQVvFuSBK2U1bn3zSgcbqY61EuTeklY0e8TjmtoQ3jQuD3xhPoWNSrnxKk0r/ZWBkI1 pdgdBzUjjA0unbXJKtlARHxMR7AnCQ4JdJkrk+h/cimU6iH2bovq2m3VbDnpW9Li+bciOhNlce6C T9U0yjDvg0govkLxnQpUYfkXU+ptlE1P5wZstzoiF0uNEzTYjlulhySbM2/ovtMlLENm+fxBR32P DoqMqxbEU0T07kn7tflj/bbGveXJfPggWFXftn0+ZdpgCbCPH2Ho41MMHnZfE+pKC8lX+AHSZAsG XR/1ypH1ccPofSmnUT2TgSazX6EeiAioSJ0RwLKu9tAGg9gvzUj5A1/x4PBfPAeJrqrw6ya1D1jj +3GdtXItk9eqnqDhmPk/MVWns2gIIps6RRlPesSnwzsldkZ+p9A7Vl+4flzvC0AIhP7MYZlReqzY VQ4vbZ3Xl8r9HK+/7hFgx6K3MHWYvRzkLnetmV/BJrtTKMsUgYdliij07JFLCbtlh8qLyNq4EOxm HJAv8FV/Nts6aJKD5sjFdCAovoQ0I3XoUcs2+lRt3oy/7R2XAew2JxH14Wndw0Uq14b+hWMRoN/f TzUbVnRf24gfqi2Ma684OU2ErWMeX9uIblx0eMqstCULNG61NzHqwuvyL5PdlPUZLwg/WSPQWItH PvVdq0+PO0cbVV0ORB9bV1N1qnlJgfMhqdtevzv5MT6WUKTbvH67MnTlkzwP+8+j5UfbcYll9qnf ZWwYtzmuf6Oy7gmMQLuHBjf7SPdOC/GxypFsXIb81wcEvPDtALtKI27xpZuIYr5YSmHxR5LWj2Tl xckNZePGlCEoUWpFCl2wDvO5qOSx051MakfpFz0b3Xu4Bf6OiVGS5m6Mux05zRxq4rQgm0lWJQPV 7KaFY6XGvk6rJmjHBzit1noFtZTsqD110cv4t2o3AbebFH8A7VsxXG/SIOP2Lc2HYQz90Nq45gKN Q7kQ7s/91CpFjfnnXWNHgu8R1mdiQLz6aXU+2HKo005zABr9rM/T6PJNBcKsFgbWiQVaqnVhcHLk LxWfY3gWIXUcGWPE/JBRM5/F3JaYTprig2zTqCzo3GFsDgmhtYAgK+yC+YStkVLuvi3o1XuBbFy0 TVOQMmT1holVPO3ijbIFiUmIGb0XrU/C/q7DFuQsqf8kYV/0OpSuPTUfFeCid41Ktu1QsajHeQxd KSxG254B5GFFkldj8CXnxjXQGYIMQqLpIqcBD6qKbQk1c0mO9WhfzMaI7n/ttCbApyrHoqFVyrje m9ViVikr5JsXr6obcV5ado+vE0k1RhDTgSlHHfCzlyzMj5mnn+rgeZjxQlYGqvvuXGZ0w9HW6kSY c5xr3aWOdPvmG3vZFmGMDg1kSZnRfCx+HIWqfQXFsPh5mfO4KWHGeyeI3zRoSIv6978Wse9DuMpT E73SPeL15zpPmYfXs7j1o3fjuUMWNRM0w5+NFSpFmCZ/pG3G6k7do1ZH6pXt4uTzgNnPUS/JEcY1 w8YkhAMwFCwFlanS1tnsLABhUkTGc3r+9rbdPFHGxeh1iseRS9Y0X+n+/RB0KOKam0FpSrvLD1Rs 1HZSR0KJWZA8bhPEWb2V8OH+BC4iBHX+9y47QuisD8ZFhrTrrswNkcN2uSI421Tnv1s6SHwTVfLW L8r3fDWGDWk6Wh8z5nf3GJd8BjrO5hxmKZNzaT4UF51hhGvmLbOkXeyGufx34TskzdkI5bmjIcaW TuNFwAf0ap+xH+dIDmN8JIln44WpjRbiyp217w63m2HvvLrXuXtFcY/EN8/vtbRk04cdyfQ7JHBM 910CJtsTqqDp5cqEXdHuQNTT1g6zW+bnS8xOhgU6bpG0yPMrt2JkTV3bwULIdETBaSLP0gG2quBB yVrCEHClRpJ7wti9xWX5x96okEY+5KFHVK9CuSt8jdOIP5zkipnKwHps3MLhOB2QSzFj8S333IfJ Q1Y0tlHcqH/hJW19ebwmUNgg0ZYQkicgxEpBpAUNIlxPCiqX5CcHRWuvhrAoSGwcZLsDNPOdqvji mS29k6CVUmEjxxor6+zT4LiGoflFrltcTbOUEKrmw+TBPdW+B6hzygEy6suk2hXYD86euxQA3/Ly mXdM1m8PfjNcl62F44ZEsy34NtaS9wtIFEz9GJMiBgg2k4A8uDY2MDpGICvvnSQmSvsKquplpCpU hEQQW6BqWc3Md2pNOqpi4cyD15EGb2LdEKevySlrApbosyvlOd3VgyOFlcWff/UM5DOVDfYFUwYc J0jjVv22wmXf7T2iV2vB+yYXs0ZiDH3N9x3b8+pyhB4VncC2sh+t97IE31lt7PzjVOZR4LNt9sep vQqNqvoiGd3l3kMEy8JJ6Cpl3cLQx1m2gPRn+x29TvmRL79yCPPRdsy1QWsmnaC0/UyaaRjGCZ0b 8fcfnu+QX0CORI2MGyIiPisPsosUDAsd1mFL32zZNO4iEeJa9pUjcqSjSl2Zj58iP1cWAswnE++q 11It3XNzZRMtDi2hvBGo55lRGB7iV8bnvhkvcsD/BKC7mz6+gZkTAZu+FUkoM6pUCnvvG8lN0hxN POoimTgwkIh60P1LFpK3yImRFxnNrBRAlt6YL5V3elU3yyi3SKrT/QfqtHTSGnMa4ReoPyoEfzXs wTAiCdcFS0+kOuT6uX6WHY9cRIxwdygOAJaVe9VOyH/k/E/DMStoHSP8jMDrPm8t7opWY1f3gm59 Q1HEcd04uDOD2OMfRz00J83xKX+uD31HgnY08az84/5ZHwpqAVR1oOM97C0HyLgccr0hdcWT8ezK ld4WubIw8vHJPdKqWogZhvvbVGImCltnrQDcasZ6C4Bw1FuQhYbNsm1OlNgiLZQat5R2nSJ5c2SP iddfRQCkm9hliCLeArM8ZdiCbvT2v+2TwJZyv0HSBags7yUfJLeOm1KfD53YuIOs+XpJbEC/tiuf UPJPSNTQtf8t6tWU64r6KJnolhyc/FZ4SruuAqy22BGGo+0IhKj/g0R+HjzsQKkKT6fxixOn4CAw drZzNit9T1u/OTFXZkrKMh4rNgxDY50EGWzk8VmREgkyaUdoD3Ezr0dTsPxEw+VVHp3kwt4WdL3K q8E+UmYUxXRt85BXx7TNk+RGtx7otX72YVPKrpRBSmRNXzYngWD+nn9mhPJvDKuTX28UCHRXNRlk b+5sg+/UKr9Z/qfrrFnRXTzva9zy/wKgWDtQIXbHlj9HW8vwrqhAckQQwBWnDoOYnKDHFkW3AZE2 d5wdUnhIMm3zjSyeItyDNCZHjHg6jp7x8hW26vA7M7aLwamcYBtH8xDJjDquE4u7zAKdsZdkVbil L1aNlBzRh64KyqxV9mlOce6EPshBCAuaKYEfjvItwHD3S9oloXyyjtc587z/CHOD3nGLgNI7UuW1 7AOuyojEp8a0e8DuZEsVePYho/tC248moLYQpJnu54dWUABGlEFbe3uJXe5iZUsLiQofFZCEZR+Z F4CVP1vtRzVfaQ9IRUhRDRKtH7zPlDroTHI8Y7os9Ie3mQ95INVuQbnSrY1zcShmDJXGAfzjhPlG Vy9OXm+JwTgjDo0mxRE3s7rNLOGC0lNIpL5+5E3Co1cEld40rrQWdRR3/wsMC6Sjt5NYkcJCs5lu xTDYpgGevb9mV0kwMObh8/DdiIZWwf34rytfxe1CtOCBqP8B7RZ9C4h0e9OZrjfgiqgE65dD344N a0SphcY1hVPDsvUHvu+4JgtvvhmIgN59nx6LlrWXqjbIqZQdeVis1MjHjeO8qPtq8T7hIx3aR+R2 RXUwjAzxF+H+wOWfwT3wrKHdF9CbZ2qfcOR+N9X8XzAELJS45WO9l6fkH/+AFhGscsqkxwpiz2LB i+UkwfSzDfMZVkVdypOWNkYVtf7N/POzXb9LZNqKqUSZCCn8HiSZ6gtx/TnkgiF2dygOImgQ34bO V+qztVSqGrOamg4XpQnqqAd70qo0+5uQXIQTn3QoKV80/uA9zbCckNY7Jz1915GDAgjFGtsxuvMO sOMHAOs8hUi3QVjzpaVC1yVSlJvTvPZUj6M7H6wpwsaqZaf29ZZdqE7jjh2QoK73PIfd9ROKj8Qd 2ZnhF0IYbIRblSE7ODDENvbPrCbf4bhS4RyiNvlY9h6UbOJA0Fm+8skyZK8xnyl07MoB4Pky+XOI ndQDJmFbD/JLITsAC51D9KMckeOk0fYd++r3e8osec+t7kf9rZsOiVsxFHe9KfFA1Ydg2wMiqw2y qNR+UqVyl8zSNk2B7RtQvw+WEzfOsxFmxJuhyXAokKhqmDwD9/PnERF28egBfjRCTKL7QQ6Jmq1C JICi5gu+rwMgttdN8gH6rTPER9dI66qA9kK94KA3jYMOR5tiia8/dPysdV9dOypCQlH853DMwv3E IfbDDW9MfXUj1tbfJI0GBEMeBAWyQFeGDs0Rtr8CP9m4xTsAMBugEau0hOjgGpaGVyI++aIj6vhW /remCggzCCJL5IKjO3CXGBCwy8vdidEO4Urt7w8jliZOnqvFizFiY89iY2UyngxGIeW/UO0xVC/i On+YMs82DDFSOZirq8uDLwb6IT+DtMAmLIgCt/LnYJzshP/ZtVW1qTOJxy/pvbG+69KKnGm23I8t 8yEHRLcW+OuAxYiIja1eMDAUV2uKHWXgqopxuQVDF57U98qAUuUt2GCo08oHqaf4V2ktKJKVvpJu I6lT6y4BgzwhgvMrc/vU7PCE/4DzREhNBFyp/sENrcoayYGvZ1L15L3z+Qh0TOlj281Aybs7dwnd IompbYxkZzKJaEn1vj8vjyeVoXH+uzmRtp0SkhwNWtR/USYm37mOVp45KVzFi13VMaptsve8Hqhg iiwlCkrl3wz5veTPguwEmQQfYCK3HhwkqB3vRuIFLpJ6GExZhpr7/AFlh4VBxJL59E3R6YwHDCop TUrIH+b3dhAn97liyoxJcfzMh+PA958+QPSB2WsPkP9kcSkErx73JflKdwJDR4mGoFFGfN0/5OlJ a1svOmY3vKj90hJePtImXQci2AuC1m/hhV3FsL+doM7ugvrEYGojVlC5dwa/aMSxnzeoPmwjY/Yr nSQ47XTthUkM67e27p4+/29X2E7tUXMF3aNJcP21IsukLeImOOr7QAvqhWgNSfAk8d0xOxUf0ZVr 0hKnCofHBxugS8ESpLH6vplnMsaL8pSaAOwvWYD6HBcsRRtXCqFxqpx6xxN6plow3PSGYiDokI5R +15APrOU4L24bI/xwy6/fK4YYJJHKEnR9KBYa53vBmegcecSDjoDhM+Wg9qTzndduDep/zB3kxFX vtTbro7m6qozpuRg4NV73vNHs78izF1oYn47VnzNNg1C4fdDt5jVvjn1ruDVVn3gvA2hnPCg+1Kx sHn83DItmeWxyixmEm8pMW/EC8korZ66Q0emrt+9U2SXaAyZ1MKnSjeP63asBEsTH9GzIYnVJ6m0 GkD4khuJv6gebUt89Aiir6u3eIpTVS3myUaqZ2lyGxH9K+kSfv+Cu3jKrozPydwoldSEIryVbHx8 6cWXy2FixayN3nHnHOvkFxeovvXWAdYQ8W00Ip6OmXZpyoql7aUyWTvK6pBrh+JH8mF3VCn5RiaD +p0w+CPakNFh680pyuOE82MhK9n6oW87fAqVTZI63JbAHF2V9+tqY9im3QeKZdfQkCuDHxRM8ZH3 3Zj1N/v/8d+Zrgwun5In4wEadO2wcvocJvAe2Oi8KX+VfhUmJXitWicdCQvcXbV9iuhcFRRvbAjY WcN9NmMovlQSdHaIp1E56+ZZrpqePiDWlv7MUxdr6fRgqzWQr2XXJLld/QxERueVHprnS766o2iW oyZ0eRho/COpb0WE3m4ToRz/0ejezjFwg8iwdaHG65dAXcL5I1H9BeepufQo4X5qUhNv6dbW7W/h TrRvwn1qkGmBJM5mdPJdh8W435XNBicfjGF5qU350NhCqEn+QBsD4+5xxFGSuK5mviH3QcIicYqD q5mZro/TR1PvR0MIp3kfnO+iYGwdq46eELNLuBeL5XHfbzuqpqaPWsVzDdUBXEpKq19PIek46clF XCXNzQznufseCmDHYITTMQLPt4sqViaQHxqlfc64k48CKRswSotdkmE20rBZVgf+zMWy/bV0Geda QA4JQPJXJ27UHOvgFOhsRHx5XZ79Q3tGuUa+ZxwEIS62Tx4YCTjPxQYYpPmutJor8mXHh24yj3Hu oxLP4YXBLDdmAHFvpwu1L0WFuOY5qmTKHEykUf+548OYV5Qv8q52pi+f7yCkSAvlGcgvMdU3OsJD L4d5mWAS1lC4WCDloqrh0gUw7H+qB+lZNNFT15lYEEhNTka8zqg+VPEmx9Eg19bTG8P06NM4G7JK VeKrRe2jBEuexPM3o9patXF/HvFEOiALz4o31jbL1yUaUVSS3CKx/TSIZM8jJzCINmVMCnnfLgC5 L08bkgrMoX0mmEfh1JdY+/gRCnDWtuEE2Ap/AFBSLUL1Fni5LZsMpkLrYvSui9+8PT/RNdNrADlS p7fXJoekKSHTqf3nENyZuMbPG2L48zlqUWKy6AY69tOcYg+USB2cHED9tPVIf6aaxGZ9mNaj7cbM 1OTzxo5/QQExquFX2CI0jtuN4rGtWZo0P+YXPwF1iZIs3P2NhbQ8a4ei8FtxtmOSV9UbQZOoEL2I pt5RHHB+SH/1Uczx4LgkOKrpHiRb8o4gaRLfktEqbDnKRLZaYcY6toPwNbwSZ2HVVMknAPK4nWiQ r2AC5uTZ7mubWDmaJ6xhOA9+NU7bF6eNwy5TKQKIoL1fnUD/os5gMiW8sxF69MSIJwqSQQHyRRAr mZV8HdYkp5r5zhyYDnlm9m1ORK3yI/GqSIZUd6FAnoCf1RB+hYaouHY3SyUjbubDgdy1vBJYhkOj t+ATMT/JvSgOlFryWpePHqkPFaiyRtsW768s8Yb9x13P/lwMO2bDhMh6TLR+aSF8yQ9aNTLP57H1 +kNzAwc9IjPcS1TmQnuSLTVXT78D0BktUbL6vz+YI4gfECXFaxUvJRRXGXv5QJMwKkJ7n4+chOQb c1yzsjsA0Q04R1dkaib4VnqDweVJbpzjkKjxrg9QGtEcXu+zc4ADVpBZyW9X8HNVLkRsJVzehf99 fVjLQc++/ltLk7MN2xy2Ngc/mBBuQNPCczIeN1B1GzwyWqChpcTMDM3nEBV4Qk/6oMp1//BjBswX UR73fyA9lURqIHggVDr1kmFp0LUCwo1NkIdet7xA9iux3NVtJK/dG9SLVO/ZZ+1rQ403p6pVIila 4UaB6LjJajwFOosjbApdqU+DheP94IahOoOFabm2ASxW8kqeWm9JWw0AnWAGeXmRfGVktZWgMU94 LBiHwO5tkd9TR4Mrh8zjgBdiLXclYvFfYsqxcXAbyXupJ1PErlZ5uO4/z1NmHEOBdjnE7gqVGf9e jwTpZxx9v2JvFy58sXLUDxCV/0K0CsQ7J0DrLZl6qJCqcG62i7tgJG5JRIBf7aTL/vg+IzKjTaZL kTTe+zvRmOEyw9rc4AyEksn6PybWQ2PrbtD2IoQrJYTMMGwdk1svr8xFajwNLRS3X8tHpgUasgCF 6yZTKpDHSq4up5CabRCbv/NMcHOpFS+9emkzIG0qaed+mWtgsPPiuKHrezMXWi0SkrrjMIF+tn9t EC36ydlGVNcuV/P1v44yuEgUCIeXvLg4sv5pSZWwQXw1K+kOLpb+B1pDgRbzX/lbcL3OhnEnot0V erVL3uf3bxDsRlBgOrqDi9WPUQ5AfoLuB39A1L50S6fQ9WmOAjtfolNTQCWyNEWPm0Mli7bqlcwL 7oRYelAI6MkCZFd0v8+Ii8pcmduhu7Xjq+Z+MdedCql8fqF8hZABEVmZqgn4TGmZP/VuhRITIZez N7QCvsVZL0d5wZWMwOSSD+oVPIOeEfPlR713pyeQ0QUgeABYbl1YUn4s5prowmKcv6xWX4u2Zb67 XT6ulEGrCEjk3ZvJPVx98RVfnsQqbagMU5VMDuzk1id2ZMcGeEj5gGKJyTDlru/rXFpMMk+hwLim OjGm5aqr06sMdnQ4gxKLUsd9E8k6vgbKf297LntvQcoFnqnRD7azv+jqLVNd3mxptAgYfEkE2uUY 2s+i9BMI6saES09tyNxedNmDHP6wKs8Lir7nU2Z4H6BgE510gM+HY6W86QS39wJ8e5BcMF+mgSeN nvt/cQNM/2xcYBshXm4gh/sIdHInI8rcsRs28Paljh/beclolASvblCpEtHtGwRQhjthMVOpHPU4 7qxKwiTE50wMj+8044AFg/F9ppleTSfvVde51yH3Ehf2NBbi2D+eiVRlTX9SPPCPghDQ29cBB5Mb 1y6SEMqmhgA2B2Ne9aH7/ySh1QgZvcDVTXRwr0nxOaom/QeO8on153tsUACOJkz2g6vPBG4/DP/A dofanl4gFYMGsK2a2GMfTPH3jXnvWHJPzsG3G53O1tVZLrbKL9yn5hTrGo+c52/7u1mxDmRVgI4+ 6Pj0bN5YCUKwGAJ5Vh8a214LyEXe/0HtVUA4FDG+iF3RLUULRxlCXWx5yrGFjHa+RKGO6Cs2Epg+ Hz8P1qA9wnpwAF0Q3pV5b991DFnj8CbNFDxuYOXZDgJ8lMFbb2Skz+snq6BAGJpJfEdUHx3CBII+ QcQlCpqdgpnXqC3f+qxBEiemJUIzv31Ha2dRGZJ2fCrmyIlMvvql/1nyxzRelNm4eU+/i2z4BxHW 9LdnMZBMfbctKugyuLevDpYCcPebRO+qYmfVg0quqy1gjrTXvSBV/ZEFYWQ7iFqy4qEoeifTK+/X wrUCQtlEsm5Si4LG2FitWPkEshmSLJdUosNeJbWhVjb6YW61MNvDlxsjGMiHzVPu5f29E79mC7aE nJ7n4RCmGgUwX1vgIfmcys56rVLtrE8SNDzL4n5ji2CUpAKhsEgPF4Je9wjlxazl8GnchDT3y/Cz ESkVJyK9THRgcjCISZodyxpaBoHXN8y6diLfncKeYycXEFhDDdwtgcEhCf6hhuuKBNDjd8Qw2zRp vcHxDS7LfAP5eqHrgdbwuojGKnQkhOJ1u3iAYSLXzJvFw9UbCWrT0QXsuLx8lzG8kq/xs1vJ1y6k 00uKjmgQkYaP4WeOQasy37fMbIchsBKW1VNCdsLR48P7B0bUO6f8PRzDSKTw/xGWp2JrchHHAAEc wfpSYCvL7lEUznNF60bI9sMb6AXFogjtQZVVc0HewqV0tKq0qNYsWXnN8EEbNxhTH9XY+4f/4wX+ ILMcMpE20RI6iS6fcRVhc36IIlZA0Uv8a8Z3A5M5fXNR6NFIcRjD089IcG1KW5YxaJbGH2GqwXQ6 3hehcD4B91BZpAVGh0BUSBbeilH4JoeV9LkWij94dzYpSqi/QVOjIVDCverrO0AoWej/HDuXG1zJ HOWEzuzaxt1mFaJ4LsB1lm8DRTEWnWxcR5FWy1b/ZSOj8v55y0aP1tHGJj53Ue3OnrZzbap0Etk3 6FjEKr/kd4Xz8ch6IAXyl020g+l6qzi3gY/gGVnTuX5h20cKN4F0KbIvK1rfTT9C6zOQItf786+P 0wFWOFPZkQ6DiibttU4jITaI2KcrQ+KvQb3FzokPB9/8rQRfeXQ4y2roZuM9rxzj9SPRZH9aVuly 7EplO3+BnFz7+sdKjzfmpcsPaO0ZfFIksywV40118abh1vkRR0ICYt2tHaspqPTYp66witblBJoL rMCTr7JmJtjzWv7O5uK85PKbdlSV5PLO1SpNS4XScMQWq3ad+dAa4pL/V2mI+sN/jYPBZdwoJZv3 AbUadk3+NC771mtWEjHF/eqkH6n7FtJxjGSwTbVrUV8QzTNk4rlIim5GLBz0xwOWRyf65+3u5zTF /8EI5+kDWrFWUXLZwAoWtzsBok977BtT15p40Y/lpZmy+c7W++ru1+LLy8N/72krEbNgGK3FVawd cMQ3xz/OfIOa72WjXgqWa2uvGdQKPO3uSrp8FR3ZFKKFMkEyCzwShPN7x6e6ZMIfK8c2wUlAxZnb mpX5l0QuzBnKZ/WMl6D2WwxaUG9dwbvaUV0dekv4Tk96O2ssqe08QHuoFGiJv1t98tKmbBDeMBeM 96AHYtYAxUIc15aEfv+kLW/asmqUF2UBbCMVmTSY5ZqVDX/nnHkJGeqkqSaMbNYxSPoJpvie/8QO xc1Mk6zKrhY0j+SIrfLT69W/4vCfd+MZqhn6lQmzhUHhme7rXASt8FtG1L515/SjXuLpuUGXtRLT gWsBWlGfPpKLOiq0b7B1cXRxNdSZYSDVygxXSTBQGv/NDs3m8nQwBlktLXfmd+hqPK0AgCejvE1c dBqE3VUkKfbdJ1adcbrXrfXYpt3Hxm9tWe9FE8ODowybIEq4SBoyWnncfxpd2thEPZs2Sw8dVU/B Fu2ZDkQ8sP70quTkZUTnrdyrArnBSg70QHo/eOYKfeJCD5KGTVzsZRFa4b6hKaqyeyPBv3/2KaK+ VBYSgH+Jzve5wrigt5clYnb0KjfezMzD87PXOmSK2wnjO4kmkRZtqR+qHOUrUuBQLeqm1o9jS8PZ odpn3wIOqZEiwHSQXNjUyv5slpMH3wPOrVtswMmEzkEqXHH3kTf4gpVRM1s1LstElQHlYRFteOxv PYuHRNIsB8fGh717sRkmc7CM7f3GOJQlzlFOKNmNVaFwD2yxBF0+j8shsOZPAYROzyUq7QZMXGiY tRRJBAr3yhh8pd0eCZr/G62drU49ViXW2APHtMHEr8MHjGycFUEZZWeNfGM8sV8FRURDs5s+O9mj S+nRlpxHANa6vjauz5s0w4+jq6cD6/YNtw5kC81DTxPhvTzGvQcwZqBnflTVi9HZ/ALuWzMEMkgK UF+HhyY5+CNO/3k5FEgDwjN6X10rb9q8VhLUjmx2AghgBVJm9UM6w5rYntKrCG0aaoiIDcxvyIQb 2+eqMXHZnaEy2bD/Yi+AVd+wONmmrFjhgSps/swRfiUma0NaBy1dkUB4iHL0qAX/clu4t+UQyudI CqmgDoOj4nU46/AeuDM64NHb7F0QJ8nttD22riLBTZVygt+HspqTDxoGrouMKyRqk2uz7HGDl4eC MhDkRh2HO9R7qnzHH3e6O8cME/JureTbZ9vxQEJ4AVLhK5uqYNrM8tCiQ2Sy1WQfRWeHYRU+j8hi RO9m1Yqn4QVaEq8On4M7p5Y5S+Z9bTtj7WvpD8FmvYgSRGEKpNIs2V9HWGfZz8xUD4/nS0Z98BsD 8IQK4I97fKFXD4/FkBZ4AK3ir4tIqeph0bsERNiEGU5EH6ekh1HnySV8QbxIBdCKFtWtN5t+/rPJ oWSxlmUMt5TjHiqCbdFLp/FfjXtX1/jZ3aprP2lwlCzq3rvDDa2wrdHjA9bqqtoxZ2dkmbEzL6gg jEzogJ/EnDmLbUaHnxH8xFukpJVepbqT9NS92XCrLH9EdVbzdtCqZE1boyiaOXSIFWi8jQZAeUMs uyZDHhJAw1SktPnZg/30R6ErHmbpo4JggD4RsC0qJXFNUJf8cVs7sCo1Z1sBzpICF7J54ekQkgaj wuIX9Bnl9258vxOtZtU6MvSBBwu9rwCgkprt2M40hG2D+Yt6LjEJm8jVOvigB9nB2NzfMpBV/xjx eRmxNZzxr9kx4yHmYbPA4zJj0g7SiGLP0z2oWN1Ih+NbCyw46knHwjmRnzCs0g/bcLg612eaIgFl 1hPDvvznPE6e/DpwkzWOtuQo2njeiQ4G3iG1Ny3zBCZr6hitK9j2Qcnfgh7IBKKUUsv5ZlrSH2zH tW2GxliSGAZ7k7PmtfJ4izfVLNYh5e8fJc0kHivPtsBGtJCWwSOAuUM4FiAVYaHmI6FKZmXBr8/C TkInd8Vwby6dN1qJsGB5S+QduT2aoJNQMIuFHKZuowLiKEbZcSXT51RSahmYkn0BXswR6dfSHbXt iBF+qQRd+JwIAD0zcDOySN4fDONDDqLTOpRoYkWi37KpwWzG6B1DeD6pw9rr4QUeHHXKzlRz6T+d vZxSfqC4UWFHPChNDrrg5y/a5mxvfgwD8tRw+4pSk4K6rotgDYyP9M7C96Hczxu3Kpvp75RZph9Q iSqz0FfXXTZPwBvpQTf5iQRoRthoVhDXMBgwoGVBve7s0iHYYXkCQzdc7QK2lmS4T5q8TXEi2eWR kyBCIe49HhIFCMdoa9yld88xqC8yZ1N6mPLhLyxnY8yyAG6bpoSz+MuPv1X8f+KntEgWv8ijA3Rs AJPpQ7SCyJmkgOFspSRbtHRG/ZCE+34vGHiDxeJo6J8c0Et9sPucZ/a476pTjorPU7jNlYIMK1dw NMGdefGHJAEZuf918ui0NMFglzIoV5SErPMd5SRSl472pZ2Vw/Dm+USm9+1g+zNgomJhSN8J8agB zwyy8quWv2IX/9xToXyP9d/E4MzgOtu7YPFWVm1aY92bRPy/c05MLPKPo0iAMovqyu90r/PkaOs7 FNsbTdbkXGrP/xRjhxQNoIDnyuYZxrFr4MyMmvCtiQJXcz0sP2djDKnfKxmy5pqnzsxQkq4dCLkD wxJdPNITg/lcVgpzS3S1bIL3P5tNxIu3MlJNUOleBvbd6NbBvpd7ShwUzjpcZKsDeb1BfmDgbjcs uQoLrEka7bAooblkvhr9J/dz7ONrNT758zZQ2edzivsC3H2Zd2XQ8AtNZNCGSbX78JKVym8RyF2c w3CSADYR0mq4Dbv504aGcWhoBTxPBzm3hLAZMyAygP3I705mb1JvbGyhJa+Gja4htuUGsC9yf8eX 7v6N7/9SFD2nB5f+oZIw0fTNwjj4XXs9z/Tz/xNIwjyj7fDGHSLHsRPqtIedjDB95dGjSlxHjqsz uYro1UmyKoEyOj47mC8I9HUaTpO7C+DNriAv7dzThJhpyJAZ9wijhTP71kiCdwvwlV93x2atytBe 19NKY+1J6o9Wc+OjixPc7rVLx/ayWm3j9u41ScXuimxBAeBGF5WLqBv2ogrf03PcvHBI6ZyPBRvm D8TNhGujH0pwHKGbOKIGBzyGWtKv3lml01XNFLxxK10cCXZpSYimyGqZz7T2jvESTmo1+khJy+lG u9W+d/XqnhxY7TgijN3HU7bddf3EK9rDTJ9w8tNQF2bEOt1+kbflSg7wipw3SNpXDo2V8luDPJIM gnFVCgSCfRh/YTTU+SWbYdQqYe5jgXEwWFahi7F2rezd9CDgrnhRGJR0Exmw3/yv6LLnQlAIWht/ V5JuWNWttysPB49ngEOwpccvf/YXVfNQdwPYwyZa0JxIKLwYpJVCT4ZpRQ1T+qCWQj1Vu66/r8W3 QLRg52XqENu9ZMSnvOmDziafsjEDhNjMrOVwoySwYd9pLzeya/jrK5c/dco90MASfHinFWpIyEmi sXa29qemzfhBSQXLvXWED9DpKgi6ZU35GC6tUvrfyEeztgH3sDoshNSCt/W1h+32LDJcjR0OVf36 bv8fSErvFIvcSm+KvUt1YsIM9PyrI3j3sgHTS0+NdMmOz4FMNfpzG6j+lnn+366GRJvj17iVU1wA KTEDt6bit1xk3ZLrUa7bakz2DPqWkxjOVjL4w0f4rzNt9tjZx9WZp0E1jWpSZvrVLmI8rwRdmGy/ uGjt01aai6blFB4XcU+GxpKlSpCWrBErYCud/UoTApz5O/Nhmc/U6eJ02iyPlENVHQpaEvsVymrV 77YT57NE1DSdV/H5Dyl6hYJczwZLngAvKxlfnihnPR7SeZW5SROt7Z9emAB6rjdRoCgErA40v1/2 XKzKaD4fefIrEnmIXrewHJDiOK/5Vazn+KSUCOkoRDk/aGtuFBmZUrKwfgKafLQtT9CMMd/ylUjT YLmMUQoKA03BOTZLPWFVgjxsXRhybtv8qs1pB2fRegR2cptOWUjNLhwRzpR588psBDxFMclUZ0qp z74UE9ROPlEOFHHJ2uqh5MtNbBGHhjyEQ19wPOeauq7WPoA9BVPr4/CykYcg/Cc6/hzI9PTBuW90 jM7azxU37xGT3g7HMENPFJvS7E3M3Bm5Eht7y6gm0Sk9uuEs1wNV1ifkCvtYry2vkuFg4f8toe/v E7pMwy4vAb9YmzsEJMhuxgghC64pXTWiZZBUVYyE3zMk1V0Qzbfnl9dtsZZQrZlCwK6IN2Vaflnu jV+hOebUMrKTP6jkKIxISbTspi6SHDOQrZN6eJEyVdEgvTG9IQ81FIGumPF+d/1X4+i9OknVG23q GDYGLGls9lalhZ6GjzTJyRp+rCijmd63VLofUeDhVcUkeBPUrSl4H+2AZ6+KracEhwLbzCaCU5sy 3RFaVz48lwnMpode7p5nsrEStIB/aWf9iZapBQ6qxTpRxlMFh1xjZVHy19lMYXBuyKCtViPcAVoN 0EomOpPNcSTHZiSrwYyBsittFXYK1wKg/Iz9HBc7+mnkX06pyGtr9O2IRm11X8isfZJZ4NpiJl8U wIryujxsKhTUWAR4ldTVYsY+ifHg8/xmf754K7VCwhoXyyMhM/ocuJHdIMUs51eMOkcqLOOsBS2k 1yqlN7e9CUrEaPKoGMCxGdg4u30hx5jt/9fWWnDCFb0uTubVUn3cGjLykq2ZZOkMIrdDj6R3muEe zy457dqkPYDWQ9HscNi4d1/XTiHlC14IDFDArXpYYAMljHLadsTSBvEbDzbg1MEo8HMJFBmzMNX8 qnjeQOwyYFtYa/qtB7dV18/V1HD50dm28MIQbnf1125X1n8wTBcdZcf4C7cPYn6yiT3ZB+GaXias e4s3nb09mYyXz5Xa7RvLrg+XgnjpvrIqJ875CdZCQSe/7GEvBDKorcb0JmGy35o6o+zakWc5nYV1 hheRFRDI7UmLXtsKrj5KcLXXywjvXsVcDiieAB2TfgRtrObT1U4yjtmjZROZNAHvNmaiphQ9LMUx +FZuJIi1f/fddV0VS9aqJdaJqvbxfyL0k3Mk1Sb/FcDzEGr+uPB/HGm7JwPhem8xJafvXZ4SHowW UY6uZcn5Hq0I+qixMm3iE7GXo1KEMXarwaEHonNAHRw3tGTB9AXZ/y4tIhiDikzALmt6OJnOEwEI wrLY4TeEGFZsddQ+CB1yqyBRfaCHQLMchZ2KH7BYH94NROwQ5Y/eBWopGlhdBILwCOmbIPGPmCp9 j6H9WeEhg1My21qg0mS7yUoR6jqrzXdWSdAzNypG5cQl4wcr2zld868LXKj1g/ZKAuFiWVOGepam iHu8OFxXX3BLi7qSVdbKruEBNxtwZ77VZw4v+iKiO2vnu8QB8Ft3ROTTY+soVVckzlCiYRRaXROt 7LfDfofv2tdCVz8V1MwWS0aAcUEt+M2TWes99hm7nbDlQx5MPNMOBQ5FPKC+iYg1KjT2NO6tjXXU LkqEPolrbSJFJVdv+xVaUZ7hCu0q6uQygXeKYuNy9eak5f3PiCndHYl11gXKYkw7Axm3vvvMrUJQ qEqPXHhv9SB3CXwGfTe7/9buqjbpSa1MpNIjPWca6+wCHv1Ib38mTLaEDpUyPlH2B+QzE1/b8NvL Tg6+vX0PBj0ORzOQVsfCeznTdb+8ZFXBOQ6d+ZxopyoUJIf6tRpBs+uObyt9QyUMvTycuobzNa4p PUFQaf+RADGWryL+4yX0bMzrf2NQUpQSIcBkBxi2I9B8bkPYcs1s3cIh3OCgKbE8KhsfcdrTZfcd ThVUQ/QOqlr5ANS/0MZKGduIP4of9zqDSI+XzmFYAZAfwSmWWH+H6kQ+iZeC46TiSxgGGvHWLWGp az2/bhhbkxrJJj3mT5xfcCUStjLPuRQbvZ9xrXOVwegabts6iEBYsHEUtPKFR/nq/uQxkS/OaAkB BpR35Kh8QKs0jCaeesUeUxOA8B5jRgFpGMu1t+vCzK7jPBub71KWlIou2Zlh11dxbMUkxZag2Egs nP/x6K99mVxwpg0MH1NSzX1aKOeDK0oM7cZVdL0HBpaoknUJIZV4j/mu+pzm58UNH1ra8owbkc1e UgN9LH5Sc+mJ1J49DC7rXQYuEtqSeb36DePewk9U9h2Di3FYOzpGRAdULrrLKAoJJrEYw3xvqFMo 7G+mysnjZNocIw/O15/uDz/K0WIPwme46kGKgSm3GO6NMJbdOxYcdoxQSudGow9whNonRLFsWjsS lfoKu43MnLOtINvsTyEw+LWO4D6G6EZtJFrsJoJbuejSyK9eBjocG8kp+08/0Ks85Xzm7u3VwhRI ykvBLWq5lXdXYzBjFl4wHRGVTnM+xABCm5txRSLPEQYpnk55GRaKDQuddw0npy1GLcHTJF9crrbL nx0tWQ4HWHED2eMPaWGYexKavCDQGVXBNT6Ogmko9AOado2qKIebpCBBPlq1P/IGWnk= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
19
31831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF uJ9eNTpy4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp ysjb+lxmo0pqYRikRIQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54 /3DZJ7o9x/QjyM8WSeM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3 Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY +rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block GL1YZYDJgDckyO08ofxRV1/pUFEsLFUJ7FG9V3wrU5q1PVe5RR6P10/MHVXWhk+5f/BIV7iGCM1S yxrVJWgpgQE0zIKk+cqoane0m/fhmYouNVngFOzauOOSHglx/Xj1qiziwsB6rnrm6ssdaZHViXsy qd+5reSc7U7KPFUy2UIP7UCeKR1/Mfd46D/BPP6QPlNQM3r4/SrTCwKPZZ+Q8zK3jAXyciHfyvuy Y2nXTJ/8/xTMjPM7t/Gnmbz0DoIH1RJWvsCkyHMsHwgPkg4AzC8WlHoefcFQJPxwPEH8pv8oIdLa aviOnBJU57ToHZOtEOck+2AWqCf1L6VMpdGgJUnxWkIDathwDoJ8iRrpzUyz046rlY0tuAv6f5FD HQ9jXr3GYUnbGesTWa9DdO5KnSFl26UOtGG3vEZ3qCvzrVhmENEx4gg+pYCxfkacFoupDlWUg2Fz wWD3gNWZPXpVd+eQE8iJVtdhuGsObpU8ZtGNzqO16j5sPWzS4anSQT+81DTxw+bvw3o5Z3PZUMxi CTTmnd0Y9GLe2wfrYfo3PkJrR30yWuyi2cdZ5Jwx3NNrBwi6lacj/NPTIbl4eJ7Map2wKDL+nsc6 saEUCwtFM6RHPrYz9xiqGLeEohToyY7VRmnEESrjCD47YkLFKZHlik7kvpfUvbMwBYVhedhdZ+84 vjlSDTmluG8dBm4CCXVQcaQE2Pl22IVm1u97zUrYufiFwy9osNPoOlKSeT4S3h5+5IlzTmbwOInb T23s9P8VRHLBgqfABAUabCClwJPH2oi9yf6yhV2WS/zU/ceHZEcIXrl5b07z9+L2slj347lpKdHv ya2SknjfhhohVmu+iZYuWCNcQduTQteKf9KOWxR+2fbRxwO2SBSM1VJGFt0c9OrQ+usfpTftyKSQ Ux8FVw8mNobabT5JGnucunxvnDuK2B1M8yPIJLdW/ap4x1PtolyAQz5cw2cLX1EoNG1b7YjNs+lz qbAeE8i+WaGR8ufZtEz7z5ZBQ1SIQJhWW9MC3kunFWZDeg8A9uPNl0kJxU3dB6xuVl4q2/TpsrHb VQJL29H0UQtKcGG76Bo2mLFpoTmnhuZyVI1sUZWmkLsOLfNF4zRtOxtaF0MBZFGhhLKnmhjXulVb SI9cG3nsObYhF1CPRsCVPZwGUg/2pYIt2rH1B3mHruUSN9UrIUyKd6FXMQ7GaB5B8g7l07oG4WB8 Eof1XJpx9FV6FO+aYuYOSM6rHfxqqSqnt7ndr5aMBpMh6/QbFHruP5Es4TPgmE+XLih/9DIw1dBK LChokfMqg3KdigkNSwYVp9tqaWAPcmNm1Q0V90ikaMxwaeAMj0alEoVEP7M3GaOemUnn30Ej5nZ5 X1f3lvobLpLuD9nojK9VqFbknH2R76IbJ+3VSRwYUKg5cpHghZBpmN9kRmWWb++hkJMXa1p9JI5O lO3TB7G87iCTV1v9gThSrNr5nsJCSt8CO6Bf+NXNvPz4oy7sLT7zUeLdnEPkfE80jQ+vb8uOVact woQBJfuH86F+e30E66xp9buL91Xb0aOb/NMcLMSpvpvSh3uHEISXyS9NALmUsxKzWD6jJmbYgoLI wcMB5iCuKIih4sAHM/Ts07tcDhAxCaHdQPvCxI+P5ZoVnjgpbkScYxQzR3Dw4Vb0p+0nv3Ug5Fj8 Ym4sHnC3EP8kclAEUjP+vvbfGVo2TMxDkQZFRVuFeVBCbFAhlqkJES1KoRHdKx7qiGrMeTJ4T2MZ g5HTx7mIMDxWqAIf4Lj6nhI29THih26hZC+/q/724Ki/a1rGnSDDB9XozfzHIhGTa4+STP1cm2C7 SYendl4HUuL8dpGMD2IGx/l1Tem3S6afwr6BVlU+wReKxpRTvglHZhYnR7wyjXqqdfoaG1aOXxyL jMrgL0WtKiXNN/j7dmiEn5eQ4YvaNucbsRpvv9tXNvZIXfezZqVX29DitX7Fvm73Ca9oUGiNOkdN F3qBt98Drp6bMIl7JtyXkzaolXHupugznCi1T7BFXumY7mSrzLuvawKOjmzlAMh7nSBmty8JEiHX T+M57PDGuySgERDBhksXC7MtxOdVxvcREvPZp+wjakWoNPOEc3M7+ka8QxhW0L3D58PYFsaBSBx2 76Gxhg7o9dGMTfrdPBW6IrJBT5d1ycSBBhro2VW48OgqU7z33WClLzqeLXPDBzBXS9Aj8Eh16Y0F /Qk9Q9bzJsOZBBVEN+ZU80lf3MjtUvOacV6UvOX8ngAZjnG5RRlD3z96XPOVZj91SaTJ1IQ9It7j Wg3I6nfM8hTR2W39JjQiduQcubZTbWcqR3X+x8puhUNvAcxURxHJagOSpOfYqWVBtOPYtWC3S2md 6BMcyMotwK2sPpuTcCHv5HsOpb7ZiMa5OMhAS5HLSaj7VkBXHo9Bu1IpuaqLFUJ/5uDzzwNIsJCy hmFdgyIuq3JwNs2tlD9K6LQkedkzS3aClnU83sJpFLogm7frqNtrbun9Tq1sgOtUqnl4xEbLzunJ l/LYY5WGgSnQYiQv5IDXaChaujy3d3Ih5ISSb2ZruEtDn6EZAjcThefiq7+TTwgiMgkHobbNmiWV MWA5jufViWUciakKo5Lv5hwerMMHLIaqrKx9O5Y5E7w5R7U9/hKhMQk9QjBuw41QeWRf6BkNnRU0 n8oY3thIE5p/tPkg5seFv6Y8lT1LrDT02NlI4a3wXPJDlVIDJKzQX1i2PzW1kTh6sU/PQCXwy6ft 1y/SF7uJnyBNQWJ9YPopqxsivGLLE57K+lxhJwX2e69tl6Ylyj0lMSBmzHQ3QGYDCRNMS/uh/E8U K9YDzc+ym4T+tCFH6jClLgaBa0in39Pv2Impc64PsPjJWKKGMuZ/HQT2sA21fHCTxEmlf40JQLaO tvmEHgfWpiVbV4gt+AAWlU6zNI4hOLjK73/+Xz4rLmKZWr+7B6GvaLzfyoBm4UgLRCtmmWLBOQVW naNzc9mU44CdFJdIHRt/vOr73EdqVNv8NyPjyo9dTPGfUtN5fTv4EdHQme4mB4ukbJMEHe5vDGrS pj80BhT21ooOO+mxLp6wNeku7c28JJwGyC+PIQ+uqlHwjRLbFvy9gHuilBxvCNSxmsEQ4n+PWtk+ jc/dQ00F3lRn+WKMHUvjLXcMxpr710Aj9wPkDeDnPhn5puKSIURmUwJHN613L1LFTTnb46vvHVKP Ru4itYBD7pUZA5NIgfcboTRlmxIkcnMKRtOmn6UPo9fAtlJl1Y79c4XII/Cxdl7sYdZpNd9tSJyE JkspxrvAu4D4peEk8xblSHSBQMAa005ZMtEgfTXbiZhNUmQtni2XcnedgbUn8vsnmQhH3aWhLPrw /ocojbyrXSKUrEltYUDW1w6evRoJuXo+IxkdY+OWr3ko5TRHeJ3oWZzzXIFLtvOeDGUirQho81Iq LzpWPERpaTSep6ZSY0ZSI8GlqLH5lnWlaPmpJvZskV8zaykMGcadKKz4bF/BvtF1VKWqpzKPCZ8X cZ29FNKt+n5dAK9xy1RfpLnHIdcHMV+/8jflqfw+PExXM9mQ9zh8HRp7f+lRC6IIHe5KY4OJ2VnY REwrEQ0h04HIgagWEJa1rpGTg44FiJ6ydMvUAGTdJAW54zUlh72kxk7chcnSNVe7IixoqzDY2IVy 5sDyPakXpP6not3wO/6p8CaQO/iNJRlrCZtZYPq9pq3xsu2oOxt3jlBl8P9ieWnv3RVzkOGdXyZ1 aGAFxi1ZStUMWEQ/0hnxZP5uvNmQVYiJrVo87MrAXyN6hSLAiALqD7/7gWmSvH2epKijCe8tpXHZ lz4rD8yawb0i7A1XPVdCeSXEsoY745e0mpA39jv1J+oKaJW3Xd+Vy1HRsW29fGw19G1BviRvTwHz h9j5oHHMAz0g8GuTdqgpLe56XAWdsQkczdBM/MZVd3tT8FSjqlDNMSt5TdjIbL1CB8FKSAAvHDoN 8SCKDkf+3PsRZcZy1gExsZhe/b7wzA6ex1hHcPdlSfFgyiOPCdWnVPTKK37eOfaUeOHcQsBk12x0 829WJPkEsiRt8MNhk803E9KQUoOWhYKf909YoH/xSGPm7L11//aXbDnlTZ4uhexTV0rdBeNY+E97 SCRI0Mk/0+jKR48hRIm+G27YwmEoTnTEjTWndR6JXl58CrUzBAsd0fqO8NcD/P7seiZiQVK7Oukz m+Nl20hMcBiVkld3Kj2oF+5JH+C8tWUWAxuoWWXY4rhCatxYTcX+xX1M6Cz3SS944FIMYw+llILE UWyCtnftyaG+/m4pHVIv2UJ9SyKyutrE0ykaACPWc8Ok0ab3kN8tlDpn7xcJXCxCWUfQmus1IROg nYe9QVyfMkqW77GJUGDDtPuDsBx1h8EJrwaLuIwGS3fvMvsvjfkXnfYMVurL/op07YkqpBNuGxXp 0MivwG4s8ykBMnxMtKZA6G90kgUOlXvw51NQhSnEeHaUssIsnNFb5ggu4Y++KoacKv+k7cfitjSc 0ayRN1In7/JZRMztWaaG2I/KDAXxiafOWP04OesIQylXjBujNsiEfYVYbQY0fq6FEI6YQbt6SbwP ldl0rM0qUqTi+Ws2wVRSMwYXTIi/rqsagt1MLiL63ueCA+4H9d3/faKgjb+pAGVmf7L2CEVgHblU etcqvLSKdKvlB+ZHXuW8t29Y/JSf0DvDl9YH5RZ/QlQIY13inkVPVm4SIxoq/rMRlg4c+y/62Ya8 N9gr4Ir+y0Mo42tNH6IXdBjisSVkHTnS59x28BNLObsDF/seGyWeEyKeBwNwoF8LKyYuc8TeraKX oypV0RUkYtuRvNZWqTDINbibMEweAw861HK0fKZWflfoQ90NeprUlV05JG6yIwtQ4p2PX5rBWJ1s sOY3llk/BOHjN9fP4l96C9gHwJ+xwYBS6oXIGiRzm0zm1VMaaGQLgFz+kc3cuoMwYi8Ao8D6UZ2z WwrcKlDrOdAY8o1ydoSMljfITfDtcmofpLfSWXLfVR0Vtyj4H7Nw/bFTmyZRp4+ABtgzn0ZFktDz NUSgWeAjpH02qU3ITiYkFQVIjV02ciUEItgsN6J7PpBnWYRww9WX+iOJIcc/5nvOY+7Osp+8972s 4it9flDYYhKTtz+YdUrB7XH11ptxjzoRgTUxEmQHQzJ/K0Y23gpJBK53fWYhcbesrXiDQ058UfEH dIuG/OYFUS45jGwIFuow8Jcjg2i5L0MMJoqk2aBxEtszCbfkIFWOGLVjaF9xaduGTVi7Yr7JGJxz COksTVkWoBlwB6WXR112ap506ZTUujCs+kvcc11PQ8Ea47Xr51tRflakapV49H1ca0uYQdyET33y 1NKPAZvxhDFY+Q1OQdurjQfSJiOihOQ0BFhOEW1Msijq4x/PKqnAZr57I0gUkuMDuAAsIDPmq44a W79zb1Z43nQL8kqAZx49h/AY/DlyN6uVZrCtqTEnMw/zQ84/WZURInKw34AzaxqFyJ10tLqbnHVq FYT6T3Fd02MV7NjLl+9gjLnYKNn3lyIOBhLXUnSxK2XELtb278f5HTBvLPyirMXOHIFBuO+QJUQg cB/A70V35Vw4i+Pui+h/k7i5SPvZS4VPxozRfGSFNo9pSKf+Ha0BiX7h9WJVjVJ1lMRjTqwFpcOA q3VrIaZVQI8cnUzaClEwC33BLcK1PY3IPRR9eSzvUlem2hC7AWgW7E7bQjE3fbl+sjk3zrMrJMcr UVYk5DGzPdLrMNN4Jc42zmbQ0QEV/x8edEqAemZdQmM4t7e78PRWHZoPT5gjulpumFhkhgQp5kjn xW7TEDUq7OMhljIpZnB46bOp3b6VwHjyU0JEWtWBFvbBbWHs5U8PNRDwODOB0AZtkI9mT6WRqWLZ speKrQi8DcEFkHF2hzzfonei2senk4NT9kpp52ymBImdnZnbH11lP+RFZrCiDtx5lwFj/v49aIuf U5Ft05lbXlR9sazBfMsYMX8qQj9eHxXPv2Vfj2srZn+7pgrt2FtIa6UUacDuxoah8slwcT619a7a //K1zF1BEi1UEdr/bNyZNBFqZnT806OIPP5x17dRsdtRjHNFgqm3nBab3unK7fV3Km/ngTTU1BFV SQXAOkL/CcWd4PxB6yXx3YvKX4UYYI0AWUOIoUM8bZ4Z//xguZnPeOFCkpJNLSBwfRj2Lenw6sLv 6eSi9Ospyd3yhblWxbBAhs69gYGVmlI0Q85F2uVxmRsTgoZrj63y6NDLhQQnFR8blS5ZUy+vPEfG WpcJUyUMyMCLUbjbIcktr5OSkyeZDg62esbPic678pm5JmjAycxmSvJHhPNDUi42XTPaNIvouVWQ aom3QVNdDyufE1I4Z1GtvWvJXNDC+xvalYYuvPyfhWY3idJsQYhutWKZB2fi+q/1bOWN7OopjdF8 J3gyLaonZ5HcCzof/Sajq7B829ltWsqdtiWPbTuLZkI7PHvPegaBiyY++1JJk3RiafoufqR6/hkn nuegmGT8bq2+1u1OeQ/Qb6T24yZkIrXSHedgJ1fnl6Nl8/0Zd6QKKzKj4B+qukQbjouBoqB8aOMI oQXQsB+vxQr4hAEJhGHFV8PtZI7AWI0A/tgDLdPz31u5+Dq8BUc6A4hucFzyBzCQlO1WHBkKFNdV hKSvCILXFpVzfQ1JhjLjaYmLhkt7quyKwX53CqkGzWQBCuZYteH9rGP44jk2ZV+dZufunVvU6wre X7Ok5Sy6FAD3cC3owIu662zlGoc/EPV5XfLBzM9yJTkv0U1L9C5xibiy7qvXMIkbctV9N7dkbM/5 Q6mQX/QoTVxLMshO7ZX2LOf2gg0Qfvkao3zIng/IwNEY75PdiYy3xXOJj/h4+qKOY+0dSTQ5NDt6 PBrc7xNVGx0qW55Z7uW1PZYqU/zSBsyKwBJ4Jw4xaCwzFdSyvtCJJyZSOROC01SN6rI1fwZw7KdO 0EhTbs0KfCB5VLu3QSJauT/jRbOdYG3QFNg7o1NvbHnMCL29TyYz9wON4XLzKpMwmFnl1To+PK5a NbMqgFhn7WqncfHc7dsMoh8Gr5PV2GDOpTYuKZi0TCe7igVmQYWiHjE4W78IA5o6Eri78K8FZbsn x9DwCHdQvs23NpG4r1YO254opeX0Uqqy6JCfG3NB/CjQ0xiXyfT47Uz5ehILt7ZhdmqwSIiz7Tss MGzXxtxKJhSsMOilim4FspFyXQZulV9sNTehdAleeXusAr4lMmAh511Jo+oSPl97wycPLJxg9MdZ tew1IAq444EEGj+a/mXSkayzsYTtrcCFNrWQeskeSyUojYLIbunbxiYraHfcHWjdYlL0efN4ENJk 8IT5mA3HzmICl3YYoLSNRSohuyXUUfGHam84dAB+df4iKJm1ILm5c/Zw52vixDWtA05mrZFhfQdI kf4bgLP4YDhjKppCKAUdGKh7zHPRC844W9uBuNn/IE9ANY3JZDWOPhDdrZjlbeny4sBlNXMdw191 uJZfMb052ntwRlPpqSwFjwcVuNN2MwKOXcTi3u1VfbjxmZ066S2O32yD8lXrGDhLm6iAul2355JT XFkgMVsNPIyUoK9fOXMpFjeJPaRNViTVplzK5OJdcpit3h4Gad4jobdTB1Rwmy9TbyKLpVsOMnnw QJQq/957jexDeGN4JTY9uDhXhdOWr4ezAvt2uYvfWp64vhgduW0PxknXpNMwli7jTB2yK5esUcNs WFmyIzkSbXyNkuafPs1Pv3ZKCiUHv8sxcm0bLTEpfxa0XVPFtH1KegFu2uoAL0CDaCs0m3mRg7wj 7BTI8r2qQIE5ESoAoIOMjEkdkamvn+ViI0ewLjXe8Xsl4WT7AhExW8gJzN9X0NAcBZBD8BLprsUw 0HIBpJG+IxV57psLUpmUC021uYlxgkpiI0TwfBQiHZqa/PQQ13MOs1B8Wp1DexWBhiBiJ4QeWpRt C1zjYhdbHvfzhTqNQvWs/JeuzyTaSzvkq+AMp/Q8Ehrv34+pm0IlCJaoiJW6BBSC+6HvEvmDKZzX CbrTwSt/o/J0fRNU9nG3TTrJcE1TBL9kh9LP/cLMhGe+9+py/Rs2NLN/c239ed7RGFVJfv4k1J76 tOrYC+HJy2IsDgj82KayCtMFbbpeWKUYNez79VmV6oFDIopWTi0YjETA1PjJ4v5/Y8gmtWFGa6HH 3d+1VO8grgwRCMzrHkAqV7N7xTfwDuFdYSq46uXvrKR9mLKdRH1V6NpcJXXtAdtPtLT4qM/XkuQb caO3c9BsifgH8uthIqzZbqgvlpyJlCncA2yYKY8icQdn7h5rLeImyArU0yZDVJEROPK8Ww38b1cU IMezAD9q/jsJcoK+Sa1lsLSwUMBpWNEghKel13AWWLT+J2Baapf5stqLw+AMF6jiUdb8/xnIbLSs ZNO9tQU/Uyqlpuqgfb00+kUG53Z8vXNwGdbQ2QpOtnTb/FTXxHcQiR91bua9FDoQ9qhbdRXIFWgz QJIXDCTEGjpLdFk6wtbTNjH2361B8fyW5m6vRhX54aUJTNRkW5ZaMqemKF6XOV/nhCikDU9WQIew sYIVMbrGNVNASNyjdtf2yVZxyVyKkuh7DA2QpdTjjknDvajXevuv2wDzyyRVzYL5tvbz2cm6uoIU 2qrz+SJbJ7mWMDeuhfObIeCXY+iSkOEEAN9pPKYglzl5ZV5n+j61HRHZ8tA/M8NKbCO2yhrucXaY L7CwklJdoNfNHvOSXKosWUzydmIub5PwnB8sbLN1omQmhVvKcZQ6zbc9Eq90H4NBQUWvWZhcTeP6 6WKG65MzEMzsC0tT7kklqs/KYcvZhyBsW0rDjqXVcBLTi1as03tYDfPu0SB11e1DcKa6Kofk37/Q a259Qe90+u4z8oSYgRxSjPz94fCR1ZAtnTLYKWU5VVruqxkDYZDJaIQHUSEBT0kGtFeAHdiHHRoK 3GQGG6dXALfuu0JoaK7s2KliKBHOPZXDiZYS5giZy9hRETBhGLQRLuvkM+2sXRcQ+q1rermfPjNd mddJdVQMlLav2OPtD1zZEC/HSUiQqSZcUiMSEcgFpNeF+UlP0of9TePnj7/VR9PWr1AFonh+l2X+ ldNBExt1bcqWSHuCtaOIoVAxUSqvCl8VVV9Vmw1O/5AfmGRXbdgzcnK4cBCUvi57nVJXtQku0gxV 3J5NiZ/rbza71Pjr9NeiLh6468gNNWqfCH103JkqTb9WiP4zj6SZwoqNm8aeCdgYCBWUBVaRlVnb LJ4kGeu7VEVNC1FFuJH2FX6KjOA/sBKrV8DXtOBaJQ/biIwxwryHEmdJmprE2lv5huiDl/C4Izd/ x8BlCnN/tT07HA55WKcYg5dEgXHiLrp3O76hZgQeaWbSqTMhamFi72hAlreEmvHt7iAXPT431wwU ISEwbyXk83tCJrXmfMUBeyoHh3fAlWYyj9asBdqp/73EM1KygRh/vGW+HKn6Z62DbgYLTAfD13W6 oCMEx5JtAqkmzsm+KV80huXXPIkfsg4An9gWLcXVkKFnsJZpqty9s8nQo0kA9BruVWs9syyK5YQh 9cQVlOaPEG7df3IqWM0Sx0kn4wWcsG3weDm90cMq1TvuOTA1Va3/1LvQqNhrNR+/jKqzjRQ7x8nM RlU36fF1rTYGg2g0LxF3+ICtfM6XDVII/G7sHwQv2uESH+TSToEhauqIzDaeDNNN33g+SXisSpms HAEMdebr1y+cciQPisMPvi7t7shEith9/dg79n9IKwZpfrfHPbib8ITQYQmK62LcvGC/b0FTlIr3 MKwXoL1lD/+gE8TBbaehHHWI3/ITmI++InZadAYzEjMNQn/YBjlf72DmU1b6rIXBv+zyxscmJrwJ kGwsHSedNh0Wm9l5buaMrLcvtfx5DpwwmVqb0qIuJ5tj9n45e0mqWypjP6QKnOf84+BATVXmeA30 xVNQ6DD4xp+BqiIAMk18F7KnOt6WWzA1GiLKCNBsTkzD0B4iD57q780AakZdYbbDVCeKlodUG8LJ +5qHo09LSqgA1kHj8e8mjqyPMJ8P/9C2S102Og7HgfczmQygXIasmH0LSaMIFa2Zt40VLfHOhCD9 RPOXl/m8QWTWy/3EBIRuttl89Ja7hF9wHXxwS6+9yeAITeDBVOLMIGJYp8HFLPphQbFH5Kunyl9u JnvAAg4vw187iEBNiwuFKYo+D/Bvso5pLl6IS0lyqf1XrxlKoSSYXC/H7BnwA2dUHuwQsEg+QKC9 AQEwSZKLH+WJFUtkHt8eMMpktSMltLxMeoaqCmUVszSm4dlIcZ1fFLpaItmmW9McnbRl0BYO7yti WRrW2+VV1V8bxsQn7Pk5ov9ZTR0bXf/t633iKnjWbsmg0Olts1PgfdXDPLxXKqJSdUBgh2hhJveL 61I4AT8br4KuTtjzFJb+HN+w/Nk5McsfezNwHrrgoqWawoRciTfd+tqaVWKh8vfOfkgt6jGdPnq8 J0cKyoat8Oat7bGYIsnEH4WQLHKMYHGnVXQ0BmW3lMZGvBPXYHe8XaCOLl74HC5RGTPn25K8ZRRl ciUQdIehcBjtWYZCe06D7MOLdLm2F0yGdYijmCwgB8isAtQ1o4LAKU/V8jWHScf/cCqlmQr0bGPt 0cwWK1IE7zNCn+Q1aHbcPGLNXWSGwTHKE+hnvgIEhBSnMCh+rJFIOIAJ52RDRbAtWysIIX0+b6OF r5p3UWhFXqC+YDqPMQARQ64gSd5MbLY+pZjJe7DyyNpv/gqWAV9hkX3ppcZ88YWg6fqbLsOXIhS5 2tpCdJNwxPBoAy3rkflxgzpf+73FPvpLIqLG6qnC7ZW3FfmWdpLwhNFNx2A/OLbCpZ5xTn5rPrm7 TOtb/yJoJN1nObTKHXtMO2sKCjGA5B6M4iRbVuJ/M0HBxynLZ9U4bAK+PYnPPH2e4I8LqGvdYMbG 7GzMm8uXTECwBjB0FLEnw17jpPGFBtDJWjvTC6JfTQpi6lNNQaedakh5Lmh+cM3DmfolP23IpFuF qmx6jLN/Oklti8wSjMk4ggoboaCEwKnyby7jkH19ohG9r+7647qSnSMEAMrVp2FQ7D5W97MRIaFH SjCQ2hLBUhOjDDfTUlJ/p0ErwczvXx35mDJZCPI+FeAH1zNhpDwT7cCYYi6VBqZBim8Y1/MAkLdO MrhpdWlbTeWAumEfi2xzOIkXAtO1FTfoU60g7qoEky19drzNtvgJ6U3WIsw/eE5jRxIQfsnq0FT2 bQ6On2l3YE66BXdafRNEyOMiF8TZDbhUcig5bMJxkeaaE1x+X+Beyq1f8Z2WVVTSEhsMzxU0aHsK KeQhBlmBWkstzFVByJ/URyZiFrHj94JZvUwwfT2wDY3oeQE6nQYq1IcftIwe/3qEfIg8ha3gAiy4 1agcXpyCWTnflES9Fk0xqwmoWIx4sPfq2vRvCZf1OQzrbr/7hYZuvMNH99b9KKKD4m/RtnQP/w4m 6a7aLz+qZkgCHPlVBM2wuVxivmJ0bLrnhZhRDCTqqOTf2mheyV7Z0XvWPWm5rq48bmgCowkymTrJ +kPpcpMeUJwfIAeHKppcaQH98lto710v1+/yzLCiep0+w0vEsg++5wzzJZTdLjZatWjdG4lSA4W7 ilGrh6mvnczpT+T3EBDfkzIiVoH0kjryI4RusYYQz2ndjo4yIcMxjo+s4RRXwpjQnH9WRRjWazd2 K1ICGJTEmQnTOs9kfK24NdndZUZ978Qrzy8+gaoTFMJyP3x5q2eRRTWV26ml/de8wHLPatxa/3zL YmF+DQG/lK/9vQ3oTE9zi1NqdnYvKQkUIpj1/M8u6jHReNpTLnfX2NcFuJdgf51QJ+EEuqW5Ljfg ylDowkGaLLih1CHh6DKSACLdxcz3PRi/eEGX2FWAcNiPC0aPa30TEfq2wNfJjUMyR2bXgQ01xoQy cX6HpnkaURWNFJEXeXRGLmLkodi10Iobgr4fBhusczsRQBcgDHRU4mIptV22YXh7bI2WthbH6I6n FQ9pkaRKwwBzbYTaTn1oiXh+9FJEaYY+ni+1dejtYOcHoG7v5Xzn5l67oIfm/fmihSjZNsHjlGyn znSundTnUCzcJWfagr/2gRRXVyfI6YOMoi7Mx3L0Cwesbar9NQ+SWlvQEMso3POgxm6wazrh/L3E 9YOUtgbNuG/KJ1b/O8sQCprchUtgjQcUmMIPjN7TEwN8KkQpnzxHnVwtXLzW040IkBPEP2PVHClu GDv0j916w+FFVSYekYWa7g3ERYuOMeqF/kRfW/d218HbLmrZM2RJvewL98GeVD/alJtvELbpHUSN OAcS1TsmQMbIXoDJkdcIUzLlFzkX8K9XI0MmMdktFP7mU29hrHmf3vYbxOTEP3Ri4+KQogvEE4d7 fo9xDp6LfXYJ4jsLg6fLI1xMT3PyOK2G7F4yN9FKK4myNsfP80qdf4sQLdFBZqZ4WwanCpGu089J /86TLGP7hZlKPTvrwxfft8upfbR0UO3kwPb4NPEDF45T1CHFO0eL7RsiswwQmEBvVEbIbTlaELji iAxsMP/Ot8pjMYEIrksPN59BTagjNJCOH2fplS8YMSuB0NGh6hFUrq3M6U+0YOrmsBsRcDcfokBk 3m87t8nFJLXR5WWMM1f38BHompZYclsiyC4vahSvBDoI/ix+zAcjPo5CnsPUcA0ArM5wojcOl216 +oCzg7Jz2cHtac+FIppvE7zuQZYlaT3aQM8whjcPHT9hx/d/1Csn+/JQcTkIOfPMo2S58KDfu+cP PYhvnHkZ1qyGzwHnar5Q9jaT3VSuu3s66msx6MEEJsx6j0OSA3H37xCC6EKevl9/suV1E4d01UKy rmo27AI9yNQVvFuSBK2U1bn3zSgcbqY61EuTeklY0e8TjmtoQ3jQuD3xhPoWNSrnxKk0r/ZWBkI1 pdgdBzUjjA0unbXJKtlARHxMR7AnCQ4JdJkrk+h/cimU6iH2bovq2m3VbDnpW9Li+bciOhNlce6C T9U0yjDvg0govkLxnQpUYfkXU+ptlE1P5wZstzoiF0uNEzTYjlulhySbM2/ovtMlLENm+fxBR32P DoqMqxbEU0T07kn7tflj/bbGveXJfPggWFXftn0+ZdpgCbCPH2Ho41MMHnZfE+pKC8lX+AHSZAsG XR/1ypH1ccPofSmnUT2TgSazX6EeiAioSJ0RwLKu9tAGg9gvzUj5A1/x4PBfPAeJrqrw6ya1D1jj +3GdtXItk9eqnqDhmPk/MVWns2gIIps6RRlPesSnwzsldkZ+p9A7Vl+4flzvC0AIhP7MYZlReqzY VQ4vbZ3Xl8r9HK+/7hFgx6K3MHWYvRzkLnetmV/BJrtTKMsUgYdliij07JFLCbtlh8qLyNq4EOxm HJAv8FV/Nts6aJKD5sjFdCAovoQ0I3XoUcs2+lRt3oy/7R2XAew2JxH14Wndw0Uq14b+hWMRoN/f TzUbVnRf24gfqi2Ma684OU2ErWMeX9uIblx0eMqstCULNG61NzHqwuvyL5PdlPUZLwg/WSPQWItH PvVdq0+PO0cbVV0ORB9bV1N1qnlJgfMhqdtevzv5MT6WUKTbvH67MnTlkzwP+8+j5UfbcYll9qnf ZWwYtzmuf6Oy7gmMQLuHBjf7SPdOC/GxypFsXIb81wcEvPDtALtKI27xpZuIYr5YSmHxR5LWj2Tl xckNZePGlCEoUWpFCl2wDvO5qOSx051MakfpFz0b3Xu4Bf6OiVGS5m6Mux05zRxq4rQgm0lWJQPV 7KaFY6XGvk6rJmjHBzit1noFtZTsqD110cv4t2o3AbebFH8A7VsxXG/SIOP2Lc2HYQz90Nq45gKN Q7kQ7s/91CpFjfnnXWNHgu8R1mdiQLz6aXU+2HKo005zABr9rM/T6PJNBcKsFgbWiQVaqnVhcHLk LxWfY3gWIXUcGWPE/JBRM5/F3JaYTprig2zTqCzo3GFsDgmhtYAgK+yC+YStkVLuvi3o1XuBbFy0 TVOQMmT1holVPO3ijbIFiUmIGb0XrU/C/q7DFuQsqf8kYV/0OpSuPTUfFeCid41Ktu1QsajHeQxd KSxG254B5GFFkldj8CXnxjXQGYIMQqLpIqcBD6qKbQk1c0mO9WhfzMaI7n/ttCbApyrHoqFVyrje m9ViVikr5JsXr6obcV5ado+vE0k1RhDTgSlHHfCzlyzMj5mnn+rgeZjxQlYGqvvuXGZ0w9HW6kSY c5xr3aWOdPvmG3vZFmGMDg1kSZnRfCx+HIWqfQXFsPh5mfO4KWHGeyeI3zRoSIv6978Wse9DuMpT E73SPeL15zpPmYfXs7j1o3fjuUMWNRM0w5+NFSpFmCZ/pG3G6k7do1ZH6pXt4uTzgNnPUS/JEcY1 w8YkhAMwFCwFlanS1tnsLABhUkTGc3r+9rbdPFHGxeh1iseRS9Y0X+n+/RB0KOKam0FpSrvLD1Rs 1HZSR0KJWZA8bhPEWb2V8OH+BC4iBHX+9y47QuisD8ZFhrTrrswNkcN2uSI421Tnv1s6SHwTVfLW L8r3fDWGDWk6Wh8z5nf3GJd8BjrO5hxmKZNzaT4UF51hhGvmLbOkXeyGufx34TskzdkI5bmjIcaW TuNFwAf0ap+xH+dIDmN8JIln44WpjRbiyp217w63m2HvvLrXuXtFcY/EN8/vtbRk04cdyfQ7JHBM 910CJtsTqqDp5cqEXdHuQNTT1g6zW+bnS8xOhgU6bpG0yPMrt2JkTV3bwULIdETBaSLP0gG2quBB yVrCEHClRpJ7wti9xWX5x96okEY+5KFHVK9CuSt8jdOIP5zkipnKwHps3MLhOB2QSzFj8S333IfJ Q1Y0tlHcqH/hJW19ebwmUNgg0ZYQkicgxEpBpAUNIlxPCiqX5CcHRWuvhrAoSGwcZLsDNPOdqvji mS29k6CVUmEjxxor6+zT4LiGoflFrltcTbOUEKrmw+TBPdW+B6hzygEy6suk2hXYD86euxQA3/Ly mXdM1m8PfjNcl62F44ZEsy34NtaS9wtIFEz9GJMiBgg2k4A8uDY2MDpGICvvnSQmSvsKquplpCpU hEQQW6BqWc3Md2pNOqpi4cyD15EGb2LdEKevySlrApbosyvlOd3VgyOFlcWff/UM5DOVDfYFUwYc J0jjVv22wmXf7T2iV2vB+yYXs0ZiDH3N9x3b8+pyhB4VncC2sh+t97IE31lt7PzjVOZR4LNt9sep vQqNqvoiGd3l3kMEy8JJ6Cpl3cLQx1m2gPRn+x29TvmRL79yCPPRdsy1QWsmnaC0/UyaaRjGCZ0b 8fcfnu+QX0CORI2MGyIiPisPsosUDAsd1mFL32zZNO4iEeJa9pUjcqSjSl2Zj58iP1cWAswnE++q 11It3XNzZRMtDi2hvBGo55lRGB7iV8bnvhkvcsD/BKC7mz6+gZkTAZu+FUkoM6pUCnvvG8lN0hxN POoimTgwkIh60P1LFpK3yImRFxnNrBRAlt6YL5V3elU3yyi3SKrT/QfqtHTSGnMa4ReoPyoEfzXs wTAiCdcFS0+kOuT6uX6WHY9cRIxwdygOAJaVe9VOyH/k/E/DMStoHSP8jMDrPm8t7opWY1f3gm59 Q1HEcd04uDOD2OMfRz00J83xKX+uD31HgnY08az84/5ZHwpqAVR1oOM97C0HyLgccr0hdcWT8ezK ld4WubIw8vHJPdKqWogZhvvbVGImCltnrQDcasZ6C4Bw1FuQhYbNsm1OlNgiLZQat5R2nSJ5c2SP iddfRQCkm9hliCLeArM8ZdiCbvT2v+2TwJZyv0HSBags7yUfJLeOm1KfD53YuIOs+XpJbEC/tiuf UPJPSNTQtf8t6tWU64r6KJnolhyc/FZ4SruuAqy22BGGo+0IhKj/g0R+HjzsQKkKT6fxixOn4CAw drZzNit9T1u/OTFXZkrKMh4rNgxDY50EGWzk8VmREgkyaUdoD3Ezr0dTsPxEw+VVHp3kwt4WdL3K q8E+UmYUxXRt85BXx7TNk+RGtx7otX72YVPKrpRBSmRNXzYngWD+nn9mhPJvDKuTX28UCHRXNRlk b+5sg+/UKr9Z/qfrrFnRXTzva9zy/wKgWDtQIXbHlj9HW8vwrqhAckQQwBWnDoOYnKDHFkW3AZE2 d5wdUnhIMm3zjSyeItyDNCZHjHg6jp7x8hW26vA7M7aLwamcYBtH8xDJjDquE4u7zAKdsZdkVbil L1aNlBzRh64KyqxV9mlOce6EPshBCAuaKYEfjvItwHD3S9oloXyyjtc587z/CHOD3nGLgNI7UuW1 7AOuyojEp8a0e8DuZEsVePYho/tC248moLYQpJnu54dWUABGlEFbe3uJXe5iZUsLiQofFZCEZR+Z F4CVP1vtRzVfaQ9IRUhRDRKtH7zPlDroTHI8Y7os9Ie3mQ95INVuQbnSrY1zcShmDJXGAfzjhPlG Vy9OXm+JwTgjDo0mxRE3s7rNLOGC0lNIpL5+5E3Co1cEld40rrQWdRR3/wsMC6Sjt5NYkcJCs5lu xTDYpgGevb9mV0kwMObh8/DdiIZWwf34rytfxe1CtOCBqP8B7RZ9C4h0e9OZrjfgiqgE65dD344N a0SphcY1hVPDsvUHvu+4JgtvvhmIgN59nx6LlrWXqjbIqZQdeVis1MjHjeO8qPtq8T7hIx3aR+R2 RXUwjAzxF+H+wOWfwT3wrKHdF9CbZ2qfcOR+N9X8XzAELJS45WO9l6fkH/+AFhGscsqkxwpiz2LB i+UkwfSzDfMZVkVdypOWNkYVtf7N/POzXb9LZNqKqUSZCCn8HiSZ6gtx/TnkgiF2dygOImgQ34bO V+qztVSqGrOamg4XpQnqqAd70qo0+5uQXIQTn3QoKV80/uA9zbCckNY7Jz1915GDAgjFGtsxuvMO sOMHAOs8hUi3QVjzpaVC1yVSlJvTvPZUj6M7H6wpwsaqZaf29ZZdqE7jjh2QoK73PIfd9ROKj8Qd 2ZnhF0IYbIRblSE7ODDENvbPrCbf4bhS4RyiNvlY9h6UbOJA0Fm+8skyZK8xnyl07MoB4Pky+XOI ndQDJmFbD/JLITsAC51D9KMckeOk0fYd++r3e8osec+t7kf9rZsOiVsxFHe9KfFA1Ydg2wMiqw2y qNR+UqVyl8zSNk2B7RtQvw+WEzfOsxFmxJuhyXAokKhqmDwD9/PnERF28egBfjRCTKL7QQ6Jmq1C JICi5gu+rwMgttdN8gH6rTPER9dI66qA9kK94KA3jYMOR5tiia8/dPysdV9dOypCQlH853DMwv3E IfbDDW9MfXUj1tbfJI0GBEMeBAWyQFeGDs0Rtr8CP9m4xTsAMBugEau0hOjgGpaGVyI++aIj6vhW /remCggzCCJL5IKjO3CXGBCwy8vdidEO4Urt7w8jliZOnqvFizFiY89iY2UyngxGIeW/UO0xVC/i On+YMs82DDFSOZirq8uDLwb6IT+DtMAmLIgCt/LnYJzshP/ZtVW1qTOJxy/pvbG+69KKnGm23I8t 8yEHRLcW+OuAxYiIja1eMDAUV2uKHWXgqopxuQVDF57U98qAUuUt2GCo08oHqaf4V2ktKJKVvpJu I6lT6y4BgzwhgvMrc/vU7PCE/4DzREhNBFyp/sENrcoayYGvZ1L15L3z+Qh0TOlj281Aybs7dwnd IompbYxkZzKJaEn1vj8vjyeVoXH+uzmRtp0SkhwNWtR/USYm37mOVp45KVzFi13VMaptsve8Hqhg iiwlCkrl3wz5veTPguwEmQQfYCK3HhwkqB3vRuIFLpJ6GExZhpr7/AFlh4VBxJL59E3R6YwHDCop TUrIH+b3dhAn97liyoxJcfzMh+PA958+QPSB2WsPkP9kcSkErx73JflKdwJDR4mGoFFGfN0/5OlJ a1svOmY3vKj90hJePtImXQci2AuC1m/hhV3FsL+doM7ugvrEYGojVlC5dwa/aMSxnzeoPmwjY/Yr nSQ47XTthUkM67e27p4+/29X2E7tUXMF3aNJcP21IsukLeImOOr7QAvqhWgNSfAk8d0xOxUf0ZVr 0hKnCofHBxugS8ESpLH6vplnMsaL8pSaAOwvWYD6HBcsRRtXCqFxqpx6xxN6plow3PSGYiDokI5R +15APrOU4L24bI/xwy6/fK4YYJJHKEnR9KBYa53vBmegcecSDjoDhM+Wg9qTzndduDep/zB3kxFX vtTbro7m6qozpuRg4NV73vNHs78izF1oYn47VnzNNg1C4fdDt5jVvjn1ruDVVn3gvA2hnPCg+1Kx sHn83DItmeWxyixmEm8pMW/EC8korZ66Q0emrt+9U2SXaAyZ1MKnSjeP63asBEsTH9GzIYnVJ6m0 GkD4khuJv6gebUt89Aiir6u3eIpTVS3myUaqZ2lyGxH9K+kSfv+Cu3jKrozPydwoldSEIryVbHx8 6cWXy2FixayN3nHnHOvkFxeovvXWAdYQ8W00Ip6OmXZpyoql7aUyWTvK6pBrh+JH8mF3VCn5RiaD +p0w+CPakNFh680pyuOE82MhK9n6oW87fAqVTZI63JbAHF2V9+tqY9im3QeKZdfQkCuDHxRM8ZH3 3Zj1N/v/8d+Zrgwun5In4wEadO2wcvocJvAe2Oi8KX+VfhUmJXitWicdCQvcXbV9iuhcFRRvbAjY WcN9NmMovlQSdHaIp1E56+ZZrpqePiDWlv7MUxdr6fRgqzWQr2XXJLld/QxERueVHprnS766o2iW oyZ0eRho/COpb0WE3m4ToRz/0ejezjFwg8iwdaHG65dAXcL5I1H9BeepufQo4X5qUhNv6dbW7W/h TrRvwn1qkGmBJM5mdPJdh8W435XNBicfjGF5qU350NhCqEn+QBsD4+5xxFGSuK5mviH3QcIicYqD q5mZro/TR1PvR0MIp3kfnO+iYGwdq46eELNLuBeL5XHfbzuqpqaPWsVzDdUBXEpKq19PIek46clF XCXNzQznufseCmDHYITTMQLPt4sqViaQHxqlfc64k48CKRswSotdkmE20rBZVgf+zMWy/bV0Geda QA4JQPJXJ27UHOvgFOhsRHx5XZ79Q3tGuUa+ZxwEIS62Tx4YCTjPxQYYpPmutJor8mXHh24yj3Hu oxLP4YXBLDdmAHFvpwu1L0WFuOY5qmTKHEykUf+548OYV5Qv8q52pi+f7yCkSAvlGcgvMdU3OsJD L4d5mWAS1lC4WCDloqrh0gUw7H+qB+lZNNFT15lYEEhNTka8zqg+VPEmx9Eg19bTG8P06NM4G7JK VeKrRe2jBEuexPM3o9patXF/HvFEOiALz4o31jbL1yUaUVSS3CKx/TSIZM8jJzCINmVMCnnfLgC5 L08bkgrMoX0mmEfh1JdY+/gRCnDWtuEE2Ap/AFBSLUL1Fni5LZsMpkLrYvSui9+8PT/RNdNrADlS p7fXJoekKSHTqf3nENyZuMbPG2L48zlqUWKy6AY69tOcYg+USB2cHED9tPVIf6aaxGZ9mNaj7cbM 1OTzxo5/QQExquFX2CI0jtuN4rGtWZo0P+YXPwF1iZIs3P2NhbQ8a4ei8FtxtmOSV9UbQZOoEL2I pt5RHHB+SH/1Uczx4LgkOKrpHiRb8o4gaRLfktEqbDnKRLZaYcY6toPwNbwSZ2HVVMknAPK4nWiQ r2AC5uTZ7mubWDmaJ6xhOA9+NU7bF6eNwy5TKQKIoL1fnUD/os5gMiW8sxF69MSIJwqSQQHyRRAr mZV8HdYkp5r5zhyYDnlm9m1ORK3yI/GqSIZUd6FAnoCf1RB+hYaouHY3SyUjbubDgdy1vBJYhkOj t+ATMT/JvSgOlFryWpePHqkPFaiyRtsW768s8Yb9x13P/lwMO2bDhMh6TLR+aSF8yQ9aNTLP57H1 +kNzAwc9IjPcS1TmQnuSLTVXT78D0BktUbL6vz+YI4gfECXFaxUvJRRXGXv5QJMwKkJ7n4+chOQb c1yzsjsA0Q04R1dkaib4VnqDweVJbpzjkKjxrg9QGtEcXu+zc4ADVpBZyW9X8HNVLkRsJVzehf99 fVjLQc++/ltLk7MN2xy2Ngc/mBBuQNPCczIeN1B1GzwyWqChpcTMDM3nEBV4Qk/6oMp1//BjBswX UR73fyA9lURqIHggVDr1kmFp0LUCwo1NkIdet7xA9iux3NVtJK/dG9SLVO/ZZ+1rQ403p6pVIila 4UaB6LjJajwFOosjbApdqU+DheP94IahOoOFabm2ASxW8kqeWm9JWw0AnWAGeXmRfGVktZWgMU94 LBiHwO5tkd9TR4Mrh8zjgBdiLXclYvFfYsqxcXAbyXupJ1PErlZ5uO4/z1NmHEOBdjnE7gqVGf9e jwTpZxx9v2JvFy58sXLUDxCV/0K0CsQ7J0DrLZl6qJCqcG62i7tgJG5JRIBf7aTL/vg+IzKjTaZL kTTe+zvRmOEyw9rc4AyEksn6PybWQ2PrbtD2IoQrJYTMMGwdk1svr8xFajwNLRS3X8tHpgUasgCF 6yZTKpDHSq4up5CabRCbv/NMcHOpFS+9emkzIG0qaed+mWtgsPPiuKHrezMXWi0SkrrjMIF+tn9t EC36ydlGVNcuV/P1v44yuEgUCIeXvLg4sv5pSZWwQXw1K+kOLpb+B1pDgRbzX/lbcL3OhnEnot0V erVL3uf3bxDsRlBgOrqDi9WPUQ5AfoLuB39A1L50S6fQ9WmOAjtfolNTQCWyNEWPm0Mli7bqlcwL 7oRYelAI6MkCZFd0v8+Ii8pcmduhu7Xjq+Z+MdedCql8fqF8hZABEVmZqgn4TGmZP/VuhRITIZez N7QCvsVZL0d5wZWMwOSSD+oVPIOeEfPlR713pyeQ0QUgeABYbl1YUn4s5prowmKcv6xWX4u2Zb67 XT6ulEGrCEjk3ZvJPVx98RVfnsQqbagMU5VMDuzk1id2ZMcGeEj5gGKJyTDlru/rXFpMMk+hwLim OjGm5aqr06sMdnQ4gxKLUsd9E8k6vgbKf297LntvQcoFnqnRD7azv+jqLVNd3mxptAgYfEkE2uUY 2s+i9BMI6saES09tyNxedNmDHP6wKs8Lir7nU2Z4H6BgE510gM+HY6W86QS39wJ8e5BcMF+mgSeN nvt/cQNM/2xcYBshXm4gh/sIdHInI8rcsRs28Paljh/beclolASvblCpEtHtGwRQhjthMVOpHPU4 7qxKwiTE50wMj+8044AFg/F9ppleTSfvVde51yH3Ehf2NBbi2D+eiVRlTX9SPPCPghDQ29cBB5Mb 1y6SEMqmhgA2B2Ne9aH7/ySh1QgZvcDVTXRwr0nxOaom/QeO8on153tsUACOJkz2g6vPBG4/DP/A dofanl4gFYMGsK2a2GMfTPH3jXnvWHJPzsG3G53O1tVZLrbKL9yn5hTrGo+c52/7u1mxDmRVgI4+ 6Pj0bN5YCUKwGAJ5Vh8a214LyEXe/0HtVUA4FDG+iF3RLUULRxlCXWx5yrGFjHa+RKGO6Cs2Epg+ Hz8P1qA9wnpwAF0Q3pV5b991DFnj8CbNFDxuYOXZDgJ8lMFbb2Skz+snq6BAGJpJfEdUHx3CBII+ QcQlCpqdgpnXqC3f+qxBEiemJUIzv31Ha2dRGZJ2fCrmyIlMvvql/1nyxzRelNm4eU+/i2z4BxHW 9LdnMZBMfbctKugyuLevDpYCcPebRO+qYmfVg0quqy1gjrTXvSBV/ZEFYWQ7iFqy4qEoeifTK+/X wrUCQtlEsm5Si4LG2FitWPkEshmSLJdUosNeJbWhVjb6YW61MNvDlxsjGMiHzVPu5f29E79mC7aE nJ7n4RCmGgUwX1vgIfmcys56rVLtrE8SNDzL4n5ji2CUpAKhsEgPF4Je9wjlxazl8GnchDT3y/Cz ESkVJyK9THRgcjCISZodyxpaBoHXN8y6diLfncKeYycXEFhDDdwtgcEhCf6hhuuKBNDjd8Qw2zRp vcHxDS7LfAP5eqHrgdbwuojGKnQkhOJ1u3iAYSLXzJvFw9UbCWrT0QXsuLx8lzG8kq/xs1vJ1y6k 00uKjmgQkYaP4WeOQasy37fMbIchsBKW1VNCdsLR48P7B0bUO6f8PRzDSKTw/xGWp2JrchHHAAEc wfpSYCvL7lEUznNF60bI9sMb6AXFogjtQZVVc0HewqV0tKq0qNYsWXnN8EEbNxhTH9XY+4f/4wX+ ILMcMpE20RI6iS6fcRVhc36IIlZA0Uv8a8Z3A5M5fXNR6NFIcRjD089IcG1KW5YxaJbGH2GqwXQ6 3hehcD4B91BZpAVGh0BUSBbeilH4JoeV9LkWij94dzYpSqi/QVOjIVDCverrO0AoWej/HDuXG1zJ HOWEzuzaxt1mFaJ4LsB1lm8DRTEWnWxcR5FWy1b/ZSOj8v55y0aP1tHGJj53Ue3OnrZzbap0Etk3 6FjEKr/kd4Xz8ch6IAXyl020g+l6qzi3gY/gGVnTuX5h20cKN4F0KbIvK1rfTT9C6zOQItf786+P 0wFWOFPZkQ6DiibttU4jITaI2KcrQ+KvQb3FzokPB9/8rQRfeXQ4y2roZuM9rxzj9SPRZH9aVuly 7EplO3+BnFz7+sdKjzfmpcsPaO0ZfFIksywV40118abh1vkRR0ICYt2tHaspqPTYp66witblBJoL rMCTr7JmJtjzWv7O5uK85PKbdlSV5PLO1SpNS4XScMQWq3ad+dAa4pL/V2mI+sN/jYPBZdwoJZv3 AbUadk3+NC771mtWEjHF/eqkH6n7FtJxjGSwTbVrUV8QzTNk4rlIim5GLBz0xwOWRyf65+3u5zTF /8EI5+kDWrFWUXLZwAoWtzsBok977BtT15p40Y/lpZmy+c7W++ru1+LLy8N/72krEbNgGK3FVawd cMQ3xz/OfIOa72WjXgqWa2uvGdQKPO3uSrp8FR3ZFKKFMkEyCzwShPN7x6e6ZMIfK8c2wUlAxZnb mpX5l0QuzBnKZ/WMl6D2WwxaUG9dwbvaUV0dekv4Tk96O2ssqe08QHuoFGiJv1t98tKmbBDeMBeM 96AHYtYAxUIc15aEfv+kLW/asmqUF2UBbCMVmTSY5ZqVDX/nnHkJGeqkqSaMbNYxSPoJpvie/8QO xc1Mk6zKrhY0j+SIrfLT69W/4vCfd+MZqhn6lQmzhUHhme7rXASt8FtG1L515/SjXuLpuUGXtRLT gWsBWlGfPpKLOiq0b7B1cXRxNdSZYSDVygxXSTBQGv/NDs3m8nQwBlktLXfmd+hqPK0AgCejvE1c dBqE3VUkKfbdJ1adcbrXrfXYpt3Hxm9tWe9FE8ODowybIEq4SBoyWnncfxpd2thEPZs2Sw8dVU/B Fu2ZDkQ8sP70quTkZUTnrdyrArnBSg70QHo/eOYKfeJCD5KGTVzsZRFa4b6hKaqyeyPBv3/2KaK+ VBYSgH+Jzve5wrigt5clYnb0KjfezMzD87PXOmSK2wnjO4kmkRZtqR+qHOUrUuBQLeqm1o9jS8PZ odpn3wIOqZEiwHSQXNjUyv5slpMH3wPOrVtswMmEzkEqXHH3kTf4gpVRM1s1LstElQHlYRFteOxv PYuHRNIsB8fGh717sRkmc7CM7f3GOJQlzlFOKNmNVaFwD2yxBF0+j8shsOZPAYROzyUq7QZMXGiY tRRJBAr3yhh8pd0eCZr/G62drU49ViXW2APHtMHEr8MHjGycFUEZZWeNfGM8sV8FRURDs5s+O9mj S+nRlpxHANa6vjauz5s0w4+jq6cD6/YNtw5kC81DTxPhvTzGvQcwZqBnflTVi9HZ/ALuWzMEMkgK UF+HhyY5+CNO/3k5FEgDwjN6X10rb9q8VhLUjmx2AghgBVJm9UM6w5rYntKrCG0aaoiIDcxvyIQb 2+eqMXHZnaEy2bD/Yi+AVd+wONmmrFjhgSps/swRfiUma0NaBy1dkUB4iHL0qAX/clu4t+UQyudI CqmgDoOj4nU46/AeuDM64NHb7F0QJ8nttD22riLBTZVygt+HspqTDxoGrouMKyRqk2uz7HGDl4eC MhDkRh2HO9R7qnzHH3e6O8cME/JureTbZ9vxQEJ4AVLhK5uqYNrM8tCiQ2Sy1WQfRWeHYRU+j8hi RO9m1Yqn4QVaEq8On4M7p5Y5S+Z9bTtj7WvpD8FmvYgSRGEKpNIs2V9HWGfZz8xUD4/nS0Z98BsD 8IQK4I97fKFXD4/FkBZ4AK3ir4tIqeph0bsERNiEGU5EH6ekh1HnySV8QbxIBdCKFtWtN5t+/rPJ oWSxlmUMt5TjHiqCbdFLp/FfjXtX1/jZ3aprP2lwlCzq3rvDDa2wrdHjA9bqqtoxZ2dkmbEzL6gg jEzogJ/EnDmLbUaHnxH8xFukpJVepbqT9NS92XCrLH9EdVbzdtCqZE1boyiaOXSIFWi8jQZAeUMs uyZDHhJAw1SktPnZg/30R6ErHmbpo4JggD4RsC0qJXFNUJf8cVs7sCo1Z1sBzpICF7J54ekQkgaj wuIX9Bnl9258vxOtZtU6MvSBBwu9rwCgkprt2M40hG2D+Yt6LjEJm8jVOvigB9nB2NzfMpBV/xjx eRmxNZzxr9kx4yHmYbPA4zJj0g7SiGLP0z2oWN1Ih+NbCyw46knHwjmRnzCs0g/bcLg612eaIgFl 1hPDvvznPE6e/DpwkzWOtuQo2njeiQ4G3iG1Ny3zBCZr6hitK9j2Qcnfgh7IBKKUUsv5ZlrSH2zH tW2GxliSGAZ7k7PmtfJ4izfVLNYh5e8fJc0kHivPtsBGtJCWwSOAuUM4FiAVYaHmI6FKZmXBr8/C TkInd8Vwby6dN1qJsGB5S+QduT2aoJNQMIuFHKZuowLiKEbZcSXT51RSahmYkn0BXswR6dfSHbXt iBF+qQRd+JwIAD0zcDOySN4fDONDDqLTOpRoYkWi37KpwWzG6B1DeD6pw9rr4QUeHHXKzlRz6T+d vZxSfqC4UWFHPChNDrrg5y/a5mxvfgwD8tRw+4pSk4K6rotgDYyP9M7C96Hczxu3Kpvp75RZph9Q iSqz0FfXXTZPwBvpQTf5iQRoRthoVhDXMBgwoGVBve7s0iHYYXkCQzdc7QK2lmS4T5q8TXEi2eWR kyBCIe49HhIFCMdoa9yld88xqC8yZ1N6mPLhLyxnY8yyAG6bpoSz+MuPv1X8f+KntEgWv8ijA3Rs AJPpQ7SCyJmkgOFspSRbtHRG/ZCE+34vGHiDxeJo6J8c0Et9sPucZ/a476pTjorPU7jNlYIMK1dw NMGdefGHJAEZuf918ui0NMFglzIoV5SErPMd5SRSl472pZ2Vw/Dm+USm9+1g+zNgomJhSN8J8agB zwyy8quWv2IX/9xToXyP9d/E4MzgOtu7YPFWVm1aY92bRPy/c05MLPKPo0iAMovqyu90r/PkaOs7 FNsbTdbkXGrP/xRjhxQNoIDnyuYZxrFr4MyMmvCtiQJXcz0sP2djDKnfKxmy5pqnzsxQkq4dCLkD wxJdPNITg/lcVgpzS3S1bIL3P5tNxIu3MlJNUOleBvbd6NbBvpd7ShwUzjpcZKsDeb1BfmDgbjcs uQoLrEka7bAooblkvhr9J/dz7ONrNT758zZQ2edzivsC3H2Zd2XQ8AtNZNCGSbX78JKVym8RyF2c w3CSADYR0mq4Dbv504aGcWhoBTxPBzm3hLAZMyAygP3I705mb1JvbGyhJa+Gja4htuUGsC9yf8eX 7v6N7/9SFD2nB5f+oZIw0fTNwjj4XXs9z/Tz/xNIwjyj7fDGHSLHsRPqtIedjDB95dGjSlxHjqsz uYro1UmyKoEyOj47mC8I9HUaTpO7C+DNriAv7dzThJhpyJAZ9wijhTP71kiCdwvwlV93x2atytBe 19NKY+1J6o9Wc+OjixPc7rVLx/ayWm3j9u41ScXuimxBAeBGF5WLqBv2ogrf03PcvHBI6ZyPBRvm D8TNhGujH0pwHKGbOKIGBzyGWtKv3lml01XNFLxxK10cCXZpSYimyGqZz7T2jvESTmo1+khJy+lG u9W+d/XqnhxY7TgijN3HU7bddf3EK9rDTJ9w8tNQF2bEOt1+kbflSg7wipw3SNpXDo2V8luDPJIM gnFVCgSCfRh/YTTU+SWbYdQqYe5jgXEwWFahi7F2rezd9CDgrnhRGJR0Exmw3/yv6LLnQlAIWht/ V5JuWNWttysPB49ngEOwpccvf/YXVfNQdwPYwyZa0JxIKLwYpJVCT4ZpRQ1T+qCWQj1Vu66/r8W3 QLRg52XqENu9ZMSnvOmDziafsjEDhNjMrOVwoySwYd9pLzeya/jrK5c/dco90MASfHinFWpIyEmi sXa29qemzfhBSQXLvXWED9DpKgi6ZU35GC6tUvrfyEeztgH3sDoshNSCt/W1h+32LDJcjR0OVf36 bv8fSErvFIvcSm+KvUt1YsIM9PyrI3j3sgHTS0+NdMmOz4FMNfpzG6j+lnn+366GRJvj17iVU1wA KTEDt6bit1xk3ZLrUa7bakz2DPqWkxjOVjL4w0f4rzNt9tjZx9WZp0E1jWpSZvrVLmI8rwRdmGy/ uGjt01aai6blFB4XcU+GxpKlSpCWrBErYCud/UoTApz5O/Nhmc/U6eJ02iyPlENVHQpaEvsVymrV 77YT57NE1DSdV/H5Dyl6hYJczwZLngAvKxlfnihnPR7SeZW5SROt7Z9emAB6rjdRoCgErA40v1/2 XKzKaD4fefIrEnmIXrewHJDiOK/5Vazn+KSUCOkoRDk/aGtuFBmZUrKwfgKafLQtT9CMMd/ylUjT YLmMUQoKA03BOTZLPWFVgjxsXRhybtv8qs1pB2fRegR2cptOWUjNLhwRzpR588psBDxFMclUZ0qp z74UE9ROPlEOFHHJ2uqh5MtNbBGHhjyEQ19wPOeauq7WPoA9BVPr4/CykYcg/Cc6/hzI9PTBuW90 jM7azxU37xGT3g7HMENPFJvS7E3M3Bm5Eht7y6gm0Sk9uuEs1wNV1ifkCvtYry2vkuFg4f8toe/v E7pMwy4vAb9YmzsEJMhuxgghC64pXTWiZZBUVYyE3zMk1V0Qzbfnl9dtsZZQrZlCwK6IN2Vaflnu jV+hOebUMrKTP6jkKIxISbTspi6SHDOQrZN6eJEyVdEgvTG9IQ81FIGumPF+d/1X4+i9OknVG23q GDYGLGls9lalhZ6GjzTJyRp+rCijmd63VLofUeDhVcUkeBPUrSl4H+2AZ6+KracEhwLbzCaCU5sy 3RFaVz48lwnMpode7p5nsrEStIB/aWf9iZapBQ6qxTpRxlMFh1xjZVHy19lMYXBuyKCtViPcAVoN 0EomOpPNcSTHZiSrwYyBsittFXYK1wKg/Iz9HBc7+mnkX06pyGtr9O2IRm11X8isfZJZ4NpiJl8U wIryujxsKhTUWAR4ldTVYsY+ifHg8/xmf754K7VCwhoXyyMhM/ocuJHdIMUs51eMOkcqLOOsBS2k 1yqlN7e9CUrEaPKoGMCxGdg4u30hx5jt/9fWWnDCFb0uTubVUn3cGjLykq2ZZOkMIrdDj6R3muEe zy457dqkPYDWQ9HscNi4d1/XTiHlC14IDFDArXpYYAMljHLadsTSBvEbDzbg1MEo8HMJFBmzMNX8 qnjeQOwyYFtYa/qtB7dV18/V1HD50dm28MIQbnf1125X1n8wTBcdZcf4C7cPYn6yiT3ZB+GaXias e4s3nb09mYyXz5Xa7RvLrg+XgnjpvrIqJ875CdZCQSe/7GEvBDKorcb0JmGy35o6o+zakWc5nYV1 hheRFRDI7UmLXtsKrj5KcLXXywjvXsVcDiieAB2TfgRtrObT1U4yjtmjZROZNAHvNmaiphQ9LMUx +FZuJIi1f/fddV0VS9aqJdaJqvbxfyL0k3Mk1Sb/FcDzEGr+uPB/HGm7JwPhem8xJafvXZ4SHowW UY6uZcn5Hq0I+qixMm3iE7GXo1KEMXarwaEHonNAHRw3tGTB9AXZ/y4tIhiDikzALmt6OJnOEwEI wrLY4TeEGFZsddQ+CB1yqyBRfaCHQLMchZ2KH7BYH94NROwQ5Y/eBWopGlhdBILwCOmbIPGPmCp9 j6H9WeEhg1My21qg0mS7yUoR6jqrzXdWSdAzNypG5cQl4wcr2zld868LXKj1g/ZKAuFiWVOGepam iHu8OFxXX3BLi7qSVdbKruEBNxtwZ77VZw4v+iKiO2vnu8QB8Ft3ROTTY+soVVckzlCiYRRaXROt 7LfDfofv2tdCVz8V1MwWS0aAcUEt+M2TWes99hm7nbDlQx5MPNMOBQ5FPKC+iYg1KjT2NO6tjXXU LkqEPolrbSJFJVdv+xVaUZ7hCu0q6uQygXeKYuNy9eak5f3PiCndHYl11gXKYkw7Axm3vvvMrUJQ qEqPXHhv9SB3CXwGfTe7/9buqjbpSa1MpNIjPWca6+wCHv1Ib38mTLaEDpUyPlH2B+QzE1/b8NvL Tg6+vX0PBj0ORzOQVsfCeznTdb+8ZFXBOQ6d+ZxopyoUJIf6tRpBs+uObyt9QyUMvTycuobzNa4p PUFQaf+RADGWryL+4yX0bMzrf2NQUpQSIcBkBxi2I9B8bkPYcs1s3cIh3OCgKbE8KhsfcdrTZfcd ThVUQ/QOqlr5ANS/0MZKGduIP4of9zqDSI+XzmFYAZAfwSmWWH+H6kQ+iZeC46TiSxgGGvHWLWGp az2/bhhbkxrJJj3mT5xfcCUStjLPuRQbvZ9xrXOVwegabts6iEBYsHEUtPKFR/nq/uQxkS/OaAkB BpR35Kh8QKs0jCaeesUeUxOA8B5jRgFpGMu1t+vCzK7jPBub71KWlIou2Zlh11dxbMUkxZag2Egs nP/x6K99mVxwpg0MH1NSzX1aKOeDK0oM7cZVdL0HBpaoknUJIZV4j/mu+pzm58UNH1ra8owbkc1e UgN9LH5Sc+mJ1J49DC7rXQYuEtqSeb36DePewk9U9h2Di3FYOzpGRAdULrrLKAoJJrEYw3xvqFMo 7G+mysnjZNocIw/O15/uDz/K0WIPwme46kGKgSm3GO6NMJbdOxYcdoxQSudGow9whNonRLFsWjsS lfoKu43MnLOtINvsTyEw+LWO4D6G6EZtJFrsJoJbuejSyK9eBjocG8kp+08/0Ks85Xzm7u3VwhRI ykvBLWq5lXdXYzBjFl4wHRGVTnM+xABCm5txRSLPEQYpnk55GRaKDQuddw0npy1GLcHTJF9crrbL nx0tWQ4HWHED2eMPaWGYexKavCDQGVXBNT6Ogmko9AOado2qKIebpCBBPlq1P/IGWnk= `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
19
31831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF uJ9eNTpy4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp ysjb+lxmo0pqYRikRIQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54 /3DZJ7o9x/QjyM8WSeM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3 Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY +rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block GL1YZYDJgDckyO08ofxRV1/pUFEsLFUJ7FG9V3wrU5q1PVe5RR6P10/MHVXWhk+5f/BIV7iGCM1S yxrVJWgpgQE0zIKk+cqoane0m/fhmYouNVngFOzauOOSHglx/Xj1qiziwsB6rnrm6ssdaZHViXsy qd+5reSc7U7KPFUy2UIP7UCeKR1/Mfd46D/BPP6QPlNQM3r4/SrTCwKPZZ+Q8zK3jAXyciHfyvuy Y2nXTJ/8/xTMjPM7t/Gnmbz0DoIH1RJWvsCkyHMsHwgPkg4AzC8WlHoefcFQJPxwPEH8pv8oIdLa aviOnBJU57ToHZOtEOck+2AWqCf1L6VMpdGgJUnxWkIDathwDoJ8iRrpzUyz046rlY0tuAv6f5FD HQ9jXr3GYUnbGesTWa9DdO5KnSFl26UOtGG3vEZ3qCvzrVhmENEx4gg+pYCxfkacFoupDlWUg2Fz wWD3gNWZPXpVd+eQE8iJVtdhuGsObpU8ZtGNzqO16j5sPWzS4anSQT+81DTxw+bvw3o5Z3PZUMxi CTTmnd0Y9GLe2wfrYfo3PkJrR30yWuyi2cdZ5Jwx3NNrBwi6lacj/NPTIbl4eJ7Map2wKDL+nsc6 saEUCwtFM6RHPrYz9xiqGLeEohToyY7VRmnEESrjCD47YkLFKZHlik7kvpfUvbMwBYVhedhdZ+84 vjlSDTmluG8dBm4CCXVQcaQE2Pl22IVm1u97zUrYufiFwy9osNPoOlKSeT4S3h5+5IlzTmbwOInb T23s9P8VRHLBgqfABAUabCClwJPH2oi9yf6yhV2WS/zU/ceHZEcIXrl5b07z9+L2slj347lpKdHv ya2SknjfhhohVmu+iZYuWCNcQduTQteKf9KOWxR+2fbRxwO2SBSM1VJGFt0c9OrQ+usfpTftyKSQ Ux8FVw8mNobabT5JGnucunxvnDuK2B1M8yPIJLdW/ap4x1PtolyAQz5cw2cLX1EoNG1b7YjNs+lz qbAeE8i+WaGR8ufZtEz7z5ZBQ1SIQJhWW9MC3kunFWZDeg8A9uPNl0kJxU3dB6xuVl4q2/TpsrHb VQJL29H0UQtKcGG76Bo2mLFpoTmnhuZyVI1sUZWmkLsOLfNF4zRtOxtaF0MBZFGhhLKnmhjXulVb SI9cG3nsObYhF1CPRsCVPZwGUg/2pYIt2rH1B3mHruUSN9UrIUyKd6FXMQ7GaB5B8g7l07oG4WB8 Eof1XJpx9FV6FO+aYuYOSM6rHfxqqSqnt7ndr5aMBpMh6/QbFHruP5Es4TPgmE+XLih/9DIw1dBK LChokfMqg3KdigkNSwYVp9tqaWAPcmNm1Q0V90ikaMxwaeAMj0alEoVEP7M3GaOemUnn30Ej5nZ5 X1f3lvobLpLuD9nojK9VqFbknH2R76IbJ+3VSRwYUKg5cpHghZBpmN9kRmWWb++hkJMXa1p9JI5O lO3TB7G87iCTV1v9gThSrNr5nsJCSt8CO6Bf+NXNvPz4oy7sLT7zUeLdnEPkfE80jQ+vb8uOVact woQBJfuH86F+e30E66xp9buL91Xb0aOb/NMcLMSpvpvSh3uHEISXyS9NALmUsxKzWD6jJmbYgoLI wcMB5iCuKIih4sAHM/Ts07tcDhAxCaHdQPvCxI+P5ZoVnjgpbkScYxQzR3Dw4Vb0p+0nv3Ug5Fj8 Ym4sHnC3EP8kclAEUjP+vvbfGVo2TMxDkQZFRVuFeVBCbFAhlqkJES1KoRHdKx7qiGrMeTJ4T2MZ g5HTx7mIMDxWqAIf4Lj6nhI29THih26hZC+/q/724Ki/a1rGnSDDB9XozfzHIhGTa4+STP1cm2C7 SYendl4HUuL8dpGMD2IGx/l1Tem3S6afwr6BVlU+wReKxpRTvglHZhYnR7wyjXqqdfoaG1aOXxyL jMrgL0WtKiXNN/j7dmiEn5eQ4YvaNucbsRpvv9tXNvZIXfezZqVX29DitX7Fvm73Ca9oUGiNOkdN F3qBt98Drp6bMIl7JtyXkzaolXHupugznCi1T7BFXumY7mSrzLuvawKOjmzlAMh7nSBmty8JEiHX T+M57PDGuySgERDBhksXC7MtxOdVxvcREvPZp+wjakWoNPOEc3M7+ka8QxhW0L3D58PYFsaBSBx2 76Gxhg7o9dGMTfrdPBW6IrJBT5d1ycSBBhro2VW48OgqU7z33WClLzqeLXPDBzBXS9Aj8Eh16Y0F /Qk9Q9bzJsOZBBVEN+ZU80lf3MjtUvOacV6UvOX8ngAZjnG5RRlD3z96XPOVZj91SaTJ1IQ9It7j Wg3I6nfM8hTR2W39JjQiduQcubZTbWcqR3X+x8puhUNvAcxURxHJagOSpOfYqWVBtOPYtWC3S2md 6BMcyMotwK2sPpuTcCHv5HsOpb7ZiMa5OMhAS5HLSaj7VkBXHo9Bu1IpuaqLFUJ/5uDzzwNIsJCy hmFdgyIuq3JwNs2tlD9K6LQkedkzS3aClnU83sJpFLogm7frqNtrbun9Tq1sgOtUqnl4xEbLzunJ l/LYY5WGgSnQYiQv5IDXaChaujy3d3Ih5ISSb2ZruEtDn6EZAjcThefiq7+TTwgiMgkHobbNmiWV MWA5jufViWUciakKo5Lv5hwerMMHLIaqrKx9O5Y5E7w5R7U9/hKhMQk9QjBuw41QeWRf6BkNnRU0 n8oY3thIE5p/tPkg5seFv6Y8lT1LrDT02NlI4a3wXPJDlVIDJKzQX1i2PzW1kTh6sU/PQCXwy6ft 1y/SF7uJnyBNQWJ9YPopqxsivGLLE57K+lxhJwX2e69tl6Ylyj0lMSBmzHQ3QGYDCRNMS/uh/E8U K9YDzc+ym4T+tCFH6jClLgaBa0in39Pv2Impc64PsPjJWKKGMuZ/HQT2sA21fHCTxEmlf40JQLaO tvmEHgfWpiVbV4gt+AAWlU6zNI4hOLjK73/+Xz4rLmKZWr+7B6GvaLzfyoBm4UgLRCtmmWLBOQVW naNzc9mU44CdFJdIHRt/vOr73EdqVNv8NyPjyo9dTPGfUtN5fTv4EdHQme4mB4ukbJMEHe5vDGrS pj80BhT21ooOO+mxLp6wNeku7c28JJwGyC+PIQ+uqlHwjRLbFvy9gHuilBxvCNSxmsEQ4n+PWtk+ jc/dQ00F3lRn+WKMHUvjLXcMxpr710Aj9wPkDeDnPhn5puKSIURmUwJHN613L1LFTTnb46vvHVKP Ru4itYBD7pUZA5NIgfcboTRlmxIkcnMKRtOmn6UPo9fAtlJl1Y79c4XII/Cxdl7sYdZpNd9tSJyE JkspxrvAu4D4peEk8xblSHSBQMAa005ZMtEgfTXbiZhNUmQtni2XcnedgbUn8vsnmQhH3aWhLPrw /ocojbyrXSKUrEltYUDW1w6evRoJuXo+IxkdY+OWr3ko5TRHeJ3oWZzzXIFLtvOeDGUirQho81Iq LzpWPERpaTSep6ZSY0ZSI8GlqLH5lnWlaPmpJvZskV8zaykMGcadKKz4bF/BvtF1VKWqpzKPCZ8X cZ29FNKt+n5dAK9xy1RfpLnHIdcHMV+/8jflqfw+PExXM9mQ9zh8HRp7f+lRC6IIHe5KY4OJ2VnY REwrEQ0h04HIgagWEJa1rpGTg44FiJ6ydMvUAGTdJAW54zUlh72kxk7chcnSNVe7IixoqzDY2IVy 5sDyPakXpP6not3wO/6p8CaQO/iNJRlrCZtZYPq9pq3xsu2oOxt3jlBl8P9ieWnv3RVzkOGdXyZ1 aGAFxi1ZStUMWEQ/0hnxZP5uvNmQVYiJrVo87MrAXyN6hSLAiALqD7/7gWmSvH2epKijCe8tpXHZ lz4rD8yawb0i7A1XPVdCeSXEsoY745e0mpA39jv1J+oKaJW3Xd+Vy1HRsW29fGw19G1BviRvTwHz h9j5oHHMAz0g8GuTdqgpLe56XAWdsQkczdBM/MZVd3tT8FSjqlDNMSt5TdjIbL1CB8FKSAAvHDoN 8SCKDkf+3PsRZcZy1gExsZhe/b7wzA6ex1hHcPdlSfFgyiOPCdWnVPTKK37eOfaUeOHcQsBk12x0 829WJPkEsiRt8MNhk803E9KQUoOWhYKf909YoH/xSGPm7L11//aXbDnlTZ4uhexTV0rdBeNY+E97 SCRI0Mk/0+jKR48hRIm+G27YwmEoTnTEjTWndR6JXl58CrUzBAsd0fqO8NcD/P7seiZiQVK7Oukz m+Nl20hMcBiVkld3Kj2oF+5JH+C8tWUWAxuoWWXY4rhCatxYTcX+xX1M6Cz3SS944FIMYw+llILE UWyCtnftyaG+/m4pHVIv2UJ9SyKyutrE0ykaACPWc8Ok0ab3kN8tlDpn7xcJXCxCWUfQmus1IROg nYe9QVyfMkqW77GJUGDDtPuDsBx1h8EJrwaLuIwGS3fvMvsvjfkXnfYMVurL/op07YkqpBNuGxXp 0MivwG4s8ykBMnxMtKZA6G90kgUOlXvw51NQhSnEeHaUssIsnNFb5ggu4Y++KoacKv+k7cfitjSc 0ayRN1In7/JZRMztWaaG2I/KDAXxiafOWP04OesIQylXjBujNsiEfYVYbQY0fq6FEI6YQbt6SbwP ldl0rM0qUqTi+Ws2wVRSMwYXTIi/rqsagt1MLiL63ueCA+4H9d3/faKgjb+pAGVmf7L2CEVgHblU etcqvLSKdKvlB+ZHXuW8t29Y/JSf0DvDl9YH5RZ/QlQIY13inkVPVm4SIxoq/rMRlg4c+y/62Ya8 N9gr4Ir+y0Mo42tNH6IXdBjisSVkHTnS59x28BNLObsDF/seGyWeEyKeBwNwoF8LKyYuc8TeraKX oypV0RUkYtuRvNZWqTDINbibMEweAw861HK0fKZWflfoQ90NeprUlV05JG6yIwtQ4p2PX5rBWJ1s sOY3llk/BOHjN9fP4l96C9gHwJ+xwYBS6oXIGiRzm0zm1VMaaGQLgFz+kc3cuoMwYi8Ao8D6UZ2z WwrcKlDrOdAY8o1ydoSMljfITfDtcmofpLfSWXLfVR0Vtyj4H7Nw/bFTmyZRp4+ABtgzn0ZFktDz NUSgWeAjpH02qU3ITiYkFQVIjV02ciUEItgsN6J7PpBnWYRww9WX+iOJIcc/5nvOY+7Osp+8972s 4it9flDYYhKTtz+YdUrB7XH11ptxjzoRgTUxEmQHQzJ/K0Y23gpJBK53fWYhcbesrXiDQ058UfEH dIuG/OYFUS45jGwIFuow8Jcjg2i5L0MMJoqk2aBxEtszCbfkIFWOGLVjaF9xaduGTVi7Yr7JGJxz COksTVkWoBlwB6WXR112ap506ZTUujCs+kvcc11PQ8Ea47Xr51tRflakapV49H1ca0uYQdyET33y 1NKPAZvxhDFY+Q1OQdurjQfSJiOihOQ0BFhOEW1Msijq4x/PKqnAZr57I0gUkuMDuAAsIDPmq44a W79zb1Z43nQL8kqAZx49h/AY/DlyN6uVZrCtqTEnMw/zQ84/WZURInKw34AzaxqFyJ10tLqbnHVq FYT6T3Fd02MV7NjLl+9gjLnYKNn3lyIOBhLXUnSxK2XELtb278f5HTBvLPyirMXOHIFBuO+QJUQg cB/A70V35Vw4i+Pui+h/k7i5SPvZS4VPxozRfGSFNo9pSKf+Ha0BiX7h9WJVjVJ1lMRjTqwFpcOA q3VrIaZVQI8cnUzaClEwC33BLcK1PY3IPRR9eSzvUlem2hC7AWgW7E7bQjE3fbl+sjk3zrMrJMcr UVYk5DGzPdLrMNN4Jc42zmbQ0QEV/x8edEqAemZdQmM4t7e78PRWHZoPT5gjulpumFhkhgQp5kjn xW7TEDUq7OMhljIpZnB46bOp3b6VwHjyU0JEWtWBFvbBbWHs5U8PNRDwODOB0AZtkI9mT6WRqWLZ speKrQi8DcEFkHF2hzzfonei2senk4NT9kpp52ymBImdnZnbH11lP+RFZrCiDtx5lwFj/v49aIuf U5Ft05lbXlR9sazBfMsYMX8qQj9eHxXPv2Vfj2srZn+7pgrt2FtIa6UUacDuxoah8slwcT619a7a //K1zF1BEi1UEdr/bNyZNBFqZnT806OIPP5x17dRsdtRjHNFgqm3nBab3unK7fV3Km/ngTTU1BFV SQXAOkL/CcWd4PxB6yXx3YvKX4UYYI0AWUOIoUM8bZ4Z//xguZnPeOFCkpJNLSBwfRj2Lenw6sLv 6eSi9Ospyd3yhblWxbBAhs69gYGVmlI0Q85F2uVxmRsTgoZrj63y6NDLhQQnFR8blS5ZUy+vPEfG WpcJUyUMyMCLUbjbIcktr5OSkyeZDg62esbPic678pm5JmjAycxmSvJHhPNDUi42XTPaNIvouVWQ aom3QVNdDyufE1I4Z1GtvWvJXNDC+xvalYYuvPyfhWY3idJsQYhutWKZB2fi+q/1bOWN7OopjdF8 J3gyLaonZ5HcCzof/Sajq7B829ltWsqdtiWPbTuLZkI7PHvPegaBiyY++1JJk3RiafoufqR6/hkn nuegmGT8bq2+1u1OeQ/Qb6T24yZkIrXSHedgJ1fnl6Nl8/0Zd6QKKzKj4B+qukQbjouBoqB8aOMI oQXQsB+vxQr4hAEJhGHFV8PtZI7AWI0A/tgDLdPz31u5+Dq8BUc6A4hucFzyBzCQlO1WHBkKFNdV hKSvCILXFpVzfQ1JhjLjaYmLhkt7quyKwX53CqkGzWQBCuZYteH9rGP44jk2ZV+dZufunVvU6wre X7Ok5Sy6FAD3cC3owIu662zlGoc/EPV5XfLBzM9yJTkv0U1L9C5xibiy7qvXMIkbctV9N7dkbM/5 Q6mQX/QoTVxLMshO7ZX2LOf2gg0Qfvkao3zIng/IwNEY75PdiYy3xXOJj/h4+qKOY+0dSTQ5NDt6 PBrc7xNVGx0qW55Z7uW1PZYqU/zSBsyKwBJ4Jw4xaCwzFdSyvtCJJyZSOROC01SN6rI1fwZw7KdO 0EhTbs0KfCB5VLu3QSJauT/jRbOdYG3QFNg7o1NvbHnMCL29TyYz9wON4XLzKpMwmFnl1To+PK5a NbMqgFhn7WqncfHc7dsMoh8Gr5PV2GDOpTYuKZi0TCe7igVmQYWiHjE4W78IA5o6Eri78K8FZbsn x9DwCHdQvs23NpG4r1YO254opeX0Uqqy6JCfG3NB/CjQ0xiXyfT47Uz5ehILt7ZhdmqwSIiz7Tss MGzXxtxKJhSsMOilim4FspFyXQZulV9sNTehdAleeXusAr4lMmAh511Jo+oSPl97wycPLJxg9MdZ tew1IAq444EEGj+a/mXSkayzsYTtrcCFNrWQeskeSyUojYLIbunbxiYraHfcHWjdYlL0efN4ENJk 8IT5mA3HzmICl3YYoLSNRSohuyXUUfGHam84dAB+df4iKJm1ILm5c/Zw52vixDWtA05mrZFhfQdI kf4bgLP4YDhjKppCKAUdGKh7zHPRC844W9uBuNn/IE9ANY3JZDWOPhDdrZjlbeny4sBlNXMdw191 uJZfMb052ntwRlPpqSwFjwcVuNN2MwKOXcTi3u1VfbjxmZ066S2O32yD8lXrGDhLm6iAul2355JT XFkgMVsNPIyUoK9fOXMpFjeJPaRNViTVplzK5OJdcpit3h4Gad4jobdTB1Rwmy9TbyKLpVsOMnnw QJQq/957jexDeGN4JTY9uDhXhdOWr4ezAvt2uYvfWp64vhgduW0PxknXpNMwli7jTB2yK5esUcNs WFmyIzkSbXyNkuafPs1Pv3ZKCiUHv8sxcm0bLTEpfxa0XVPFtH1KegFu2uoAL0CDaCs0m3mRg7wj 7BTI8r2qQIE5ESoAoIOMjEkdkamvn+ViI0ewLjXe8Xsl4WT7AhExW8gJzN9X0NAcBZBD8BLprsUw 0HIBpJG+IxV57psLUpmUC021uYlxgkpiI0TwfBQiHZqa/PQQ13MOs1B8Wp1DexWBhiBiJ4QeWpRt C1zjYhdbHvfzhTqNQvWs/JeuzyTaSzvkq+AMp/Q8Ehrv34+pm0IlCJaoiJW6BBSC+6HvEvmDKZzX CbrTwSt/o/J0fRNU9nG3TTrJcE1TBL9kh9LP/cLMhGe+9+py/Rs2NLN/c239ed7RGFVJfv4k1J76 tOrYC+HJy2IsDgj82KayCtMFbbpeWKUYNez79VmV6oFDIopWTi0YjETA1PjJ4v5/Y8gmtWFGa6HH 3d+1VO8grgwRCMzrHkAqV7N7xTfwDuFdYSq46uXvrKR9mLKdRH1V6NpcJXXtAdtPtLT4qM/XkuQb caO3c9BsifgH8uthIqzZbqgvlpyJlCncA2yYKY8icQdn7h5rLeImyArU0yZDVJEROPK8Ww38b1cU IMezAD9q/jsJcoK+Sa1lsLSwUMBpWNEghKel13AWWLT+J2Baapf5stqLw+AMF6jiUdb8/xnIbLSs ZNO9tQU/Uyqlpuqgfb00+kUG53Z8vXNwGdbQ2QpOtnTb/FTXxHcQiR91bua9FDoQ9qhbdRXIFWgz QJIXDCTEGjpLdFk6wtbTNjH2361B8fyW5m6vRhX54aUJTNRkW5ZaMqemKF6XOV/nhCikDU9WQIew sYIVMbrGNVNASNyjdtf2yVZxyVyKkuh7DA2QpdTjjknDvajXevuv2wDzyyRVzYL5tvbz2cm6uoIU 2qrz+SJbJ7mWMDeuhfObIeCXY+iSkOEEAN9pPKYglzl5ZV5n+j61HRHZ8tA/M8NKbCO2yhrucXaY L7CwklJdoNfNHvOSXKosWUzydmIub5PwnB8sbLN1omQmhVvKcZQ6zbc9Eq90H4NBQUWvWZhcTeP6 6WKG65MzEMzsC0tT7kklqs/KYcvZhyBsW0rDjqXVcBLTi1as03tYDfPu0SB11e1DcKa6Kofk37/Q a259Qe90+u4z8oSYgRxSjPz94fCR1ZAtnTLYKWU5VVruqxkDYZDJaIQHUSEBT0kGtFeAHdiHHRoK 3GQGG6dXALfuu0JoaK7s2KliKBHOPZXDiZYS5giZy9hRETBhGLQRLuvkM+2sXRcQ+q1rermfPjNd mddJdVQMlLav2OPtD1zZEC/HSUiQqSZcUiMSEcgFpNeF+UlP0of9TePnj7/VR9PWr1AFonh+l2X+ ldNBExt1bcqWSHuCtaOIoVAxUSqvCl8VVV9Vmw1O/5AfmGRXbdgzcnK4cBCUvi57nVJXtQku0gxV 3J5NiZ/rbza71Pjr9NeiLh6468gNNWqfCH103JkqTb9WiP4zj6SZwoqNm8aeCdgYCBWUBVaRlVnb LJ4kGeu7VEVNC1FFuJH2FX6KjOA/sBKrV8DXtOBaJQ/biIwxwryHEmdJmprE2lv5huiDl/C4Izd/ x8BlCnN/tT07HA55WKcYg5dEgXHiLrp3O76hZgQeaWbSqTMhamFi72hAlreEmvHt7iAXPT431wwU ISEwbyXk83tCJrXmfMUBeyoHh3fAlWYyj9asBdqp/73EM1KygRh/vGW+HKn6Z62DbgYLTAfD13W6 oCMEx5JtAqkmzsm+KV80huXXPIkfsg4An9gWLcXVkKFnsJZpqty9s8nQo0kA9BruVWs9syyK5YQh 9cQVlOaPEG7df3IqWM0Sx0kn4wWcsG3weDm90cMq1TvuOTA1Va3/1LvQqNhrNR+/jKqzjRQ7x8nM RlU36fF1rTYGg2g0LxF3+ICtfM6XDVII/G7sHwQv2uESH+TSToEhauqIzDaeDNNN33g+SXisSpms HAEMdebr1y+cciQPisMPvi7t7shEith9/dg79n9IKwZpfrfHPbib8ITQYQmK62LcvGC/b0FTlIr3 MKwXoL1lD/+gE8TBbaehHHWI3/ITmI++InZadAYzEjMNQn/YBjlf72DmU1b6rIXBv+zyxscmJrwJ kGwsHSedNh0Wm9l5buaMrLcvtfx5DpwwmVqb0qIuJ5tj9n45e0mqWypjP6QKnOf84+BATVXmeA30 xVNQ6DD4xp+BqiIAMk18F7KnOt6WWzA1GiLKCNBsTkzD0B4iD57q780AakZdYbbDVCeKlodUG8LJ +5qHo09LSqgA1kHj8e8mjqyPMJ8P/9C2S102Og7HgfczmQygXIasmH0LSaMIFa2Zt40VLfHOhCD9 RPOXl/m8QWTWy/3EBIRuttl89Ja7hF9wHXxwS6+9yeAITeDBVOLMIGJYp8HFLPphQbFH5Kunyl9u JnvAAg4vw187iEBNiwuFKYo+D/Bvso5pLl6IS0lyqf1XrxlKoSSYXC/H7BnwA2dUHuwQsEg+QKC9 AQEwSZKLH+WJFUtkHt8eMMpktSMltLxMeoaqCmUVszSm4dlIcZ1fFLpaItmmW9McnbRl0BYO7yti WRrW2+VV1V8bxsQn7Pk5ov9ZTR0bXf/t633iKnjWbsmg0Olts1PgfdXDPLxXKqJSdUBgh2hhJveL 61I4AT8br4KuTtjzFJb+HN+w/Nk5McsfezNwHrrgoqWawoRciTfd+tqaVWKh8vfOfkgt6jGdPnq8 J0cKyoat8Oat7bGYIsnEH4WQLHKMYHGnVXQ0BmW3lMZGvBPXYHe8XaCOLl74HC5RGTPn25K8ZRRl ciUQdIehcBjtWYZCe06D7MOLdLm2F0yGdYijmCwgB8isAtQ1o4LAKU/V8jWHScf/cCqlmQr0bGPt 0cwWK1IE7zNCn+Q1aHbcPGLNXWSGwTHKE+hnvgIEhBSnMCh+rJFIOIAJ52RDRbAtWysIIX0+b6OF r5p3UWhFXqC+YDqPMQARQ64gSd5MbLY+pZjJe7DyyNpv/gqWAV9hkX3ppcZ88YWg6fqbLsOXIhS5 2tpCdJNwxPBoAy3rkflxgzpf+73FPvpLIqLG6qnC7ZW3FfmWdpLwhNFNx2A/OLbCpZ5xTn5rPrm7 TOtb/yJoJN1nObTKHXtMO2sKCjGA5B6M4iRbVuJ/M0HBxynLZ9U4bAK+PYnPPH2e4I8LqGvdYMbG 7GzMm8uXTECwBjB0FLEnw17jpPGFBtDJWjvTC6JfTQpi6lNNQaedakh5Lmh+cM3DmfolP23IpFuF qmx6jLN/Oklti8wSjMk4ggoboaCEwKnyby7jkH19ohG9r+7647qSnSMEAMrVp2FQ7D5W97MRIaFH SjCQ2hLBUhOjDDfTUlJ/p0ErwczvXx35mDJZCPI+FeAH1zNhpDwT7cCYYi6VBqZBim8Y1/MAkLdO MrhpdWlbTeWAumEfi2xzOIkXAtO1FTfoU60g7qoEky19drzNtvgJ6U3WIsw/eE5jRxIQfsnq0FT2 bQ6On2l3YE66BXdafRNEyOMiF8TZDbhUcig5bMJxkeaaE1x+X+Beyq1f8Z2WVVTSEhsMzxU0aHsK KeQhBlmBWkstzFVByJ/URyZiFrHj94JZvUwwfT2wDY3oeQE6nQYq1IcftIwe/3qEfIg8ha3gAiy4 1agcXpyCWTnflES9Fk0xqwmoWIx4sPfq2vRvCZf1OQzrbr/7hYZuvMNH99b9KKKD4m/RtnQP/w4m 6a7aLz+qZkgCHPlVBM2wuVxivmJ0bLrnhZhRDCTqqOTf2mheyV7Z0XvWPWm5rq48bmgCowkymTrJ +kPpcpMeUJwfIAeHKppcaQH98lto710v1+/yzLCiep0+w0vEsg++5wzzJZTdLjZatWjdG4lSA4W7 ilGrh6mvnczpT+T3EBDfkzIiVoH0kjryI4RusYYQz2ndjo4yIcMxjo+s4RRXwpjQnH9WRRjWazd2 K1ICGJTEmQnTOs9kfK24NdndZUZ978Qrzy8+gaoTFMJyP3x5q2eRRTWV26ml/de8wHLPatxa/3zL YmF+DQG/lK/9vQ3oTE9zi1NqdnYvKQkUIpj1/M8u6jHReNpTLnfX2NcFuJdgf51QJ+EEuqW5Ljfg ylDowkGaLLih1CHh6DKSACLdxcz3PRi/eEGX2FWAcNiPC0aPa30TEfq2wNfJjUMyR2bXgQ01xoQy cX6HpnkaURWNFJEXeXRGLmLkodi10Iobgr4fBhusczsRQBcgDHRU4mIptV22YXh7bI2WthbH6I6n FQ9pkaRKwwBzbYTaTn1oiXh+9FJEaYY+ni+1dejtYOcHoG7v5Xzn5l67oIfm/fmihSjZNsHjlGyn znSundTnUCzcJWfagr/2gRRXVyfI6YOMoi7Mx3L0Cwesbar9NQ+SWlvQEMso3POgxm6wazrh/L3E 9YOUtgbNuG/KJ1b/O8sQCprchUtgjQcUmMIPjN7TEwN8KkQpnzxHnVwtXLzW040IkBPEP2PVHClu GDv0j916w+FFVSYekYWa7g3ERYuOMeqF/kRfW/d218HbLmrZM2RJvewL98GeVD/alJtvELbpHUSN OAcS1TsmQMbIXoDJkdcIUzLlFzkX8K9XI0MmMdktFP7mU29hrHmf3vYbxOTEP3Ri4+KQogvEE4d7 fo9xDp6LfXYJ4jsLg6fLI1xMT3PyOK2G7F4yN9FKK4myNsfP80qdf4sQLdFBZqZ4WwanCpGu089J /86TLGP7hZlKPTvrwxfft8upfbR0UO3kwPb4NPEDF45T1CHFO0eL7RsiswwQmEBvVEbIbTlaELji iAxsMP/Ot8pjMYEIrksPN59BTagjNJCOH2fplS8YMSuB0NGh6hFUrq3M6U+0YOrmsBsRcDcfokBk 3m87t8nFJLXR5WWMM1f38BHompZYclsiyC4vahSvBDoI/ix+zAcjPo5CnsPUcA0ArM5wojcOl216 +oCzg7Jz2cHtac+FIppvE7zuQZYlaT3aQM8whjcPHT9hx/d/1Csn+/JQcTkIOfPMo2S58KDfu+cP PYhvnHkZ1qyGzwHnar5Q9jaT3VSuu3s66msx6MEEJsx6j0OSA3H37xCC6EKevl9/suV1E4d01UKy rmo27AI9yNQVvFuSBK2U1bn3zSgcbqY61EuTeklY0e8TjmtoQ3jQuD3xhPoWNSrnxKk0r/ZWBkI1 pdgdBzUjjA0unbXJKtlARHxMR7AnCQ4JdJkrk+h/cimU6iH2bovq2m3VbDnpW9Li+bciOhNlce6C T9U0yjDvg0govkLxnQpUYfkXU+ptlE1P5wZstzoiF0uNEzTYjlulhySbM2/ovtMlLENm+fxBR32P DoqMqxbEU0T07kn7tflj/bbGveXJfPggWFXftn0+ZdpgCbCPH2Ho41MMHnZfE+pKC8lX+AHSZAsG XR/1ypH1ccPofSmnUT2TgSazX6EeiAioSJ0RwLKu9tAGg9gvzUj5A1/x4PBfPAeJrqrw6ya1D1jj +3GdtXItk9eqnqDhmPk/MVWns2gIIps6RRlPesSnwzsldkZ+p9A7Vl+4flzvC0AIhP7MYZlReqzY VQ4vbZ3Xl8r9HK+/7hFgx6K3MHWYvRzkLnetmV/BJrtTKMsUgYdliij07JFLCbtlh8qLyNq4EOxm HJAv8FV/Nts6aJKD5sjFdCAovoQ0I3XoUcs2+lRt3oy/7R2XAew2JxH14Wndw0Uq14b+hWMRoN/f TzUbVnRf24gfqi2Ma684OU2ErWMeX9uIblx0eMqstCULNG61NzHqwuvyL5PdlPUZLwg/WSPQWItH PvVdq0+PO0cbVV0ORB9bV1N1qnlJgfMhqdtevzv5MT6WUKTbvH67MnTlkzwP+8+j5UfbcYll9qnf ZWwYtzmuf6Oy7gmMQLuHBjf7SPdOC/GxypFsXIb81wcEvPDtALtKI27xpZuIYr5YSmHxR5LWj2Tl xckNZePGlCEoUWpFCl2wDvO5qOSx051MakfpFz0b3Xu4Bf6OiVGS5m6Mux05zRxq4rQgm0lWJQPV 7KaFY6XGvk6rJmjHBzit1noFtZTsqD110cv4t2o3AbebFH8A7VsxXG/SIOP2Lc2HYQz90Nq45gKN Q7kQ7s/91CpFjfnnXWNHgu8R1mdiQLz6aXU+2HKo005zABr9rM/T6PJNBcKsFgbWiQVaqnVhcHLk LxWfY3gWIXUcGWPE/JBRM5/F3JaYTprig2zTqCzo3GFsDgmhtYAgK+yC+YStkVLuvi3o1XuBbFy0 TVOQMmT1holVPO3ijbIFiUmIGb0XrU/C/q7DFuQsqf8kYV/0OpSuPTUfFeCid41Ktu1QsajHeQxd KSxG254B5GFFkldj8CXnxjXQGYIMQqLpIqcBD6qKbQk1c0mO9WhfzMaI7n/ttCbApyrHoqFVyrje m9ViVikr5JsXr6obcV5ado+vE0k1RhDTgSlHHfCzlyzMj5mnn+rgeZjxQlYGqvvuXGZ0w9HW6kSY c5xr3aWOdPvmG3vZFmGMDg1kSZnRfCx+HIWqfQXFsPh5mfO4KWHGeyeI3zRoSIv6978Wse9DuMpT E73SPeL15zpPmYfXs7j1o3fjuUMWNRM0w5+NFSpFmCZ/pG3G6k7do1ZH6pXt4uTzgNnPUS/JEcY1 w8YkhAMwFCwFlanS1tnsLABhUkTGc3r+9rbdPFHGxeh1iseRS9Y0X+n+/RB0KOKam0FpSrvLD1Rs 1HZSR0KJWZA8bhPEWb2V8OH+BC4iBHX+9y47QuisD8ZFhrTrrswNkcN2uSI421Tnv1s6SHwTVfLW L8r3fDWGDWk6Wh8z5nf3GJd8BjrO5hxmKZNzaT4UF51hhGvmLbOkXeyGufx34TskzdkI5bmjIcaW TuNFwAf0ap+xH+dIDmN8JIln44WpjRbiyp217w63m2HvvLrXuXtFcY/EN8/vtbRk04cdyfQ7JHBM 910CJtsTqqDp5cqEXdHuQNTT1g6zW+bnS8xOhgU6bpG0yPMrt2JkTV3bwULIdETBaSLP0gG2quBB yVrCEHClRpJ7wti9xWX5x96okEY+5KFHVK9CuSt8jdOIP5zkipnKwHps3MLhOB2QSzFj8S333IfJ Q1Y0tlHcqH/hJW19ebwmUNgg0ZYQkicgxEpBpAUNIlxPCiqX5CcHRWuvhrAoSGwcZLsDNPOdqvji mS29k6CVUmEjxxor6+zT4LiGoflFrltcTbOUEKrmw+TBPdW+B6hzygEy6suk2hXYD86euxQA3/Ly mXdM1m8PfjNcl62F44ZEsy34NtaS9wtIFEz9GJMiBgg2k4A8uDY2MDpGICvvnSQmSvsKquplpCpU hEQQW6BqWc3Md2pNOqpi4cyD15EGb2LdEKevySlrApbosyvlOd3VgyOFlcWff/UM5DOVDfYFUwYc J0jjVv22wmXf7T2iV2vB+yYXs0ZiDH3N9x3b8+pyhB4VncC2sh+t97IE31lt7PzjVOZR4LNt9sep vQqNqvoiGd3l3kMEy8JJ6Cpl3cLQx1m2gPRn+x29TvmRL79yCPPRdsy1QWsmnaC0/UyaaRjGCZ0b 8fcfnu+QX0CORI2MGyIiPisPsosUDAsd1mFL32zZNO4iEeJa9pUjcqSjSl2Zj58iP1cWAswnE++q 11It3XNzZRMtDi2hvBGo55lRGB7iV8bnvhkvcsD/BKC7mz6+gZkTAZu+FUkoM6pUCnvvG8lN0hxN POoimTgwkIh60P1LFpK3yImRFxnNrBRAlt6YL5V3elU3yyi3SKrT/QfqtHTSGnMa4ReoPyoEfzXs wTAiCdcFS0+kOuT6uX6WHY9cRIxwdygOAJaVe9VOyH/k/E/DMStoHSP8jMDrPm8t7opWY1f3gm59 Q1HEcd04uDOD2OMfRz00J83xKX+uD31HgnY08az84/5ZHwpqAVR1oOM97C0HyLgccr0hdcWT8ezK ld4WubIw8vHJPdKqWogZhvvbVGImCltnrQDcasZ6C4Bw1FuQhYbNsm1OlNgiLZQat5R2nSJ5c2SP iddfRQCkm9hliCLeArM8ZdiCbvT2v+2TwJZyv0HSBags7yUfJLeOm1KfD53YuIOs+XpJbEC/tiuf UPJPSNTQtf8t6tWU64r6KJnolhyc/FZ4SruuAqy22BGGo+0IhKj/g0R+HjzsQKkKT6fxixOn4CAw drZzNit9T1u/OTFXZkrKMh4rNgxDY50EGWzk8VmREgkyaUdoD3Ezr0dTsPxEw+VVHp3kwt4WdL3K q8E+UmYUxXRt85BXx7TNk+RGtx7otX72YVPKrpRBSmRNXzYngWD+nn9mhPJvDKuTX28UCHRXNRlk b+5sg+/UKr9Z/qfrrFnRXTzva9zy/wKgWDtQIXbHlj9HW8vwrqhAckQQwBWnDoOYnKDHFkW3AZE2 d5wdUnhIMm3zjSyeItyDNCZHjHg6jp7x8hW26vA7M7aLwamcYBtH8xDJjDquE4u7zAKdsZdkVbil L1aNlBzRh64KyqxV9mlOce6EPshBCAuaKYEfjvItwHD3S9oloXyyjtc587z/CHOD3nGLgNI7UuW1 7AOuyojEp8a0e8DuZEsVePYho/tC248moLYQpJnu54dWUABGlEFbe3uJXe5iZUsLiQofFZCEZR+Z F4CVP1vtRzVfaQ9IRUhRDRKtH7zPlDroTHI8Y7os9Ie3mQ95INVuQbnSrY1zcShmDJXGAfzjhPlG Vy9OXm+JwTgjDo0mxRE3s7rNLOGC0lNIpL5+5E3Co1cEld40rrQWdRR3/wsMC6Sjt5NYkcJCs5lu xTDYpgGevb9mV0kwMObh8/DdiIZWwf34rytfxe1CtOCBqP8B7RZ9C4h0e9OZrjfgiqgE65dD344N a0SphcY1hVPDsvUHvu+4JgtvvhmIgN59nx6LlrWXqjbIqZQdeVis1MjHjeO8qPtq8T7hIx3aR+R2 RXUwjAzxF+H+wOWfwT3wrKHdF9CbZ2qfcOR+N9X8XzAELJS45WO9l6fkH/+AFhGscsqkxwpiz2LB i+UkwfSzDfMZVkVdypOWNkYVtf7N/POzXb9LZNqKqUSZCCn8HiSZ6gtx/TnkgiF2dygOImgQ34bO V+qztVSqGrOamg4XpQnqqAd70qo0+5uQXIQTn3QoKV80/uA9zbCckNY7Jz1915GDAgjFGtsxuvMO sOMHAOs8hUi3QVjzpaVC1yVSlJvTvPZUj6M7H6wpwsaqZaf29ZZdqE7jjh2QoK73PIfd9ROKj8Qd 2ZnhF0IYbIRblSE7ODDENvbPrCbf4bhS4RyiNvlY9h6UbOJA0Fm+8skyZK8xnyl07MoB4Pky+XOI ndQDJmFbD/JLITsAC51D9KMckeOk0fYd++r3e8osec+t7kf9rZsOiVsxFHe9KfFA1Ydg2wMiqw2y qNR+UqVyl8zSNk2B7RtQvw+WEzfOsxFmxJuhyXAokKhqmDwD9/PnERF28egBfjRCTKL7QQ6Jmq1C JICi5gu+rwMgttdN8gH6rTPER9dI66qA9kK94KA3jYMOR5tiia8/dPysdV9dOypCQlH853DMwv3E IfbDDW9MfXUj1tbfJI0GBEMeBAWyQFeGDs0Rtr8CP9m4xTsAMBugEau0hOjgGpaGVyI++aIj6vhW /remCggzCCJL5IKjO3CXGBCwy8vdidEO4Urt7w8jliZOnqvFizFiY89iY2UyngxGIeW/UO0xVC/i On+YMs82DDFSOZirq8uDLwb6IT+DtMAmLIgCt/LnYJzshP/ZtVW1qTOJxy/pvbG+69KKnGm23I8t 8yEHRLcW+OuAxYiIja1eMDAUV2uKHWXgqopxuQVDF57U98qAUuUt2GCo08oHqaf4V2ktKJKVvpJu I6lT6y4BgzwhgvMrc/vU7PCE/4DzREhNBFyp/sENrcoayYGvZ1L15L3z+Qh0TOlj281Aybs7dwnd IompbYxkZzKJaEn1vj8vjyeVoXH+uzmRtp0SkhwNWtR/USYm37mOVp45KVzFi13VMaptsve8Hqhg iiwlCkrl3wz5veTPguwEmQQfYCK3HhwkqB3vRuIFLpJ6GExZhpr7/AFlh4VBxJL59E3R6YwHDCop TUrIH+b3dhAn97liyoxJcfzMh+PA958+QPSB2WsPkP9kcSkErx73JflKdwJDR4mGoFFGfN0/5OlJ a1svOmY3vKj90hJePtImXQci2AuC1m/hhV3FsL+doM7ugvrEYGojVlC5dwa/aMSxnzeoPmwjY/Yr nSQ47XTthUkM67e27p4+/29X2E7tUXMF3aNJcP21IsukLeImOOr7QAvqhWgNSfAk8d0xOxUf0ZVr 0hKnCofHBxugS8ESpLH6vplnMsaL8pSaAOwvWYD6HBcsRRtXCqFxqpx6xxN6plow3PSGYiDokI5R +15APrOU4L24bI/xwy6/fK4YYJJHKEnR9KBYa53vBmegcecSDjoDhM+Wg9qTzndduDep/zB3kxFX vtTbro7m6qozpuRg4NV73vNHs78izF1oYn47VnzNNg1C4fdDt5jVvjn1ruDVVn3gvA2hnPCg+1Kx sHn83DItmeWxyixmEm8pMW/EC8korZ66Q0emrt+9U2SXaAyZ1MKnSjeP63asBEsTH9GzIYnVJ6m0 GkD4khuJv6gebUt89Aiir6u3eIpTVS3myUaqZ2lyGxH9K+kSfv+Cu3jKrozPydwoldSEIryVbHx8 6cWXy2FixayN3nHnHOvkFxeovvXWAdYQ8W00Ip6OmXZpyoql7aUyWTvK6pBrh+JH8mF3VCn5RiaD +p0w+CPakNFh680pyuOE82MhK9n6oW87fAqVTZI63JbAHF2V9+tqY9im3QeKZdfQkCuDHxRM8ZH3 3Zj1N/v/8d+Zrgwun5In4wEadO2wcvocJvAe2Oi8KX+VfhUmJXitWicdCQvcXbV9iuhcFRRvbAjY WcN9NmMovlQSdHaIp1E56+ZZrpqePiDWlv7MUxdr6fRgqzWQr2XXJLld/QxERueVHprnS766o2iW oyZ0eRho/COpb0WE3m4ToRz/0ejezjFwg8iwdaHG65dAXcL5I1H9BeepufQo4X5qUhNv6dbW7W/h TrRvwn1qkGmBJM5mdPJdh8W435XNBicfjGF5qU350NhCqEn+QBsD4+5xxFGSuK5mviH3QcIicYqD q5mZro/TR1PvR0MIp3kfnO+iYGwdq46eELNLuBeL5XHfbzuqpqaPWsVzDdUBXEpKq19PIek46clF XCXNzQznufseCmDHYITTMQLPt4sqViaQHxqlfc64k48CKRswSotdkmE20rBZVgf+zMWy/bV0Geda QA4JQPJXJ27UHOvgFOhsRHx5XZ79Q3tGuUa+ZxwEIS62Tx4YCTjPxQYYpPmutJor8mXHh24yj3Hu oxLP4YXBLDdmAHFvpwu1L0WFuOY5qmTKHEykUf+548OYV5Qv8q52pi+f7yCkSAvlGcgvMdU3OsJD L4d5mWAS1lC4WCDloqrh0gUw7H+qB+lZNNFT15lYEEhNTka8zqg+VPEmx9Eg19bTG8P06NM4G7JK VeKrRe2jBEuexPM3o9patXF/HvFEOiALz4o31jbL1yUaUVSS3CKx/TSIZM8jJzCINmVMCnnfLgC5 L08bkgrMoX0mmEfh1JdY+/gRCnDWtuEE2Ap/AFBSLUL1Fni5LZsMpkLrYvSui9+8PT/RNdNrADlS p7fXJoekKSHTqf3nENyZuMbPG2L48zlqUWKy6AY69tOcYg+USB2cHED9tPVIf6aaxGZ9mNaj7cbM 1OTzxo5/QQExquFX2CI0jtuN4rGtWZo0P+YXPwF1iZIs3P2NhbQ8a4ei8FtxtmOSV9UbQZOoEL2I pt5RHHB+SH/1Uczx4LgkOKrpHiRb8o4gaRLfktEqbDnKRLZaYcY6toPwNbwSZ2HVVMknAPK4nWiQ r2AC5uTZ7mubWDmaJ6xhOA9+NU7bF6eNwy5TKQKIoL1fnUD/os5gMiW8sxF69MSIJwqSQQHyRRAr mZV8HdYkp5r5zhyYDnlm9m1ORK3yI/GqSIZUd6FAnoCf1RB+hYaouHY3SyUjbubDgdy1vBJYhkOj t+ATMT/JvSgOlFryWpePHqkPFaiyRtsW768s8Yb9x13P/lwMO2bDhMh6TLR+aSF8yQ9aNTLP57H1 +kNzAwc9IjPcS1TmQnuSLTVXT78D0BktUbL6vz+YI4gfECXFaxUvJRRXGXv5QJMwKkJ7n4+chOQb c1yzsjsA0Q04R1dkaib4VnqDweVJbpzjkKjxrg9QGtEcXu+zc4ADVpBZyW9X8HNVLkRsJVzehf99 fVjLQc++/ltLk7MN2xy2Ngc/mBBuQNPCczIeN1B1GzwyWqChpcTMDM3nEBV4Qk/6oMp1//BjBswX UR73fyA9lURqIHggVDr1kmFp0LUCwo1NkIdet7xA9iux3NVtJK/dG9SLVO/ZZ+1rQ403p6pVIila 4UaB6LjJajwFOosjbApdqU+DheP94IahOoOFabm2ASxW8kqeWm9JWw0AnWAGeXmRfGVktZWgMU94 LBiHwO5tkd9TR4Mrh8zjgBdiLXclYvFfYsqxcXAbyXupJ1PErlZ5uO4/z1NmHEOBdjnE7gqVGf9e jwTpZxx9v2JvFy58sXLUDxCV/0K0CsQ7J0DrLZl6qJCqcG62i7tgJG5JRIBf7aTL/vg+IzKjTaZL kTTe+zvRmOEyw9rc4AyEksn6PybWQ2PrbtD2IoQrJYTMMGwdk1svr8xFajwNLRS3X8tHpgUasgCF 6yZTKpDHSq4up5CabRCbv/NMcHOpFS+9emkzIG0qaed+mWtgsPPiuKHrezMXWi0SkrrjMIF+tn9t EC36ydlGVNcuV/P1v44yuEgUCIeXvLg4sv5pSZWwQXw1K+kOLpb+B1pDgRbzX/lbcL3OhnEnot0V erVL3uf3bxDsRlBgOrqDi9WPUQ5AfoLuB39A1L50S6fQ9WmOAjtfolNTQCWyNEWPm0Mli7bqlcwL 7oRYelAI6MkCZFd0v8+Ii8pcmduhu7Xjq+Z+MdedCql8fqF8hZABEVmZqgn4TGmZP/VuhRITIZez N7QCvsVZL0d5wZWMwOSSD+oVPIOeEfPlR713pyeQ0QUgeABYbl1YUn4s5prowmKcv6xWX4u2Zb67 XT6ulEGrCEjk3ZvJPVx98RVfnsQqbagMU5VMDuzk1id2ZMcGeEj5gGKJyTDlru/rXFpMMk+hwLim OjGm5aqr06sMdnQ4gxKLUsd9E8k6vgbKf297LntvQcoFnqnRD7azv+jqLVNd3mxptAgYfEkE2uUY 2s+i9BMI6saES09tyNxedNmDHP6wKs8Lir7nU2Z4H6BgE510gM+HY6W86QS39wJ8e5BcMF+mgSeN nvt/cQNM/2xcYBshXm4gh/sIdHInI8rcsRs28Paljh/beclolASvblCpEtHtGwRQhjthMVOpHPU4 7qxKwiTE50wMj+8044AFg/F9ppleTSfvVde51yH3Ehf2NBbi2D+eiVRlTX9SPPCPghDQ29cBB5Mb 1y6SEMqmhgA2B2Ne9aH7/ySh1QgZvcDVTXRwr0nxOaom/QeO8on153tsUACOJkz2g6vPBG4/DP/A dofanl4gFYMGsK2a2GMfTPH3jXnvWHJPzsG3G53O1tVZLrbKL9yn5hTrGo+c52/7u1mxDmRVgI4+ 6Pj0bN5YCUKwGAJ5Vh8a214LyEXe/0HtVUA4FDG+iF3RLUULRxlCXWx5yrGFjHa+RKGO6Cs2Epg+ Hz8P1qA9wnpwAF0Q3pV5b991DFnj8CbNFDxuYOXZDgJ8lMFbb2Skz+snq6BAGJpJfEdUHx3CBII+ QcQlCpqdgpnXqC3f+qxBEiemJUIzv31Ha2dRGZJ2fCrmyIlMvvql/1nyxzRelNm4eU+/i2z4BxHW 9LdnMZBMfbctKugyuLevDpYCcPebRO+qYmfVg0quqy1gjrTXvSBV/ZEFYWQ7iFqy4qEoeifTK+/X wrUCQtlEsm5Si4LG2FitWPkEshmSLJdUosNeJbWhVjb6YW61MNvDlxsjGMiHzVPu5f29E79mC7aE nJ7n4RCmGgUwX1vgIfmcys56rVLtrE8SNDzL4n5ji2CUpAKhsEgPF4Je9wjlxazl8GnchDT3y/Cz ESkVJyK9THRgcjCISZodyxpaBoHXN8y6diLfncKeYycXEFhDDdwtgcEhCf6hhuuKBNDjd8Qw2zRp vcHxDS7LfAP5eqHrgdbwuojGKnQkhOJ1u3iAYSLXzJvFw9UbCWrT0QXsuLx8lzG8kq/xs1vJ1y6k 00uKjmgQkYaP4WeOQasy37fMbIchsBKW1VNCdsLR48P7B0bUO6f8PRzDSKTw/xGWp2JrchHHAAEc wfpSYCvL7lEUznNF60bI9sMb6AXFogjtQZVVc0HewqV0tKq0qNYsWXnN8EEbNxhTH9XY+4f/4wX+ ILMcMpE20RI6iS6fcRVhc36IIlZA0Uv8a8Z3A5M5fXNR6NFIcRjD089IcG1KW5YxaJbGH2GqwXQ6 3hehcD4B91BZpAVGh0BUSBbeilH4JoeV9LkWij94dzYpSqi/QVOjIVDCverrO0AoWej/HDuXG1zJ HOWEzuzaxt1mFaJ4LsB1lm8DRTEWnWxcR5FWy1b/ZSOj8v55y0aP1tHGJj53Ue3OnrZzbap0Etk3 6FjEKr/kd4Xz8ch6IAXyl020g+l6qzi3gY/gGVnTuX5h20cKN4F0KbIvK1rfTT9C6zOQItf786+P 0wFWOFPZkQ6DiibttU4jITaI2KcrQ+KvQb3FzokPB9/8rQRfeXQ4y2roZuM9rxzj9SPRZH9aVuly 7EplO3+BnFz7+sdKjzfmpcsPaO0ZfFIksywV40118abh1vkRR0ICYt2tHaspqPTYp66witblBJoL rMCTr7JmJtjzWv7O5uK85PKbdlSV5PLO1SpNS4XScMQWq3ad+dAa4pL/V2mI+sN/jYPBZdwoJZv3 AbUadk3+NC771mtWEjHF/eqkH6n7FtJxjGSwTbVrUV8QzTNk4rlIim5GLBz0xwOWRyf65+3u5zTF /8EI5+kDWrFWUXLZwAoWtzsBok977BtT15p40Y/lpZmy+c7W++ru1+LLy8N/72krEbNgGK3FVawd cMQ3xz/OfIOa72WjXgqWa2uvGdQKPO3uSrp8FR3ZFKKFMkEyCzwShPN7x6e6ZMIfK8c2wUlAxZnb mpX5l0QuzBnKZ/WMl6D2WwxaUG9dwbvaUV0dekv4Tk96O2ssqe08QHuoFGiJv1t98tKmbBDeMBeM 96AHYtYAxUIc15aEfv+kLW/asmqUF2UBbCMVmTSY5ZqVDX/nnHkJGeqkqSaMbNYxSPoJpvie/8QO xc1Mk6zKrhY0j+SIrfLT69W/4vCfd+MZqhn6lQmzhUHhme7rXASt8FtG1L515/SjXuLpuUGXtRLT gWsBWlGfPpKLOiq0b7B1cXRxNdSZYSDVygxXSTBQGv/NDs3m8nQwBlktLXfmd+hqPK0AgCejvE1c dBqE3VUkKfbdJ1adcbrXrfXYpt3Hxm9tWe9FE8ODowybIEq4SBoyWnncfxpd2thEPZs2Sw8dVU/B Fu2ZDkQ8sP70quTkZUTnrdyrArnBSg70QHo/eOYKfeJCD5KGTVzsZRFa4b6hKaqyeyPBv3/2KaK+ VBYSgH+Jzve5wrigt5clYnb0KjfezMzD87PXOmSK2wnjO4kmkRZtqR+qHOUrUuBQLeqm1o9jS8PZ odpn3wIOqZEiwHSQXNjUyv5slpMH3wPOrVtswMmEzkEqXHH3kTf4gpVRM1s1LstElQHlYRFteOxv PYuHRNIsB8fGh717sRkmc7CM7f3GOJQlzlFOKNmNVaFwD2yxBF0+j8shsOZPAYROzyUq7QZMXGiY tRRJBAr3yhh8pd0eCZr/G62drU49ViXW2APHtMHEr8MHjGycFUEZZWeNfGM8sV8FRURDs5s+O9mj S+nRlpxHANa6vjauz5s0w4+jq6cD6/YNtw5kC81DTxPhvTzGvQcwZqBnflTVi9HZ/ALuWzMEMkgK UF+HhyY5+CNO/3k5FEgDwjN6X10rb9q8VhLUjmx2AghgBVJm9UM6w5rYntKrCG0aaoiIDcxvyIQb 2+eqMXHZnaEy2bD/Yi+AVd+wONmmrFjhgSps/swRfiUma0NaBy1dkUB4iHL0qAX/clu4t+UQyudI CqmgDoOj4nU46/AeuDM64NHb7F0QJ8nttD22riLBTZVygt+HspqTDxoGrouMKyRqk2uz7HGDl4eC MhDkRh2HO9R7qnzHH3e6O8cME/JureTbZ9vxQEJ4AVLhK5uqYNrM8tCiQ2Sy1WQfRWeHYRU+j8hi RO9m1Yqn4QVaEq8On4M7p5Y5S+Z9bTtj7WvpD8FmvYgSRGEKpNIs2V9HWGfZz8xUD4/nS0Z98BsD 8IQK4I97fKFXD4/FkBZ4AK3ir4tIqeph0bsERNiEGU5EH6ekh1HnySV8QbxIBdCKFtWtN5t+/rPJ oWSxlmUMt5TjHiqCbdFLp/FfjXtX1/jZ3aprP2lwlCzq3rvDDa2wrdHjA9bqqtoxZ2dkmbEzL6gg jEzogJ/EnDmLbUaHnxH8xFukpJVepbqT9NS92XCrLH9EdVbzdtCqZE1boyiaOXSIFWi8jQZAeUMs uyZDHhJAw1SktPnZg/30R6ErHmbpo4JggD4RsC0qJXFNUJf8cVs7sCo1Z1sBzpICF7J54ekQkgaj wuIX9Bnl9258vxOtZtU6MvSBBwu9rwCgkprt2M40hG2D+Yt6LjEJm8jVOvigB9nB2NzfMpBV/xjx eRmxNZzxr9kx4yHmYbPA4zJj0g7SiGLP0z2oWN1Ih+NbCyw46knHwjmRnzCs0g/bcLg612eaIgFl 1hPDvvznPE6e/DpwkzWOtuQo2njeiQ4G3iG1Ny3zBCZr6hitK9j2Qcnfgh7IBKKUUsv5ZlrSH2zH tW2GxliSGAZ7k7PmtfJ4izfVLNYh5e8fJc0kHivPtsBGtJCWwSOAuUM4FiAVYaHmI6FKZmXBr8/C TkInd8Vwby6dN1qJsGB5S+QduT2aoJNQMIuFHKZuowLiKEbZcSXT51RSahmYkn0BXswR6dfSHbXt iBF+qQRd+JwIAD0zcDOySN4fDONDDqLTOpRoYkWi37KpwWzG6B1DeD6pw9rr4QUeHHXKzlRz6T+d vZxSfqC4UWFHPChNDrrg5y/a5mxvfgwD8tRw+4pSk4K6rotgDYyP9M7C96Hczxu3Kpvp75RZph9Q iSqz0FfXXTZPwBvpQTf5iQRoRthoVhDXMBgwoGVBve7s0iHYYXkCQzdc7QK2lmS4T5q8TXEi2eWR kyBCIe49HhIFCMdoa9yld88xqC8yZ1N6mPLhLyxnY8yyAG6bpoSz+MuPv1X8f+KntEgWv8ijA3Rs AJPpQ7SCyJmkgOFspSRbtHRG/ZCE+34vGHiDxeJo6J8c0Et9sPucZ/a476pTjorPU7jNlYIMK1dw NMGdefGHJAEZuf918ui0NMFglzIoV5SErPMd5SRSl472pZ2Vw/Dm+USm9+1g+zNgomJhSN8J8agB zwyy8quWv2IX/9xToXyP9d/E4MzgOtu7YPFWVm1aY92bRPy/c05MLPKPo0iAMovqyu90r/PkaOs7 FNsbTdbkXGrP/xRjhxQNoIDnyuYZxrFr4MyMmvCtiQJXcz0sP2djDKnfKxmy5pqnzsxQkq4dCLkD wxJdPNITg/lcVgpzS3S1bIL3P5tNxIu3MlJNUOleBvbd6NbBvpd7ShwUzjpcZKsDeb1BfmDgbjcs uQoLrEka7bAooblkvhr9J/dz7ONrNT758zZQ2edzivsC3H2Zd2XQ8AtNZNCGSbX78JKVym8RyF2c w3CSADYR0mq4Dbv504aGcWhoBTxPBzm3hLAZMyAygP3I705mb1JvbGyhJa+Gja4htuUGsC9yf8eX 7v6N7/9SFD2nB5f+oZIw0fTNwjj4XXs9z/Tz/xNIwjyj7fDGHSLHsRPqtIedjDB95dGjSlxHjqsz uYro1UmyKoEyOj47mC8I9HUaTpO7C+DNriAv7dzThJhpyJAZ9wijhTP71kiCdwvwlV93x2atytBe 19NKY+1J6o9Wc+OjixPc7rVLx/ayWm3j9u41ScXuimxBAeBGF5WLqBv2ogrf03PcvHBI6ZyPBRvm D8TNhGujH0pwHKGbOKIGBzyGWtKv3lml01XNFLxxK10cCXZpSYimyGqZz7T2jvESTmo1+khJy+lG u9W+d/XqnhxY7TgijN3HU7bddf3EK9rDTJ9w8tNQF2bEOt1+kbflSg7wipw3SNpXDo2V8luDPJIM gnFVCgSCfRh/YTTU+SWbYdQqYe5jgXEwWFahi7F2rezd9CDgrnhRGJR0Exmw3/yv6LLnQlAIWht/ V5JuWNWttysPB49ngEOwpccvf/YXVfNQdwPYwyZa0JxIKLwYpJVCT4ZpRQ1T+qCWQj1Vu66/r8W3 QLRg52XqENu9ZMSnvOmDziafsjEDhNjMrOVwoySwYd9pLzeya/jrK5c/dco90MASfHinFWpIyEmi sXa29qemzfhBSQXLvXWED9DpKgi6ZU35GC6tUvrfyEeztgH3sDoshNSCt/W1h+32LDJcjR0OVf36 bv8fSErvFIvcSm+KvUt1YsIM9PyrI3j3sgHTS0+NdMmOz4FMNfpzG6j+lnn+366GRJvj17iVU1wA KTEDt6bit1xk3ZLrUa7bakz2DPqWkxjOVjL4w0f4rzNt9tjZx9WZp0E1jWpSZvrVLmI8rwRdmGy/ uGjt01aai6blFB4XcU+GxpKlSpCWrBErYCud/UoTApz5O/Nhmc/U6eJ02iyPlENVHQpaEvsVymrV 77YT57NE1DSdV/H5Dyl6hYJczwZLngAvKxlfnihnPR7SeZW5SROt7Z9emAB6rjdRoCgErA40v1/2 XKzKaD4fefIrEnmIXrewHJDiOK/5Vazn+KSUCOkoRDk/aGtuFBmZUrKwfgKafLQtT9CMMd/ylUjT YLmMUQoKA03BOTZLPWFVgjxsXRhybtv8qs1pB2fRegR2cptOWUjNLhwRzpR588psBDxFMclUZ0qp z74UE9ROPlEOFHHJ2uqh5MtNbBGHhjyEQ19wPOeauq7WPoA9BVPr4/CykYcg/Cc6/hzI9PTBuW90 jM7azxU37xGT3g7HMENPFJvS7E3M3Bm5Eht7y6gm0Sk9uuEs1wNV1ifkCvtYry2vkuFg4f8toe/v E7pMwy4vAb9YmzsEJMhuxgghC64pXTWiZZBUVYyE3zMk1V0Qzbfnl9dtsZZQrZlCwK6IN2Vaflnu jV+hOebUMrKTP6jkKIxISbTspi6SHDOQrZN6eJEyVdEgvTG9IQ81FIGumPF+d/1X4+i9OknVG23q GDYGLGls9lalhZ6GjzTJyRp+rCijmd63VLofUeDhVcUkeBPUrSl4H+2AZ6+KracEhwLbzCaCU5sy 3RFaVz48lwnMpode7p5nsrEStIB/aWf9iZapBQ6qxTpRxlMFh1xjZVHy19lMYXBuyKCtViPcAVoN 0EomOpPNcSTHZiSrwYyBsittFXYK1wKg/Iz9HBc7+mnkX06pyGtr9O2IRm11X8isfZJZ4NpiJl8U wIryujxsKhTUWAR4ldTVYsY+ifHg8/xmf754K7VCwhoXyyMhM/ocuJHdIMUs51eMOkcqLOOsBS2k 1yqlN7e9CUrEaPKoGMCxGdg4u30hx5jt/9fWWnDCFb0uTubVUn3cGjLykq2ZZOkMIrdDj6R3muEe zy457dqkPYDWQ9HscNi4d1/XTiHlC14IDFDArXpYYAMljHLadsTSBvEbDzbg1MEo8HMJFBmzMNX8 qnjeQOwyYFtYa/qtB7dV18/V1HD50dm28MIQbnf1125X1n8wTBcdZcf4C7cPYn6yiT3ZB+GaXias e4s3nb09mYyXz5Xa7RvLrg+XgnjpvrIqJ875CdZCQSe/7GEvBDKorcb0JmGy35o6o+zakWc5nYV1 hheRFRDI7UmLXtsKrj5KcLXXywjvXsVcDiieAB2TfgRtrObT1U4yjtmjZROZNAHvNmaiphQ9LMUx +FZuJIi1f/fddV0VS9aqJdaJqvbxfyL0k3Mk1Sb/FcDzEGr+uPB/HGm7JwPhem8xJafvXZ4SHowW UY6uZcn5Hq0I+qixMm3iE7GXo1KEMXarwaEHonNAHRw3tGTB9AXZ/y4tIhiDikzALmt6OJnOEwEI wrLY4TeEGFZsddQ+CB1yqyBRfaCHQLMchZ2KH7BYH94NROwQ5Y/eBWopGlhdBILwCOmbIPGPmCp9 j6H9WeEhg1My21qg0mS7yUoR6jqrzXdWSdAzNypG5cQl4wcr2zld868LXKj1g/ZKAuFiWVOGepam iHu8OFxXX3BLi7qSVdbKruEBNxtwZ77VZw4v+iKiO2vnu8QB8Ft3ROTTY+soVVckzlCiYRRaXROt 7LfDfofv2tdCVz8V1MwWS0aAcUEt+M2TWes99hm7nbDlQx5MPNMOBQ5FPKC+iYg1KjT2NO6tjXXU LkqEPolrbSJFJVdv+xVaUZ7hCu0q6uQygXeKYuNy9eak5f3PiCndHYl11gXKYkw7Axm3vvvMrUJQ qEqPXHhv9SB3CXwGfTe7/9buqjbpSa1MpNIjPWca6+wCHv1Ib38mTLaEDpUyPlH2B+QzE1/b8NvL Tg6+vX0PBj0ORzOQVsfCeznTdb+8ZFXBOQ6d+ZxopyoUJIf6tRpBs+uObyt9QyUMvTycuobzNa4p PUFQaf+RADGWryL+4yX0bMzrf2NQUpQSIcBkBxi2I9B8bkPYcs1s3cIh3OCgKbE8KhsfcdrTZfcd ThVUQ/QOqlr5ANS/0MZKGduIP4of9zqDSI+XzmFYAZAfwSmWWH+H6kQ+iZeC46TiSxgGGvHWLWGp az2/bhhbkxrJJj3mT5xfcCUStjLPuRQbvZ9xrXOVwegabts6iEBYsHEUtPKFR/nq/uQxkS/OaAkB BpR35Kh8QKs0jCaeesUeUxOA8B5jRgFpGMu1t+vCzK7jPBub71KWlIou2Zlh11dxbMUkxZag2Egs nP/x6K99mVxwpg0MH1NSzX1aKOeDK0oM7cZVdL0HBpaoknUJIZV4j/mu+pzm58UNH1ra8owbkc1e UgN9LH5Sc+mJ1J49DC7rXQYuEtqSeb36DePewk9U9h2Di3FYOzpGRAdULrrLKAoJJrEYw3xvqFMo 7G+mysnjZNocIw/O15/uDz/K0WIPwme46kGKgSm3GO6NMJbdOxYcdoxQSudGow9whNonRLFsWjsS lfoKu43MnLOtINvsTyEw+LWO4D6G6EZtJFrsJoJbuejSyK9eBjocG8kp+08/0Ks85Xzm7u3VwhRI ykvBLWq5lXdXYzBjFl4wHRGVTnM+xABCm5txRSLPEQYpnk55GRaKDQuddw0npy1GLcHTJF9crrbL nx0tWQ4HWHED2eMPaWGYexKavCDQGVXBNT6Ogmko9AOado2qKIebpCBBPlq1P/IGWnk= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pf_adder.vhd
15
10246
------------------------------------------------------------------------------- -- $Id: pf_adder.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_adder - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_adder.vhd -- -- Description: Parameterized adder/subtractor for Mauna Loa Packet FIFO -- vacancy calculation. This design has a combinational -- output. The carry out is not used by the PFIFO so it has -- been removed. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_adder.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- DET 2001-08-30 First Version -- - adapted from B Tise MicroBlaze timer counters -- -- DET 2001-09-11 -- - Added the Rst input to the pf_adder_bit component -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_adder is generic ( C_REGISTERED_RESULT : Boolean := false; C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; --Carry_Out : out std_logic; Ain : in std_logic_vector(0 to C_COUNT_WIDTH-1); Bin : in std_logic_vector(0 to C_COUNT_WIDTH-1); Add_sub_n : in std_logic; result_out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_adder; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_adder is component pf_adder_bit is generic ( C_REGISTERED_RESULT : Boolean ); port ( Clk : in std_logic; Rst : In std_logic; Ain : in std_logic; Bin : in std_logic; Add_sub_n : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic); end component pf_adder_bit; -- component FDRE is -- port ( -- Q : out std_logic; -- C : in std_logic; -- CE : in std_logic; -- D : in std_logic; -- R : in std_logic -- ); -- end component FDRE; -- constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH); signal iresult_out : std_logic_vector(0 to C_COUNT_WIDTH-1); signal count_clock_en : std_logic; --signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(C_COUNT_WIDTH) <= not(Add_sub_n); -- initial carry-in to adder LSB count_clock_en <= '1'; I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate begin Counter_Bit_I : pf_adder_bit Generic map( C_REGISTERED_RESULT => C_REGISTERED_RESULT ) port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Ain => Ain(i), -- [in] Bin => Bin(i), -- [in] Add_sub_n => Add_sub_n, -- [in] Carry_In => alu_cy(i+CY_Start), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iresult_out(i), -- [out] Carry_Out => alu_cy(i+(1-CY_Start))); -- [out] end generate I_ADDSUB_GEN; -- carry_active_high <= alu_cy(0) xor not(Add_sub_n); -- -- -- -- I_CARRY_OUT: FDRE -- port map ( -- Q => Carry_Out, -- [out] -- C => Clk, -- [in] -- CE => count_clock_en, -- [in] -- D => carry_active_high, -- [in] -- R => Rst -- [in] -- ); result_out <= iresult_out; end architecture implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter.vhd
15
9203
------------------------------------------------------------------------------- -- $Id: pf_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter.vhd -- -- Description: Implements 32-bit timer/counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input to the pf_counter_bit component -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter is constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-1); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(C_COUNT_WIDTH) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate begin Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(i+CY_Start), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(i), -- [out] Carry_Out => alu_cy(i+(1-CY_Start))); -- [out] end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(0) xor Count_Down; I_CARRY_OUT: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter.vhd
15
9203
------------------------------------------------------------------------------- -- $Id: pf_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter.vhd -- -- Description: Implements 32-bit timer/counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input to the pf_counter_bit component -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter is constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-1); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(C_COUNT_WIDTH) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate begin Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(i+CY_Start), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(i), -- [out] Carry_Out => alu_cy(i+(1-CY_Start))); -- [out] end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(0) xor Count_Down; I_CARRY_OUT: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter.vhd
15
9203
------------------------------------------------------------------------------- -- $Id: pf_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter.vhd -- -- Description: Implements 32-bit timer/counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input to the pf_counter_bit component -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter is constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-1); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(C_COUNT_WIDTH) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate begin Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(i+CY_Start), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(i), -- [out] Carry_Out => alu_cy(i+(1-CY_Start))); -- [out] end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(0) xor Count_Down; I_CARRY_OUT: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture implementation;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/bram_sync_reg.vhd
19
7904
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ISK+8BrzqbDVc2hIh4k9UuGvqsq6yFic71tfszsK7KRf52jFUoK33AosGVUYsGH1pmrUc2NUQcDQ LseNrcojiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CxxZHetyKRTjg1ePIJzq+w/Yg+inN7g9nkhYUjpPSXav+SKIAQvdh174FZUi0SnoR2INo+rdZ3gz yq46XymO3b/3npnRNCCU259giTvnOJxmkrtnjRyUpOg8jB2jnHg/f/BlL3OJUGGiFonBs+6rnNvW 4aiU6ycFpLQsNzqRlAw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HZ1Kttz7DNn3t428AVZ/hrbCqljpJfsdfcEo7T7pfqxl88ELioDFFp9rVcvvZiZMU++45qS8CpOD SfwcEjOj8ndwnIsrDamIUHs+Qm4vUDDq8EtyiGhux+pwMtpg8rH6kCwLDCkdk848fWRbBOGctdAr AiQz4Fie2ectzKGEhjERjquMNqkQkhNIuEu/CSTnyD7KnG+FK+llVBavN8lxjWeDvk+quMyk8Dbo gA/SdzYI7TCZkNEFS/PvF3Z8fPBK4pBWz7TyfdHacMjMkaPd5zGsPBmQy77xwc4m/sfhM7ZX+YW6 VBTILiYtg7u194UVgu4fHE7f45jr0jTur9wbVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2VX2NPBJC/FYSjnVp8ueqtxuxLgenRIKbrff8tdhuTb77js7o9S4OVH2n84fEyvr3hl3lrO9ekVq VvQQOlQBg7Zv5/tFAeI5YFisgygYrqeX9dQcI485CaCpeN9nanYXhtHWROH+ZOYckBZHUhhjC82p LnYwoausKSjsi+rXE64= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HdQIwrCqCFDZv9OQZsva3DMtF+8TwiePvWLQndNAXK/1V46C6C4sVLdH6SK4FvPis45PZ52T91rx x7mjaMnTgTVkK+VoFF3Ej7xzh/2PoR+YkiToyHCbvwHQXXvv3GAu3HyqWx9b4oOndnrx5Z1mco/s lNgEY825qOfDqrTkPvvNBXThybVoOKs2SBHAdaQhQemuYVAjS7mEC/lA7vom+55/0dhIN44Q0vMz 6utkLeK9axPmrUz/LHNLm3BFQsfvacsQoIQe/Y7g5V8ehxANfnzft/Jgo74fJAU3odGS++0PsHF5 2T1joNptoFFljB/U6DScrAB2FxigoQal7I/OSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112) `protect data_block 3u5JIWlY+sJCqsl5QYyfL8WimseQwuJN0rkH9NXcbsS7Rt71nSblODV6U80qYV7PQQBUgi7MIkr3 HhB80mVFXzhq03+IsvG1wT04pnRKUdjg8ie5ACc1KZyJzkBz1AoMYj8LIyYOfu5egdpx7hnlcrtc oMVqdqAkXV7SfIyeRr+lT2ka1rchyxgy/De7WO04vRL9oeE9RcJ9dBv1wr0O3CTselhBxOW1zVMw VAIAfDSqygylxQ3yBGTnNYvRqgjwbIDq9qrVv2Suz92kP9MPjiqJNh+Bl2oXJ4RpniHsbunWAgYk u8htsKr/Y5G/lv1Dti4uvGyDQc6n4n4m6zawTfv6qfGRG+QxlxQbJYAjHfK8NQmU/rbLQnZOQzKx nWuJgpAxqNY55zImfUi7u9EaW8P5tnNRTCHRpFTP7maxF/yrAvQ9F70ow37gmox0htVWAHNvXHEA MaR2Qa66QB2HI8zVBb5PxU+gnQMRGAvN9l5KU5/Blpx59MEQhDT7O+YXLhbK2EzfR4lRqqfha1QW AhaIUZst/wP34LyX4UhfHz06f2YKMiDb0gO4l4FGRFHc2GADzDMb6c4hqdK81qlPdx8J6poDvUB2 sC7kj8nETbbmIUIHZIi8aq5P3MYjn8IaaUJWzW5tkxv1m/3sbUvOlnOX8aN+xxzNhg5A67eCYnPl QXxolZWPe5oGFtLq+lXvAMXiNqqctzS58o7H4V0i2CxOGeDyuxaniXbkq7ITVkVzRv40xeLoxXEU 9W+04qvabJokuAn4jkZhLuqcl4VXGxoZA52TdAAAmPn9jKW++X+8+vhY0RzxMu0Vr67adWElyoeS KRvN2kQ27SUGB72x78ScS7iDnyQk6iNEqsEnPjetl099Gq1I7XGEYOeyaaoyo3swkIaZSG2VFjNh iYxipNiUIMJLqMuSjWN54ivjYqNbQXBjQObCsWDXV3nh8KIZ++dSdunT2ynUhSR2UjzpZgwnoDcg 9xGkFkHZxXBPhSRijxySKmEfLJTcQEI631lQiuE6ryhIxxIrcfxTmB1gFvzp6e0HtbtibPkUREwm p4nqAR+LylZ4RYG9n7rvvl91leVlYCFt4jv8W/39f4f13U9pv4ww0ujYFuTTWGyozDffyPyZZJ4i 2YSiZQQPlFauS32E+W/mY5uHRUrzoEp3vea4PWVF3vavBli3XK8QvUdqx3waVhazTXoW79aYkZmJ VOFO2xf/VOho31aThJvRoc+weDzRNAcNskEhiNzdIkWV5GUYuYgrpmT+202q/26y7LOag7dBxvaq UOAEEv/7HrUJYQABSp92SCGV5ypmDuQ9/qnewH30kFS6AMnOAFuQsgFO12yXxj94/5975rPM4/S5 GOr20z9K3SClkHgchek9Nwsv1VrdZQwxQJAwFuZm9MbxUjKjboRzK+UqVEwxm/h3lG39f8Q0gJx8 Uzhmnyrtgp5yINjNyia+Rl8CKySqKjczaaGFl3WFB4sadBVvm2mhWIbo+2bNMfsEKKwKxCEQv2mO eczJmcYN+IYGoTj84AADRYxj2Fv06omM/YfF5Ru1NPBUjDDTPo94MYZ6EzEpdNNZeFWgpq5bL50Y SLWDM/i0OvEZWcXTYIUflUnyWlkQr95fI+KRkybIqhUiS6HrFPF2vhYgmxCTx9Ukfu50aG3nvWwv wPDPylrgtfdB+2WlN6WLPaOgyStG9I/q+Nsc/cPVnOUVvqLuPiWospfM7kiiJNvJdGPGXKzLvHZS 5Ae1hGcZ2/W6DS2l8ER1bgpxmNgIDXfuH6zNAJDkxi5mJ2GByJO7Aear+0SHaarpkNUZeQYQHXkN SEQTgcJSpB4S4vQiSeE35/egZswX2cUnCFP6dklrrGVNstGoTv+9o8KB3Bq2cpR5x+iw2QSPqubP le2gihRhXbs0nF8VMXcaO/kLFlvlwsJq4qJFJWe9CTMMT52Z9ZTi8+6KLfR5jFjV82NjiVK34710 mua1Mb1gHicmg/5FYXTTLOhuzcOw6PlWA7qVTzZHZSpvsOrqn4WAKzyhGEYWYYBeQVkAZWsZAL5H mK4o654o0wEkP6dtAK0GIokI+LoMlP+yM3s/p7LqDJdneIWTQTds2aJcd4d42Tp7Euh7weSx+GZR jOXicK7wjWiOHp+GiGXH5gsclzHXMQU2BZyAhi6RE69pHDVXibQX8PrZjUtubV9rvCwFipoUyB4Z 8cQEBQaMuqcW1hPs20qQthmYUYZd3Lxqdgfsrl0OlD8J95XV4hmbEW0A8HWjuSVFF/bVTLiqdiAk ++5FX4xCfmJZCK4NkBKgbYNrqdff90BjdYRbZ28261ILo0iPRQwhui4mSegRtLXHuQ1RZjr8jNJz jj0Qbt8v+6JwFT2HjlHhhJFXUZJ8AKvVdbpVd+LdylJy3ZmET7N2RsshPtVsVGAPWFw0/TVatZvq tXQRs5T5FPh9EgX72dRoz+tgehp1Um6mBzFRCF73lico0CsmoycJdbIJuXyUqsbu0tFoE5qQk50J ZTh2BMKa3yeG1cTspvsdJhXtyXxRITt5EPfsJPcZwnc1x6bpO5TZo99nEiD/nCTxAI0iVVxeIElg odovqadEW44FITeZUaJZKkOA4WeSDdmEal8+Dj6R0n99ThCatlUKecN5uB/GUGZVV8JZCwHXi4JV iR0FyV4Qvm+G7B/t5z4quCCK4f1Cu+KqzBXtwup7njHPLlupZJMxFbXcmMVlOzVgWRbCZ57kGHHF GXtFePBBaVf+SAQdo5Zda0QktuqWjk7jqlYNTQfRYVJgp5EkwnBWutkZu1ZhpQgDqkxg9tKBBwM1 F4t4Sy0ClnAWSZy/sCHSDIYNkUnVDz8dL5iuflP8UCEbrGbUCvGST4gj/997HPEAJ67r9oZqmOxr BZK/pXWE8ZVTA3lOqaDOL+EtIv/ckgso9hl/MG6H2Xm1oeDQLiK5bDwyIpn27ALjlIOIZ3YSrF/T b0WjPzGuW4SsFFLXZ3uz7iUQad5znJLswPYplDDZaqMsCrDhBtWvwXSuNP6gevYWrLDcpUF7Fptk Bprgu/sRQ8vF76+HQxKTH0X4qt0i5WJcir2K2xpWZGthyb5wWQzAlMragRuvLzVyr8MzO5RaxBgq uR9ndLhac1L3LTDZnipUS3kIDolGcfOc4PQwJAemisO3eQGb2AnDdcWHzv44g6qT//zBkVUICe27 6jStcv2rP8uum86E3k9DhaweiH0r1N9hXuIUoyjg/MP5rOY+dpK18zaWkxsW3bOTkZXuMk+Upf3D taUWFFdC+6tESxmyv3KLcV6LeV4kK6WEwkhPHO85j4i/fWwuROZiwTdeYNwkLnHi2q5b4I91DYqt nb3x9JYOwgwy5JTsIw41FJXp/NmELUP8QwDrC+ZPbkhsBPdMMlXWKTrSqjmEGd6tJmbZRntQE25P FWEwGkwFDCPEcEeylDSKD/jI+EZrkQ2UWjLyXs4MN8NXLvj3PSax/9R7nhaSgxG5hsuxkUruNmdU KdWXyc9emFHWXpj2D0Fe7Uy9HY/Tou31smCnuvjMmQ44TA53k7LC313Li86mJkXI5y4MDw/Lcc34 unut8zpab8NJiu9FFwEP77etqKEVPXIYU//Jh7cS5VQ7H4DRmXlzC8FZCMR6b/9l2PdsggFUTmvG +Dv7fE7T7EZy6glQ/CElGd14J1yN+tctmRLKnLxC8c3Miy+FUs4n4amygDtReiwDhyYWggm8l4I5 lPAc1EoikvnZM347PDgY8T5pwwo5U2bgUAgNyV6NZgDxO+uLL9UYBx1c8eYaMqQOfn6KO8FBcbeS w0xKLrUxVMhFOYWcdFb6BoAahkmfzLAICU+y9qee4F9/cpU22dDoYbtEP6VhIglD49VHfCHw09bH y2h/pc7Dgk/pr++S9U+JuAZCg/Q4emMY89oMXJYibD30FEozab8kVzGNcepCRGtyPM3RiICj28Pi t1oXHvhUHfxc0w3qfcNuVASzuJ1F5NjF7zBXiAXyct+GdImsR/1AT8rlWs9WknBoqwTSNQ5VNg2D ExIxNpMBWXfd43gFYsK4vDWHwCmvEX6c0PJfrKtPGBOPOZa1dbFBvFodfe1piXjqCaXF0UzibwvL gfN0Kd6Q/UbornA38jNFTNXx0jn3AasaNsz7Cgpo7LUm/QQLuNSn5g7VgW+taUod6cXjcDKVyJ7M 7v2ViBeLdP7vcN3d0tr0ol85TJHeUYQ3dSsRLm3drsPZ9hyA2fSYdppeFtbxNkY1H9bIBoITTBl2 pdsyMvrEr827vxei2kpX5gQYierhEK9IbO9xCJuZQZ+MZ6ER4WYkFwgV0e5Pol1bHhYOlknw5y7J 9YwPynZzq3FrtqIhcAewL0oDGqCxqcoDSVHrrjExVk1gdunsmiMKJZ2juaIIkjOAsxCY/fRt0otM I0IMjE0uW7ChMX7dB7Jjtrom9hGp2+wsx5nY1gUbZgEQpRQQcZ3IvYeIUyuLzLEPMIT1bqFlBz58 LSa7j+jGbc0YQXfgzAnIVhEvpfY5mArmZ40G6unG/Lz28za/ZMO/i4G9WBErti+KIqtOjZidwA2/ vj9Fj0iicbHw9DDsvuVuu8bcwnyIcC9TTKkMTPUcRQ4vqDVWPHoR11yZqtUqx2zDLChgKAAcuvHP e91yeTFTc+sjfa3Hdr6gE2LBWRVofbw+Z0V7OJldgsRtbriEXyGbsaIPW206JRgDipQ9aW5uXIvr aoIJQ9dICOcVqFY/UgvTwQOu0uEhY5/J1nDHCkJYt/v7n8hQ+JjheyjM+RW474lFvyf3fqQzCcm1 q5XS5nXLLmcjMTXq1wkaMRPPEU/4C2nESHBTx6g+MfJ+LnZL+Zmi+Osy9TWBU3ZA8ysDF3eL+fNT BLdb3HZTvOr4frAxwX7/xNbgkdm6Ts/0P5k5l5ZjPtOnAWkolOFX2T3qWrb7lgEedDhTaeQiHMRE VpjtdjfUuAGDG9A+ryTX5MXKJIRSiSseCCvP8oHXAdrD16zwqBQP2MtB+nSjIvf3nOECyhx8M3Oi HexFB6FbuVfGD2ijP+4v/Yij+4gGOE5wwpD5LJ1MNq2m72r1kpnrFodGB3XyOEFQL++XT34YyC61 cTM66S6JpscD2eHGzr+3hLffQ6+mXaMKIBgXh5q7tzIVr7u5fbeJ9z8QbXVxXh6dKsmsvH7gx0dZ Q0ud8ZTKRH5IsS8NKlSEQYlRCeXbBMWz9FedtW3vo0BO46KXl3LO9CValYdFIl5RsVDu16iBG9OD ab5/H8j8LOMd3iiJarXIRVxSZs5P9pxkhrbjmzX504meuCObafoNHoJYP9rT2Hj7zlVzXXP5fRqM EJMhGgc00ommBmX3d4/iB/MCLpu38MkFieVMjQpE+3Pd7+GJ/0TDEAe47xXTWQv0F3iKe+NquSTZ +ZTCmZXqn/Iz4EVz38kKAjet/kPqPS/Fj/atI6Bx2Mk/8h8CXOIlPx0Ho7P276GsCOwEBUHVdAEy GPxAxnrSDPM= `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/bram_sync_reg.vhd
19
7904
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ISK+8BrzqbDVc2hIh4k9UuGvqsq6yFic71tfszsK7KRf52jFUoK33AosGVUYsGH1pmrUc2NUQcDQ LseNrcojiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CxxZHetyKRTjg1ePIJzq+w/Yg+inN7g9nkhYUjpPSXav+SKIAQvdh174FZUi0SnoR2INo+rdZ3gz yq46XymO3b/3npnRNCCU259giTvnOJxmkrtnjRyUpOg8jB2jnHg/f/BlL3OJUGGiFonBs+6rnNvW 4aiU6ycFpLQsNzqRlAw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HZ1Kttz7DNn3t428AVZ/hrbCqljpJfsdfcEo7T7pfqxl88ELioDFFp9rVcvvZiZMU++45qS8CpOD SfwcEjOj8ndwnIsrDamIUHs+Qm4vUDDq8EtyiGhux+pwMtpg8rH6kCwLDCkdk848fWRbBOGctdAr AiQz4Fie2ectzKGEhjERjquMNqkQkhNIuEu/CSTnyD7KnG+FK+llVBavN8lxjWeDvk+quMyk8Dbo gA/SdzYI7TCZkNEFS/PvF3Z8fPBK4pBWz7TyfdHacMjMkaPd5zGsPBmQy77xwc4m/sfhM7ZX+YW6 VBTILiYtg7u194UVgu4fHE7f45jr0jTur9wbVw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2VX2NPBJC/FYSjnVp8ueqtxuxLgenRIKbrff8tdhuTb77js7o9S4OVH2n84fEyvr3hl3lrO9ekVq VvQQOlQBg7Zv5/tFAeI5YFisgygYrqeX9dQcI485CaCpeN9nanYXhtHWROH+ZOYckBZHUhhjC82p LnYwoausKSjsi+rXE64= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HdQIwrCqCFDZv9OQZsva3DMtF+8TwiePvWLQndNAXK/1V46C6C4sVLdH6SK4FvPis45PZ52T91rx x7mjaMnTgTVkK+VoFF3Ej7xzh/2PoR+YkiToyHCbvwHQXXvv3GAu3HyqWx9b4oOndnrx5Z1mco/s lNgEY825qOfDqrTkPvvNBXThybVoOKs2SBHAdaQhQemuYVAjS7mEC/lA7vom+55/0dhIN44Q0vMz 6utkLeK9axPmrUz/LHNLm3BFQsfvacsQoIQe/Y7g5V8ehxANfnzft/Jgo74fJAU3odGS++0PsHF5 2T1joNptoFFljB/U6DScrAB2FxigoQal7I/OSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112) `protect data_block 3u5JIWlY+sJCqsl5QYyfL8WimseQwuJN0rkH9NXcbsS7Rt71nSblODV6U80qYV7PQQBUgi7MIkr3 HhB80mVFXzhq03+IsvG1wT04pnRKUdjg8ie5ACc1KZyJzkBz1AoMYj8LIyYOfu5egdpx7hnlcrtc oMVqdqAkXV7SfIyeRr+lT2ka1rchyxgy/De7WO04vRL9oeE9RcJ9dBv1wr0O3CTselhBxOW1zVMw VAIAfDSqygylxQ3yBGTnNYvRqgjwbIDq9qrVv2Suz92kP9MPjiqJNh+Bl2oXJ4RpniHsbunWAgYk u8htsKr/Y5G/lv1Dti4uvGyDQc6n4n4m6zawTfv6qfGRG+QxlxQbJYAjHfK8NQmU/rbLQnZOQzKx nWuJgpAxqNY55zImfUi7u9EaW8P5tnNRTCHRpFTP7maxF/yrAvQ9F70ow37gmox0htVWAHNvXHEA MaR2Qa66QB2HI8zVBb5PxU+gnQMRGAvN9l5KU5/Blpx59MEQhDT7O+YXLhbK2EzfR4lRqqfha1QW AhaIUZst/wP34LyX4UhfHz06f2YKMiDb0gO4l4FGRFHc2GADzDMb6c4hqdK81qlPdx8J6poDvUB2 sC7kj8nETbbmIUIHZIi8aq5P3MYjn8IaaUJWzW5tkxv1m/3sbUvOlnOX8aN+xxzNhg5A67eCYnPl QXxolZWPe5oGFtLq+lXvAMXiNqqctzS58o7H4V0i2CxOGeDyuxaniXbkq7ITVkVzRv40xeLoxXEU 9W+04qvabJokuAn4jkZhLuqcl4VXGxoZA52TdAAAmPn9jKW++X+8+vhY0RzxMu0Vr67adWElyoeS KRvN2kQ27SUGB72x78ScS7iDnyQk6iNEqsEnPjetl099Gq1I7XGEYOeyaaoyo3swkIaZSG2VFjNh iYxipNiUIMJLqMuSjWN54ivjYqNbQXBjQObCsWDXV3nh8KIZ++dSdunT2ynUhSR2UjzpZgwnoDcg 9xGkFkHZxXBPhSRijxySKmEfLJTcQEI631lQiuE6ryhIxxIrcfxTmB1gFvzp6e0HtbtibPkUREwm p4nqAR+LylZ4RYG9n7rvvl91leVlYCFt4jv8W/39f4f13U9pv4ww0ujYFuTTWGyozDffyPyZZJ4i 2YSiZQQPlFauS32E+W/mY5uHRUrzoEp3vea4PWVF3vavBli3XK8QvUdqx3waVhazTXoW79aYkZmJ VOFO2xf/VOho31aThJvRoc+weDzRNAcNskEhiNzdIkWV5GUYuYgrpmT+202q/26y7LOag7dBxvaq UOAEEv/7HrUJYQABSp92SCGV5ypmDuQ9/qnewH30kFS6AMnOAFuQsgFO12yXxj94/5975rPM4/S5 GOr20z9K3SClkHgchek9Nwsv1VrdZQwxQJAwFuZm9MbxUjKjboRzK+UqVEwxm/h3lG39f8Q0gJx8 Uzhmnyrtgp5yINjNyia+Rl8CKySqKjczaaGFl3WFB4sadBVvm2mhWIbo+2bNMfsEKKwKxCEQv2mO eczJmcYN+IYGoTj84AADRYxj2Fv06omM/YfF5Ru1NPBUjDDTPo94MYZ6EzEpdNNZeFWgpq5bL50Y SLWDM/i0OvEZWcXTYIUflUnyWlkQr95fI+KRkybIqhUiS6HrFPF2vhYgmxCTx9Ukfu50aG3nvWwv wPDPylrgtfdB+2WlN6WLPaOgyStG9I/q+Nsc/cPVnOUVvqLuPiWospfM7kiiJNvJdGPGXKzLvHZS 5Ae1hGcZ2/W6DS2l8ER1bgpxmNgIDXfuH6zNAJDkxi5mJ2GByJO7Aear+0SHaarpkNUZeQYQHXkN SEQTgcJSpB4S4vQiSeE35/egZswX2cUnCFP6dklrrGVNstGoTv+9o8KB3Bq2cpR5x+iw2QSPqubP le2gihRhXbs0nF8VMXcaO/kLFlvlwsJq4qJFJWe9CTMMT52Z9ZTi8+6KLfR5jFjV82NjiVK34710 mua1Mb1gHicmg/5FYXTTLOhuzcOw6PlWA7qVTzZHZSpvsOrqn4WAKzyhGEYWYYBeQVkAZWsZAL5H mK4o654o0wEkP6dtAK0GIokI+LoMlP+yM3s/p7LqDJdneIWTQTds2aJcd4d42Tp7Euh7weSx+GZR jOXicK7wjWiOHp+GiGXH5gsclzHXMQU2BZyAhi6RE69pHDVXibQX8PrZjUtubV9rvCwFipoUyB4Z 8cQEBQaMuqcW1hPs20qQthmYUYZd3Lxqdgfsrl0OlD8J95XV4hmbEW0A8HWjuSVFF/bVTLiqdiAk ++5FX4xCfmJZCK4NkBKgbYNrqdff90BjdYRbZ28261ILo0iPRQwhui4mSegRtLXHuQ1RZjr8jNJz jj0Qbt8v+6JwFT2HjlHhhJFXUZJ8AKvVdbpVd+LdylJy3ZmET7N2RsshPtVsVGAPWFw0/TVatZvq tXQRs5T5FPh9EgX72dRoz+tgehp1Um6mBzFRCF73lico0CsmoycJdbIJuXyUqsbu0tFoE5qQk50J ZTh2BMKa3yeG1cTspvsdJhXtyXxRITt5EPfsJPcZwnc1x6bpO5TZo99nEiD/nCTxAI0iVVxeIElg odovqadEW44FITeZUaJZKkOA4WeSDdmEal8+Dj6R0n99ThCatlUKecN5uB/GUGZVV8JZCwHXi4JV iR0FyV4Qvm+G7B/t5z4quCCK4f1Cu+KqzBXtwup7njHPLlupZJMxFbXcmMVlOzVgWRbCZ57kGHHF GXtFePBBaVf+SAQdo5Zda0QktuqWjk7jqlYNTQfRYVJgp5EkwnBWutkZu1ZhpQgDqkxg9tKBBwM1 F4t4Sy0ClnAWSZy/sCHSDIYNkUnVDz8dL5iuflP8UCEbrGbUCvGST4gj/997HPEAJ67r9oZqmOxr BZK/pXWE8ZVTA3lOqaDOL+EtIv/ckgso9hl/MG6H2Xm1oeDQLiK5bDwyIpn27ALjlIOIZ3YSrF/T b0WjPzGuW4SsFFLXZ3uz7iUQad5znJLswPYplDDZaqMsCrDhBtWvwXSuNP6gevYWrLDcpUF7Fptk Bprgu/sRQ8vF76+HQxKTH0X4qt0i5WJcir2K2xpWZGthyb5wWQzAlMragRuvLzVyr8MzO5RaxBgq uR9ndLhac1L3LTDZnipUS3kIDolGcfOc4PQwJAemisO3eQGb2AnDdcWHzv44g6qT//zBkVUICe27 6jStcv2rP8uum86E3k9DhaweiH0r1N9hXuIUoyjg/MP5rOY+dpK18zaWkxsW3bOTkZXuMk+Upf3D taUWFFdC+6tESxmyv3KLcV6LeV4kK6WEwkhPHO85j4i/fWwuROZiwTdeYNwkLnHi2q5b4I91DYqt nb3x9JYOwgwy5JTsIw41FJXp/NmELUP8QwDrC+ZPbkhsBPdMMlXWKTrSqjmEGd6tJmbZRntQE25P FWEwGkwFDCPEcEeylDSKD/jI+EZrkQ2UWjLyXs4MN8NXLvj3PSax/9R7nhaSgxG5hsuxkUruNmdU KdWXyc9emFHWXpj2D0Fe7Uy9HY/Tou31smCnuvjMmQ44TA53k7LC313Li86mJkXI5y4MDw/Lcc34 unut8zpab8NJiu9FFwEP77etqKEVPXIYU//Jh7cS5VQ7H4DRmXlzC8FZCMR6b/9l2PdsggFUTmvG +Dv7fE7T7EZy6glQ/CElGd14J1yN+tctmRLKnLxC8c3Miy+FUs4n4amygDtReiwDhyYWggm8l4I5 lPAc1EoikvnZM347PDgY8T5pwwo5U2bgUAgNyV6NZgDxO+uLL9UYBx1c8eYaMqQOfn6KO8FBcbeS w0xKLrUxVMhFOYWcdFb6BoAahkmfzLAICU+y9qee4F9/cpU22dDoYbtEP6VhIglD49VHfCHw09bH y2h/pc7Dgk/pr++S9U+JuAZCg/Q4emMY89oMXJYibD30FEozab8kVzGNcepCRGtyPM3RiICj28Pi t1oXHvhUHfxc0w3qfcNuVASzuJ1F5NjF7zBXiAXyct+GdImsR/1AT8rlWs9WknBoqwTSNQ5VNg2D ExIxNpMBWXfd43gFYsK4vDWHwCmvEX6c0PJfrKtPGBOPOZa1dbFBvFodfe1piXjqCaXF0UzibwvL gfN0Kd6Q/UbornA38jNFTNXx0jn3AasaNsz7Cgpo7LUm/QQLuNSn5g7VgW+taUod6cXjcDKVyJ7M 7v2ViBeLdP7vcN3d0tr0ol85TJHeUYQ3dSsRLm3drsPZ9hyA2fSYdppeFtbxNkY1H9bIBoITTBl2 pdsyMvrEr827vxei2kpX5gQYierhEK9IbO9xCJuZQZ+MZ6ER4WYkFwgV0e5Pol1bHhYOlknw5y7J 9YwPynZzq3FrtqIhcAewL0oDGqCxqcoDSVHrrjExVk1gdunsmiMKJZ2juaIIkjOAsxCY/fRt0otM I0IMjE0uW7ChMX7dB7Jjtrom9hGp2+wsx5nY1gUbZgEQpRQQcZ3IvYeIUyuLzLEPMIT1bqFlBz58 LSa7j+jGbc0YQXfgzAnIVhEvpfY5mArmZ40G6unG/Lz28za/ZMO/i4G9WBErti+KIqtOjZidwA2/ vj9Fj0iicbHw9DDsvuVuu8bcwnyIcC9TTKkMTPUcRQ4vqDVWPHoR11yZqtUqx2zDLChgKAAcuvHP e91yeTFTc+sjfa3Hdr6gE2LBWRVofbw+Z0V7OJldgsRtbriEXyGbsaIPW206JRgDipQ9aW5uXIvr aoIJQ9dICOcVqFY/UgvTwQOu0uEhY5/J1nDHCkJYt/v7n8hQ+JjheyjM+RW474lFvyf3fqQzCcm1 q5XS5nXLLmcjMTXq1wkaMRPPEU/4C2nESHBTx6g+MfJ+LnZL+Zmi+Osy9TWBU3ZA8ysDF3eL+fNT BLdb3HZTvOr4frAxwX7/xNbgkdm6Ts/0P5k5l5ZjPtOnAWkolOFX2T3qWrb7lgEedDhTaeQiHMRE VpjtdjfUuAGDG9A+ryTX5MXKJIRSiSseCCvP8oHXAdrD16zwqBQP2MtB+nSjIvf3nOECyhx8M3Oi HexFB6FbuVfGD2ijP+4v/Yij+4gGOE5wwpD5LJ1MNq2m72r1kpnrFodGB3XyOEFQL++XT34YyC61 cTM66S6JpscD2eHGzr+3hLffQ6+mXaMKIBgXh5q7tzIVr7u5fbeJ9z8QbXVxXh6dKsmsvH7gx0dZ Q0ud8ZTKRH5IsS8NKlSEQYlRCeXbBMWz9FedtW3vo0BO46KXl3LO9CValYdFIl5RsVDu16iBG9OD ab5/H8j8LOMd3iiJarXIRVxSZs5P9pxkhrbjmzX504meuCObafoNHoJYP9rT2Hj7zlVzXXP5fRqM EJMhGgc00ommBmX3d4/iB/MCLpu38MkFieVMjQpE+3Pd7+GJ/0TDEAe47xXTWQv0F3iKe+NquSTZ +ZTCmZXqn/Iz4EVz38kKAjet/kPqPS/Fj/atI6Bx2Mk/8h8CXOIlPx0Ho7P276GsCOwEBUHVdAEy GPxAxnrSDPM= `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/blk_mem_gen_v8_1/blk_mem_gen_v8_1_synth_comp.vhd
27
18409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP U/aYYyUL6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m THdehu5n5eHx4/tJQjQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp 9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7 n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f 0Ezm1jX/FmstQisPDpo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC 6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block GlakwJlDs9diDbpCl4KU0KkUfw9j1xywqgTmGuc57oCgkefePcKIVtdSuo+dbW9HN0RGmUn2O0tK DXCic0eq1H9129c5Sd/8zybEyXhy3yjSApo3JtDydB58vm6jqhkCZCH7up/tcv8RkmQr84bw2qv/ wnyFi4bl2XlPNvjY9WsiQeyRQDAJj7DRMviucMhJXsv0KsJD8lIs81VtJU/aV5JTprK+sOWL0Uf4 u9B7zUA82NsPSdebdtk+Pt0xCTuzLbaUc2Z4TkxkF0M1IZ0jYKMIkkAgNbDBccZ06tPaOdKDgwsV zDMw26pYAWQhRalHyrtP/drbMpyvWwS4V88Kf3DC4mC/VGLSCNem1egpxF378rn22U4oahRUggeI CPwfas4gfgOiN2SfInipimsjTJ0v+2BjrPZi4O8y+P8vR8QR2DAEa/L3QvVxxxK6O5TVHofq1z9A wiCOhbTyFAOhnhF7JFJe87B0sQNsYLCcxbxoOspfO/sqF7V0yqzE0AB+3wcPiqQbJ28fTYv3gBQY Ymv+EavmvCH0DhlvNQo2SkFxAX/zOMEVIt6GxY+rYvSiR+FzrkoY+TWPDtz24rA36xi45wZYcTBN jSmUlttVu9HKxGhYYJ/d/NDk+zBLqFDXjZOT4ojH7Dw+CtZ1Z8EDz2y5FjpdKpJ21SRbqVqtpImA iMaONsa9m9bZUTTABNTEMP2V38DLaE2qIOd2j52JmfzbLcbnBrjWB2DNBcWL/uX2dzzqncViIIpd qJy1ALUfvJPAY+42We8NKUHtQ52RwaVyZ2/Fb5mNIFrMgfxH4AcMcMCE1D8JEsou/4JkWnYFOGdl DZ1nDjXkbt3TyYarl6M7fzRoTVnSY9hLyYhReNZhXuBFdxH8Diu0skv+aa04qgwUxNfKioFPx2Sr bnTnUSGtEus8D0XnF/y4zRK7aLJczKjZHyF/ojluEY9p1KV11o33PZ5YSRs4Lyr2w9FZHW8eI13r 8J2f51y97zyk4iUnmim6mDqAXEc0z67bU923negcWO62tRs41JDALSExCM3B/0gEsi42SszZqwg6 VEJLNBZowz4ERkqSIIoEhkxjzKNvcaLNu8Vj4rbdVYP/EF8IZw1KQWHUzvVwRMjZHnUpOGC0vO7D xqG4mOfzJv1L/y/fv2r6AtSWU5/Ajb7Ye6gcKqwzjYjOF3Vo6k9MR1oCMSPWrUoa0QYA+ljmVVZN 5yfemL5F+ldab18DdT2pxVOGmzQhcjKUpFqS0nHSfwyPhTANDQb24i6k5nVKZsvt+/EwEDxlXOgc WUN2l8pVd7QLVOldjXullzaEEtLH1IpVKz1GxxYtQqUNkTw5aCzTsoxrFaT6ZYsbMYf9OOib9Daw mXD5LQWmPtgKbzRqCe0D+pGpL4Zbqti+aKNS5zIh+HXMwtVJT151dcwp4yB6ybiuQFpu8xFawY4F sI9NWlnSrQ8dmdcCSAWyU97RsVYOeyu4WidWrz6ZQGP2+BH9sfAbNMElVBBfr4psuM0tjS+in3Zq NzO9uQ1/VNY4s/+7kJfxOOweemY5blAo7Wl3yIllsa6L+mgYzXNsKP2z5S0G0Gqn7kb5M4jeG/qR IXXyxxJI2XLForULNGX+D3G0X433K55BJG1YPn5B60Wa+AX8YY9HHEBRHoSf7iKccnAh+1M/dB15 TcJEDZDlwQ8erGPYNYQRVKoFQsUT6wIKO6Qf/fYY/xHmhx3sE/IBksgMt6Y5OZQOuD22fxyDXPlU 0TUy4nFw0tquQfiUaolK+BwlyDfCMonkrZrpTyFMohAGEapWY9iv/vldNjo5MwrDnYvE7EFxrrJS JeChn2MRdkUXawC/fV+RnYZTulR7PlsKwXAiWmvzXdx2cfKfIGgrxJ7tYAWTYsgTJpe0mdRhrTVs 2eRcKy8DAj/xyXrAcKzogZfmHR4GbobuwAv2BAZmao5jnfZvGJ5HtWm1BCqA79TSTQo/T3nfS1Id DXoebnGOuseo5iL/gP/PmL7KVgL36n/ZArVNhb1kD6VvsANWe80gY0cwrGr0iggQsURVKfrtqL5Y iDtrMk+zpFs7vX1FCy+rab5PGF0qV1rhVeB/JEZCX/hGAa+7vrpVgo24q70472N34JH/0oylnnCE aPoOqScP00kn3Rapqadb7mcb+TGEbKX/+nx82v8JUN9X+5vIwpabJXLt5/hz4M+Mu/7fbj8ZJCx8 SMK3xfnc0weqcW6ygg6G8ZdawPwVP82ior1hzf6KFNHR1FEYTrzRcCJto7j9swRmqUMdfWh0UVq4 uRgLmNSpaV2ABzfKRe6YSn6LP/1JZ81x4cUtAXTq6mDGZH5vaXcPck95NGfgly/XiVcXZ5XNHNEw 3g0dSx2T6hmCilk2t9tJ9vK8EotJG8TlgVeUgQVn51SSDMfzM3gcLKKxHsKF3KpIuKA+0tz6j+0g PucHXmj6p8jBHj1YpfdUogRxWgkEUCYNK2bMvgFp451AiAF+4wYryPjukyRSnsZzXjCBuAc9WEjE SXruwwIRGENR4+2BVq7kBTTJm0yb1rYDPyJH23IXdUsgKFcYagAb6YxyEzzxQrNd+6x/TBLWvkfH BtrPIHlPGQlXAtejMaXLt9FVXOuOMs3uiODtqNzN+HgKNXxa0HphHVVcNqOvOW9dLa+oqiy0lgXZ 8unT/vXYHm42vNhFZfmcOCXgW/ljn53nj9I1Q4EhT10y9ditC835o9ef8fJYqgioRxseJoQic7fd rjo0n7WZAXH1dhTSwzW7nn5+R2I81+5rZTN39v8+KxxOsFTmkOZoi75/2yr/2reOHB0Rjfl9YHH9 dfie57jk2/uYqjT3q4uf9/hqgPj7WVSwt0Bn8Yw90yDo0/gT4R4j3INdHfrzj3F4STG13WrEtDZe Xj8wRi/ZZSKdkDsCr/36orcCc6yM99GntDAKJrBc9k7Vgm2URMt1hreTzGsmA38IywKyqpY8Jz/g 8vedMqejhuc7W+cWnwEXSeok0vwYtrnMJH8zqgXT4lInV5RvmJVZy1+kWr2SfRd0AiVxrcycPrXS q+m1PiDe5exDoa2BOi03qZYlqSjOX+4/penfXIZ17cBPIWMRmb8TodFTLI7+dILm0wKbgBeeOInK Mayz0Hab7N6TDaqKPbdZeNM0/KcDdJOuZxUTLgf4jTPs3PlC3x+AJduKaB3O0UsxQ3OqrheJjqbe EsVEAZfiNcTCworEsCK7IBo1ZKCEwu/cTSGL2Ejehngwo2wQQN+xc2/paJycKpDdtE8HZoy6rCuL La95BP0OyN/8rCS5AW/wvSEYFNh3oUxi54lc1zaRH+ZC6nh+f1Y5hfs88+WssNyUm2FYOAqWhyp3 XXhQUx0plbDlxwCAwfoHSqHNZPZSpE35myvKZrnEH9MDHwhgQZXZJ0wa3hO7wNECOawjPM/GvuHs RBBHJcqx5XA2Qu4TjAkZo+Yn3qAmmKTzFfywBzJBxhQmbiojHb/ZbVh2fJ/JP4MUwRbyz34mBJZF mmadEM6qkr1Ga7d9lR7CfIsfpailxIrUEqEim2l2lRKGw+qG8fbOVW2H3tX/wGlfVpufenfDzF/p X+56Bp3UkHIe94Tl7gc6ooXhlxLbnxz1sn/0PweivmwC11g5idTOeOqF4vmHdJHppgfW4n6GgFDe 5/8Rccc8wr3CxqEVNq25lN3aL9IyiUpPwVZGtmVSxXhomIq9LuIquLPaSTvrIXZW+yasavbjmyzb ta12ptJJtuXTD7N0FoHXIb5XDvaPPR+KZatWBWj4ZoIX6qCm/wABSjIRHTVYzycFbpOEV6ZMGrfg iaRYzGGXAJ2Ag0fEARz42gRYxRxb/l1v3fralViF96jpUSbZOy8k01Q9T5o6b1LiDHWl7mlP7HB/ 7XXCoD9BaYf84EccqjPdDnJ5lqWPDlPpgFkNL7ipFDaTJxvAw0OAQX9UGf7PbSXmDrmCYhzSgOYr neFtM3KLnGsUitwrADY+ODOchGp/2BkRYByH7rTCDsFiJsQw9c/av96JqvwVxA0Ff6/rGeUE1cgW /Uip8l8EYs1sNHryA6XlmXP381/3mJPtjGG/tb0bPgx6kkWeqJ4EB/u1f+HFuZHoeLtJWrSUJJ4T X5AFTzkbiatOKpNUxP1pta3H5aAwGtb6clr0CEHMyVgYbbGFkxoFi+mBk5nXNj5/+CRq6ek+rRnX x2St386eOL/nFntF9RmY4K8kEnQR/rfHQkOCecyh7fi+OF/LeKHePCloG+udXFysMh91U+MYsaOv XyN2bjtgsIDqGUQQt26mBMMtfSgocMS99vOkbWax058LL4V73612eyu02aXsWD8kz+9kTtzlYTuG 9+0FMB+jNfGHcOae2fNM/GM3Aq9Uky7UgNkyM7VPslQaRWld4zDlCHbWFVy+18p43aPvp6SKxiCD TnoD8Lnanbq5xyXBMbSxraLFRIE2YhT8M2j5V+iD29TwlvyoA/fh5Rhqku28wSxJABhMAyuzvckX w3AImgjk9wUIaWbP2LD34A00mOsKCXXr6bh4mbsZxHwFA8pqJ3kjbr9uBVe/MAmx2seqJhNkQGVi CUXFFUnyDMDj5Xd/2p69VzI+gh3YSq4dJCPQtVOatorPb7kt+fY7fGf/q2BKb4uXSwvE2VyBM2KA 6Z9aP4CiHEQv74tXgvHVT0I2WQ9v5n8+WW2uaZbWrwpU7lU36FGMjkVw36+hH/iN6Z3rKvuynCmk roEdOpx9vKnbxNmId47Yr9Hm0c/obx4EcpwFg2fR/c7mZLBU4exWTieZyUTZNgLnFyRVAiB0nDtN gbyCAvLg9No1bF221VZ0poX5z1EoGCeHEY3ym/2iw4HtJqLryileFpL0ah/z0T+mRiDwNEfUWMzg dC+7AMUaI97t3xe7HriPfWlOwRFmns6YHqQqB5MMJDuic6r0n5EDrlz71HATQyIK/rofb3/6hlEc qqxsFBKBzHpWRStMEtnRvNvuk/RPGOQyspuAc2h+IdeVVW87JWWPCEL9feUqUirGT7uadz7GxBMA w67TXr4tKEroWIDahn1KYPOkS/ZLeynuVxrP43lDakFOhQ3LlXklwWKUqZoqPIAstrKK3hNjG0gC gVFYdEgRa3S2NJdbFbWul02ZEASEQQlYiI50NNCyjipj8IOsMhnDNbppkCUn9/w1Z7S6e+Eo6CNK 9yASUdMdlegeCn4zJ1iFZ9r239l9YopmeZoohbw6vIZBmTmWNBeEvbf26dTrPKGnrPNwbYAHGDpH PNq7gvODfjnUeOl8hovrpvaqd7uxNZ10/v5DgcHPxyUSmV0hlPlJ1V8ePPSJsjiv7hLGmeTZTXDh Ju8vPWaTrNjtVeeTyRu/HjKIAPcUAx6DWK/8mCbrHzgLPeY07ZbLMwRSuChBQcK6JBZahNqe3BQQ giiakzVI1T6r1v8Yp2QjURO5HGUhvsSS3LU37rfqv3ly1B/S72sPGJLHthsAIjrGkCJ9jQhGwob6 fpZqRMn0Do743ZPa/QfE0KTZN1yeeDriWziUJoQiGGYBbTWA/7P3rXIZXuk2eU9+4WV/E+LhayFA Yrt2L06nklSBCgMC/eMc0b2k03g7+7G+E9ARX7TTG/2av51KE1/fXbZYFlk6giLFAbNzFde3Xu0f oLe0rxvaUGZ5UImXPSYBN0rxhR0IaNfnkI5kt4EA/EbTXqS1Z19b9UrbK4me0+YlN1ZJnk5deGMr o6q/o+rN2As1o761zUOUFcRqmv9F9QnqUAW6hrKp7NSpj3nvsiY+c0evGgLPMkYV/z/DZQoBZHFc S/OzpPJF69Q5hYPpOZ8ZaIoPDP9aNPCaziAxbbXOaiOupopt774p7AyfMKBck2TMoJfhsLrPRTy3 IYUlmWwY9P0JIJ35q57sWQf3hXs7nPO1ZFPivWnbs7p8VBhob2o+3F3g4O397EOWSrwLzCSyJp2l NqoqjKeJNKgyptEExhbaq4eylGqPh5iqdBcNuZsiUx/SbOwb2yPBGMAYmg+g4338NR8BmAYssRSL j5RfM67b8IhxHCt7+aOycd5kH/iOfKvirVdoA7Dw2uehxhKH9+6Kn9WeNf5n9WuITQF4Y4pWRwRN BrWmnuzp5lsqO3vINLmoYa/NFX2Nn5J6YDun5aeWoUvwW3RdxOLBok6OSxVF+xUYZirI+GUSNBil hXqQP+QTALT1Yq9TE7ayVUUR25hESwSAuVBPEWApVQDWF9lKkrW8QhoBXpJ5I00y601No3yQNxMb U/BLwQC/cDZv4XBCOjtl1w75TAasaOeornm20A3yviWWa7YerMQjDr3efbz13C9UlItMGWIuMfGh PmrrKjw6tidGTBCKfaGXUR/AV16/EI7C0TMiQwevjwUEOjfhwqbxTKu/lLVpwpI5C6M+XRCSwaHP LHc/F0FA6HlSoz/iS2DkWsZhpw10fPwuNfUTmPVkxrq1civyikMWG4vOj1vyPCWrvdw/cCDPUvwm tgbG792pyQBmX7AREd3F/vtEic9PbuxaZuOgJwYNxhFSg8LSRDqsVfwDNVpMbWTSzQcxCnHa6iG6 OlxW19xbfkGBSoUTCa3C1Jk5SjfljgylXsgM84qAUo80ef+UXnoHBypEkD2yzjnNuOJRw/BNR5VM qofqsJLesqeo9PathkK20+P5K/9KMg8gcA9rO/8xxaWAUhIytP4ylN1SiOlf4sVsqEpxsVV9EMMT vrRd01FRS1ZOGaGe0c9ylgZG5XK2+MQc+U97kVvutnXZkwwJPvkgggAwCmEGI/zygj0MhrP8BIu/ CyuYwi4+Hm3XVaQAuorXbmw7lBnc63stilet36Yn7OmKdyPuFv5Y6WBKB2SJoRbgUl1jCfF+1htA So6yyR2k706Xp7DbNdPAc+ry/qfOPl0Fl/oNoey9qVod40ExgTSF3jav6zdqEX5xro0WwlAblhw3 j7VDqv8VRAZBnTuJNxJOWpGLQcXyWH3ATrMuaP+l6DA1JKii+fvYvXMxCdxGCNWqwi9XS70vsTNI 1qgAaIT6UtXGwx7M/l0Df8gMhCsBE2lMtK1w26TIHNnPd9CHmPsTztPG8oRILB/WmKpuDSpDJlyg FDB4tjA/WN6Zyfnr7ptT2TP8wPgHq8mljuevoCtTJUV/nfCacUhEvbIb3D9vDpkcciutBB5hgbwH gqcXYH6KCZP2NHYLTwd0HacBF4GcrhUpNHlD+AFJ0Io7JsVoRf02pBJcjbaAUTuLhuufhVkIwdPk cGpX2PgaDyiAF+segYddpvn7VXwZccnQht50DKY4GF25+wCnkFTzuduPbsIREnr/qNrfERCuLG3O 1kWslT8qtsdxOI8zv1GJPFoN0v0AG3l8BR4QGgghzwpqp8PIb6PzvKfzsblGuvsCUmSYbAi/iyi0 IeonsCCeLFqj9hAzo4Y8jD5fSX8XwVOSvmGnDelg93srfUB1Zexf/sCf41p6D59CPVgURZ8Z9k5H r9w0U97vyey6hieqyeule9zeMaBQ0NOOGo+8ehKSqSRrqmJq0B2Q+6s6kwhFkvZaspDg0EukA5ec s9QtTZusO6rDBnwBpxcycZKSmukI9uPyeAWpfZ5FRomysdGNjyvxgsdLRXCK/WCU4xxhlWe/mkxw pBl8ceWWoClMez1gJHddqiGoUVa4KxLQGW/aVWu5LdcEWssXjmFIaZTrY0TKZzuQ/kYnT/EAWhau LVXCrcqyP5ArNPRaU1W+9PUysSWHj3+ahlHPLpl/6qFwRP+iwfGpozi8jcpFA0atkPDUAvBPiDLK 5O/AdpA29EBwNt3g9UgU9wZMjxWHCswKw9bnXCeLg1DCv2S+ZnhRlVnKOeeY7irUgaVBNGIgkv0M wBsVy2Yaz68Eedu35tdAR9kOgyGk+I+yve/SAn6N5fWGQ6LpauCypaBzJHuAwaykvbNnmAHwToZb rOLyb7R7bl8ygmWhTxrKHTGFYV1GDz8Hkp6xS9WzBfFCi0VNARhRdTHBtHpEF48ylmCXvxLFyaPt 6jJSnSwae3OBlCwUA6fCCEoDuMJxsUHzjfLz7wfmR3cvRZps0x7/ccVudjrWSjYYUJ0MfagcuIEY 3E9Ok/DqwXYAXzpP7k9UNQ9XxdNjQBjCIZm+eaqx/B/KBYIvYqoxpmpeammwAZ8w8Ng56J1vZDAw zHMCFSclXlEkZ3VH4+ahy3HI6wEsI5DjU+XzMc3zXPt6GgZBmf4fxyao8ZbeqkubTtu2jkP5i4bu h+jolP1962+3oap+B+TGOYn1Azn/gkzcCE49iR+XB6IDQdDfq9eiKDE57WyQwm10IMzQEAo20/u9 2qoe7DnGaPPlAeNtuxOtdxSc8U65YGxErhgZCMuoLQcXhYS+BmtVp/FtU2bfEm9siWP+tunq25lr V8mK3pt7jy3RyCPMwEP+nsuZkxxWPhu9RKoszoQk0nz6arlqqXKUdaeY0lefgnW7iJhR2AjUgBBF GGesHGD+c+HzkZFZ/zg6x9B+nCj2cKehu1xd3Wc/TfiEJH9gPTgk9OvPx2B4L0zpm5xpzAIUNYof 2/nMs3e0tbHqt4ucNeuZuzMfBwzAy0sKvrQshHgYE+Z0fDpHs7cUMmtGQK0oYFt1aHOJvxqPFEEx oWFkrRC4kGaHyJLL8r47BF7CgUWBr0WbslNzQdLQ3i9T16mDSeWkP64TQd5hLtVM46lrOxNRgxwg 0OyUPLEQLvF4aWkqDtQeg+n32GSkEnnTFONt0lJTD7EHLH7R4tLBO9l+7vWT6UW0jL02HPAze4hn 33ceiOkeuxELJLArgr3H1Wid1l3EiuODaOXxGGIhgwkHggD6dp1Mnmvl5eURQQYIwIp/hvBiOkLk zG7FMGZ8YZw3XhfsOoLnj931XkB0rh7VVHpUQRZzYH/YP1/sx6Cryy6nGWJpd42gW9PTY9+9EorF 2egzySWfe9WYMpHCANYrPi//2PkfGASI3guiWiE4I7KxwwNPPcemWEGL6wHb/zsAkc5hjIRfbpVo xjQLMDSSmnmqwcXArxRCNncHH9EPI21jkZtevW/WcRob5d7jVr85uPzhSKsuuDw4RAaUiIEj6DIH 28XxrWkwWZjvMpk4WhSnieIXeoRByKBqQpmuREK5+hSkxW8WbG7FWPomrIp6akMlqGw8OakZ3z/i lEnIHOPlYzx3LobZFH0XMxv9WBvNSz+zHaL/Fb6PpOhPXLxdjsuII9GZeIni3Q291omlu1baVRR5 HCs9MpAw7qEgcJ5U9za5tT1JPg+rBmKi92zzf1ThQzaf/a3nlJF12gqgWybwmgENq4w0uFEF2+jY Hp5QtaImNoRi4fM69UZ6An7zNNPN+sjZl6mH41d/jTlRjIbzk7jzA5VtEHt5e7Dn/az17NpDYDI7 Qt4fEsBWIdjKJ+Cx93245ish5n2JwDzDRBpIGGZSQi9djO29Q2p8frqEShWMu3Zd5r9ajPgI03s4 EvhzWSOtIFKnFhLuXfXXFQSHQP78uIzMfalC7rODr+nR2ATokzZcoRPk+ttp6U3IO0gPcWngNIy3 78M3gbVKf9d5gdmFO6+s5mLfR4eQbJhCzmTg/kh8/QpD78kL8TdefYHI99Yxbn/Us2E2BbABJvZZ NaA3W2bU+Bm0xmsL7Dq5bZWqarsOv10Bs5EKT0gkQbojwRXUsyXOMZdLYFpCRlTZNBvYo6s2rhFK I7SgDuiGf3/IIti1QZDAFU8xoQyoPG6eGGdtlPLG9g8a4xVYVCKMOFEMvqUFtu+vk6VYnf9QKrJV uPGr29ug/Q+AaBvX5NKOznElYxShLknLK+9cskcG6cAQOHN8wipDRJNReisA6fpykMFhssR/Xv7A sJl1EMRJ3VvDh3Y3HMghKb8/mewZ1aHx2TvAAMzoQ8+bx/Xyq1+w1S3j+NQJtQCsApAXUZ1v7y8E HKxsJ2dFs6doe7fLzbIHiI4BfsOjMklcpWsshSHT8AMLG+NP7UurN5xzSWUR1+U9dfWxsVePGckr Ckg+0rN8oCl6PCNNJh5A+DABrY4zPlhHjTT+9oMqN7OdVJgscuW5v0jCg8A6cpKlGj2ze8r9vbRR qqUuUhcJKJQGWvK9hQHurR50VeE7srh3yI1m6ik4aZWM2d2nqcuE3NnwUJHjPUMw9D7CuEfwV7RR oBiJVTWewcZaGtQxRsZM57Y8r6TqFL+CateKdGhutYNjRts/h8gyVhnTTIdodgCraA7KFpf22MTM PHHvxYLEfaqPeHp0Fj1I2D1uHIo2JpjCwCyB8NxqCYPNWIUiZyJREEKUIaEEq1WB/XQ0jtygl3np bITCl6KMgDPUdgBnyMjrt5JMD538nFStAKeLY8fgLOBk7t2YP9cwAHB32q//5GEshYNXTFQ8rj1B dezhP/S6GnsTNXCIb9c28umXi4KVH2mAR6wk6TmXMdlzTOGsKhNq2wnFMjvSW0LQN0AJUrPKPwIN SUf1+SOaGtB9+BsGgOt779AkfvGaGejtZGZ8o9Jb81mebhWy6bczrvuxtodqdIltST4YPoYwr0fu nO1WxHE6kI/sS3E8gDK1CMxKkWwBNs3tx1IdaM6mphWedlUNJqq276jMyfFx/4iVPRwqNi9zuLgD d+1dKF97dQk2VWn8Gl11Cz5E7jh5Wk5HJVJrrpHaSH7c1RCqIIgcdfbRDUQo0JCImDzO3JqdbzVo jah5ltJ/zBn8gIdfk7dnJatj/PXIQh49eaYNLI5kVqo0HIzdQEMKbVv8aLJBNRmPFqZDELTJ1AU+ B1CjXhLAYddHwr+MUvelrkz5ZpB8XYHc+UcZ30KIbXXjKsuhdu2zLW/oyskw6oldcCHdzMlH3J8c 6STA3qMl8jQ9cJj+MDtw1JYmlP7VVY3vSS6eWPvJVZFsDOfgJTTc3FwODBwQsK5RdCBbzcVOH81u W59tW6YQGBp8o0HSwEb6x9t4j0PuUZRm/XMJxZt3OAd29HO3Js0a9GtmL4Urhwn0F/6UnhlL1HdB nNecLkz2BTkr8urnUYdEi+jGRVAOUhoQ5lajRkmXTndu7OaD9NKPinjKXdhSPPXq8ejxsNFnb0HK AdEQg5k0UuIdb34R05kcFfN4iRc3QkqY4n6kgaE6QA2VTBXtIRYSa7TFv4wVuxCpBRvzMMJQaegD hFIFJ0nAE9a4AkEn+jGmIzQ+O14R+VRvxh7yv5luff8pxWjGUb3js054lFwEjzrxxB9JdvIKxxlV Bx5liv/4Nwk6rPeZWWlbN/OhRFz0mKmf4KzVf7whfxnoYdSezgvUuToWqzYHlru/U4LukAHynATf VyAwjDrMcN/dRcigTRHIxyBGcEMi19ABp0TlA9vViUmN6q0DnVpDhHVJezA/yvuWCw/oaYMa3fAu 6kLXx2T1P+aUkwq8bvxgUkF80OM78aWsWS5kGDOM98iDVwGYom5nhAReXc0mAierzawnKqM6DRrL 7tG+YqJ/znGFYt+W0WYNmW2BACp8U+K0aBwghx/NoSqvHwXTR4XmfFJoy6MKXyiZUaiJ9BNP2sUG f3uep/pmJGWj+OYZIeW4VTQ1IPcYHIyZOrr6t9Q/oAiyxMSnQ3gtCoBZxRvBhTWEdOFT4nXNPpFb zN6uMpRu6MFiRMvBMcKt6G2uk9RWVL3FGl8A1zkrSWPGJjJgIE+TjuuRzwrWYRpmzysn4bJmxkjS g8/YDGkdNVbku4n9epsOdLxfPW5BJKI24iOJaWaLS+AS8DVa5XvaolPtdVC6pueS1JRw9MCU5Zh+ DOAYofLxL4Ym6z0b01vLSa33/cNivHKfdSFogf1Lmy8hFfzBfcGbaW4P8xyMuQ5QywaNAanuEuZZ VXCl5z+bmK58NoQ7+7W22XCGAkvh4bNhHnp3StUp1ffzail3MXPyMz2AFkvtCTLS1SnQ5vQrG5J3 B/g4RxN21k2KkuX6SvCo8p3P8yjm9pVTIE8AUr76bGzcEcm2Rx4OyCB4A2eHofaEUkZ7peaWhiMz LGC3GoDmB3aznZmKil23Cgq8ifxDmehw9cmjvCz0N3P52n+FORbxiVzIbwyJBbtkiSDndPUpxunH WYlUz2wPNiBmcnw2Whwrw8O/KXgixOvZW6JkPgGw/1J7oGq9RUe9DE+LWutnfqWs7+P+PxJ1Ga31 NphRyKsUYdpCrMPaaiKW17GMEwGCNNYHPPsxs3WCYC3erB14+C4C8VAjgBCRCGidljc/RL7Ufajy RJi4E5NOfr1L8BZaNNwOzSTjJDYDlxiOC4VWWdMIWc2KbQ0KkE9K+ouzWt7rcl6wkjg1G3xqMkus 9F6gtAVcR/3vN6FE8m/SYcPW1Zb1pE9NTNNVvBvLQHfg7weJ1vLckDk3TYy9Ma/lh1xynPlV1OCV M/Eg4wivUSPRgFoICuPPL3c6yPC18VvbuHJLetQR7Q9Zzv5gqXaRe9NLHM1DtCrpPVnPydVMITGg VqYMe6BDjTeZAt06HdkJ92OSZx7hoEytxvtG0RUT3mWz2b1zgpc9VzuDOo2iZ9eDn7IHkUJYs/FA VeA5F1XhdXlXaAomkEwL5Z7nmNT7TPDFUxzqGDQvjpsquM1C7yNkSx1lCnylquUZMTyuWRApBwm+ YVH3fy4+1CMT2jxoMAJA548gCwSvZC3W9BNTocwyUurrWRvaBjmVIlVDAzCm7TtqMoxWgelEtLLn j3lYZRSjF5x1X5/akJNerW/uzVcKhxR+d/XX0G/6VE35kK4BI/yjKVMQRx8YqTdyxZoeJm8tXInt EhQDHXpgwzPBkxiCGdp/lWMMvNW6WW/XuLBfFXFPGUiiETRas0cPzRoezZGGcCnbM4qSfhCIDjl+ WpzIH2ZFgIEc1OzmKJxxokT0ogdh4XmfcFKObKtzhOF/i4AFTN9yQFRd/0W+MV5rOeLKMtS4vzDF e3NY8SMhLF+OFeus9dcYziwFs2Ut49oRLzXZlMhS1HjnKnQQEXcMfiUxPwaMMWQlIPrGBKCeJjDG gyR7pPzNBag7DK3yNv1c8XSTWICxjU86qLNjBquuh5W+XQzVAabp6C6gd1eoL6SMl/FRd6xoDya7 8a+Z8YlEevXYVyYs64FM7qDMURlhCfUTTbNBnKarlDfEwBmeORPfJhtmg0IvdGdUSCXapHosNe6J o0CisD8YcSIzvx/KhJROxkILUC5jWFxFHpNYEZrqG4KZ0paa1ooooovULfTZcs/O33czfNiBpQ6g NSc2uRVB4SCTDvdh4M2OqlI9t5pvceNflh0KoJMbQTYcy1d1D7TI5RgqqojTmjT+FMAOwOMjJVyw wKLraOE/uh8qKMbLOv80ShGgZt3wcg0z9Bjaip758Vb3caaIamUN+Dcxu67CkUsboUfs5HphOGSt D/+Ph+g0WianpmL2Xel6+ALEqj9TM14vcu/9l/GmTf+aCjKUo74WfYXV8h8CxJLkylmTcjucv1Sg 3ZUEjUfwUdPwBAZLd5BRH7miWLWDB5NkOjDvf37YEYShTkrZu+LBFBx1qlMt+yzikDUbXuPHM7JJ oGt5l0ZsR69T32kX7m8UN8+gFZmg0n5J9iQmfxW69KrF8g3AEuRk020uRDFLCzLcRJMaqv//G0Eq /oIVZkGl8i6uEnv0YGD80o+D9pCW4jmW0XvdjOF8UM2FPGldrIjsryHs3NVTc4l/TlNj+qu5D2tL uj7c8FGbZWXNHBjrjq0aisuOVx0uVqKX8rZfS7hzXcCTlgGWHyyxI5JJ8CZcNtGHx5u04Lyx7kYy yzALFbi9HwBcY3orlDE4Xw7TVgwt3WVws83UrS09BPnH1/pJCHwO0jja3ZiXhQN3X2fZoQ22C8d9 RdFIJaytk+GoZp2PBHIx14zkUkY70z50Y9vgCi3iImvpW+1WvVIXH7ech774121WxXGVBL5jLfdW ausA1g0glJKOPeR0gz175ROSapvttTpaahexLxxsH5ZXyxhA8EvMjrRkIJ1YPWP4YeOAI4HipJzV 7mz7d77z/MZC89b2pbnKyJnOBt4rzPkbnziT1Z4MFHo+pScEjEiyZ/SWLDDoKbkdktJ9X2HmAmMr CYw3QT7KIuWVyYV7mGdOZhH/kb+OF4lkE+Gn1UfA7jPL3L+JiKMVuQGpffM6LM6ywn2Zwbbehlh0 kBQjO8TGH5oMjT9OoC6KuIecJkT0TXgsIZjExEUhmj5s6YZx87XBuRPRWB76Px2NQbRPiz/hBVbl B8k9oC+TM6ppG1t3LrKIow64yVZW0SSkmGuBTW4znw4BJXhRS2uAqn5mKEqFy6mc6ggM0RTKrEms kvTkYM7AQMzj7Kqcqn/CrF0EL01T/uBFuSbV+IK25HCYzgByoHLV4rqswSuBn/qKKi9DiiKx3Rcb 1opFf7IY1bCQ+NR0dCJuR0AYtEKrmlI7PspsV4leYGEavRRNfjeklPIdd0OjQ7PVvU1b8+seAkXe uqt46UrNzm7uAb+cLRqZRRHsK92zdUGxb9U3i4SlaJsFwqZcnLXire645AJzjQk5YOORvTA/rCjv YiXJ3bTR7FPGNERDAoF1KDlazKII5YMuB/nyLvpB+gucyBDomVFLHWP27hmi9UirgbDn2uef8P1a WgndZDNbsbDn8cLc4zyBWpV3hoLIScC5HRo/pM1kCfbEyCPImqskEuhicYygcuOtEHWwDPIOwiPC MZZT9ALOEsZzrOiRQ7UREYI8+MzWx0V3O8neIY1qtc8r5s1hA6C6DLMrDolnII1V/vInufyhbyWq 2ohFTKgMzx3cUuo9ClEr0je4YtA2mDX2C9GwrpO1wLdNR725cQOFRPNYjztdHJmALlx34gEw67mh JEf4eQ2NaNUFFs6Pfs6GLYw/90bumA5+UcKrOkBq24lYkz1FKJzds/YtdCYyq3Tp2SW5W5jUNPVq 7iDHchcs7Qrf8LHHWHVfv011wE2H4cazfR4RzxB4k81uJwFSLNyFnZU1OLik8/YBZthbVLbIMdym krQj3STiMy3C2Fnz1wQNHRcFUfRlhSIw2QXGXqyIJD5/P+jckrT+Emk5sjW1rx0a89INBlVHg/Eo u2DbMikdGu5JHO7s10cz/PvkFchpKQusY29laYrOnxSeebZysOrW4ajdM2IQykUqZMKhBC8NH/qU 3WKs0IMBXNMqBDn6KMlqJTODlgTfT1CTjaNyW3uKQaESbHMUFL5DRJ4vP4YSvHsrdT9hwVGODVFZ SViCxKPSL7CW3WzG1tPMqlrqx6pu6mZhnaUrXDgiWaWmtbZocBMXqR4cidM/UqbEpYTXKKjVJS97 NPmjWx5iSsdXZUF1Cl+bCvK4ggy17FCkSDgqMUSgXh9HPhNq4OdbAOoKFxn1VFh723XorjP82F38 6VL7149Lx6Hdcf1w93a/nPyWNMnMQipZaNfqEqxZgNR8a06bHX9MenIcv/3azl+FKpmClUwDtxnO jKzlTfwzcvXIPioFyymlVXzr+MnC0AUW1HCAUYeBg3ZBNsrTHZyd9VzR6+NBXRs0Cx2nzNZTKglm 2sWDvmSWYw9kgpKXiaNPGZEasr2DJ12GVl9Qu6ufX6kEt3I4IR6f8YSszaWTMTUY6EkhX5SOllKq QKBPNHHKiZ7a8U9J6AZKjAb/+k6wYE9bHnLKM0XokmhCeLWkCKRpp6Yn4+pR6lL2atklg02PDGTZ BmKn8TErAcPE/KVtXpicrcbVH6HoXIvlryc+ZrJ21S3qfi8oFEDSjY8hBmoNmvzRpvdxZlszJMXS q2sFWhmt0JaAKH7v+4ngS973QbneI0i/T5ZWITbKYuNcGyG0ao2KJAwVn8FhFdFbOqWKLn7PaCzC sWVa2He4TGjjspWzaJk37kEZR6rhxFfRawC9IXJCCn6gE/8Dv4AfV/T7l0Ncbfwmh0QLcTcDt6WU wVM4EX3jZnwNVOK+HG3uZNQkbnx9ZSHDnmi09HN6TUY= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_synth_comp.vhd
27
18409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP U/aYYyUL6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m THdehu5n5eHx4/tJQjQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp 9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7 n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f 0Ezm1jX/FmstQisPDpo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC 6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block GlakwJlDs9diDbpCl4KU0KkUfw9j1xywqgTmGuc57oCgkefePcKIVtdSuo+dbW9HN0RGmUn2O0tK DXCic0eq1H9129c5Sd/8zybEyXhy3yjSApo3JtDydB58vm6jqhkCZCH7up/tcv8RkmQr84bw2qv/ wnyFi4bl2XlPNvjY9WsiQeyRQDAJj7DRMviucMhJXsv0KsJD8lIs81VtJU/aV5JTprK+sOWL0Uf4 u9B7zUA82NsPSdebdtk+Pt0xCTuzLbaUc2Z4TkxkF0M1IZ0jYKMIkkAgNbDBccZ06tPaOdKDgwsV zDMw26pYAWQhRalHyrtP/drbMpyvWwS4V88Kf3DC4mC/VGLSCNem1egpxF378rn22U4oahRUggeI CPwfas4gfgOiN2SfInipimsjTJ0v+2BjrPZi4O8y+P8vR8QR2DAEa/L3QvVxxxK6O5TVHofq1z9A wiCOhbTyFAOhnhF7JFJe87B0sQNsYLCcxbxoOspfO/sqF7V0yqzE0AB+3wcPiqQbJ28fTYv3gBQY Ymv+EavmvCH0DhlvNQo2SkFxAX/zOMEVIt6GxY+rYvSiR+FzrkoY+TWPDtz24rA36xi45wZYcTBN jSmUlttVu9HKxGhYYJ/d/NDk+zBLqFDXjZOT4ojH7Dw+CtZ1Z8EDz2y5FjpdKpJ21SRbqVqtpImA iMaONsa9m9bZUTTABNTEMP2V38DLaE2qIOd2j52JmfzbLcbnBrjWB2DNBcWL/uX2dzzqncViIIpd qJy1ALUfvJPAY+42We8NKUHtQ52RwaVyZ2/Fb5mNIFrMgfxH4AcMcMCE1D8JEsou/4JkWnYFOGdl DZ1nDjXkbt3TyYarl6M7fzRoTVnSY9hLyYhReNZhXuBFdxH8Diu0skv+aa04qgwUxNfKioFPx2Sr bnTnUSGtEus8D0XnF/y4zRK7aLJczKjZHyF/ojluEY9p1KV11o33PZ5YSRs4Lyr2w9FZHW8eI13r 8J2f51y97zyk4iUnmim6mDqAXEc0z67bU923negcWO62tRs41JDALSExCM3B/0gEsi42SszZqwg6 VEJLNBZowz4ERkqSIIoEhkxjzKNvcaLNu8Vj4rbdVYP/EF8IZw1KQWHUzvVwRMjZHnUpOGC0vO7D xqG4mOfzJv1L/y/fv2r6AtSWU5/Ajb7Ye6gcKqwzjYjOF3Vo6k9MR1oCMSPWrUoa0QYA+ljmVVZN 5yfemL5F+ldab18DdT2pxVOGmzQhcjKUpFqS0nHSfwyPhTANDQb24i6k5nVKZsvt+/EwEDxlXOgc WUN2l8pVd7QLVOldjXullzaEEtLH1IpVKz1GxxYtQqUNkTw5aCzTsoxrFaT6ZYsbMYf9OOib9Daw mXD5LQWmPtgKbzRqCe0D+pGpL4Zbqti+aKNS5zIh+HXMwtVJT151dcwp4yB6ybiuQFpu8xFawY4F sI9NWlnSrQ8dmdcCSAWyU97RsVYOeyu4WidWrz6ZQGP2+BH9sfAbNMElVBBfr4psuM0tjS+in3Zq NzO9uQ1/VNY4s/+7kJfxOOweemY5blAo7Wl3yIllsa6L+mgYzXNsKP2z5S0G0Gqn7kb5M4jeG/qR IXXyxxJI2XLForULNGX+D3G0X433K55BJG1YPn5B60Wa+AX8YY9HHEBRHoSf7iKccnAh+1M/dB15 TcJEDZDlwQ8erGPYNYQRVKoFQsUT6wIKO6Qf/fYY/xHmhx3sE/IBksgMt6Y5OZQOuD22fxyDXPlU 0TUy4nFw0tquQfiUaolK+BwlyDfCMonkrZrpTyFMohAGEapWY9iv/vldNjo5MwrDnYvE7EFxrrJS JeChn2MRdkUXawC/fV+RnYZTulR7PlsKwXAiWmvzXdx2cfKfIGgrxJ7tYAWTYsgTJpe0mdRhrTVs 2eRcKy8DAj/xyXrAcKzogZfmHR4GbobuwAv2BAZmao5jnfZvGJ5HtWm1BCqA79TSTQo/T3nfS1Id DXoebnGOuseo5iL/gP/PmL7KVgL36n/ZArVNhb1kD6VvsANWe80gY0cwrGr0iggQsURVKfrtqL5Y iDtrMk+zpFs7vX1FCy+rab5PGF0qV1rhVeB/JEZCX/hGAa+7vrpVgo24q70472N34JH/0oylnnCE aPoOqScP00kn3Rapqadb7mcb+TGEbKX/+nx82v8JUN9X+5vIwpabJXLt5/hz4M+Mu/7fbj8ZJCx8 SMK3xfnc0weqcW6ygg6G8ZdawPwVP82ior1hzf6KFNHR1FEYTrzRcCJto7j9swRmqUMdfWh0UVq4 uRgLmNSpaV2ABzfKRe6YSn6LP/1JZ81x4cUtAXTq6mDGZH5vaXcPck95NGfgly/XiVcXZ5XNHNEw 3g0dSx2T6hmCilk2t9tJ9vK8EotJG8TlgVeUgQVn51SSDMfzM3gcLKKxHsKF3KpIuKA+0tz6j+0g PucHXmj6p8jBHj1YpfdUogRxWgkEUCYNK2bMvgFp451AiAF+4wYryPjukyRSnsZzXjCBuAc9WEjE SXruwwIRGENR4+2BVq7kBTTJm0yb1rYDPyJH23IXdUsgKFcYagAb6YxyEzzxQrNd+6x/TBLWvkfH BtrPIHlPGQlXAtejMaXLt9FVXOuOMs3uiODtqNzN+HgKNXxa0HphHVVcNqOvOW9dLa+oqiy0lgXZ 8unT/vXYHm42vNhFZfmcOCXgW/ljn53nj9I1Q4EhT10y9ditC835o9ef8fJYqgioRxseJoQic7fd rjo0n7WZAXH1dhTSwzW7nn5+R2I81+5rZTN39v8+KxxOsFTmkOZoi75/2yr/2reOHB0Rjfl9YHH9 dfie57jk2/uYqjT3q4uf9/hqgPj7WVSwt0Bn8Yw90yDo0/gT4R4j3INdHfrzj3F4STG13WrEtDZe Xj8wRi/ZZSKdkDsCr/36orcCc6yM99GntDAKJrBc9k7Vgm2URMt1hreTzGsmA38IywKyqpY8Jz/g 8vedMqejhuc7W+cWnwEXSeok0vwYtrnMJH8zqgXT4lInV5RvmJVZy1+kWr2SfRd0AiVxrcycPrXS q+m1PiDe5exDoa2BOi03qZYlqSjOX+4/penfXIZ17cBPIWMRmb8TodFTLI7+dILm0wKbgBeeOInK Mayz0Hab7N6TDaqKPbdZeNM0/KcDdJOuZxUTLgf4jTPs3PlC3x+AJduKaB3O0UsxQ3OqrheJjqbe EsVEAZfiNcTCworEsCK7IBo1ZKCEwu/cTSGL2Ejehngwo2wQQN+xc2/paJycKpDdtE8HZoy6rCuL La95BP0OyN/8rCS5AW/wvSEYFNh3oUxi54lc1zaRH+ZC6nh+f1Y5hfs88+WssNyUm2FYOAqWhyp3 XXhQUx0plbDlxwCAwfoHSqHNZPZSpE35myvKZrnEH9MDHwhgQZXZJ0wa3hO7wNECOawjPM/GvuHs RBBHJcqx5XA2Qu4TjAkZo+Yn3qAmmKTzFfywBzJBxhQmbiojHb/ZbVh2fJ/JP4MUwRbyz34mBJZF mmadEM6qkr1Ga7d9lR7CfIsfpailxIrUEqEim2l2lRKGw+qG8fbOVW2H3tX/wGlfVpufenfDzF/p X+56Bp3UkHIe94Tl7gc6ooXhlxLbnxz1sn/0PweivmwC11g5idTOeOqF4vmHdJHppgfW4n6GgFDe 5/8Rccc8wr3CxqEVNq25lN3aL9IyiUpPwVZGtmVSxXhomIq9LuIquLPaSTvrIXZW+yasavbjmyzb ta12ptJJtuXTD7N0FoHXIb5XDvaPPR+KZatWBWj4ZoIX6qCm/wABSjIRHTVYzycFbpOEV6ZMGrfg iaRYzGGXAJ2Ag0fEARz42gRYxRxb/l1v3fralViF96jpUSbZOy8k01Q9T5o6b1LiDHWl7mlP7HB/ 7XXCoD9BaYf84EccqjPdDnJ5lqWPDlPpgFkNL7ipFDaTJxvAw0OAQX9UGf7PbSXmDrmCYhzSgOYr neFtM3KLnGsUitwrADY+ODOchGp/2BkRYByH7rTCDsFiJsQw9c/av96JqvwVxA0Ff6/rGeUE1cgW /Uip8l8EYs1sNHryA6XlmXP381/3mJPtjGG/tb0bPgx6kkWeqJ4EB/u1f+HFuZHoeLtJWrSUJJ4T X5AFTzkbiatOKpNUxP1pta3H5aAwGtb6clr0CEHMyVgYbbGFkxoFi+mBk5nXNj5/+CRq6ek+rRnX x2St386eOL/nFntF9RmY4K8kEnQR/rfHQkOCecyh7fi+OF/LeKHePCloG+udXFysMh91U+MYsaOv XyN2bjtgsIDqGUQQt26mBMMtfSgocMS99vOkbWax058LL4V73612eyu02aXsWD8kz+9kTtzlYTuG 9+0FMB+jNfGHcOae2fNM/GM3Aq9Uky7UgNkyM7VPslQaRWld4zDlCHbWFVy+18p43aPvp6SKxiCD TnoD8Lnanbq5xyXBMbSxraLFRIE2YhT8M2j5V+iD29TwlvyoA/fh5Rhqku28wSxJABhMAyuzvckX w3AImgjk9wUIaWbP2LD34A00mOsKCXXr6bh4mbsZxHwFA8pqJ3kjbr9uBVe/MAmx2seqJhNkQGVi CUXFFUnyDMDj5Xd/2p69VzI+gh3YSq4dJCPQtVOatorPb7kt+fY7fGf/q2BKb4uXSwvE2VyBM2KA 6Z9aP4CiHEQv74tXgvHVT0I2WQ9v5n8+WW2uaZbWrwpU7lU36FGMjkVw36+hH/iN6Z3rKvuynCmk roEdOpx9vKnbxNmId47Yr9Hm0c/obx4EcpwFg2fR/c7mZLBU4exWTieZyUTZNgLnFyRVAiB0nDtN gbyCAvLg9No1bF221VZ0poX5z1EoGCeHEY3ym/2iw4HtJqLryileFpL0ah/z0T+mRiDwNEfUWMzg dC+7AMUaI97t3xe7HriPfWlOwRFmns6YHqQqB5MMJDuic6r0n5EDrlz71HATQyIK/rofb3/6hlEc qqxsFBKBzHpWRStMEtnRvNvuk/RPGOQyspuAc2h+IdeVVW87JWWPCEL9feUqUirGT7uadz7GxBMA w67TXr4tKEroWIDahn1KYPOkS/ZLeynuVxrP43lDakFOhQ3LlXklwWKUqZoqPIAstrKK3hNjG0gC gVFYdEgRa3S2NJdbFbWul02ZEASEQQlYiI50NNCyjipj8IOsMhnDNbppkCUn9/w1Z7S6e+Eo6CNK 9yASUdMdlegeCn4zJ1iFZ9r239l9YopmeZoohbw6vIZBmTmWNBeEvbf26dTrPKGnrPNwbYAHGDpH PNq7gvODfjnUeOl8hovrpvaqd7uxNZ10/v5DgcHPxyUSmV0hlPlJ1V8ePPSJsjiv7hLGmeTZTXDh Ju8vPWaTrNjtVeeTyRu/HjKIAPcUAx6DWK/8mCbrHzgLPeY07ZbLMwRSuChBQcK6JBZahNqe3BQQ giiakzVI1T6r1v8Yp2QjURO5HGUhvsSS3LU37rfqv3ly1B/S72sPGJLHthsAIjrGkCJ9jQhGwob6 fpZqRMn0Do743ZPa/QfE0KTZN1yeeDriWziUJoQiGGYBbTWA/7P3rXIZXuk2eU9+4WV/E+LhayFA Yrt2L06nklSBCgMC/eMc0b2k03g7+7G+E9ARX7TTG/2av51KE1/fXbZYFlk6giLFAbNzFde3Xu0f oLe0rxvaUGZ5UImXPSYBN0rxhR0IaNfnkI5kt4EA/EbTXqS1Z19b9UrbK4me0+YlN1ZJnk5deGMr o6q/o+rN2As1o761zUOUFcRqmv9F9QnqUAW6hrKp7NSpj3nvsiY+c0evGgLPMkYV/z/DZQoBZHFc S/OzpPJF69Q5hYPpOZ8ZaIoPDP9aNPCaziAxbbXOaiOupopt774p7AyfMKBck2TMoJfhsLrPRTy3 IYUlmWwY9P0JIJ35q57sWQf3hXs7nPO1ZFPivWnbs7p8VBhob2o+3F3g4O397EOWSrwLzCSyJp2l NqoqjKeJNKgyptEExhbaq4eylGqPh5iqdBcNuZsiUx/SbOwb2yPBGMAYmg+g4338NR8BmAYssRSL j5RfM67b8IhxHCt7+aOycd5kH/iOfKvirVdoA7Dw2uehxhKH9+6Kn9WeNf5n9WuITQF4Y4pWRwRN BrWmnuzp5lsqO3vINLmoYa/NFX2Nn5J6YDun5aeWoUvwW3RdxOLBok6OSxVF+xUYZirI+GUSNBil hXqQP+QTALT1Yq9TE7ayVUUR25hESwSAuVBPEWApVQDWF9lKkrW8QhoBXpJ5I00y601No3yQNxMb U/BLwQC/cDZv4XBCOjtl1w75TAasaOeornm20A3yviWWa7YerMQjDr3efbz13C9UlItMGWIuMfGh PmrrKjw6tidGTBCKfaGXUR/AV16/EI7C0TMiQwevjwUEOjfhwqbxTKu/lLVpwpI5C6M+XRCSwaHP LHc/F0FA6HlSoz/iS2DkWsZhpw10fPwuNfUTmPVkxrq1civyikMWG4vOj1vyPCWrvdw/cCDPUvwm tgbG792pyQBmX7AREd3F/vtEic9PbuxaZuOgJwYNxhFSg8LSRDqsVfwDNVpMbWTSzQcxCnHa6iG6 OlxW19xbfkGBSoUTCa3C1Jk5SjfljgylXsgM84qAUo80ef+UXnoHBypEkD2yzjnNuOJRw/BNR5VM qofqsJLesqeo9PathkK20+P5K/9KMg8gcA9rO/8xxaWAUhIytP4ylN1SiOlf4sVsqEpxsVV9EMMT vrRd01FRS1ZOGaGe0c9ylgZG5XK2+MQc+U97kVvutnXZkwwJPvkgggAwCmEGI/zygj0MhrP8BIu/ CyuYwi4+Hm3XVaQAuorXbmw7lBnc63stilet36Yn7OmKdyPuFv5Y6WBKB2SJoRbgUl1jCfF+1htA So6yyR2k706Xp7DbNdPAc+ry/qfOPl0Fl/oNoey9qVod40ExgTSF3jav6zdqEX5xro0WwlAblhw3 j7VDqv8VRAZBnTuJNxJOWpGLQcXyWH3ATrMuaP+l6DA1JKii+fvYvXMxCdxGCNWqwi9XS70vsTNI 1qgAaIT6UtXGwx7M/l0Df8gMhCsBE2lMtK1w26TIHNnPd9CHmPsTztPG8oRILB/WmKpuDSpDJlyg FDB4tjA/WN6Zyfnr7ptT2TP8wPgHq8mljuevoCtTJUV/nfCacUhEvbIb3D9vDpkcciutBB5hgbwH gqcXYH6KCZP2NHYLTwd0HacBF4GcrhUpNHlD+AFJ0Io7JsVoRf02pBJcjbaAUTuLhuufhVkIwdPk cGpX2PgaDyiAF+segYddpvn7VXwZccnQht50DKY4GF25+wCnkFTzuduPbsIREnr/qNrfERCuLG3O 1kWslT8qtsdxOI8zv1GJPFoN0v0AG3l8BR4QGgghzwpqp8PIb6PzvKfzsblGuvsCUmSYbAi/iyi0 IeonsCCeLFqj9hAzo4Y8jD5fSX8XwVOSvmGnDelg93srfUB1Zexf/sCf41p6D59CPVgURZ8Z9k5H r9w0U97vyey6hieqyeule9zeMaBQ0NOOGo+8ehKSqSRrqmJq0B2Q+6s6kwhFkvZaspDg0EukA5ec s9QtTZusO6rDBnwBpxcycZKSmukI9uPyeAWpfZ5FRomysdGNjyvxgsdLRXCK/WCU4xxhlWe/mkxw pBl8ceWWoClMez1gJHddqiGoUVa4KxLQGW/aVWu5LdcEWssXjmFIaZTrY0TKZzuQ/kYnT/EAWhau LVXCrcqyP5ArNPRaU1W+9PUysSWHj3+ahlHPLpl/6qFwRP+iwfGpozi8jcpFA0atkPDUAvBPiDLK 5O/AdpA29EBwNt3g9UgU9wZMjxWHCswKw9bnXCeLg1DCv2S+ZnhRlVnKOeeY7irUgaVBNGIgkv0M wBsVy2Yaz68Eedu35tdAR9kOgyGk+I+yve/SAn6N5fWGQ6LpauCypaBzJHuAwaykvbNnmAHwToZb rOLyb7R7bl8ygmWhTxrKHTGFYV1GDz8Hkp6xS9WzBfFCi0VNARhRdTHBtHpEF48ylmCXvxLFyaPt 6jJSnSwae3OBlCwUA6fCCEoDuMJxsUHzjfLz7wfmR3cvRZps0x7/ccVudjrWSjYYUJ0MfagcuIEY 3E9Ok/DqwXYAXzpP7k9UNQ9XxdNjQBjCIZm+eaqx/B/KBYIvYqoxpmpeammwAZ8w8Ng56J1vZDAw zHMCFSclXlEkZ3VH4+ahy3HI6wEsI5DjU+XzMc3zXPt6GgZBmf4fxyao8ZbeqkubTtu2jkP5i4bu h+jolP1962+3oap+B+TGOYn1Azn/gkzcCE49iR+XB6IDQdDfq9eiKDE57WyQwm10IMzQEAo20/u9 2qoe7DnGaPPlAeNtuxOtdxSc8U65YGxErhgZCMuoLQcXhYS+BmtVp/FtU2bfEm9siWP+tunq25lr V8mK3pt7jy3RyCPMwEP+nsuZkxxWPhu9RKoszoQk0nz6arlqqXKUdaeY0lefgnW7iJhR2AjUgBBF GGesHGD+c+HzkZFZ/zg6x9B+nCj2cKehu1xd3Wc/TfiEJH9gPTgk9OvPx2B4L0zpm5xpzAIUNYof 2/nMs3e0tbHqt4ucNeuZuzMfBwzAy0sKvrQshHgYE+Z0fDpHs7cUMmtGQK0oYFt1aHOJvxqPFEEx oWFkrRC4kGaHyJLL8r47BF7CgUWBr0WbslNzQdLQ3i9T16mDSeWkP64TQd5hLtVM46lrOxNRgxwg 0OyUPLEQLvF4aWkqDtQeg+n32GSkEnnTFONt0lJTD7EHLH7R4tLBO9l+7vWT6UW0jL02HPAze4hn 33ceiOkeuxELJLArgr3H1Wid1l3EiuODaOXxGGIhgwkHggD6dp1Mnmvl5eURQQYIwIp/hvBiOkLk zG7FMGZ8YZw3XhfsOoLnj931XkB0rh7VVHpUQRZzYH/YP1/sx6Cryy6nGWJpd42gW9PTY9+9EorF 2egzySWfe9WYMpHCANYrPi//2PkfGASI3guiWiE4I7KxwwNPPcemWEGL6wHb/zsAkc5hjIRfbpVo xjQLMDSSmnmqwcXArxRCNncHH9EPI21jkZtevW/WcRob5d7jVr85uPzhSKsuuDw4RAaUiIEj6DIH 28XxrWkwWZjvMpk4WhSnieIXeoRByKBqQpmuREK5+hSkxW8WbG7FWPomrIp6akMlqGw8OakZ3z/i lEnIHOPlYzx3LobZFH0XMxv9WBvNSz+zHaL/Fb6PpOhPXLxdjsuII9GZeIni3Q291omlu1baVRR5 HCs9MpAw7qEgcJ5U9za5tT1JPg+rBmKi92zzf1ThQzaf/a3nlJF12gqgWybwmgENq4w0uFEF2+jY Hp5QtaImNoRi4fM69UZ6An7zNNPN+sjZl6mH41d/jTlRjIbzk7jzA5VtEHt5e7Dn/az17NpDYDI7 Qt4fEsBWIdjKJ+Cx93245ish5n2JwDzDRBpIGGZSQi9djO29Q2p8frqEShWMu3Zd5r9ajPgI03s4 EvhzWSOtIFKnFhLuXfXXFQSHQP78uIzMfalC7rODr+nR2ATokzZcoRPk+ttp6U3IO0gPcWngNIy3 78M3gbVKf9d5gdmFO6+s5mLfR4eQbJhCzmTg/kh8/QpD78kL8TdefYHI99Yxbn/Us2E2BbABJvZZ NaA3W2bU+Bm0xmsL7Dq5bZWqarsOv10Bs5EKT0gkQbojwRXUsyXOMZdLYFpCRlTZNBvYo6s2rhFK I7SgDuiGf3/IIti1QZDAFU8xoQyoPG6eGGdtlPLG9g8a4xVYVCKMOFEMvqUFtu+vk6VYnf9QKrJV uPGr29ug/Q+AaBvX5NKOznElYxShLknLK+9cskcG6cAQOHN8wipDRJNReisA6fpykMFhssR/Xv7A sJl1EMRJ3VvDh3Y3HMghKb8/mewZ1aHx2TvAAMzoQ8+bx/Xyq1+w1S3j+NQJtQCsApAXUZ1v7y8E HKxsJ2dFs6doe7fLzbIHiI4BfsOjMklcpWsshSHT8AMLG+NP7UurN5xzSWUR1+U9dfWxsVePGckr Ckg+0rN8oCl6PCNNJh5A+DABrY4zPlhHjTT+9oMqN7OdVJgscuW5v0jCg8A6cpKlGj2ze8r9vbRR qqUuUhcJKJQGWvK9hQHurR50VeE7srh3yI1m6ik4aZWM2d2nqcuE3NnwUJHjPUMw9D7CuEfwV7RR oBiJVTWewcZaGtQxRsZM57Y8r6TqFL+CateKdGhutYNjRts/h8gyVhnTTIdodgCraA7KFpf22MTM PHHvxYLEfaqPeHp0Fj1I2D1uHIo2JpjCwCyB8NxqCYPNWIUiZyJREEKUIaEEq1WB/XQ0jtygl3np bITCl6KMgDPUdgBnyMjrt5JMD538nFStAKeLY8fgLOBk7t2YP9cwAHB32q//5GEshYNXTFQ8rj1B dezhP/S6GnsTNXCIb9c28umXi4KVH2mAR6wk6TmXMdlzTOGsKhNq2wnFMjvSW0LQN0AJUrPKPwIN SUf1+SOaGtB9+BsGgOt779AkfvGaGejtZGZ8o9Jb81mebhWy6bczrvuxtodqdIltST4YPoYwr0fu nO1WxHE6kI/sS3E8gDK1CMxKkWwBNs3tx1IdaM6mphWedlUNJqq276jMyfFx/4iVPRwqNi9zuLgD d+1dKF97dQk2VWn8Gl11Cz5E7jh5Wk5HJVJrrpHaSH7c1RCqIIgcdfbRDUQo0JCImDzO3JqdbzVo jah5ltJ/zBn8gIdfk7dnJatj/PXIQh49eaYNLI5kVqo0HIzdQEMKbVv8aLJBNRmPFqZDELTJ1AU+ B1CjXhLAYddHwr+MUvelrkz5ZpB8XYHc+UcZ30KIbXXjKsuhdu2zLW/oyskw6oldcCHdzMlH3J8c 6STA3qMl8jQ9cJj+MDtw1JYmlP7VVY3vSS6eWPvJVZFsDOfgJTTc3FwODBwQsK5RdCBbzcVOH81u W59tW6YQGBp8o0HSwEb6x9t4j0PuUZRm/XMJxZt3OAd29HO3Js0a9GtmL4Urhwn0F/6UnhlL1HdB nNecLkz2BTkr8urnUYdEi+jGRVAOUhoQ5lajRkmXTndu7OaD9NKPinjKXdhSPPXq8ejxsNFnb0HK AdEQg5k0UuIdb34R05kcFfN4iRc3QkqY4n6kgaE6QA2VTBXtIRYSa7TFv4wVuxCpBRvzMMJQaegD hFIFJ0nAE9a4AkEn+jGmIzQ+O14R+VRvxh7yv5luff8pxWjGUb3js054lFwEjzrxxB9JdvIKxxlV Bx5liv/4Nwk6rPeZWWlbN/OhRFz0mKmf4KzVf7whfxnoYdSezgvUuToWqzYHlru/U4LukAHynATf VyAwjDrMcN/dRcigTRHIxyBGcEMi19ABp0TlA9vViUmN6q0DnVpDhHVJezA/yvuWCw/oaYMa3fAu 6kLXx2T1P+aUkwq8bvxgUkF80OM78aWsWS5kGDOM98iDVwGYom5nhAReXc0mAierzawnKqM6DRrL 7tG+YqJ/znGFYt+W0WYNmW2BACp8U+K0aBwghx/NoSqvHwXTR4XmfFJoy6MKXyiZUaiJ9BNP2sUG f3uep/pmJGWj+OYZIeW4VTQ1IPcYHIyZOrr6t9Q/oAiyxMSnQ3gtCoBZxRvBhTWEdOFT4nXNPpFb zN6uMpRu6MFiRMvBMcKt6G2uk9RWVL3FGl8A1zkrSWPGJjJgIE+TjuuRzwrWYRpmzysn4bJmxkjS g8/YDGkdNVbku4n9epsOdLxfPW5BJKI24iOJaWaLS+AS8DVa5XvaolPtdVC6pueS1JRw9MCU5Zh+ DOAYofLxL4Ym6z0b01vLSa33/cNivHKfdSFogf1Lmy8hFfzBfcGbaW4P8xyMuQ5QywaNAanuEuZZ VXCl5z+bmK58NoQ7+7W22XCGAkvh4bNhHnp3StUp1ffzail3MXPyMz2AFkvtCTLS1SnQ5vQrG5J3 B/g4RxN21k2KkuX6SvCo8p3P8yjm9pVTIE8AUr76bGzcEcm2Rx4OyCB4A2eHofaEUkZ7peaWhiMz LGC3GoDmB3aznZmKil23Cgq8ifxDmehw9cmjvCz0N3P52n+FORbxiVzIbwyJBbtkiSDndPUpxunH WYlUz2wPNiBmcnw2Whwrw8O/KXgixOvZW6JkPgGw/1J7oGq9RUe9DE+LWutnfqWs7+P+PxJ1Ga31 NphRyKsUYdpCrMPaaiKW17GMEwGCNNYHPPsxs3WCYC3erB14+C4C8VAjgBCRCGidljc/RL7Ufajy RJi4E5NOfr1L8BZaNNwOzSTjJDYDlxiOC4VWWdMIWc2KbQ0KkE9K+ouzWt7rcl6wkjg1G3xqMkus 9F6gtAVcR/3vN6FE8m/SYcPW1Zb1pE9NTNNVvBvLQHfg7weJ1vLckDk3TYy9Ma/lh1xynPlV1OCV M/Eg4wivUSPRgFoICuPPL3c6yPC18VvbuHJLetQR7Q9Zzv5gqXaRe9NLHM1DtCrpPVnPydVMITGg VqYMe6BDjTeZAt06HdkJ92OSZx7hoEytxvtG0RUT3mWz2b1zgpc9VzuDOo2iZ9eDn7IHkUJYs/FA VeA5F1XhdXlXaAomkEwL5Z7nmNT7TPDFUxzqGDQvjpsquM1C7yNkSx1lCnylquUZMTyuWRApBwm+ YVH3fy4+1CMT2jxoMAJA548gCwSvZC3W9BNTocwyUurrWRvaBjmVIlVDAzCm7TtqMoxWgelEtLLn j3lYZRSjF5x1X5/akJNerW/uzVcKhxR+d/XX0G/6VE35kK4BI/yjKVMQRx8YqTdyxZoeJm8tXInt EhQDHXpgwzPBkxiCGdp/lWMMvNW6WW/XuLBfFXFPGUiiETRas0cPzRoezZGGcCnbM4qSfhCIDjl+ WpzIH2ZFgIEc1OzmKJxxokT0ogdh4XmfcFKObKtzhOF/i4AFTN9yQFRd/0W+MV5rOeLKMtS4vzDF e3NY8SMhLF+OFeus9dcYziwFs2Ut49oRLzXZlMhS1HjnKnQQEXcMfiUxPwaMMWQlIPrGBKCeJjDG gyR7pPzNBag7DK3yNv1c8XSTWICxjU86qLNjBquuh5W+XQzVAabp6C6gd1eoL6SMl/FRd6xoDya7 8a+Z8YlEevXYVyYs64FM7qDMURlhCfUTTbNBnKarlDfEwBmeORPfJhtmg0IvdGdUSCXapHosNe6J o0CisD8YcSIzvx/KhJROxkILUC5jWFxFHpNYEZrqG4KZ0paa1ooooovULfTZcs/O33czfNiBpQ6g NSc2uRVB4SCTDvdh4M2OqlI9t5pvceNflh0KoJMbQTYcy1d1D7TI5RgqqojTmjT+FMAOwOMjJVyw wKLraOE/uh8qKMbLOv80ShGgZt3wcg0z9Bjaip758Vb3caaIamUN+Dcxu67CkUsboUfs5HphOGSt D/+Ph+g0WianpmL2Xel6+ALEqj9TM14vcu/9l/GmTf+aCjKUo74WfYXV8h8CxJLkylmTcjucv1Sg 3ZUEjUfwUdPwBAZLd5BRH7miWLWDB5NkOjDvf37YEYShTkrZu+LBFBx1qlMt+yzikDUbXuPHM7JJ oGt5l0ZsR69T32kX7m8UN8+gFZmg0n5J9iQmfxW69KrF8g3AEuRk020uRDFLCzLcRJMaqv//G0Eq /oIVZkGl8i6uEnv0YGD80o+D9pCW4jmW0XvdjOF8UM2FPGldrIjsryHs3NVTc4l/TlNj+qu5D2tL uj7c8FGbZWXNHBjrjq0aisuOVx0uVqKX8rZfS7hzXcCTlgGWHyyxI5JJ8CZcNtGHx5u04Lyx7kYy yzALFbi9HwBcY3orlDE4Xw7TVgwt3WVws83UrS09BPnH1/pJCHwO0jja3ZiXhQN3X2fZoQ22C8d9 RdFIJaytk+GoZp2PBHIx14zkUkY70z50Y9vgCi3iImvpW+1WvVIXH7ech774121WxXGVBL5jLfdW ausA1g0glJKOPeR0gz175ROSapvttTpaahexLxxsH5ZXyxhA8EvMjrRkIJ1YPWP4YeOAI4HipJzV 7mz7d77z/MZC89b2pbnKyJnOBt4rzPkbnziT1Z4MFHo+pScEjEiyZ/SWLDDoKbkdktJ9X2HmAmMr CYw3QT7KIuWVyYV7mGdOZhH/kb+OF4lkE+Gn1UfA7jPL3L+JiKMVuQGpffM6LM6ywn2Zwbbehlh0 kBQjO8TGH5oMjT9OoC6KuIecJkT0TXgsIZjExEUhmj5s6YZx87XBuRPRWB76Px2NQbRPiz/hBVbl B8k9oC+TM6ppG1t3LrKIow64yVZW0SSkmGuBTW4znw4BJXhRS2uAqn5mKEqFy6mc6ggM0RTKrEms kvTkYM7AQMzj7Kqcqn/CrF0EL01T/uBFuSbV+IK25HCYzgByoHLV4rqswSuBn/qKKi9DiiKx3Rcb 1opFf7IY1bCQ+NR0dCJuR0AYtEKrmlI7PspsV4leYGEavRRNfjeklPIdd0OjQ7PVvU1b8+seAkXe uqt46UrNzm7uAb+cLRqZRRHsK92zdUGxb9U3i4SlaJsFwqZcnLXire645AJzjQk5YOORvTA/rCjv YiXJ3bTR7FPGNERDAoF1KDlazKII5YMuB/nyLvpB+gucyBDomVFLHWP27hmi9UirgbDn2uef8P1a WgndZDNbsbDn8cLc4zyBWpV3hoLIScC5HRo/pM1kCfbEyCPImqskEuhicYygcuOtEHWwDPIOwiPC MZZT9ALOEsZzrOiRQ7UREYI8+MzWx0V3O8neIY1qtc8r5s1hA6C6DLMrDolnII1V/vInufyhbyWq 2ohFTKgMzx3cUuo9ClEr0je4YtA2mDX2C9GwrpO1wLdNR725cQOFRPNYjztdHJmALlx34gEw67mh JEf4eQ2NaNUFFs6Pfs6GLYw/90bumA5+UcKrOkBq24lYkz1FKJzds/YtdCYyq3Tp2SW5W5jUNPVq 7iDHchcs7Qrf8LHHWHVfv011wE2H4cazfR4RzxB4k81uJwFSLNyFnZU1OLik8/YBZthbVLbIMdym krQj3STiMy3C2Fnz1wQNHRcFUfRlhSIw2QXGXqyIJD5/P+jckrT+Emk5sjW1rx0a89INBlVHg/Eo u2DbMikdGu5JHO7s10cz/PvkFchpKQusY29laYrOnxSeebZysOrW4ajdM2IQykUqZMKhBC8NH/qU 3WKs0IMBXNMqBDn6KMlqJTODlgTfT1CTjaNyW3uKQaESbHMUFL5DRJ4vP4YSvHsrdT9hwVGODVFZ SViCxKPSL7CW3WzG1tPMqlrqx6pu6mZhnaUrXDgiWaWmtbZocBMXqR4cidM/UqbEpYTXKKjVJS97 NPmjWx5iSsdXZUF1Cl+bCvK4ggy17FCkSDgqMUSgXh9HPhNq4OdbAOoKFxn1VFh723XorjP82F38 6VL7149Lx6Hdcf1w93a/nPyWNMnMQipZaNfqEqxZgNR8a06bHX9MenIcv/3azl+FKpmClUwDtxnO jKzlTfwzcvXIPioFyymlVXzr+MnC0AUW1HCAUYeBg3ZBNsrTHZyd9VzR6+NBXRs0Cx2nzNZTKglm 2sWDvmSWYw9kgpKXiaNPGZEasr2DJ12GVl9Qu6ufX6kEt3I4IR6f8YSszaWTMTUY6EkhX5SOllKq QKBPNHHKiZ7a8U9J6AZKjAb/+k6wYE9bHnLKM0XokmhCeLWkCKRpp6Yn4+pR6lL2atklg02PDGTZ BmKn8TErAcPE/KVtXpicrcbVH6HoXIvlryc+ZrJ21S3qfi8oFEDSjY8hBmoNmvzRpvdxZlszJMXS q2sFWhmt0JaAKH7v+4ngS973QbneI0i/T5ZWITbKYuNcGyG0ao2KJAwVn8FhFdFbOqWKLn7PaCzC sWVa2He4TGjjspWzaJk37kEZR6rhxFfRawC9IXJCCn6gE/8Dv4AfV/T7l0Ncbfwmh0QLcTcDt6WU wVM4EX3jZnwNVOK+HG3uZNQkbnx9ZSHDnmi09HN6TUY= `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/ramfifo/wr_status_flags_ss.vhd
19
23791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5xVIDBGzQkhDoQ5sfeAF2q83P6A1Z/qsmlSYQJY5xTravGd4CV8IrniJyUa6zNomwm8ijfsSBDZ 3Cv5fk91Hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JTncam9YaU88Ye5zsiMSZerKzQZ8ndV/jFOlVBJ2+1NMrth4ym5MZgOOJUn+hqDs7WawEc66qp7n dAXASYJYn+qFnCtyUAhIyvGYbamoaDWo5Ex6WN67wq/uxVFQHJyQE9mBWmFUuyQbfWAxdn0X8Ddd XBKhuVWHjadjfvTndGU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WysH5jibOCiuNoaEF/J6UEux/f9qwkqszrQvmOG1LAQguVnzJ7+cmZtEvDLaeM5SMkI/c6AvWtXW QAEuUSUqI7fc7s94OSdoy/EO2eWxzu/2PZr3+Vm/RDQkA2VgY92Mk7iTSAe4nvupzjwLJJp7MPFn W0Qp6hutV366SMmocbalqT6lFUEm3BdJRb/waOPaQXsiK/eXFOfDC+OkXBIeDSI4U6bTS5BbTI6J pFf7UmKKQ3+TO+1O/Q+2hW5WOgJzIUFjgYlL/k7HV9GLoiTkFeWQv9D4PmITDLLqEoJBQEH042D6 w9tSjJ90YaeXyJsQBc944KHiROaj7JIGL9ptSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HfnNrIheX+bmcZCjcmnXLaiCn2W6T6H6Dp6dScskVGNGAylFhqrXsMMXHrPiUKf5LFkT6rGH4xNt DnPlwzwiCAkQpMo27mNuJmSmEL1NZn19+z1IhIkgUjJMK+DU6V8j1HJvLoBzdBKXeOfEsIha7CfH SYvgpUYxukUrvYeSdDM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FcdqosqcEEFjwfToDdg81IlS3kR13BUL9UoyGE7K0tYyJxwBRWvuEZwjlqyLvEdW74UEcoL322wG MsjKrbrYQdHQMnu0VAIvQRAp+YUu8ZY/Amts9d4uoKQ4ceZKPNKKjhA2gLCTZlClOnHdKjhfnFhg C4vFlIgGFFvgy7hYPvMYgUjBeujuUeMJVrfDQoBe2vY01NCaYs8PD38+MZrB1yBWXtoIH1Kudp5s 6rfzNC3iiU875HSyCH3s6Fgf+5qupOBLk1FOGYXDOgVB80WiCFsXlSgDSubN5g0HTJQJ5d2+rdH3 3+ADIpk9sqzMVdE2qp7yCA7kfUMNWwWOq2rtCw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15872) `protect data_block 4aK9nRWvVjlxIKF2x0qa9NV2t2mDrtthn+IbFT1fLWLDi9rczbae6bVONr1iJ6T4G8DETIVjBuY1 MnCmGcGz7CNbpq8u7J9aftYj2DQplUrwMmZQLI+iAsHWiuJzWU0b3FwfDqw32es7iPEO18SBbM11 AUYaZZkc5wmppvidg61k5iWmxF2/QEuB32yh+4rpuC8NxJdUc+fFl50TrjaknACqtyakfrSijiLr V0N52T4m43DQRqYCpbvhs+mSRfQ2URyq3jAPeEuK3E+VtkaEMSRPcQJRZ6Q74LSybWpA+/PHMipH IpvPOBIeGAeHqQ4qfRoR85Fvnj3xqr0rYAZs9/PEuPq3tAkWARp+CpULmmP/TsbRLDio6GgMjVl7 U0NgEoDGiYPf3wiQHNgNSmmD5UQVZwWB53EvOzwZ/RzPldIGz/wnsrQI5zJ7z+pteyjeG65/ckXJ Ics9LDsjNdVCW15zIvW30VXS2LZghDynNaUMe5cdPpUmLPmlo0/fXC0LEzISnh+vX01/mFVIDN9R LN1oXm2CMQfAHY/r3FOUqBfT3S5q3Pd9WiF6yfxA0pFeLxe/Nn+J61Pimb0i2tU4gNGNbIWqblVz qkVyDTDoXDQYktHBMBpOl/TisHiMqXxxML+/jXqqAeP/jYyWxewwtoDZMxbBkrQqyv59n3aRWFzn w+B44DiTyzPwvzmfUH8Vxxp5d/lENcT2D6LDIInJfZCTncF0uR1izDTomkfytyCG8UYmX3Jqo/yJ FewjGVcSzt24nErLjAq8w6Pp3euilgx9LiBCGt9bcTBDdlZJJmHTtd3CSQOkjzYZ7XkQpjFyuYia 2XuG6TM/mTIWpaNoQVVoVwpXQM4IQEVHtlwRaC9fHriMinq6z2bi1IgXcMH6Jc22hOz2O9o3XxRk ZZyhZF1Wn+fXHg6DYUj8UV8k4VzFO5cqbns+Gz03dHJpIkTMJGLL8fQqNbb+fJXwMqOv0EgV9dIR rkkFtsiWD1UlNN0ie0wQIdTKrarBvYB8TuAmRjGVBOOzNjszRI+cC1p9ZhFpRDi6uDzqHXfo7hKI 7guyj6kn1yBgE52TfQDoQ9dqZEPTPgSQ94AzyD9HX9MdYgRM3fS50E+5iXiL82TRQsXARVDs/+yQ 5fo20BSJr4jOiO36moIM080YyeIu0UeZFeVblsdWBLt9p3HmHzdpnRgB+NXiFB5Hla7DIH9mImd5 EYcCe8JVfLlfSIpTC0vFymFvDOEiy5qqFp0fJK7Rlo9x0bwFADHdN0OfNv81Ax04VcmKC2SwKwCw +UoDIEhCWUq5lVvA8ojO5GJkpJv3Q6DIvDlYBTK+TvOyJJ85ZRWck6ShdYBTKyGCyVCegiTY+bHq Uq4ARs6gGYQ0m6Gi6tUhGmA9rvuaDzD3F8MSttOMjpfGIEPiFNeoATT/drJQgacG3Y82B/UaGyQD oHwz03SrOPI7Tt27c93neen3xKkxnigJSc6q/OYLTzmxFgSUp8AVtyvqOX53PeGyc212H0K3sKeg ClsKXM5PxFpyEPRsGBtxb6oQ15DWX/RfCcrZOspcOSw1EJRD39X+0+hm+nWdwTwpqhXtn14z4L5W J4FbcclLMhXGlbpSsynMq/MnhEcb12sMxv6b8yTdTTk8CoPPc+MmkJXfMqjScYNmAkn5fYXn5Kbc DcXAwQtRmZ0jO2gWo2fgno/uJxPGb+fdtDwWXAb6A2R8Y3nWI3kcmtF4NBAoROImC4FE/eeQegD0 IYl3qFZ35wHfIMWFZ287DaHfHeN8tfK+UuLfcmjH3Au37F21bUuzSgYspUpqPPeO5ZuJeudiKkgV jqE3KlmbfkZZJZzOxPhFv2LqJZhrRGFYbkW+4O5ElcZd6babRC5y/+tTXut3vqsO3vN1S0nVPKh7 oq4ynJV+GYop64mBRIYFAz7RdM0i/Dn3tWB7aym6JEYrUkSmu6geUVAb9/PNisGIwwxR1HqhNE23 q8Y61H6SY3iMjFBOsitoteJLsh5M1Rgysaa/lWh4YnTFf2N2er2k4tJK/dynOqmJteWPmwBvp+9E kuLxYbN1km2qvRZtuBesiW6tI89Q+mXCbJacwlv1VShV8/VBBN69BWfM/PjAsDD1lc4TGefASP7r 4NGYxNCUQO/7x+9k8kOaxbs2U0f5m9lsRn/b9n5ZYY/tkwUvy6p3Wn2pz8iguNQGEoXM/Tt+JJH5 cdsDW4UnCDcAN6hMAiUPN4FYS5kUhfeTviG2b6dVfXMCKCnD8PBBv0meZb67xvHxuxwI4qg81IhX b7OvY2YjXQzuYrNtiinH92hRJXfFX4z1Dl5M6QPDsEkp59xTUxWHUFdr5r20Rn6JVWRqlGerZbN6 22mWO/z5gNPPZUJ+7SiX6B7IBe58BBW2Mvlw4PEHK/zlIOn2sjoIlitiA3tL4upIKpMvlB+r/7mZ FWXeT1dbZLXCvtLNPTR9T/PnxMT/0ikRRHRCmqI0r0WDIV8N8k6NQYyEgqTcqVKG9P6pgVr/9b0B 4CY0LrXyVhFDzYYeIhIjWbqDYzbhu6wFEDmiZpdymniCsdZ8St2/DePgruxbYboH31Es90mQTKpC 0EhJ7V4b1M4+vAox6MOFFCfp9OWFNkUZcmUmYZb1l0NdGIBVz3CcDgnvD1lVeZcvwW9/ACQLJRxz wSU62KvnojiWEVHPanl5Ar1dx4Jrk9HqYMEAyXHLLdLPSKHHq8yceyeDK9FqpjGj+pdbHCv1hfMx max1sg+FTCRnyoVHWgd6NJaz7QA8T9jioTrGILX/EHMEySm4OQaw2GFt1vYLn/Alr+MGsw7D0bcz qjOhkQ0QeBsgtz0z/Z2mAvl+1Gn7Hj9sulOo/ejH8EQf50K2Ng8qOYjmOv6H7rRw9AEeizg6IQgn Z+5ccR5y8bgN3RA9UMShjPf85YYYwb2Ccr5E7tU0o3majPpyGwy2L6371mHcWNimqqOCy8YtJZGu 6jnMBdhlRBq2jNh3rxA2RY2U8MXYjaO8oeY2opVfbAFVehLIKVtlsBzLUt+CYwkmaxAtL5bOcEZY nCSluBG7pEozMLKkN3vslg3caJIyoEuBi2SgCKWuRWvaEOc7BPB0H6pz+IxON0dUYnA3s8RdM9X3 VLbSl/AAxkbG9LF+cpYHUCBUo5euUKvIkV2CBeMKZOQl/0qzH4TmQHYW6yogDxfBSJfDTCqViq5c nBFQ1GEJ2URhPaRojbDIY34r5pxIDHGQYw+2WkkYE6bDdR+O0Lc9AkjiqwlihNVlg23KtJuQgG3X xpuImBIiNG2kJM7c2vG31/Z+31sXKrz6FE6FrwMDcodqbdY6oU9fbWsFQ+XHn1rs/tb0XlEAEK6z +xW2icoEmZQlzNuYYL7d0ssnKCpuBXYMzIXbTl1zDxa1ankJSFTQAPvfOdOYzUJtuAcXtKBCTXjT LsvcxEVB5dKcp3Nx4L6U/EUg4+ZghKZN6J4rGRf1k22oogZ7nPNG18z0bkUiiEiWpgLEtR61vMxI T8Fgq5qu3NA8HTaTTqCOYLyaKntLXfABi7XvYOcIo2wYD/GlONQaz26/Sm5TqXBV1vxYvvHrU0/8 RQ0/gVfeIXMZXNgHVuMLukhMyUHFkJdRnijEnmishDmFSD0P9ArB9F4BosswXbdnzmpdAeuU+JtZ WATDPT486Gs42vYYw81mZp+NYBoHob08pQ+dzkzbJk/DRD0BX72a0YnVkJfw3cq2i5TM0UZupnex s157b8O5mtjrO8/LTW/5zta1PLPzBOBVJV9ESr42LX83BCIRZKWsYdd+Cm89m50XnTz0NRtDbwST /RxJRs+BB9R7IYmhi0Y4C6O489uTTn5tMGkp1VMR6Ui0DneZqsFEq4WIjUG4QSu46E+RhvyJSxAS YtR+8ma4XB/DpGVAQ+gb986Y+qd7XrwqS+mPoODY+Rh1qdDH+2lUjKuSZKpwMNjNqttGOp4YOakW ljaORRukAoRtUisWF5j8YI3iimeLOUDWMXnMk9iocRdxSE590Q1Kt1rtbfAAmp9FnlulTW7BvLaW tw17FMcSPHaLLx2+1on9+hEPk6IUtln6x6w4YKCKwpcaEiWkZ5d1aa1f3HCze9yWmwplVif4J/AX bEdqWx58PIZHzBztJFFgrDazoeDolFskyXDFHALZbxd7mSAU2BuWPf++MBvYxBEigRFcvjefJQRU SO3aHva1SoMv3nrsTaM4ksao1+NkQEnpPNVnkxNOm/OuUHmXCiGeLBvlJLRODV9VWvVF8BjxmCtS WSbtW0YUV93FMmNIoOsANILkbU0bcgZfI5lS6UQEeFXz19i0ag2O5UP1zeE1bkKgAQPrbTsf0OcK WYNtGySUizRLtqRcpiUowj9bW5sLe1LeD7fSmZHJh6WBOCUY5c1SBGidBlav1E4t+v7SdfOkMK0g RrZSyGF8UGB0Ug58s9n6JidGuwqxHUvEuYgzkfJZXVbX/CT9gg3lGIuTJ5qPSOtb72p1Kr9sfZkN gtPuQZGA2V6p/HOKNSgIzhbLwn+hUV4kQ6ZbY53FanDkiOVIq9hgagMzTwJpB7a6jrZDWmiv5a2E hnx5p13b0s0UedCKAjwqG1CMJAYRhQtRcjzzXyXZZ0R8NJJJhWBpxHhbJ0ZHKKs7+4Uu3/oa6Gm4 0MQlDJADP5UHADUTKxF6MDly8Jh6ooD9I7I29mhuUYDpSeEsxP8Hw7sMAtaeXmXfo++BvLN7MWjf iwPvX0HMtI/ZqV3ovcoYzBlss0IKmcgc/LK+VTuutvIYeLwoa1g9pNnJ6kierGxqdjkDwmzwSX1D WjmXWqAHid+x6cMM0u320yJ+f6aBGrVKsjRXBFHyR0JYGBAb9FJsLx+ntkIgxGyzy+McqxuRIvFd U14rXLF/cqixTaJXjJHGyiZGw4LI32NpEYNQ3LBHk2nac7lmBnv6P0A/haIDnonr+ggSeowiPA0v zKEVy/Anv36fGp4BZBbygfc6F0m2UvHyxwx6q0y3l9BL7wto4GPl3qm5pePZNjbmPYPFug/Bic3G chLmrdj5wS0Kf8o3e0Rgti3hdC4rFcRPRyGdfzQnvR2Hu29oOXefQh/6G1p/dH3F9eaOCRYm1T+b HtDsOHLCkAlxpZCFbqYE49aefbddD7rVPNDZh4KBfJh9kGXZywEwKU8URlQYmJH2onBdV07Gtt74 +2DjwPrq1AXsWpXjQOdP3zkY4K0VYiZiK5aMC+GrzTTrC923SYj8gbZSCz432GzXI3MsV1oG7CWY fSdSKof8+1s5pmVqYiMoYKnZfQnyBoSYWk5LmGCHeR1VBwI8Zv69+oD94zl3Cuc5u8FdnYp4Aq+j zSnGWeKNJWm/XZiWgoAlutrPkRhHiNa3FJOnpXi9Vd6O5qoXyCkIgdmwAaqMf96KzyBKlo29JakM /BPTE0WVrqCtHSSwtQCTRLjHp869XsvvKBBlF0BGcXSyhX5hSGYvNl0IWmZNQR8c3KiNVGvHTutS 1J1hBZrk9cyWRwI/San3ILnoyn2hsSE74hbJnr8+Rp7yzpno1F7vSSeo5FKj+XBOwYde8cL7nLxH XTKH6CL9vFIIvmENyz2+hYPGCjapTwcmhD0vh8kXORle1c1cjRDCa3rDoRI4Y4bdvybl3KO5DB42 HqZoPKcUlh6+WU/YSnomHU3PX6UhXlt7AnRVaKa3BupudGRbGOtndayz+RYZKS8/xKkMxmcMaRXZ 09DDGfyzQ3X2Jl4ts8WMVPnIs/UVVv9qMtS44XHWe466ETYMFlIVkZ1ZpcCPDFdYi8DE4cbEruiK t5F7nKi/In7prv9QfGho2D0srIxfWfKV06o+5uenw+wcZ0hi1G2KzWaulmUvRe7lVgn4I4E/U08C dNnxH4TA8OPcL4PCu8jMkTuS9GYfMz3J8Kx6y9zSxHSgpIcviV9r1WtlOnHhvR3mispuAru3PRqM YX1KCXTmNqONqeJPt7ZSrjz/oXwTH/YBY8ZNZy0V+qHRQM5ILccZBbd/0neFm3QRNmluVHm1CBr2 tuKpXs7HeYJCJi9bvEFcfXuwa39WHftKOxc2l/DONbEXVqviMt/pQvnb4ASDLb/oDd0PKEDFqix1 QcIkNR6SrZdBKwgYOfhispak/mscviRMBRVu1qDXuhorCZkEpUpdUGo3Js5Og53SJGcCb+nvHnuO tSX+BbWKzGvUvIc56yAgELDeQpF8hkuqEtw4XXvsOCIGW0JmwgpJiC6GOMl2jngJd280tTQuTGd3 uL2vLQD14Q0ywqQ+IIJRmRmirtZAcgE5d44MmD3RCFaEYCLvqM0aBTzKb3KupiAklIB2xPsHdnSh 0U6fodMzCVKZ4PhP/1lmgbpV1Mx2eIGk7Y5tNHI1EJgCtXnem78ewL/8N1tcDw3Hg7oi63ud8uY7 Fv1aW9NByjBWbZKHa1lLOKI7xgNjkfJLFY/Gj9cCqOPUF4xnTHS7/92C2T41FqRHan7TEw10Qp0t Uz8cbmZYy9rQSMZFLjkmKAb1D/DTB1+vC6qzAMB4RVOS5x93reznheRGxV/ZgJUtH/JtPTxmMjdM uKIPVpbe4y5hbbAWChzuMVS+ujtarFSHsIhyFHbTUf65+GlUwJaE2Dr2/3wk2j/Dlocopva5PvHt FJRWDxYsC7SY8mJXXZ55C8dFJE0jk1WVExUZEO84uSuB4+POFuvyCrzVQvc0wGu8Ir0VGq5MlXFp 4sBlYcn6ztA7zSFLk6UztfhI3UIQLIcbCvXOx4m5M98gVj1b0cy5tn6kZCNF9PaZ6bgUaD8llowC OpDeBwsdp8ut18mv9fxOBFgkaln9NBA7n2sHmbLIdKpsmvx9ecKrrhPgbnhSbic+eQXrwecnRhAF yUQbkkd/5d9mmRCzgdhXocyVy2NHizd5zsX2ShX4via0dVKQbmwakXxHkAwPYUPCqoPV8dUW8hKJ 9yiQ4yRxBSWGc7MlcqeXp+q2Nu6PXjuhi6IEE5PxkfsADNXnxdtYtmS1HtatmahwLX6ETSHlpyWN 0mdEEkMkObh15e2XkQpF5+Ge67cjMROeXRpW9x7QgqbesbO2KyZduaLPvpWs0g4uI811Xft1RVM7 haz1B8/vU16ZodJspc/8tn+oxyIip98/1M8pXNL3nfxszujQBcjn6aQyySCZ7c34mTuYuRztgU+N 981ppS/2iJ0g6Jt7KKxdRjlULrQNadR9bdbXO7QPkX/7g0L8IJ4Iku9ingOqwOkOI813pjUvGwUc 0aKdqNjnjwaVxoO8SFfRYPHz2dJi2IZZgkeKguxpL9HxYACdvFkwuvPR2lNLPAXB2ghJ2y5FAXP5 X7wHELeyIiZUiAYnlp+66Ea4sQJR2S4N0EZC39PriZEU8BZrjhlboH5/Pu0jGWcd7T/w4n761BWm H9sDA72W9Tb68j1aDYCPP/ABG6qbVCbra9Ot+Z4OK1nlA78+5FvJ3tIJQAnZzgCEvdL5ZKHZbV9y 5ydYegQjw0r2Zq7RaAMDUas95D+n5m2Oez2iJ01CoM0BXxZeLeTvE/cZP6sNzKo4t6hQ3irPsjDg yQblBhrTBg/CZhdKTRrnlWE/sdCr/mqNgUJ/TvyJzXE35cQw8KiR6twnBJZnaXElgC1MjSN2R7XW GN20U+EBrv+xFfjqb9UkPVE+Iv6CQR8W6ScFzXMKxKd+ZrQwiKjNL0fSTNf9I/ME119CHOzmPo6p KHo9wWf5PcYSwD7/vzJOebDTbqEof71cHlTV6QdoixOpybgJGI0mgrMfijnfCPGBkeNONNSqHEqb GKlkETYKSYr5zY/aJRDHTufqtq0UPMLPr6UNG1SfLkD3XRVaMhmbpgOnInNFFrGBVDemvgAOOM5h AQQqmXhZeYezksv0fYS4KUvt9ZiXRdNGYqTgZyfXOVi/gqdWwHybuq+6R0iVXxUJqntCNJ/W9NdR uTHPXtZa/ErRtH8GpCOnVTZx8rNDT3XSFsvJNlX3DKh4ayUQI2KChkOhpwm3Z2CcVTHNhiGjnHrJ ny6daaMZEX7XTP+Yiqj3m4h0m6Xo1l8eUv3TaY5A+LG7pZCsGVfCBfs4eF4pgThxoqNum6eMBX57 20gO5GIXHKbRnPQhufnb1aFJW4ukhxGl4CRZ2znum1mAQENqBpdfDq5wTJSC6b08Mmsl2dKugMtd IDlLLPdoMk5D2KlHD0GQefeCzOza3oOW1HUsjXLrW8ugVQOnn+uGJbiqE0jeTeUbT3nfQZpiVTYQ uNG8icfGXyabB13+Vi4kuA25gocLA2c99Cs3Et5G0n4gahbUo8nmuOmqvxXJK6uh6EBwlLn7u86D 9NtecIckktttuRSYppMZmUfzAfv4/ruxyVpu4AG1HVY3hp+Nh0Rbg0wbKIR0YbtGosZKAfL3RnL7 jT7cCRCTtW5AuknyPeBNN3w7ZvUKcySUb58gJTdmMD5c4ev27oQQm335yb0zVc4fs2IQVJK8YDdB EUgmjzMKwo6Rgu6/yNJ44HEmODuAXp871awWsoLtYCO/FfmbppfBVX/45rFlDzBzc89Ql8j7HAVc 1KnvLS82qRdjFZfpC8JNSWEi9iYsWqWX23L5souT8Dccnv58yrkj3BO8DO5hPQcMvedIVQfdqgex HNfI/OY+Z39vcvoCBNWyw8Gxj718s0WJEruxcdgNdrVTBza3MZllB2EyrP7HQ+F2OD72ffmznlQ1 mME8pEzedEfHlJu7zo69xPRGvaKG+9z9MQs4gE1deewywBEBABRixYzVRVkhm4rWmCiP4wpqMope mca19hg/42MiiOkuYxe3yXmBiCNdgvL35TtVYqIKfJqDKJ+JN4selk9EEGcAaiODoeXjl96RXOBw EP7E9LuNvQbTcVkTZTW0glaJbTN0Cq1rRevuZT4Op3qQ1k+c2T7tiYoTb85P0uav7l7uUKdoIarz ellcV7FiL3Aa6t+dQMf/sZb1tGVlgrp7euu2vshmQE3XJYG3pb+ue9L8RE568LLt4J4CjR0SmDWk IqXnp2AkA+xPpjSMDtLZxd18sApGI1Fyk8fasfUXOPCXXxZnS09ImK8w9bxqm1sYIfZdLDjPBWnh zKBolZuc2PDzv3MU0x4dkucwYunAKS5OpxTESr36fGG1935x4/aQgTIoGS5usfXjEo9gMvzjE2Iw jlZrqXFsBoU4MpaJlNHBe9Z9SCBnPMnxPdoVyMezUIfkmN+2WPtPl8yP7vtt5WAZOMQ1hIHFwUle +eqE26AB4tcXU3oKYTQwErt2PxSMrw9MIzaR11G61KR38W2iXIjFXHWwsTs2DIkj9MVJ6nLclhvC vkUM4cbXOe51eYgObwBOamtCb6mVgy7YJKn20WABL3KVYoUg+xPiuwU+dmc/dRCNEoNgY4oMJ4FE y39LwQMS1iJ9X0K3Jot+PDECe0QtBkVWpkxD/0Nr+esN95Pqer5x1vkyYzwfy5MyDaiYPLXpkFzA sa7gCKddRmAxmY+8Bqrzvx5BT/8y8FfyqrNjLw0E52kg1AZAWhMHyGJWpo8W1mxCgQMkIpmTjT4y 6HttKexCbX3f2/shojiPMRTlvx/OaA0FY/4EGaCJyaxkw0PxpKitnOgOjIGhIWiYngxiGJXrg77F 5gJ9AeNFRCUnSFK5SII/GNfDGG2kUi0ERy/HihtpOsIpMO7dD28d04nHNWjiZgGqcGSLeP/xp9qj R8M/i2A5DjQ21vdU/udf6O8sCBDbwaEBEG4VOYNez2TaqM71zCsR2frRSFvEYah3CtaywxjE635H pVrgFjoWpgbo/ECsazanpJLX3iy+DoRD+2GXnPZlOSBPSzb9RWawZ6yH8jcSG7zDKynjIgEyaxPB RcAZUkVWKxve9kyLykSiBMA1yv30TZVG48QEuMpU3TQTrczHbSjDlFVbaziGbZsJtKFdwyA4wyH3 hYk3giJFqM58THA4FVK+V/WZmZ60cDhvi9Bod12vIOc662pKb0InZPYeU/i+XJMUnfNdG3E5xRPh bTnStzc2fWu6F99XIq1USWMhRvj2AzYRwHaRQVcu2NLebnf8L5CU3zj7pcg2O8Hu45X6qRv7YW/Z x7Sd/WXzKYCmnmXd0Hb6n8JCv4K+cgYbcdCAJIVoDWCDvXlizj9NlFwXEBTauXKHhBSx9mGmN5oM HLhjue6aQdoZsfTirO42vvHLKrdxeE/Up1oM2T4dy+1OlVLaunvr2fl81EO/Fvu+ulWuXokk5uwr EnZFcnAN5c0WssPYnbn2bSlUb2mGtc9M3Uvl3+8uo+7OcBZZJtyPnyZS9/Ai9C6syAHqor2ZMDXP VwFZMRUlCif61os7ALddps51YDHcKc+ExUoyffrZ5fjJiRkZhM+oqiopH3ICuoz2bK+7n3dtzo1P mqEMOzAvbmkDzBIDvg55S1f6c9/bjjFsRwi7HZreGYDw2dF7xtEOCorzR77Qx2B4h1Cu431cg8Sf /X+y0dj+og8xVj9/8u4O/2VwV3unmAlCgGBwjy/IpR4Q0RgNvok1WK+vVDqxVybVLEwSvwQcOpMi THU+UgAFgPNN2BLAy6MQzyeJt6ALYRXmsp/Z28kLqd8j8BoDwZ9d+hvXZBX/x/XDI9xBFPAQhDmn /RlU8jWBlpYM/t9S/2vjWCoooc1y2Oa+WkzNTLIK5dhiAx8evwhDbB4pKAECx+OZuBza/uyYJbbw 9O2iSpFS3ZNIbNsqlttqgpFm9Q3gW2Mv2PK5Q3O6eIaEOeeabl3m1DMBZqhsBeS9XmIzNs3//uxl kjSIdVscSLDqnHLoNBkIccMpNra1zPknY4FRxkZlruEsYWL6I7AlGEK6xn1IigpvzI+ctyPKdzEh 5B3hxWBbtDNOoJt+qKcuS0ANzKuBOuvPdtvJE/Y45Z2sbdKi9PTJspBHu3pPTJ1l0N0X1SVc16hk rU7l9A4x8AMncqTYCKijZcbwCjXH0ro0D5gi8pOaHXfIrxtkbCoO5nBp3z4cTbjsqIT51AaNN42U KwMWTlWiKjminvKEpmoqQ2KEj4PrTScaF/S52GL9a9E1prc0DBsxYcLRSF3UM4AoKB8v2ajkAx86 wX58YQpga/QPb/qEa6AHCeVxaYWPMUAUsBysHeOQ/H3fXNyGh2bvV6/w8DKcXZqnv7ojHFk+sl76 gMMrQ8iAn3DlkeInXMXt0/qc06Pp1sHSz2w2D67WLlBpGjsTCMixGFpP986ogkvXyyY9Z0CfH1UI nZMBbv/8x+Q1UwFIIsxWviLszDYqbYXo+tCT/iNlPaA1kKn1cCCwhd3NmyR+nrljjKPe8y8O95+Y hyhYskAPiE2c9y8uOyM0mGFnIIq0Atp15oOHunCnQKVzSjIr4UkgzGJgLT6ix8aWaLM0ENglYli6 1Go3RC08m8FNg7pVF41Oxr1PC90Qc677fcso5zSFb6cJ8YtOc6fJukVKdIp+jgy9QUdIxwvuB6eL 6ZQ3MenqeMjzJp7ldxj645/NRAxvNoEYljvTD2sZlhgBhDfKRqTqv3D5Ueta51/ujBxiz/DHtNAi NqdVXXxDCNBwrXmuhOu05jx4h+3JLYLeemWI8mDsbOUIxIPE3b/+Mfox6+6574BqzdCgHonrL9Yw QQWT9qtyjuQNa2vX+QoNC4RMudJIX2jtCQdQwAGQ3mGrjoukG/bP5ublze+UTeaS14KmsRiT5gRg Jcwru+qllHkMq7xJU0737NcT/gERA2kIsTXjIFQD4aHYhUqu4DhpQa/TaWQVM83fCeo5rPsEPFp6 33aDxnvrIa2Q5/o0EH3ai5m4KiEyTd+MltNIB/a8lU+3UDPG+ISesHmsipcbtsQmi7CyisHnlioP Qa96MQ1SPGqDYncMZ8gt1xGa5pv8rpZd8O5D0UiAiF1nwBLGFGVyINxJ/ekPw7hn0C5Ienue8q3H b3mpMRCNQIdmY/y6ruHJjIL6XWYr9DJD/vdlVuWBuHA//87pggVA4MTYfmzXXHqJgc6CCf/CvVtF S1lYqsgAkYy0M9Hyax46K5rDFlntypdir5L11k0t1oQGnRjVn+H7nmcbVf6gCIS8PRR8FekRwVTQ g0EurL6ShBozppW3N8pLlO/AlKVglPnG3TPV5sUqBehXb+S1q5MTWQuC5/czdEq3LDrzjSAndxwd PfeDCejZScO05FH/Ce/oveA2CSUyjkRyU0/DUQNIqucQn0bfKQwNlPZSZXuYYwAr7M3KNi4BnDhk eS4X//kxYtFTup6jctfq61EnPZk71kDN+ORi5XhL2y+HdGZuKGOkQgpI+l8qLbpn8R+k+K+PCz99 GiOtB6D4S0qDSubF15IB+BZiVWABaKw4QnW1NtF0yZwm+5cGN5ldtBCNmBwjeCjnAgr1Rh4mlsTP 211zDqI9Y9jJfC1jsijJFu8kPOEO0aBj6avPWy80DrXK7421OiQSt0i+wVZb/uiPnk4grika1Flt VX6G50S2y4PD5kOhO5hJChn+a2CZVCymU1BSV9rJ4PKJ1VZZagDe7gDjJRfyLUGt7+V1M7DcD5oD R+OC2QImtkHSGV9Nr2WnIKocCo+lMW03HE2HIEVX8vYBe9bZsuVNeJcmtVnOb17NjfZUzcukV6yW qN4iUJEcrZ1EN58wDvLHU4D260xXWHqYaG56/SnKlRV2/uQz8CRwiE5xCJSzdNB0aa52wdzimtCR lW+cZk/I/8xwK5Td7H75PgQvD8lo7hxb86V4J8N/ePzK8PLR7rD32yA5djEIN2MBuUeuobmWabNA IumojO0PdmA5NFjn7gdKzcEsQlKjjr/kjZ5kTMVCRJpcb/kSUEfrLeuIVnHvA9Lry34eEYce3U0B ae0XNV+Ao3EcpYY+ZdMya79ZBSkq0l2bFYNGPeSFQCtSED4bQo9keDtgYzGMOXUUKDXIFqjoMFDU rMZv+de4iygT6/0HACcUJiHbTX7JGs1xaGeviAntMwIdJ4FfoP6EWDMyh0RyEx4noc330sb+wER2 8UuJnkBP7K5LbEHKN8j6DJnpXx/v/v2CsaG3hDOJdxzR0svQI4Jo2BehF9p0qkoqz0zzAq+FwhyW Qy00cX7K76JdugJoGjwfrCIo7Q8ONb7tjpFpYW7T4Q4jAC5vidzwKO2CmFqQkWDqzn89NUJ4DldF XWC6TOsvCE2iasz2FHkdeaiyVUDSx54ZLb6fCiz7nKhkkFlZnYV+ZT/FlaOC8G/wjYWaY4jkgmlR DVXmp0HE0JUfatxIKTPwi+mpORBSfyPXMZgxebpmR4o8IN1EmvZHvRSjO0sv8YqvP/3lURGhDNOn XeXZFsT3k9tKC+4a1uZTDKHnrTTxieJkqXkeKLrER5aPAQaQtwudC3VBea1oq7a3DeqV46aT3IOx hBvvQzO5EcJ6xofWZ/OTgpnI3IHnF1A9rcT+FbQwISSOfOiyKomQgGlC6Qq6EUZ0WIFaaK+mMrbU Roz/kexZGdY9exSAF0JZreZwG0++1v3kPquIsZtjj3L6/vch3BUIpSDbphQQP3z3pYuQnulaVRO4 LeEpzHE3Gw6XpIbTwFmGPyQrY8rpOnvweIqAJLBwsqdWmWgxWINpIBQZEPK/uWzIHqEmCeCIZFfT x2dkD94YNaWqDdkZ+S6OMjZHzs+AV7iJaNHlU+dlQ26phEaYyf3OuIMkV8YbsYtO4ekXPmYr0Xjb JYVEP65jiJUgoTMPIY16RCAXugUGaTUgu/3fJbAlZs797ztl52naxrz9cJAiVXruU4Plx43aX3Xz GnrZtc78vQgxkq8jmuoaPbZqZ49Bm20qp6/ZZFPXmnXjveXP7h8cSlntZG/sRJkWJG12T1rwCOFm 3XwR4S6OpvS5rUhtiyqwwgR3vYl5KHGgC1gFS7yeZOb9ho8iyIboaW0tOz+OZ+2YRhZbZ8zp82ry go+jd3iz4gTMtVwS0VdHBo1w1+OJhE6R/naeUoBBCLPscAmvQQw90GayCVdYzoTZAKJCRnx5FkEn jPza28ybMTjrp5POPEsyj+ceqTG+YKIAq8uwHzDRfLXlHQxjzVSs3HwTmSbNmuFXv2BepePoruQw Zxk5osw2YjEO7ctAzU6z6lhpz5IOIfgIlveNQC6sWKgb8OQINcKsowq4R0nS8kqItZt2aLos7SIJ EBqGbg8yfFESpRCMn0ljBuNQ5B7JotMXa52WhG1JXksfVuJv2pNid4cmNmhHALXSVf7mNmKbplXE OpIOalpFsPC6UVLGTt20g+jFvKdPNZaEDm3gjR0L3VSONp0xBQyho1/Pr9tweZCmUGlDWGoE88YO HbyFvGBmDem8lQuXbGJuzBLisUGtQPEMPT4t8tTgrDhQLYkj4Sj/aGbHfasakj137heCGfKSXbX6 dzlu7mzKuKOvu927L16umBogU7bWSY7Uhg3pTQoRpcHBn6LoHegKab9nup+/raZ80hSbJ+bu1SEY drCvHaz1a4heCY/i2TR0YGtDOYGcStO+Y1Zbpyu2L+ETZO0Ho72jGrZnPepFFy30IJcrbRwZRtBh U3hWGplKgoAZHT+X2rZwm1SyeijqpApaMTtUk3o2ndnwOuQ9G3RWLP624akw/j6UerUtJyKlhFf1 F79x9B7g8/wui7bfKhrCpLMZX0/zJHKlllb465vja+Addh8c7Qg4dCWSbetGmAGNvNrQotDEamyO cXylWUyz3UABqJ3tI4kOy6NIvI5CDPLlr4OyS64VlKHeAT0V7NwICoYDc6GQskVSRRxzFzfmbxJ4 EqK+3Ek0c0GamslgY/EzarWxDbhfAa/Qjf9gRn73kmKCWRxx+XXRCnLjBML8V+0t+eq8FVOXJ2jH hmIS9jiMqj1IL3lt8+xVrrCkUMW23kqWW59ndyt2BoZIh+PdckFOpFWJPq5worz5dIwrd8SqcHVm 8HZGLcPlS9baDQ1XTqkVo3fjpI1lIdJzz3yhRvOR/75tVKj0BfrU1RX8PTECZOG1nRCkNrTM102c flaZlp3P8tY2BwU3snLV8IyTFXBB8q3lDa+rB6AkhSjQIKRZTSKooZs2aSQ6eCRwquxPQntfSMj6 8LeX9OpuQcbtbpOkfyHlBHEJvzrjTogsxoViEUkNO1DJurbcCI+T4gs5kN/jP6YirSMckW3Qm5T4 Kla6towUOaP+7kkQTaL/WGLFKajl1znUGF/InJAsmFeluvY2et3VlyPaFfDpbEkzK7s/Q3Au6QVf EOj30zb51KsFclGzoryZDi1T3lVvG/UveeqQPCXPQU4jq9sK2kZVvTgjOH3isvx1vSutOzXGrW/Y fv6av4uwMvsQjXGwG4SXdJW+62AqWUxAAgi0JWBBOuYZyJHz5KqOLQyBDAFRkTftVjtHIqoRLtWY TGzYJTc+n5KBQP/NQ0AbolbyfxCyj8XTtVyly5UMjsqMOe1+MUdAmPi9IRQw2XZ5iIzDyaLZjqaV Pln/c6Z5Cl64PS5kJwwXcyWz2oAnqq9g2yCtsWUMdmqhEeNKlybB38FdEOy1XBDqYT1FWQo8/u4x s9cZ9txblxRA+lXG59Z0KI941eef++XnKPjN2ZOgdVS8gydYaQjJl+1jT+n1Tsx1CrDjUUPfLrte xwEOXZ87qF8nTTaK2+ABEEmh23W1mqcZI7wqnb9Mbc/9CTQ3mhdedNlf8TQh7r8JP4sXQpGhqFV3 oPeHdaGzD+HSSpYT4+3F1HxRBGl6xw70c4mEtGGdflkMd3SDd9AlbQkNDK6njUlJD+QV7BOEpJyT wbsZn/+KM9jSH5xQtIjotXvdHGCx8YfKHqYJDmmntG0F0F85cBVdc08bxlmjxpL8vjdyxTrnoHg2 W6bMgNuRMtxLPknEr/wDRH8QZDmK951bUiX2IIqaP2f7VLN6/oS2vGqe1DJNtPaiOy7dGI9TerxV S3DCr5SQmUmvz/KHfMpqYdu2VScZqsh9aAxkvXvBwqg8XSczukWg5RnguRmEnAi7PdrbWO05qs/J /5vnZMqdMlVN4HCFfSPHzA7/b5REquQmajz7XeYwnuufD5VM2u8qPdt4/kZuMfYVxiV1F7eD+gW+ BXIQxi3iVr/NBD9mAgv+clr8fG9Y0dAlOzqvj/Q/OqoggPs4wo09yxGjREMegxRi4nFS/iYlb8qG rYdkQucL8foh8/CY+8QH84Df/YII8vlouQ8ij2rHeK58nCJ897/TYlEGTuV6hTZ1qERwgeIXJQ6C 8Di4j/wt8C3b2j64SF8yHeO8JPHtd3fG94lEoHKSQPF1Hu0eAnTedmT4etFUE2bfnsQpY9ZsEOCB IJJtiPJGJGSIr4BMRbzmZtg5qeMpbBmri6QUUNHvnjaAtANWKuZkBOPL0SwqsKPq0783VOu0KAZJ rJMt2A5wEEyk+td5LQ53F+rzJznjadYcBMhAnW+ikrqIoWcv/e7zjWKxLOZrPAdqJw5aLZ03iu+y FEFXb8KZ/jjUd81fb8qbPPjtGgRWf3BjhyfapT5gb8CsAIllCwATwHEEw4F0YyUj9OdGpP6WHLaS w/gRYiwE0Ay/pyJwL9/td72iVoOYsbH2PjbCa5+BVPHK9xjW4l6piDpDmBFORIgapfAi+Q9jNe+F ZcWOx+e4AdJ84n6FlX38zkJCOndiY1McUQSzIY8YELMYfSZwGugBUuIVRoeT9Dwbt8HiUbypPVcD 37tIt4c7c2yGpi101MKG4OJ0n0HrAhrhbHBgSXQgWuv1HMi3VcmW4Zihk+qbWWyK3v+aqaLzzDJD WLc4YHpCLVv6WwRrVtxVTw+h4swpA45ObaOtTAB3LCiPIlU6u/rdjNF+UOvPkmaUZAVHgcBdX7eq iA7B33orJb8++w+AYdXxKAAUd/L56T9PxeTr3DqD9b2ffkL85xPsyOZRA1U1mlofY4J4dR6YPHmE BP6qQrTOzEa8qfLs3wFvUMKzU3Q6tAoWd2Kcm5lfdEanPoFBiycznZCSNetD4sZYg/5CvgERlHRv p5dbUL6FHwx1KSWYDQmA8Sh+6QLZJ8IohF6bayNcwEOu1WZj0ursCUF9QJbqv3A47h7lOn3Yi333 v0jaXanHo2UlSYUfnEY98KSzJ9FD4gZa6fATzR9OgdpWF9+YBWDd2kKUJw728qNwQT1ApV/Wddwj T64AY0GG6HoinPJnxV7+73jw17w/RkCG9SFcxtZa/iMzWEjPiK3nixQNJiRsXfpaxQ3+9oigMjB8 xz2CcVeY8nGq1/XiEC6yQR/QvNvKtPbBXvl15/XhcPkMcmShAWCEknH7rK7fZ31bQbhZUVqu/9eM ia8SB/4aSJaxelA6hOMewicbnLFrfpQJ3nTOzaP6fFY8FNRlxAdHcjvU6aefBV4TwUc66FzARSHm AKHQ3xEHtdoSB4yOBppvZjzKoVOCm4QonfBCBS7SkOPHINRwTQMx8f9GKF3CRNMMSBekWi7MX85G Hk3nSnfgRSgSqJZjYitlLonjfAb8yyIL55keYA/1W9lIINK22+AUs5mErs5KDs/YxsG3UELJqYUm gsH2Ku6MHAfAbSjxXKuJ0XRR9RlheuqvbJgciDhn/TO4D5lFLos3lHQgj+oMkqJLGmDHv+8RDkQO llBCRX8xL03EvJ/P+NxLIZVt4uwlIe4Lq2p4en0nBa3zVaore48R4dqG+7GP6WxQ2QORAFe/TsXi +sTHfLIG1m+gxcgSwQRsvvGt/6GmhPxyDh0jmxOODWQs774MDVCf2D9cWN/fRdEEnWZGbkK1qn1+ FKkK+WhlSWEmJ4pzYnEaIkhJAJL/v3QTFAXplLuxP10OE1QmV7gxCg2sX6HFs8lR+fWgtuHosOYU kOsozbnxc1g0PcAbhyUurHDBN907v7FqgAA/tm2raeLj5L+VcZLNzgyypLO8CEuJIcnVA5xlXSjs fToO6XvV6cZL9Np6hNdHTAHq3tN+yaY2cogZHplfaSL6p/d2YkKX4LtzjXwDGw0wODGID/tDbzfQ IoUUx4KJAx1INkelQJdGjdEOxyOpZfA4beQhCPGfKo6k3ddROeCjrhufkqRzOr67gWPfp/Oql++U idg2Z9DY4/PfLmpWbEDWLJl6ionwJrIRyUj/RfbxGWtR5pmN7583Q0yYMiB7ueKFa5lZ8W/ERSR4 lsASSBeNg7iQun7TJWy13d2ZPQFBcj8YpswRZjwn4ACndI14V1PYfok/HvGUnHydwFkoGgTur8yt YckxCyROZILbGEu5E4qyyld6OHn0KVN0OWAV5Xpg47KP5YL7f6oO8pXz0nAhpsdOx1zHZrA8gCso zBeOy1aZgczNWJuIgVYdBd0LHQPlmC/JabOyyM29ToNoEhBF6KQpYKt7BN0zh4u5rQXh1bjYFRkC p8f7O5gC0WYERfUFapF9sQRkeHVF0ihYReRFsW2yIWBkI38iy3PKKrOsoUQOrbET6OvNjdmBPyjo oFLQ+SlMqLjJuiN/nkMRN5RldvuXqE/+SnqCHBh3MIlxJrFKLXJL2iANFeMifsR6Yfa1PccbfEL4 jRsWiz9k8CnjxMB0/yUT9qO4S5DggGGtx73EAa9QSEQPKlKVNyTUTPTTvDtj3P7CqwGhQLw9evMZ LPmxa4NOj7GSTsERZGZnwu1lUdAh+56O+zejtGUAe9ypkxZfBm8c+NqG4xeXNLXnksNEYgSyKkOJ 9uwNWcLh4ixSGHUn2aF82WClfS5DoICSJqvsuRWqGHv1sO4C66v1zG7xI3REvxcpJPPskcEBLxL5 ucmVajPFyqqpqTjIEENYyvDB/10Dli5BE+DgXGJ2FkZt6BU6Hl0g7U0RUTnAHlIFJLj/HeVrLWNe fK7MXbbEppZv0urqZNHwoSg1bitrjJjkwb7j8fIXDyk9syAqz9JCdqVJgZwL6aDkWbDOe7HksIva Ru5vJzuEhUT2YMW5DscJ6PADTkyxO1Du251i9OewpAUoWU+GIpdvg96mJlaKHVYp9piQGZ8dDPyf LzfZ31EacD03aCMzWK1GvZexekjDcR3mZ+4yM0Md62xt3ZRMASplgE7qwG2h1+20zHVW2g9JmLcL f+uVJ/lqbQdeHfGD4iFCJhiPRPdmvqANs/juCejTZ3dzyhG9iMT/f8zhxDtMz17fpy9X0dw5Uf7I MS0/vxQG3Selsw0etjGHm6HWg7zswjTU9BqSRLSegSWX56TvV3x9mnd2EAsytx0zJyKYWaiGlylO yhsxUXrOTZMCSqPIA1/viEdVbEnGVoGVbtuliNwCgpmQVFXlfrCon+HSwj8QJlSQtbPgP3YQiD0A Sq92oM7/Nei2NGQ+SUHDiZBPkdyUVtIpEMe75CHPCVRtYFmGiRkGHbYTDs1U+KVjfg/vuLXwnz+O Jawy3w1flVk8bCMvWdrX3m3toE91+gGJPvWTsa+zRHbFkksTgSWP20yMt51sGIjTWNlpXBR/P3wY d6GYpaM5EjKXJX3wm/fobRhmL2yuwnPPchWh15rw4YBX1lx+pn+MGGRKroqDTboSWpLoPNz5iJvR A4PkCTZ/MAhpodeJJhLHg70QiBQY7ebReNCtgF2KmA+DQUIV2RZoLqOoqDJGoNHiwA3SXJmupzdu EMmLUdLPR85TJaoBBEBC8zQNU597QzInw+YE7c4eA2975lf3tReQ71eY2JaQsG5vwxbFcHLCTgWE h7eNMkUBKCdWuJPfWbkkCS7bulfS4NduV5WjPA4NPoZcE4Y3Q6WHimxlQLB/OJktqGRq+wJvLJtD cLmxYARbF8LqpaIm4n94dTgjCqYenYWn0kBzj8vyigDhb4OgOnpKSubKvT4RWZmzvOHZos1pA1L4 zW1+QTdVypkdsQ8+KjQbjbOemLECgTMX6BFFrAkUtsYipvOJ0ZJgoS5BtDg2rD63HbC0/WQM3xpl y+WmgyP5prCGQUfAiyRGdN2Vje6GiixKfS+dAPCbx4n1miMPJQxHcv36jcG+fKBAtRWK1RYcQaXx l4cc8LNEtUxCHkvC4hhyhs8TmVishwGj3aWxZo9bhbZJeqKM6VW9zzB6TAXriBOGJC43pMC7RUBO /xCh1TFaYhCxc9siwn5hs1bmiBUW9B9hy7PBoAXvBRfufcDLgrA1WKc4PGKtOowzmcxNip7gy3Nr jkwhbH8ujsDAq8b60LRUDGvvqxpxEiD5AMO94V08JvqyAP5Ogr5GC3Rh29aIqDjUayPpMZXUuucW PyhWXii5tNEtCGvJ2GLq6wynL0ofcKEbmmAuu4pAtzQpsdH8kpuRu03AJAdoPULZQtWzE+Tzstiq 4O444GNNM649CN2hNWR7D92AM8jVA/tKL8lk66UbILyq996BYjc3yIIamMIaw0XklO1XtmeH26Ad HR8kXL4jwqm7lLSyHSqJ17NWWOo7k3Az/bdZHappuH7ODewi0rmtLxOk3+VGG+xwaVBZd+vQ/Ns8 S4zf5ew4heEzmKjqtA2YUKucYL8yHICqzqwGb7naxt46EE3tv6x9AjsGl833kulhyBzy0OyCod3+ 7evYIexGofhKJwWiSD24rNvfkfmhAm2cXrl+dpIKZpGvzpqEKMlmMkQ5qSBrYozru5I6nWWMov+K zwocnToZVa9SX8K556tabKoiv2doJ8b808+qGA82hf7JUKZuR8K+88tya2njBbsOYTjvOsUaHzFr lL9yA9T2veRVPse0gY2Gj3wItR8vONMZxSblMXlheaEhZ+ng48ZkGoduuuo23IzqLDOIvkhzXq3+ XJoqqHMA/2Xt54gk3AFcVoUzeuDdw8xAAFi36gZfvDYu12Uz8aI3vLhoI/KIGoYXIktCRKpeTQ8t 7ffc9tC6DcUfian9Pb0A/ocE7BFN9bcBlZgdfAH+j4RJ8R9MmBCfjie9K6GZSjktVoxaG97VXJqi hZzclxdE2cJuHmO0hMgR0fjryefVLkPCEhL3dWFcPoWucM3hUyqrQ/4e9yvxV/DrNAdnHMNN7mnB DOLP9KMDxGgQgn/uQNwFbgpN2kSrezM4DVrVGnRQ3SjbDNZF9EOkcBdRHehwxokJbLEOuVswqJhP OCHU+C7CogLBNGCCYbYgk2oY+djBulYEDnGB/bUNpDbM4iwteWTBgeNOlp0gxX/cHGl5QlRObnT5 tuszMh8FaogoqFgBAmTRL17zvNBQts7B4SE4qvsbonE6mnCYp6lLyi6gmFWsEYUkQlJkup4gA33T fV5mUEyw6C8hjeCG1/lcFD+RHUas1GRcyechOhSJGBYjCLJORuDy7R8WBSobEWI9uiAuzMxLa3fE CJBv3qgfnw41SuvbSHtMqyc7qgMC6Dlm6PA= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/or_muxcy_f.vhd
15
12722
------------------------------------------------------------------------------- -- $Id: or_muxcy_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy_f ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy_f.vhd -- -- Description: -- (Note: It is recommended to use this module sparingly. -- XST synthesis inferral of reduction-OR functionality -- has progressed to where a carry-chain implementation -- will be selected if it has advantages. At the same -- time, if a rigid carry chain structure is not imposed, -- XST has more degrees of freedom for optimization. -- -- This module can be used to get an inferred implementation -- by specifying C_FAMILY = "nofamily", which is the default -- value of this Generic. It is equally possible to use -- a reduction-or function (see or_reduce, below, for an -- example) instead of this module. -- -- If however the designer wants without compromise -- a structural carry-chain implementation, then this -- module can be used with C_FAMILY set to the target -- Xilinx FPGA family. -- -- End of Note. -- ) -- -- -- Or_out <= or_reduce(In_bus) -- -- i.e., OR together the bits in In_bus and assign to Or_out. -- -- The implementation uses a single LUT if possible. -- Otherwise, if C_FAMILY supports the carry chain concept, -- it uses a minimal number of LUTs on a carry chain. -- The native LUT size of C_FAMILY is taken into account. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 07/06/06 -- First version - derived from or_with_enable_f -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity or_muxcy_f is generic ( C_NUM_BITS : integer; C_FAMILY : string := "nofamily" ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; -- Makes visible the function 'supported' and related types, -- including enumeration literals for the unisim primitives (e.g. -- the "u_" prefixed identifiers such as u_MUXCY, u_LUT4, etc.). library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture implementation of or_muxcy_f is ---------------------------------------------------------------------------- -- Here is determined the largest LUT width supported by the target family. -- If no LUT is supported, the width is set to a very large number, which, -- as things are structured, will cause an inferred implementation -- to be used. ---------------------------------------------------------------------------- constant lut_size : integer := native_lut_size(fam_as_string => C_FAMILY, no_lut_return_val => integer'high); ---------------------------------------------------------------------------- -- Here is determined which structural or inferred implementation to use. ---------------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, u_MUXCY) and In_bus'length > lut_size; -- Structural implementation not needed if the number -- bits to be ORed will fit into a single LUT. constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; ---------------------------------------------------------------------------- -- Reduction OR function. ---------------------------------------------------------------------------- function or_reduce (v : std_logic_vector) return std_logic is variable r : std_logic := '0'; begin for i in v'range loop r := r or v(i); end loop; return r; end; ---------------------------------------------------------------------------- -- Min function. ---------------------------------------------------------------------------- function min (a, b: natural) return natural is begin if (a>b) then return b; else return a; end if; end; ---------------------------------------------------------------------------- -- Signal to recast In_bus into a local array whose index bounds and -- direction are known. ---------------------------------------------------------------------------- signal OB : std_logic_vector(0 to In_bus'length-1); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin OB <= In_bus; ---------------------------------------------------------------------------- -- Inferred implementation. ---------------------------------------------------------------------------- INFERRED_GEN : if USE_INFERRED generate begin Or_out <= or_reduce(OB); end generate INFERRED_GEN; ---------------------------------------------------------------------------- -- Structural implementation. ---------------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate constant NUM_LUTS : positive := ((OB'length + lut_size - 1) / lut_size); signal cy : std_logic_vector(0 to NUM_LUTS); begin -- cy(0) <= '0'; -- GEN : for i in 0 to NUM_LUTS-1 generate signal lut : std_logic; begin lut <= not or_reduce(OB(i*lut_size to min((i+1)*lut_size-1, OB'right))); -- The min -- function catches the case where one LUT -- is partial (i.e., not all inputs are used). -- I_MUXCY : component MUXCY port map (O =>cy(NUM_LUTS - i), CI=>cy(NUM_LUTS - 1 - i), DI=>'1', S =>lut); -- Note on cy handling: As done here, the partial LUT, if any, -- is placed at the start of the cy chain. end generate; -- Or_out <= cy(NUM_LUTS); -- end generate STRUCTURAL_A_GEN; end implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/dc_ss_fwft.vhd
19
9156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eRz+leRSRPpou0Iyb6bnhB8hg9kPbBirrzFUAdKqw/be3+N8ZrhDizYaLfXqnwxlgZsSWJCzRfM7 HvMw/rTLhw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rl74r1iJC/bnSjzA+Rx4NZe56NnmjoVRFzUux12uAkwgT++rVuZ0cWQxVSY31Gff9TGn02lNxavo U1xWF81U2u/Zi0XY7ZHmbpbdUEdpSv9huiEIrpuLuTgWjBSUwsGYqRxHLx1vq4vioRXFlAhPk9JA iYodwxjKI7YbbZElfVA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lO1ylq105LQ/xiJNZcB3fPTy1RngsQ3yQ/KJ6FM1qs+SoXmUQjQaEb6hJLPAypYN8r4VdJAzSC/U 5nFe27DWNjEKmiIleROkH20okne+9N7+PhPIZQnib521U3SV/ecBImKKPYRpHhAeqE7OE/DzQFWx 10ISqR1I6WBii4R8gkz5k4dkFHhiTU6fgkIHLUXXclJrpQ6fHHlk7MPcpQDjK7bXjIiQ81qfpVmp P5Kh8wiY7VppUj33GlIcYsNio8GAIV3e0kBKLoX73uDqdvJ/2zBzKOZoDd0As7C4AHF8YSixL0MC djalIDRCSOBX8Rd9h057rIe8ZIXNMu/BHoKk/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aJoUzTg4Ju9hNY+ZPcuNUmGg+rCD8aivgSTst8VRB5/g9QHuzghA24ad2z08gxWDFeIOT/HFgT6H g4nDsyLlbHK2gxUijkJ6ORkRfGOxb8UwHTzLEIRJ5zmkHtJXYM250JOsiukrgEDT40HqdtSgre6O kXXliGFm9MU0LwRby+I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ca/+TaSll/KHF7mIE37XMZRKDQpSdluwuJA9x/CRPHNmOrubSxRKoPtbXlxVM6ehE2hXp6yB6qBf Fup9ZI873BFwgulDsuQHuOSUPGo4bBHwDnNbSi/4G2je8uxqj4KeP/bv0RKunNMT/FTascQdDh6n SVSARZi75+ElUvhBfAjPHB+yugMvSxDk7TRPn1RomvNtW1CJTL51/PQt26FoAtnxmwYDcU5wo8WT ATzZmP4jq9ClSvjXHkf/VnlLenBFunDj22Ef6vdvxByXWMZrDdZyqqIvDvktra69BBPdtD2LNyW1 FCI6v17qDRdmShLAB1bJHs4PPkDtQbDOwcgx6g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block lmDBhH2N1dVyr6Nx9UJnCqbVIGTs6xb5a1JBLsQDb1u6ak2Y9w+hzQuzpRQDI9KwrFRgnvEs7ZT5 /2erdWOBCFUVk9MvsY6SAEvX2k88OrHzY4L32DgAn2B08x642ngwasBeTvX8VL8MoRQJwU3PdcZy Wm8tffH12U9ZCPcY3faNFcNHlW051suOnfhwVur1Acd+RboAZWFS351l+7sjVXC2Ug5yGSPPem2C Aowk//TB8x4Fae2mSF24p3Wmp9Y+7oVzba/S+Ugiiyu57bz4wIibGxEqwNnL/trVC+cqtBRWjusv ngC93W2hrwpIEk9Y6lgmtzEF/nlJwrwOxrCTr8vArV6LVJeBH2KBXmXeqmkxrtbBmOSikXxx9482 jucheBC45w1g+LoBZ5zEmSSc3rCy6pFz5VzgW/KttQkODde8MvrnW5r/rTjn4hAqgAO1HWckbiGU PtNvd5p59bIHLawabEjLlYMzD2rj2DeSOsn+PYdQ4vbTQdMcFUOkCH+b6swNhu7wmAhO3L6CN3N3 pEiGCGRghrq6YNBracQVnVto9A6XRFBWoHVSkSCVztIUquL3cRXMzp7ma8i2024zbYMeQrl6wmrH O49189DsMfMHpEqkgT2xz+yo3f/xKnioXiA9U57X1NjXq91HpdBJhhnaV3Eg8POTstp5r7qjfPyI zTkYJ2IWioJJNcmpBYAn4SgHyFOvM0246AbKxV/41P5qosEGpXTFGdHJj7JmoyRVhOFB1m73FnUf zc/KQzh5O4tPC8dBGscN6Wun4JazZ1elhqFIUFO8eTvI3YJGNmDhK5s3wQ8OKL4jRhR8+vdITPOz IyYPrB3IUTWNdkjLa6ulgzE3DTCViGZGo0eLe/3b6GEPHDTTGV5+BQ76B3DbpBa9/CEs/O1OCGLv +UjCNdus18u8jAQla6cPSnxHmwLWVgS1g8A8LRM9eAE1Jj2gmPdRRj9sT2QQke2QVKW6IuJwgrJN f/FoFD5OdmpSrWLo8URr+8yEImFkkWG1rlZMF4b2agX3TvaaW9CD34M4kIXyaekKGw8t4bRNB3xi K0EGA2DeB0Oev7MZOBzgEZms1kziADkBhbpUzWy+PMq1W0yxcWLfAcB1qLlpEhqozaoZbajQN+kK ObDEAqEjqd0lq3DZzLpAQmOtFMHH11K8xUt5Z2yPLk7F1bmKLIUNbhmC3bS84YqNSTFNZyWWdZuc srHnDyZQMVn0IxLD9eRQjDQHmFsNZWMdKxzQAyXEPDnavZvUnKl4+SqLkgpTY+nDn2kRFHhxg0nh 6ArlHZjGlkQJjjjK2tTwgeG1a63HcxewMNweCEauYZmmxUr6zHNoczPUrwyjIHJBv9d5XORZjJrg T3HRnIi7rZKXIl5VVrpIiTVJuFmXdzDHRhJ6IK7OJccm2VelAGD51/zpKLnNNbuJ3HJ/9yLX5ge8 NidA73tMAV4wcIvRZyJK0vNLogsqJoVZAj3Kj9CGYhIjDW7hW85wwhvMPmDCRki0K+xy1E//nlES y6rfoJ85cVWV5K7DP3KOChx02VES41J1UCbHnjaVdtJFlTPU3KB0+vNpK0VpzTmUcF2sGwx5qAxD ClIFz9Di3URw+NZnChUtx1McpqVXNFVPV+xrS0Ck2O23oFAuUFjulQdwnex5GgE58FzAHlC7HnY+ 6sXcr/s5h1gcsARWYeYLRkWGVfSOLdCRxl5DATlAIhyIP9Bd17WjGZXVjnmnA02q8Mcl/pRds46c FmlcifhVs9fm4nE3OtlQmIFPD5mFG+pyH5Af/k3o/GKUGeLs2Ypko85ZgYVxEw06ChO1O4VVP1nZ L28f6BlUpbB35ZaIQ3mciDJNWgK68e1H4yVtcn9k+r8aVx3Hf7x6Ok1QgxsQl5d1o2JWJKiciik9 tLScTYb6AzuJoVEhv2fuwSGFklwcS/kcOd/xZ1A/P7dZOMikjt4zxbMZ9zrjjmSzdMOh1UaXFpe8 QqpcE7Jfme23o36SmMVWdSsEY/8IYRZbgtfSKmeauL6EytxKgnoBDwOBaFPr0lcfldP6YYLlFGpS AXfkWz3FgQ+xTkg376AhR2eaoh5T2EFyYqtt5fX6i7j3Krx6b5eKY4AG9XkUBdGagR0AFXWoAzWB xbkuNijBmGa031l983iOGJRudR6GuMMiXrWroGX/9OxJHuK1GBYzE5AVox1wLY+XIdpE+HnhDvJl 3wU2MfyoKVjHQ+fyCZ11RV9XHCfb+SwOSxDCkXPhvICDDb+x1zpTeO0XW6jstwxPAnw6W9XEEfza OjbuV1xG0zaFjtRPN7T+iT/ZtQZTxEvuUcKB2j+uZ2+UUJiCLFw8cIE5v3/fSWlqOolGXCMGMq2s o8dYztDbgAiw+1bfNAvh8kdQSdNYuH6dpy3lYA6cVWI6xQmg0h+9Di12mK8wSLHZmG0v0s23bFLr CcFXNXrDmQosuPGv8SZ971bOEgB/tf7NTSYOhUpFaYaCvCtKcwZz0hjuEvurLylbQ9Xo6eVxWhr6 g2fptgjKo/Y69iyY9PlCs40MEkYhKuv8m7NHhOqD+XKhd3GpePG9wj8Gq2iU6JAb4l5zcTaKCl86 IhUZKv8nb5nj+bYOjhJWZFpa2d+/SnNn2OjeG8ZiD60mLd6aX+q+eQw9jdrSu8dfvER+2t+ri9wa HfRggp6WK6sPH32/bzHP/lDJUrV7jj1I4MCfPz8P920ZfS1He3+wlI4PLHQ5m8ohhWF0O1VBJ7PZ LqnufhPDBPM1Oy/Omc61Ff2U5HzupGucnyK20yxVB2QG15kPh5gPXV5DWLZHOlGjS9tw+mSJPYhY 06HSLSNCu8zZFM1vCRE+vC6/dDyxVFD/h8gbW2szMbk4JvyI6ZO9guQksuJHqB6IvoKC7iy/qM0u Jtwzm8YTYox/nr1FhMC9+CJJrIJB8wEjjUJAJsXA1HTKFTZsq2ke5nP1m/4ic7kulCDJOkB+kFNu nuT1hoEJx0U4HkFOM/am2XhpCgh6z/9gCu/g9/pIg9tnIwgu4s+b5AJOrBvSHmYIkpIwaYO9h8I2 c33ILAQNN30g8gITrEiwtA3ontgYKs7T35wqXVWGzZba5E6WgOHYa5tOOaYK711AtLBy+Q+MSZQu tZdI0bYVxMtOfuwkvUlmj9n9zinCzUFNH4gudq67owUeiqDkZWmWR4xDkR47aiQaw+y0yvPK+RU3 0KQ43vOVinHfM0MIAxQnKQz3bkd5Z3qc1/0eFTmNOZSZKhSkTIdvNBJV1FgkDnvVdPuK6lGF5HB/ YeSrCDq8h+VofobmP1TUVyBaU8OQBNCq8PQ+5yBvdV7Isuvcfii/YG5kPg1wOEValNRm95CmC/27 j9Hx9xeSVD2s1H9hjBcyD/PnkQ1+wp6oueFJELGVjD89FAgzPL+JKWyhfp2O3yLktPu0ye04L3mA 9qD+NMvTj7S2Fl+cBtDJZ5S/nx8MQMvjYh59HTTFIq+lKzp1z4zLtGK5loV07nBY2iacMyXy2U7W RPFQP9tf9mEmgxyyAaO69qCni6ZceE8ol3tHlBTP6uP5p5ZAVkWOCrqjBn6QpIh6+6H7jrU8IfCB eJf7xvoSuWoxlyU70I6x17PIsMBeD9ZcEbCSTH46lC0rxxn8oT+wbeFOvcDJlmkvkb7/Zfz63gWQ wv2kifQaDz2pOiCm/P10e/s6PHUqLp2xi8TDS00ij6LFG7vx9wiH8Y18MYcD63MAeWDSsf08MBn6 Iv0ZP4jK3pjeBFDuOTFZgJrDclGUgNRBtEMHNS9TGfgNuI22bkCgHsYJNVJPQBmeNg0uTFO7+p1e OrmIiO8H9zX2PQ/Omvzryy7wFErbdnY6sdF163zGG5qxLQycSpwy0sD4pKlbBHNK8l8ZSo+8xCbh Kq84GuuRrY/R+KHweqaEHvsJgIjjq5ZjczptHbNtSDUCBiERI4NCvJOa6EFaqYBu+LId7gD4811Q 4FxHJ/XUTEPk6ThI6yUFuciphxhIKLVP7Mxa8N4dobMuv9qxAr8LbCkj23LCE+2UXmBq2zTzhwZk KH1sA2xbzlr18apIzOtoZc967m6xlAlSyKF5HM1SE3P7LchsEaOxLsFKs70NmPJMwduqz+Zwq9ua ZfSsAsKb6n1P+IXOKhFirAWa0qgDRBBtxO+5WXkGjlM1zmGLpweMBM5RoeF9QpHAq9rrpJTfnY1p tyt0k7AOUNyXgEgjQyC2+/co9g7uz/XK8PXDuXySBXFXsuxMAgiPgelLCRWt7F3/lDe8triJJhuQ MgUT8wPa0s5uzXKG/bWwIiIuKHcoYmIUjkNAB3FU1822A+Uk8HlVepEQp6hMIlnoO3zrj7AZysqc m6MEPSKdheyazLGL5cGbh6aciijwuW97/fUn/G024GElt+cyjfruFqNDv6GysNvQmCZLbUIzFYY7 ONKQ3YKkqASZktW9B4ihPnmUioQI9N85QGbRS7wHXhRxr047aKvvRSK0A3V0Dhm4OLzbOA9f+kmI JY9GXS5hSDAmNl+fvpZ7QHN9lp6JSJjqMZ0/3qDA+985TbNEc8rQWOIXMf6uy34lrdAueCEtCWWj Rqd69+2zunb347VIu6iehnnuQF2ktRSUlywzEPf4fUtyaZWFUFiI02+z2FGdM1uCGEQXujSIaIwA qVqjAir1gYCpSYyMjlcQP3//pRIUUEIxKUPf0kxPKvPKCJC5yBpZ7OrnGBHNp7LMM9NkhS/CKC8p xgclYn1jEf3Dz5IIhuf7mAAB4UscZFYYn00e35XyWV7w5BA9m2JtFFyj5B6OJRsGdt22oI1sVwrJ AZs3/KLCQZjidrz8KrnVFfkthy9vzO7js9nkusH2lWtqeE+U0h8V8l7llJ8wyzUquu/C5qQnhD5P wcpvxXYdaMb1QTv1U1YR0aV7elOo2m+PYJ9NK6bocwfTzaTvKmQ+yqgFvwHpb0pWvnvfk5Z5Q0bl iyIw9VkzpNSNocrLTuUjFq4CVbdSZhtCkR6DxTPLhJbHApv+U5ZJslsk54X3PuSxflXV/WVq6ezo Z4ZFwpry0o99jRpRms3Z0NiNr3ojclLNbNEjA+WamYvdV2jLI4DK4wsCpJj8N/Ezs/e1PSMUl6lY qL9Y5JfkrBs259nLqGV0Szi0my9nMlj9TJ63bDXwMuaFNuCgUkPOSttJEMVGwfK0G1AGwxE1t8gi SpBsF9wxVKCBKBmeIpShdEJ/aBEYt9R5lFc5tmY94lbWhN/qWJzi62RLA/lEmOdbDjXLIKwcH5Yw P3eF1LrIL6bPrx8DAYa/ODRa5CCFOO2T3m6/rnJUUjusaM4k2/poGhBggSEnuxfGeNCbOP0sLMZR tEeJ87YFl3Ye3+aH584rU2YEdmPLKZjgU5ISvddJfy0t3Eeo1QAFwGUZl/RWw0KEPYkrVSgH4GYe Onmn2q4D3DjOhdpxdzk5CFq2LoySnjWsiHK6LKBVe8IShyKeXOEaiMoE2Sm+buUg0ZtYpqtHD03/ fjK4V6Yss7Ix5o2C9tObxOyU1PFNbzZwFNXE+rewrVHOs4PtZEjox92MicT5rbKaVs7ypAcqX186 fNHsmuF7Ew8oK2Nf2aMqMsXtxvDCHShdkVqfrz6bs8foMM3DXhvkbwCz+KfR5woBrloO9XOdRP/k HD0ifbTPkRkpTB5c3VEVSwFVJ1aMGYrW9WM3B/FW4RZy4q6CLd5ndxKnwSWoZCtQjOTlhs1g+jUd Tw9Z4FIl18PUkQkfCmw3DKAvoEhB7s4fdDMDOJ6zuU+WQBYGPkS/5FMd/sHTAVkY4V2A4W35hQ53 8R13l8jjzxFdTJmfOLSoen0jZroaxI6o14oKneV7tTAAxKSS/1gM5zglOZPF443KbZN44Tjh3x5+ ZsYm+KPYzhpXgHsVS+rjaynwpSLfs+btL5bE+uJaq5w83ZN7e0o2cFoI8F55TG8266A37qJlykTO KAU2vr6If4NcaGfyUohm6bQHtlqBe6Hj3Dq84G13YYK/PmwkEN1WYr+8k3OHj3W+JrlKmSOrqg8k LmhgZCNPEaxEpy02mX9L7MzJmjM8m0Nhp/AexHcUQ+US32K7sUBaWeILqmVK+cvyZwFMM0txRf16 uBCgtpZD+O4Y9MR6vLP/KVm9JjskGiD3Gy5IlFlPYQC8fQJUSAsClV4b1fosgybEcjgyuUxlBgGE xYVY2CCY2Icev70wmD2TF33n4xQhsckOCWDszK8yET6GqGxv4XFR+zTvX+QKUIQwKeKU848NCkdz SQ98ueA8fa3rOwNwpbYQuyDm1N6svpTyyWbENkhqpisBigCy+ljENhP5/cAhMAjUbWjBsT2EMzHS LR7o94FMhuK/57DhuJ2nBOzURhWA8S37tLjcBppwuv/5X63BINd0+Z9KJZH8CAcnxwaXDat6LUcs uCGCwP9u+00kzqIsb1YbYNmjay3ZRHAjAQLQUCK5Fjwqj0LOn+i68cD9O5brXT3kiQR88U/mX9+u ostJ5Bv2YpM5DH2pO/19VRGSerGS33Zt6QCsi1nf002rRK9AwKkRYA5nzWJlRIj5bhkDQPdyikLP dN/B36RPI18Wzm/6GpcJaB6uWhG2nhhk0/dCLMXImhFqzwtp6BLGb1McNq+v6X1CTE3cGvOnPz6P Ev287hT9ddBV6TL2BPhg39fZujwYYZbG/nj/2TOqGsJ52HmLTlydSs8JAQuox7XaavT++8mFCLLo K7wj7KZNA65Tn09kpA9NLItcw1uuCbCR `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/common/shft_ram.vhd
19
17157
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CmScm1EG7+yOvSHJHM5cOhdqnLzZOcepWxY9DkMOyN4kLbgbdLuAH/l5P4gSPyg81gBN3kT+DB4u PBXNo4263w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fwqNpFcMm3h5oYp0iLLBA7jw3Gfbtf9OYXqaNYQK5M/u6ozJ7zqm8z/7Gi9eaTLXS/9fpHpwK0LS QxC2diEfybnFW6aKTP/iU4AM0T8Jfwg1fYYXa19VRgeHNuXnOnQbGrbwOzyL+M1AE6VgNshYAcke HFUgdv42HBSaLBuVCGQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D3xIUFHSYN/tuU6xykyZi+w6uytCi8PG1RRIohuMCP7mdmezS82HpITZGe26wOIBAYGliyfJF+bm //Xu42+HAg7awD4lB8/Gfse7Vws0SwmUepHhRYxtuQx+Hau6aq1uL1eE+GMEUXgxZ2vOXH0ipYrS hLEg3TtjTbccTVimoRhbMQB8xVTXKgd1xaluMo7+0fNF3EBfFdhrX7VNbbmxpV636ALP/wC6VRmP XNe5xXQjiv3FP3uE/Bt2VYm+z78C9QX2joRNZHnjI1wlv+JUs+OBnQx0uieg97dZpGTJDWS/ROJD yUMDQnx8oeo5Aftp86QvBAbfaqE5X6J2q/lamw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WD1VRz/pLvBXDYk7fWsqqk9E+EKCxbcP63KaJV1ph2old7nkwo7SBQkXHtT+4KqXUeTJT6DxPa8j tS5RCAcDnWldx37xHa9SUujjT7DruuKAJejsjhxtSfv6A/nEW4C6nOkCH10rAuqtBTv7SUZEElTR EXiyr/yJfBZig+juuEc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TO3nxTWXykAydBE8oLE1lWHpTk01Db/e9HeGVQPfEOiTpRxWensjccZLTO1P6wLTocrobkWdnzeG BxBt7prIiPwnDDfhHMe/xea/ckp4CqeBr0GVOckjbocHEF60X3dEzewbdNfFWYT0uATcWRkKB+5o X3VNEsL1+rzFW3yXd3oxwxLZl2hrAEzHGv2AAZZgDP43u0eLOoQsuloFBUh5XzvTCc38IZkfTB7l fBrAnLiMxoJyYNeps3ny9evx3MIX3RbK+6dmn9Aviq++SNxcoN8Y4/1btHsL6F9ez079jTeANSEU ZvBBfKlGq2n/FXU3NGHAnGxirPn//Y4kyfC2Kg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10960) `protect data_block iARo9afwdUpzReLqDPiQFZY51Dnl7/FEV45n1IuOmx+HVOwkzhcpqY0JWuwGV+t0JuejIuLrCnf9 43VvzSw9iGSF6hI4qXrK8mWNngw2WdBpKbPM7yXMVF5HI/PF1pT0sQiAqzZqh2XIOb0vqVbvcL+x XeYNaN1e6qBCWPrdrPrxvvI32Bmc8AQWQ2opDTOcX9pW5G21ztA9FLcHPANQzjCATH6pcHJI08OP HUxWSZsrfRhoqvq61XI0ZqmgrxLJKGsoGVPj2v6R1NOHYPtGeoRrKVbZOSSLlg4GOuwSHEXKQWWU mpXMbJuqeOtklwfPMEDGPt65eWyj1suId3TZypKLo0vNhObvHPTxC6xxZv4UH/ErnzCz3oy9LJPb wKCVhj5qVUfVBbb1rQHtAE0nHGTf8JwyArhP3LVYzANDdwou73nNFYzHjuv/j800yaluJ6R/jWJK 45c0U04E8Kc8cco2PuiyUp0ldtWF1sLXaOVOrkME1u8d4fyHIP8WB7PNXjdRZijXZpS7SMOdL6vV ImASpR8lrMBCxDJIOEqPQfv/AN12o/rt0WcUvW878vF9s2Ggnz4GfEkCWnztmFguob4D1kVbW6Rf n9YPyyKiK8HI4p9sJKk7evRAa9GVtnEWFge5APQGygr7QHqDM3ujOdJiBKxYl7WW7EmiTf9shVCM aCp0CdKbtYr2nPcsG6KbZyIxQWF+StcIL2kFMrUWyMKSDAmFsgGe5akgnL8AIZexcsXjToM+OBZ6 J3iPnZsE7hkOBHDtQAcsT9heB6nKxgEG/v4Buhbs1CCWKgISKekGrUFf4it6OLqbS0njdeV7XLIr 3rYGovLI3E3tbvEbnRiNqj2d8ZHx1dBJAOS7DDyGFXVYiAVch45B/s3udcDjdRorXzY0DzcAwfNs ozhtVpMTnKRQmlYhqR1FRqT6bD1ZttNWI3QALDsfpHSnWigX7cu0g8Eb7JDsAxy9QzNbVgjSgBlQ ktfyjYd8uQK/ZSXli8JIgceKToK8Jn4hL9Wa/TKeQyIxNx3ZjzU1alKsalphW0zeHsc+7lfCr6/y K07Ey0z+HcMuwkjyWg3J9P/f0tzRSGzlG0Sz5+sOFhe6GRmgJLpQDv5ZgsqJPNSUCTjhn3zDCqBp q8DFiQq0a6glyzl+DYUiedI8t9W8XMm6NvgfggG/LHRU0CnQH0C8cv/6YfSpmUbTJgSe6CzuT0sO gvmqGXWxEsoTJYJhMxpKplZQNBXiJF/6MSFWtraK+aPcl7yhGuNWpPtomqobqswH24/Z5LUu+YqQ 5HutxwpDX6DgF3/B11OfjSgGLbBYgxReRkAy6JegK3rn5TNg5Iiiv4k3Vih55D1g8/X48u0qBar3 5Y0O9wpCUE+KYjyR01I6oehVEAK67gxSygNVKjfoDvl3BTXr4SFh0GiT5RW81za0mLqxgcmqI7lK CJhsteyjhB+YoujPaEoeaBa9Opcge9UXyLJ5N/V2gzDEL3JC1qhOzNbwz110KKJw4djnfyjgVLaT HRSuESowA+zzvAKtvv8G5xe7lKKgOuBQLz+HvqoR5k1vI+LlyZKXvZFEMGNGKcyIuX/NSUSoqWnr +GIySfiQLxCFE6DcgowlsQHXJZXvnDNU1pGsWeHbpALwrd5lBgMKgRvbHeCYXQZ6syueY3k8PiYz ZLM1qpKaMeJIc0rC+wzdrNYOn0B7/6I0X5KvxSded81IvHdaZhjJuvxJdGZ/vEKdce3QFuQGjDQV zXM4Kxp5RtihT7/SIXw9H1jG/r+qK9GUnDMFJSjSPg8VCnYF6A8lXSiahzIFK2WU4mypW2aIW01Z BekseWmeOpO5PblSlFy2ZWEJx9x+48IGFQzCZ8aUhf3BW8wPtu8sCfCakw5FoVbrWDFtQOZHM0Ty KQmFLlXFriKeYpCMIqNdVCrcuRMSAK2+M4gxDdydjc6op7wJCAbzmYWOikz1V+KvvwnUC/vgzq/U H/dDvYxEYxueG2jL3UkwHeNLY6vJr6GWstVQyX5mPQsWGc4ipH+00MNIc8558MRWbns4SKCKCyCp HH8d3NhtqiUJ+fRvJFGz4AYkGR20uCFUvWtk4vvKGqMxL4oD9VkT+p7pfOi+zqR0TkeSw0STuItL naM3Wl+jdvmzmBMjydz+RsMeUicWHk595XpWjKI2Qc/mSkh49cvfOhz9quuYrrg7TfaaC1/L1WNH gS7IXoBzo+pHMGEr9Z9aeG9VxCmor7o779jCZCS5B57q7KUosSoNOwC4nBieu7I9cqqaFD0RYcN6 rtqTMZMn3KTLBLijDkavGbC7WxhuOyPInwcEQZDJCs8TuJYGe6uBInGtn0abIbe+s5usvd7gv0kf YEVSfUjkf8YeUfIiylTqhWy1U09sqR/kbaksic/Lb9T2DhsNQhbLv79HBEQy85iDxhWBkBR89tfR sjkDQ2bVQIyqNaZA2SzRw2grVA/4GCEsMKUPo2OBuNs6tvvU9AJbUT/02oVSabivVZjmDI7CD9IY wHpT6YGw3EVh+ZRRR8hEQWZ8Kf1Z1Ycl7st3lOEe3/ebFr/Ztz4u0mjcl3bRel4SVMEjhQcI1Z52 vTMM2MtA7Fa1l7J9ereV+up1b8o+baILZrcHQH6miysXVcpeflFTF/gpTrwOm+A5w2zhNF5NGzE2 ocIZV3BpCYwfq7bOCgGKGqTo8SqbpopbfEczWtJABg1kNQtdHwCB+PdLAksAodzQuaHnlB+uri/Z kVYt5+cwCwVII43RfpZzWwUVmAq9HoDou/BqncWMBAYNPDmaMu3OtLFSsHvwBqbtdZQOp6z5dljC ZHEjsA1UksLWi8tJ7bvaq8lF7hxU/F3K4C4nSMLr0ZUfF6kAmu37EiAYdyAlADIrMqRk71D+eEWS 3KRbSKCEQXVUprQXHM8zsj04J0hb0uT+7ASDl6lNfLnR+BLfcBUVSf/DiAxMtHp5JNkYCAL/Poig aI+d6I6zVglocl9dTdibD6v/EjUl7jcREMWU3Q+SGWE4id4DoUUATGNKxWEQq+88/IzlKX61q3mb CEFTQ8Dp2Si4SGSUUZjQOZT+iSO03D0dI9b/kzuKRdGrGgJ+XiGRvdjHOL93hgXNa98a7fBW23Gs YaYUSYa9uBVF2GFZ6UPrqzWTltDI1IXQUf/otOAHkRR60p80qBmS/QtHYDPI6ER5qmbjyJUvQvju xYVgLfUIaRzYCeD2A++h5OVOcTryAFr9eDMx+ZFC1AxxG+7e9Res7JKpElbaMnIdY94BVjtV0jXa cSkwXPzxMYI1aujLp9nLuze4oxOjsYz9lz2vTu8bbC0MmifIATmjP7xxgaRZI+RI+RgF00+BZiv2 OF+nvl4S6DhZMP6wkcqJYFuWuhLAkIIhldEwtqvd90Q0IUr80wvDlex5aHm9ZFKZdDeMBRYwTkvr 8rEvMSS+xe76sNNqFhHMtQue+B1a9EhuWpuFAB+4FI7id629of0wtKD4HP10GQI+6H3Mv2FYV9hi pW/LPevZvW9EmTQi0amBEcHtHUMz5w5kABca9XOnW7BOEIDQSrbIS2f4P4d0D8m11lCV9PZGHskB k4KDAJac/9vV3j2zXVFQ24jm0ARlliu3raO1LC89QXMc1iIrE8zyK5qGXiwuiFgQ46qYaX2ppT5V KN3dG8hhJ7JbfSZ5U8RGj7Vqo6iq9KL1GpJuKrq3ysBTc47I2BrDDZWkCzyUD1EZm5AGEEvW6Hcl eM8IpXih2yCmBtvBZOuKhwKZNBvl/qAHwM4F3WMUk3P9zSBYB29VAKAwus4atYnKX6OXml0H5wrd USRUuiBchAWNmROhbgzHbqRqJoBG6dUhz9XG6VIUncbwl4VdZ6U9VQqN7J/9/H943My7C4UtWECb vz37xthl62JiK5bT39k2RzA3DKUVMVhlJ0EBljmGwtUFPOi6y4SeycnBySg1wdodpjSAYdHC1AGQ tajdpg/1z4r8eb3YIExjjbHxZbvxsl0YRwPqKI2t2JBKRJjT1UQd5pgncaMxDp4KIGdRsIySUjm4 a9mid7zB1qDvvjSb7jplLxJwjs5NObHtaBhz02QgSLsI08VkJvhMIPSyhrXSzWJEs05t55zTqLL5 lQN9yKDjDJgl+GeHRR26fkBHXMgAvaH2ACSOuCss+T45Pg2TIyyKYFQEHX4Q8UwGKz8bHZk6JMvw cuLb6KdoI9Gls0Hz6YBY2arCISMRDDU/ZsX+eMumtz3qtijpKV3VYo2IR9ZGKYeGXGaaDE+DZ4KI F/tSkCIWuz4St6jXyb6FaDZUIp8mZo4Jlfo7jPukcL7cgYHCMXmcroh+4/SqNW3sQIYeGEudDqVu qoNsyHs5jO4qkanqYoGTpRu4k0r2x7hBpJFAbamOf2nv1b8jH4x2z3UyyQ6yFosPyc8cvPVvmHUG jpBd34ZrA0GI8mqZkt+sbEWik8YphT2TClErqQPCdQtqURkG1N7Ifk/plMO3iXURDyU9LaaEgCzF fWwBk7I/o+N3k0i1/skg4Bln5B13tj9fwkr+gyFW4c//rmLny7Objr8ETNBaxqI/Sd0MgalsR3Tk UTaTvnkb+1ldLz4wWCwz2Qn2fw2+xWRsodFPLuYHiy8WlceRIHbNJebn62nuxCAIIUPk3Xinc6Lv +HiFwitYIPWljxca+V1FhBa6TDZ7RlRGGn9x6WTCZoOw48BJ9yE3c4hT0TQpIvxL+M6G5c26UnFY SPx9b+lIvo/7wF5gxlWjTfQlMSB/OQ/s4yydGfPOTVG6TX/XcxvMg7Bbz1a8rLCyPUCHC9Ox4MGG utXF+U4vs1uOQFstel2qRy8p1latSGH3uBiirqL06x04oNFFqGjyvx7IGQBqHVxhE4AP7I6kHwsJ 4q1UfR9jdkMUz3yXhWg9H35on1bqOHAfTxR8CtrsfdVyTdrAmCD6/yi9EHaNJnksTDzN32QaLMnX kYbWN9/nCYUOCKg//RZm3UGOgCzEeCjas2Swe1nLE01mLtU7h0Rh0rKv58/rfXpeIJ1kFbXE91VS bYJplyx1hTEX2gSu8gfRtuGuffXqhD4EmiV1+MM3s620CS53Nc8zuHMPDMOdjbTrgyZbQda+TRsV 4G8+Y96jmYYSOUK+Vd+C9wgQj9VYhaRAgpD0YMOE6nZixNhMLd2ObROaBbR3m7lvBiOmW6Vz2O/2 48Mpn8dVimO5h66qKnuCHsToQ85bonMpPdpwiRLhxTeeJS8/uD6nyB2TTAOAFdcq71tqTbl3jW4n yto4d2YOuPrmQePloUrwxAW4GB3EM5bpb6XBbjKnhno1W2GqMk9g2x5VAGpeD4XddhrC/BgIvVRt guvbioRTCzKWWHdBrErP7FbFS5vjAEXUgGzRn4q7D+dt3dxe7Mp4XdBsVhrCtQG8RP8BMWFZQNA7 /mYjRx4Niq/9cCAFQ0YRmVSPMclCFzhAcIve2M1q1g7ATiWOubcoxB3ZMgd6L//af8Z4N8K24rYR vmV22n8GIbnkpKRrB9RTXN4cpjslFyAfvWilDrEOPsE6DPcWmOIBFTylraeVIeH5eN+uEE9QKUr4 i+vWkb8AWhixqnP7vvVtwymp+ormnYuksOB5Xs7kBctjueoLSf9sovAS4JoGsogC9/DKHi5u4Qgc tkzmE6M3Opp9FbQntYCh7X8e71tkQVbBgatN6IqrDvCZ/s9lxY1eqnbZTESv1/kSamy2zT7kDj3O YbJba1XraviNX25rYtJwTV1POi2CQfUcOD5zJ46ScR4suLSv2z7/Kw1Fd7JrRM6tabYDdnT+nuDH qQUTwahb2cy29++28sFk3X6IWChAuQCf4mpTDKsuaEK6addJmCLSXEK+SdYTDdiomfXl39tQwvN2 WSf3FMJ470c6BhCiOwVg7NCcaMk1i9rZOTVTBNXFsj6stA6T+XRX8CoICC+R8lzOjUXi1tBtNWyk e2wYvenWfvOiyDXO/NjO9l/l/YHtqYE63+FM+2Q3aqFsdaDyAftlDc1lBgP4LsAPR4EbOpLAG3V7 jeIdio7XxyT3YL3CLfyMIu2R53JxBZZ5lXXfqJqIxLOlh0yJ4elFCwWuhz20oLq1lREIOQy0qO5t ymf9RGkX1CQbaW+O2xzZaZtfiiuuadE3JJCpQyg4d3N5gcf07Yin+13+ozfYYu493zhZi4dUqh7n PKAudOqLbFdLIJx+pbW8TRllz36gDtYfCf24XYHV8oDqXgM0TBOHuFo8enN26Iu8ju3i8ML0HFKx Kpt53LjYH8+A4exdiMiUCUMgDSkVd5RXtzfDIKnA70zOnZ8WeAVW4oiD3jqR2lMwGFYVpamt9o5R KlRZNoKfqZ2BuPBoZ/LalDxkQrI80q1poKQpRpp8vNMN/UENfNCVfoNRW1ccHUT5eDIn4vcfURwB Ium3RTKq6joehWSVKOho3i2ugGArcdnwcT8klq6xgH9j+laTZZT6ER0zwK8K35BGyH8hlMKcuoeC Fek/SVWXNFl5sQXCMDdRkry2PjoqDQwyp9d7SGwOHSOpD4QjgmuPhPfX91DLPuIhlr6NsK+pLXSt CDnjFxBJjWirsPUEtiLPWznLAFb4g2vYpYvCnOrBZYtHTaFO1q5TLuk+V/2SGnkftYKtBaAKkSuC do8+zc5D05ix9AoyUeQf9aF56UDbvEK1X8uudhMvBoIpIXR1TXKJKKEpqI4m5gKDhgePNoVnP2dL JD5jZ6H/Nje0gogeHHSOBF6Kt80S5QNdr30V9QaIknJawSil1qmT/recMCPf75PsxzIFlmLyZrCo 5dftoFlU2uQvkNWbzhbVj6iRbtNry3Qpnpx+gmMpEE+yuqtAFA2EOqEfxw3iaoUkLV1cHwvB8Ri1 AdWoS6MpjT5mt4ZxPAqsFiPU1merx1hZkNUbIrKdbDiN9j+dZ76a54WPXZMGQogVWYp8AFKt9mtZ zjwveaJwFtojHdZXUcaU4aKGcKF7Ls19d+GRjdDZ4xri+IJiPTO5x1zPMELUO9uGKOE3QoelfDvI kDGdHjIWyk5a9cZpLWOzeC1JBy/9lXsOxmoTypS57wqPu3hKqVe6xjnOeuUsGsYu3GMODD3h4PXr maGOcuyQp4CMT4qqruHsQvlUymjipeAgfkIaf4Z5IEpsxZwQsjT1gDE9UTPjaKPTPrSQ+7b7K6yY bfA403yUGdhE0f0hYgxWLIXG4oLto98EylxKgTpFVu8RpOyuuRcJXqhaGT94MtP3rues1ujTT5fM rKQQ72KayeqbIKCdu6obFdlVRJPmV7um1PFKPtWGUKLFsRFb0gvZiG0X+49xGnBt2NSB9SFYj/HJ LEJS+zynlpXUc4ll5zO79Y7KaVX5m4bOtqRyOWjCX5Sv3dhPNS2yEtI+v9KTeyiqElKodR8AtnUa lVXLTl9N+aExd8BUzxyTvpI5eF1Dsh1VFWc/uXucplYdlQYr9R8pFq7lH7MBLnkYaAzE6g/nMOMZ DQlb7Lkgj+dxyow6XS36iWuf7PxEKohBdnc9TuxIuVSJf4j1ZjbOl7sqN0Ly5DdVwbdHGLIVP3PG +EZWOTiElvTlO54uCPgVepGgJvM4C4BmqbO1fgsnb2sxPYKLRqPCwGby+l8iNPBD8xoLqtPA7SXP UVQY2MPc/GCpmrVJrxMfClGz1TNbmWkbKfkUkJO5eQHFeBomswfKiEkwu9odCB6Vx3o6pi5nykc7 v2AkIT/DjYnuAPwSsG+ZtqTv89LXaIk3w1D0/OLdmsCQQBtYAKH+ezXKa6gN5Sxe7OOrMWgzLjxN pAkidVJnNetfqdUfhRQp3evmuwgclMeAAj2LkJFE7qtPZzwHKU9oSTqsazQU0qtarBa911ljmUEq lVw8j2UuXVA3W1T63jsNtkm9ohDGJEjv1Z420j7/ey2A+/mwzmVvDkJ7y0GRzTFymeToH6Tn+MBe 4bfN34I5ID04MftChxv/WsB7f11TewWACwrXRB8JN9mFZA+nHxBJuTXxzOiNaZRbMP3GdSEIRtRV Zo1WdSDY5kOi5HCJun9vapMs4yhCsBfomils0kkiPlRfXLeQxyIfTlxG4tsmJqhWDT7dWq9DqVX6 CPlLWmQbThD9I8WOHv9c1Vx4FTFV+T96WBHnMscbJmW482gWWOwY/MAOfpcDUjJ3tfmq+qYwMxl4 0PzIIMGr0N09ksRyCPuKyEeNPb7/lEqxwJd+BJ5Nqql6JeeMcu6o9KGqiUN6KAFw2tbEnu4z4OmH TtAT4509q0zBWQ5yxliuQzLYFsSGKp1eFuCD8q/P21UrLyxpvcXccx/TvoHYVD6QXdREyOaEx1zl Eff+Tqwm7tmmhCE154Duz1PWEGexrg/XNN2QCBzCeVWSg8dGFteWAdtvvbRW70VktbOf9lzpENcj PyS09aAKFNr8vEnVlw8oUsM59aD/JZMkaN1xZPDjhPdjCKctDmiZyhnq5EZ82VXJCG0/3TmKyoXO in16K0xv3iyJPmdUAsi0C3+j47qU9ZpYON43vNWqq9kEv7Ax5jRKSh0+MGxzIXOJk3JKNXWUDk7M iYBgIUx4KRSysEtgfXbZaLodeWbYx1oOOa5BAi2El4Vkf5KwRCkTPoPhvfhn5hb6+gQmuF7ec4Sq YnGrQYZMFfFNQJ5IEx2MIbo5z1yoSvNNlumQprhAyCzrQ7aE0Edi/YQXtM4Ty3n/SmgUMYK1teNr RZ8uraKBEdjslZhLy9j55zeRAUUilL+PDxNXuk3RN5WRLYE+nZwfUsyeTSr8Yf/1XYWoS5cljvn4 Z2euKUoL6bwCtId3joEh3h0XU6PdAb6GjRT+rD6hgCgLawwf9XqpZRZ4JQUXW8/IG4/zog07hPxj pPDvvjMdsh+SvLr/HEVgJgnIFeJQky2lxftsk3KlWfD0H4dSc1XqgwCeUtHDKO6l3GA7YfBjGoc0 mJ8laJ/EYS+osyCIPWUvVTtyw6YE1hNIfisHtK7J2cwRIkkdbOvrFVVxopqwwpdYu1YYvjZxbVVT KY1BToIqBZ+lQoAZx5qEheQEi1WLSQCrqy+38JbARZ/C+jjYXbh4IacWLBrNr19tZn7e4SDQLdkz R7SgEpE7bCirXYz/gmLWgAArH5KNu096GIPnIGTn9u03x7jAXWJNbwjipRJODN63HjzknteNHv8h jMx59tWwy6+bXcgOsztHdAjeun4B8Zkv51iPY47MCJo4jtUKsPnlwj/Q+mN3J+v9gQ+KdrmbYoNy dujdjDIcl5z7eL8XXNc6glNikJvhzPuMFX6IwTeiRQ3KenW9XyoM5xr18mPjULtb4SsjJPPytTr9 eBFqN4IU8N/LGHKpy+09fWSFrzydzRUEdfCn3SmBeQr63oqIlU0MbYmOJlxyg5wTXpv8ME5DsN0K 1iROewNxJ14Pjk2FvU16Poo8RavjTQHNaiAMnJr338FYt7l0qvXCsctzA1H6pbtxSusTDAbdnDFl lkg5h6PsnnebYE1uGi5ulCKsrdYd1iyLvA7NRl22Na5Rj1687iFAISNQsLaAn8+RxMWX/WYw4qEl GQjRdSccMJpwgS6PqlDtWaO7rTCAEWTZPEIO/mkSegfo4OaTzWFe6ObkLdBK15q1+cp6W4UDD4LL sDx4MQbEj4ZoO6kwJZlR3o++UslKUMq6jqpOnqyRTkaNIMqM8YHqYhUUWRVmeBcb5+i7Wr/wVw8+ H5REDj5/oyMmqU3PHYOSiO+KolKBL76CVlNnGS74atZ2ta83s7GEiPU/7vf0aQ57tY9Crzvp1aEO GyDRJJp06xOjZ6A9amDVv6+7GeNczttNPsC9ihTPDGl3WQY9dPChUAJ+/ARB29aHPulEoihTsf4d 6OZiVTm0Z8mHselX8oe5gJDjl6kiy1qItRCsy3R0z3563AnzrnC11hpikJ/zheSnposNyKau2O1v QmvfpGK9NTAO4rK/AK/GOQIKrtCguOgTHxNQBnMvCjwOIAJBgeCvjHHXm1g0OUWF4cMT5VILgUQe eD9XixiHVztzBQTBgZsrNoqXCRebV8YxqivYS8y91V9pVFmMVFN8MkvZUzj5zzC8aeeebbL4pXcU 3R1TYi8RahKYqovJhPZ0B0/t3K3XAEeI/Jcf0wiXeGuQqxxkrCFUbL0vNjrL92Z4UjD2n9oVTi4t c6y46OTctQuxDIX8iCN5WCEUBHGpPXpihhs8jvMVz0wCoYvsCQSATbg5Wp8eKsaljdxsWwhuLE+M Tm5vm38T3GjDTnB0M17M+m4hwgtfCB6Z4QBoNrSXybTy3lRSIOynSiZR4FMTXpe4qS8nmzw06GhO hXl0IvNDu3oHbItmzxtAYK1j7lmNbdQYj57ZfBSxM5FzIhTaW9tI+8kWkFunzE9hh6Bc/hcq4Ku2 zgwnJKRBQ4/D6iObzQHlSZmONtaHtlcPUGSokMCzDLKZcS4sgnQN1Wilr4FLNKXHEJVg7KagIGrW SfbitS/1zkW2Te1aTaUykCo+aH29CZfm81G8ISp7k2yJK+qLLzEdpJFRhk/ueEgiUyK8S4sfEwny Z4gQ7oZXjU1aeXf5BUZs8AkcuUzJozvnikWC+AlaYf2LXFHfOf+MBZZtdmuEKY4NOSdDgOMkG/w5 ercE2a236WuuOwrcMKJi9GGeqXmk3/vxkPMZh+adi5KKALl/nGZAwGz/9r1N3Oom2I7rDjQSn7VQ bR2KS8Y+mv8BR0m28JJMw+4gidtYGk72Pux5sKYeIDHGVg1/7rIxLShBWhCmxy36UPrD/L6V7Xrc ERReZ7VEOKyDFxVlOVcOs2wImRIxNoVnwy4OnAsSQRRnvtus7d1EHBhX8pSxePjbyW6ZEofWdJPm pdlE4x4dxmF4ucY7e4VP8EQGo5qbRDikrXCWhqvi+iCd+ONNHSPsB+ICZ7vq3eW1E24ZJ+NgIdZM 3nGV8GCIDQ2gs/4eex/ENqk/BjIJZLde5HyzeFTbxp12KEOV1fdinkaF2iytGpYFWkfpAxKweJ24 QfBAKYmn4N6Xd4+Km3Ze7uw5T4YW5VLdku+L3hOdPrwflOvgJ/OqZxIFQq+xsPcTnWE7eIgiCeve gLce4nVpwI5Ck/xdZmeKoeYE8/c4jCieLVpG9/7aWQM1aGcijgEUeEicYq+gRuj5qsPGm1J+VKnz fHEshxH+7n5WcgqqX2nofo4PMqP+g3+CzJtktbGpDrMw0rY455AkNWeNIHp/kvH7QtGKzefIJu80 0oQrpvwGYgBYSMw/fFS4Ms5IJi72cKpF6ASP5LAgLJQbIBdtyYT0GGep81nBC/dSLTJorqa3Kg3e NQ8QjB6jR0W6CZ6MxB71zLX92JbByBO7XzL2a90cEPdU0dnFF9eGyEq5LmLlA+Pg3Dke5c5sb8B5 rIegLuypXc0ZrHWd+uVLexDJykzzdp3rautLUT7mRwPez7ERpL9AenirF9dPmFOg+cnLRce518Q/ MzOQcFrpxAO7Eo4Skm6tQr22O0hoz8hRllkPiNp+BlNdqIYq1H+VO+l8puVFopUTXmfs4UN7lHyk qMQM5K/4cl4/lUCXnnG05gKd/Jr1GLiPWSnbDp1zGZ6eZNbBJ8d6NPC1R0hQMEjlPhL686iQJMSf E/OPykuc+jT7ppP9wFmLPQyAbqta+seckI94aUbgZx3uA8Gjf0Ze6IrncTDyp800zVYtG9Hdo10Z infreLsPZ8dughgVG+IRb40velD0+YOdNLVxQ3rHI3rAbu9g8O/meg8UfzvJbrPc8gAwGLhMHH+p CIJYlpaFX8aRwzZlTdYefv7E55LjUIUlOpTHF/0T1KRFvKeM5suco+E74Mj/DeIgnW52hEkmxEtJ jzdfOrcZEbwGXztMggf+sTzBcuf1r/9zvkMRZDhxVdwAhLgJexECbTef/I70OhE9MdeHPcFH3QmC HjFyNEY6lvP+EO+anhxWg2eaQnM7GmOfBMxmu2U7dDmpbWLThdfBnncQVfE5FM+buM8OLw1rDdLz ASrEKSuRBDV+xyPR6iWDzvJQ+h/PsnvLn28l8bRfbKuVYZXnnuwtv8Ov7ZLdvG9kZM3tiwD83Jwp wRoPES2afQzVbF4LBoeMFB1G6jgxYS/QVYWsL5Hvb9+ZNbiI94IeOE8Wpe5MZYj5lCrmuJs1TZUV tRFwjWCd1GtNsg6TsyQ15evpWxpX/djPIHYebUJx/v99GKrYtLD1Y5csSJuse+LRqMNDYPESR/04 rgRmWpaAcwL9ki7U3Q0SYqYSzlslrYV0TUd8EdnalSXM+19I1QRe7wrQFzvdQQLRxvfkq79UBdQF yW3w1A8bZx2xKgsuWNAYk3mgZR86n/sCgxbmuHkhjnVPcNXQoesEql6dke6rQmvxzpE4TF2RUel2 a4CpexuZl7bhbszziUfv7vU6w1fo38kPcrKNvk4yHRH1HRI/fbkbfXkEe6RCyzr2mnuOf4jwXBN6 yoz4TEALodgkSyA1EDtlLEZpHZaoh1TlCknu0hjD7FAT9yLpH07qaDL75xe/oxwQFE9qjWuAoFPY fO2G4P0YSFaVQtST447cZCOZ6JKUt4p0OQh9dVbLuHA18ehbH3hgr+0bdIuvLZhjLY8ktha0FQG1 RA+OcfKB6jldGTm4+c/nYyLrOSs+BsTElzfL+yPChjfuUSW46/GusNew0h4TAIOML/9rgZtNBX+Z wbTYF4j3WoWE0ZiNlLSoGyetdk699RGqTx1FhBQIFTtQznCBaTeT97MtygMzf1sesG+Tg+j4rypA FgRvXPXcFGlgy2kU8D+8HGCEJxAF52HdSKk//rBtdVUU5OwGMFzGbyuNOI0RRb6MAVkPYPTn33Dj ZcAnCRPPYc9mT09+YKVWpeHdrMwfLx5RDwWGsoCEsrntI5m/NuDdmKg/lX7Ql17lib5bVHcHr8Cl rq1VVTsYVwuQ84KJxqFj8G9T0j/5ZrLq0Fq2EMa/SpCku85RBFvtXrsDCV4bqO2xwmzY+gxmgTfL wipWEkiEyT9an5b3Vp29xXfqAq7lzNSfS+dJgjFXUfKTa1dNbdB5QP2EHPkYARiuztN7jo5njaMa iqw41EtfjW5/RBknV1lfs6QssNj+SGp97Mp4g8aKlQ/wCZXNGe4aJobOY+Ax/0pdG7Ab17A2Xskr +9Hg1BB0I9fNIa9tmdBQ6FcQpGrW+OX7UY4qkepO+Ek5hNGCC0W9gOn9dHjFrVnj9UGJ5zxvsBSG ow5oyGCN5/QKGQ7L0i+2VWB+CVevkacBMbhT2lW8A2uWGXgz+9EpNKm1EXDVHgJyKJ0CMC1KzzBm g7JPb95ty6gNfwGqeLjIR+asJR3wrBeKRxJbw3MAegyNrIktSB74EadvrCZQBKJ7JsDmB8i7S4I9 5swK+kZNVI4ZmSp0bVLneoy+w7rk8eetSb8v1Uo3PBmfB5Q9X8nC8mIkakBKGdWXy3MGv4xUl4P0 TS4BvilNvJunnwC/jYPODH/YM17floQGCY5qRIbbabfFnWiC+6/12X6lJuPJk/eUsQk4IQWUqhTb 9rwaZeKEsh5Tn6uoMKGcD6VnPBWpjOsOyMap0AOoltNUrL1lr06VClG5yjdJIfEHWXxU4XGNhFCR JEJJXR/zkCiC5EQ0cj5gQAtfYZHQ9dsikwMqkcXrvQCEX1tmgoCbzcLMsgEvOfl/qQXLXzIw8wHF s07Z3iL/OV7kQS5PSsm0t0fB5iiftClX+bH9CIttqnnau6MKdRybv26YNcnmM4S52GOpim9FJEe5 olQRwTernari7pImt1EDHZ2h0l0ycL8OedJt6VjKZhvLVdE4CFjXS26vMT3WAW39kUt6LpbApl2e RPuTlBkDZcfX9PsvHbRrjIwHlQiMNnB5oz+vod8vKyDTvn3RX0JqH4JFlqmtcXJoLqy7P2A/xT7X HsqU/8CTjabatG7ScKds1CwTjwMVDXKKeArembVgNFHjD1KPdlBZc2rMSf78cd13aCSj7LIapLRs 8oijq67BiGCB6lkMjushxEjORxOHAMVcR9SdHpCBicQh2B0C/TNuF+HYHDIQADZtnE1NSVdP4Fhf QdCUPEhqDTbBW4WzOc6PlyZK6w/UkBMgua39nE942IyEdMEeY4HudcRmt0u9k7T5D1adMKFoXJ/f hSvbPRS1I1I+5x7xFRdfRhGTQcjZchCipvWwouGNbMHMH9skm3I3AxftOSyj55/iiaDXjIB/6/vJ 4W5ZfJ6QDnpBc+SfEeMHSwO3h5LkNMFI5spesjLaeDFFu8oIK0phZcwnt829yrNB8VzTbVf0Fyzi qejP9i8U3Sngpc+AzK6SuIuuZMsReRSUfy0Nt2+f86Vof5UI1pQ+ZLZT9rqdQkIsoDoiornFvazM tHZH+e88y3IekM3ait2bTDDesZgbDpHt5h7sNu/PMLvx9e9Mb+xFV4+QhBL2S+YCsldUc8Yr54hP v1NDp2rCbZoVRQG50rkoH1xBT2l1ZbgCw36v3FJqCaBCianE51i01/v2wU7IH6O0BC+45wEVl9p+ Sse11k/PYz4bHsYdBia8pnhRw2tzAxOqG3rkmvoFGuHSAie0hYWZTRPEzF7udKdnIc2vZyvuTC9P Fbb7dMwrOscnRBHyrbef+ihLBg0+fgno95SijnXu4egs6mflOElgOyTxpVof/E5RdVZ2B8oHP7cv YKOKbTp4nnLX0Ip6B7xqNA== `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/fifo_generator_v11_0.vhd
19
89172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ya253+37kdInKtzN3pd3f0ykMvIJsSTHE2tRr5TaFzMStJPqyqbq8G0/aCj9umOixPoTbod1oPEi NM8lNQufqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZnAl3olUb+r5fzAKtbT+P9BDg9y9NfOiCUm1R2Jcpt91ydHcXeu+pZ8D0lxHNM0CXXGhs5RFFeCB fQNmyCQv4qniT4fHHC3wrH5hPwmAH8kqSEyGt3c0SvSsHCYTeXhpF8Chp2XvC1WNZGYymRNjehFn t70d4j3zNeEsu5WAW84= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iKnL/TA899sfLGiFOsNtfsGv8lNgBNaSxC78jj2+skMz/TodvgTxrRQVQ/h/L38N/D5FIkKYR4II +olODWgmPzea4VBkBMLQ7z2XenA/M8Uvin39meT5Qbx7/ksgG2EdpyOtsmAvmeXZQgf/A59DevU7 Mrm0rcVFwLpmjNvbnBOl5iGpGgx6v231GzIUzFEiOeCx1PkRai2IOZKE9lG2BMKHN7Bhsm6JH1NF XhuV8OyupD6h/Fr6EDMMNZqriSBB1MM7btJKN6VC9jmTT/Bega2BSYjqAkfYdUTeyup0UqEM3znP 2BL1mUmUOgL1/UMAmExO5qz/A5ddH+Ai46kqhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwfblhQfYU7J4v01pOh0vYth2hZJ6Xlf2qmEYdxkErcnbM5+VpJUpwU8+A/bDOJB4gUPbJHCeAw+ tmj2AabGe4D0Pf/UukkjTsO8eFOUvoPbwDwH6UV1AKQFszUSN+Z4NTgaKs8pxWumW0juNgJujhCL 2ChBu6ddPnHdB5HG8uQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UTW+eKUNnZFWLDMo9paR505jK3kaKnyoN1JMPNm5SlY5iSmlguqsHIHMaqSHkHrYg25dIfFqsLa+ ygBhaN4bDhxyus3QZ9m0sw/aVS4ly/5bNlw+8ePaK1evrFFnRWDzqTt8U+H1O06G7NfpkTmeK+am Q1esOyihSrmjwIiD3aw5SiSY1J84QcBDQl5D2DAd5uRtMADgrmEFzx9Y7yHel0j2iF6Z2vom7g5G 7K31eIbiTPvCntdYde5+aN/nl/kdiT8a+6o8fslm8ZFdkfMYbKE6CsL8CG+5F82TWbIzOMfxbILY sXfUaKwgi3ZDGoeeudit9zXCRYxReIG0hfQ27Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64272) `protect data_block /bcZV+m49oZuF6FYMRCgeXDBoi+tDtdX0blPrXZW2WcdMTVIfSVBSCAcvKerZqg5DwgpZ4zc1a8Z PQnazme/gUNwsrQbTFBj3g7LXgBYG44tA6xE1n0KrLcGlntfqBOsHgTpASSEkElb4HJXZB55DmKH gnveqK1rWnpq2sitK06fD+nm/dJBi/j1kOtyPVhNe7g7U7MTUoaXTurpQdwDUXpLtnyNAr1HRKh6 TE+MhbRskxJTdlsPtj4nEHfTMmvtIQtS3g1rN/+9QI7yr8C+fI3PYWqyPuFyl4GbBd1CDSLS1GHv 8tnAJq5WQFJ6vmIs/w7+Sv+PLCGEG179A2QgWQ8MtCN0XZ1kuWBbsXotXojnxDLY90u2R0EuGz9r QfGgrh7JEPNpUpszWqXEcKje98BABWOnQDRHBTiEZw0mfODfA2Zj4+W2A+xfymGgYXsPK9QHtYw3 gD9vNUzTpdBVsXKvYtMgrPsO+u/1VovOSGhOAzsAcXM02z5fd0k4USfVlxlVLLKv8caObncWQgJm MGL72VNY0xx7xeltFMDKx9YGODZcfdjWXPEGr01Pk2ejHxavbLDb1Egai52yI/ycoQch8OT0pnnc Pl5fRw1mRn4sBeEPrt7YNjq6jvTloylkKMcY1eQVQX/VYZz4WqSPyWTodrQR6+JZQgtHczBMWvkg I8QtywgTuPbcu+FAEXqhMFaUeSZJzOEnDQP5rvDKbkQurWUe8Y0q/wBLiAV721gDAykZdoMZJWA/ 6myOZAVyC53lZhlhXyJNp4SGD0wpZ6AK2R5N3tWAG5aMjuPOQk1Kl0yvbKhqEKmQcInSVrPpILPq qWmJMlaTmq4chBAFFeCmrXGRcb3EjB6cGZ1lQB+2IfmjxE+c5kJKAdTMDF0s8VepeHluNnYZpx4+ qQyG0O/tQ6f8w0+v6w0QO39BY4VtsblKqabYmms3h4fJTvNUfajj3lmoNbE9954B27Nb9xQyP9Nf SYnW5AHb1Vd4LP7eNG4wiOT/LT/FHeJTTfEJSvxdwUHqEZf6E7JNyfoutIZC2cZFK8o8epS9uDW6 C1fYaqsd4vOQM+QMcuafumTdYH54TIcVBwXwUaH94nrxwSaLhcsmdPB0R+hDrHvAtAozp2Vk+b4I 07nWMpciA7uPVEHI8x2VK1ZhEPt6lsl8UkRsXo86COEXC3iOjnx3WABlQfCHNXL8BqtYOOBbFkS1 Z3asfjAWxbjOK/mmBTvlZrG20fdqzESmJpkIMuIH9cv930hBXqCcaahuwnwFpw7drRZX6JXIIf7n VPW3aAHlplSAYFMDH2TC7QSvMBghwllnYcO2oQCfUccsVqZovLEaOiN9wLAXKp4p02jBumDy8zTr g2R7rqar5CfbHHzKnqUXtsCu9plM72c3Str6ucms4iY0CE1SdlnCEPUTaG9qHTxr5kqEcFUHnLVB ivaMNRjBCWv0yEm3xHSjw4Lo5DhFXhvhJO0ZhrGrRRPaar84yUewvh7BJYPPnEZBwyzcCaZRJ6Da nK8931tqFzUt1EJOSR9SWCd+sh2740m9yAkNRYV2ydtlYCPXeNCt9Xla/KzDDMhoVhCUybNakpiM 34sr/QFWxS3jfw/dKeaBzIPa/4xLDeqwEwo7yKVRR1JvngbJCkyj2IqnWz47FePc4LiF0898B65R OPM7bU7qazW4awk7DlKEhwhYTZQyASAeyxEPNg9qrrF4lcZBChwne0TNhtbOIYsXiE3gSpreksYF ObN6fRc/7WLe/mfxDEFjfX+QSQGhdu3dIhXKi9Ivr8y0G6gm1OqUEy28YHNehvJaIQOlgJdNQ7Pb ibFv6Z9KX74UUybO0QvIk8q17XUPQBQtnYtfHh5bdEbfpt246ZnpA2NLC8ZqZ5FVOlUkRNJXFduC BjuVIIUheFtqt758+CMesl0sCdfdOEN+fMoINSXUd9hh1r3ajQnOBjD3IoRqvRTAObC/Kqsrb11c e/Xxv7fDNBBZ0sDCU6d4cq3vxrYr/l6EoypXbZLxeRJktfPKuLxQFPIFI8KX3CF1U3TIkxJNtEqr wTU45ANMoGJJxXMzXK/QkoRhvOlevQbKUjEp4cu7Lk58h4/AjWPDLMgaqecLlrcMjPHeWLDYysjt 3E/kTIDJZxdX68mKkpMNOBKaEfsWECiCrcwpfdc8q3YXUJU8cRwPQwj/wGLozKU8wtj+NNozPiJ3 K1aFKTLMnWSqebxFeQnUyDv4WqUEZrWgmxBj313FagzJANB/2bLA/+k3xGTiuQC/Adz73IhfhC2g VOZXyslyEObEpdWCm1L0KMvAyE2Cg/rNWa1Z8kCdW9FZZuO5p1dHhNvGZN4iJMcXeHfEa8bnFgWt sD2BvzBbzWOB6T857aaDMNQchVWvoZEnnE5LiRMxNi8SNfNaq9foJYDJVaeUsvKV4Wd2t0Oohtpt UlSV5k2wWXA+vW/sQsW4713yRFK96/0bYA+7o2p/X9vbCu7FzxUFqg21ZswZPBNLNRki0HF3v/M4 sjbh9GHmjSe0ZrWmpgX4yYWhi78l0x8tI+bzwB58O7v+/6G3TEoThVP4W6BUDU35qNyFF/EUOrvN VNKer3v5RfuEJrK9XB2siDBIpSrw/Qzc486ur4Ay/FR/S1AjLVVs/6rtcBg9zeTu/LrB5QOnHaER j9i+KvuRbMGj+zym9AizphF3kP8Cv5G2jI0KF1fsBSOMKUcU3Za6TXX9SqxyjfoTsBMZ+a0F4pmN EA7jA5c0HynlS4ppxjegZlLM67kiCi9SEJlKnb49j6PjCPt+hc7d87WQ+Ddg7ut41Bqk0sU8Npfr 8WL/zdRRiMFjxJJ2TqFJFPJpkK2CZYrqPyiHBoySEgia4h2JoF79I9SoUQtwHapGXC9b1dZspcwG dC1059+/Fe3VCuEIURlTF/YjYv9/cgjwh9ET1FSeXgZSKg4/iA0ibrqnVy+H5gN/8DU6GOY5OIpI k8y3tC2VTPKIGTUDxyDF4kVVrYm1LF0Ob5tNApaXEuIXq41yly2/E0HIM57QwxgoaGJcvdJ2WAjK AxFVEa+7lnqtzZWmj0nIc54Ls1n3TveouJePInJ+OqYgNW5ISG13qJReX8lOIcnP7w9gTAuzZoMU lMpCLNFaZwMt76hZNmSoB5nOsBjgeXD8GLMR7QuNK6QGjV4C9WIrVLNKNF9GvDUVuJ5GMqKWKAYq RZFspPQ6Jx4/cLcvne3t/w/9Pe7HxGfAQhTrNh9NokqAdlil2792YglaMBnVSNQxPZVYm3rDYMwf YPhVN3HPuCy6Yp4KNg8PvC5Y7IumWbgGnVGvSpHa18RGoSNgrxpcN+eULaX5qo7gVuOn9wFBGuHG v7iQujfQD64Td5sUwWYLI/M4AjGXVoG0hCd4+bf421L4xuUEeHPZro8fJUx/PkcfynQ1Z1C/YfiN Bl5FBzHs6Eu8i6w1enOuvcO/mu54ytgUHpqk7WTBT9RAAJjoCr9vdH5fGvNXSvZP9VFhHmKsIshU f+H1+j9lHAm7+zqz5lW6HUMhCUThuA0bNO3ShfTBAYma61Vyb+BoQwfE1L4FxqkVprjQvSlzUHpX MT2TqKOIFJP7M3Om5/l52oiabuCa67RMcBukRomc9lqcmVQs7ea7ezeKQaCT1VGEc0DrHGogP6H+ AKIF1AUb9whYplivB7Hpe2HMpkLd9ZLoegn0LZyIjKkTThb0HWeM5zyibSFQ5H+zGMe2JzRkjE5Q Ed7/Xw3qQ9QV98Gn0/7FUUK+VgDjQyLNcINA1PYBCi50iELJXLj2vebZ97A8OAZy+5fvV1pYNU6/ SHNWcRTC5OR6fgBWfbZfarFQpvs6ukJ7l9tJ+ZJcUsSoZYO7JxVqnXr3nsoABKn/l85BRznM7Etv Esiv9Gv05vzIN0HMUZBI32ws1//KkPf0JlAATpIBXBJrGjKktk1r3n7K2X46+LBnNCmyj6yLa/WM bU2va+bkyJEUua7zZDqEINntC+Sv8wXQe4JmzagjoLGCnYveerStX1wKq0tlAV858oyrJexKSMr3 sAzu7D/C8Vlvb86YXLfL5ZY464oiMjcJoKn4Y5BPEEfY6wiOCHAyGYiy4FJSbrJnFEwtMuZ3r3D4 IMASoGJ7Wb0fwHha0EoylTkqPVH8keaYpZAfY7KmL+p9tbrjWWrX3WAAX36OUy6W8EtkrtWlhpAe VvzaWIHbcoq0HOLJmxnD0a/mqoHxjzT6S+RpiOBzLQh+AXLWuA5g4fHsqBJm4Gcx+DZYx0T5qkqC YJIchEoDORrr/+ugse1EqFW7/UWfka+BQxmDmXOohnEFBCXgl1HCrzuNrqiRaubWrR3x/lKhesGf laADOC+gxidQxFiOKcOmzZVnbhPWFauGPjQTGEk7uPwoZCbh8avmsHu/aZwq1LU3WL/K41GR1p5G Afjxr48iZzPUNJeUq/mMBXMuE0kgmb++iphDi2WDi0bRIVfeMMYf3g6o6pT2thb47ULDh7ZQfn8O gZaH9GczG4yPM/mFfTUgPQsP+WwBcMGPTNnM2wNlmhejvGt859eZO5EH9Ia/Zz2XuR0/1NwNP1i6 b5Rk6wPSdt201KDgNuKFzLcfTgIfJAKiymS46Fft0OOd45+ak/1WMkB0mqtRc+tRPdRlv9wRcKiA mrdphuJrcmWAiEaURL1j0Y8vYRomdCsJwxX7EZmMEZ7ijU7qaoht/4R8uK8joH8amrmOuVABVz+6 e7LPLxBv+wFrSYxSak3FyKAY8hzNVSYjSSwDX0xcyRpVnDh3/8n/YUEr+IQFM7Sht2ZRzGy3gfIR 6lK+85ScZTEAPv4Zp2gBRRlmYt1jYNlBBBqV+JwvmfNDXCCnSZyooI658yfeaRrpyQkCmluN7mcn NtNlao7h+tINcyCzDIKNRQHhl8rxDiwiR+NWZYDQXCJ5n9AiWexKPqb/P9Yn3iZcAQBMf0Q7KFyb QAT85CmlDzg3RTrbhwcjk5CzBdT9i4LAwq3+TvtmUWiF7OUxDMibG1G51gQlfCFNApoEqgIRskaQ dzf2/qq6uL8AURHSn27CWKoHVdcDoJ3Hh9RzrKuSKD6OYkYUPUX0hLvnWVSql5LaeQPBcf34hqVA OHNZGRZ4oGWO8VBdKPTwtlPev5R7HrI2pAa6XcPs9JWqWkDlcFTmmDACU6kGPBMhvRY8eaAD5B1q +q2pPfmNmStP5aQQ0Ek7ottldDtPzTAursOeP8ICQ8N2A1WojnHfmF4APLmsovHEkL52e+TYjVF8 mL9+7DNKFFX/VzAfG7/FBWi5V7YVbZ5AVBqlXv+CTqMBPzgoEUh5ewiPsRIE3AsqAUFTJ/cpI7Nj h9vY5cwqQP3UA8OEzOwgYwzttpN263RfhWg9o51fM799dExFTVxcJSeLuvMniOnzOhKT1yGjs3UO OOKcA4rambP00nfSqdymoPDj4HDgFWREGO7fzGv+je4WzbtFHyR/13YOWrltnKhQAzgqUZYFqrH8 Tv4g5F8JNZym9k+6mR0cVSvb+JNjwzzIXaorO9OvwxiLCyiayBHxipgFa5NYOQAT2Zhg2NOjaYnR Fq8MpJeUHUXC1hddNiy0gh3MCBkxDP9/2ULpOayaZl8xt6NLQRhVTYUFP+DsovEng7bToCO88FvQ wQVUEnDtwXPklpnnfUswq1buY/IyN4IE2GYn9rxDcNvX0QpQkFOg4HYteoJLdhJ3UpRaiyvdbjk/ 9pNod1iJ20feIAvuhU5UzaPCQKWf5s5cKfjVIxFhwXUGo4C2Qx6qayZEDH/+Mkl4ou+Bjuuru8ry 3gA8/qN9rID853UCeCYfzOQIrvDIgUF9ExtRnewE77oIHEtu8jsn6i08dc7p+QVav2FVSx4jyXLg lO5tqAxwZdC9j0ALyhyBvO2CroePgPFMlIG4yGWs84ctc3cl7M7yBDaJBSQh8qvVB/vBX1aOoCKD uofEDJPVA6VVfYwtOfmTn4Df5Z47/euTsxz9/IMET1TCy7CJrFO0Xr53h7pQNHlyo9/9JxK49QmL aocW/Acf+GubXTZAYrYEjar77jExzHhCcyAgCsI8Enz2yHDJTs1UH8XW2h2dn9Qopzp7EUGiXG4B GffQmit38ypdgMHzleM3Km8tRdqeHiaOvlBRakf82txopBi9NiH2px4IEoz1+ss6aoKer+N7JjR0 rSEf7hFDpbUKNsOi9ATAeMADlNIShbC3y6K2pDmP1WMnRCCMLRa0a9qObyQp8Vd7V1JcMFkID7+f H9jCtBMJL9XwWYD3nSS5EHTClRZJxR1PLeb07/O1igaLelwTnDtGRBc1hiIsnivV26wLgd9MUqBj s0JnkplXai811cqyG4zkzERGfcLLAmQLnrORLYxebUz0Kk1clT29tYTotr/8itBuVEfkgRDgL2OA GIdDCtsyNOZAI7Yer+TrBJXHMRMgebcztFJduNpi1RPMCniXHfrvtWtp4YotR/MnX+4BYDAGmyGz waWKQHoDmJO5/6AQJ33sY3ubyTKazntlh2nQ3HVS6d5xVrkO3hRPnOjpDbTWA9S6a/Ptlc9cb0A6 7Liv0lF1zDPGpC6NJxeghMXl3y7hQEJyvfaw2Ir2p+Y2UEJaGGUshgDIe85lvm70zSnA6UN8s9sD ZSnb4p79DebHVO9nA9Hoz3O9hSHdmQWp+6OZtbyZMCrHfpG6zc5J4S3F9yA6eYy/4QXe22q0R9AW lmMcCFqkNedUApeaZnbLSUJsLQxf1b7u23+H5r+VB4EAgXt928NKi+sXlGKoLdp+ihXw+czH8RLI dasR3Sg2oFkUwj7ucxOeYlPXk6EpOLaUjtd5F7kAjj3NtCiAuufSEDTSQWttVtT9OS6yAptS6BCN 4iKgRPU6X1pDXMfS/c6DCfeURUMdArhXUaxPdOpiMKNkYBH01ilHjlnkzG7luuMKp9PtI0kXwev9 1Y5TZNbTHc34QH+CCu5e9blSNtxXYuGijYcyoZDigBeNaia56qTIpK4fliSuoCwvTiFV1N7Jr6dK 2/6VM2jwPFo3QrIMBpL/Jcxi3zesTduTFDrPsXpgT2MFPRvD9v7ojmvic8/NUhodJv9jhEqOgN1o fdNp+xj7AECHPmeIxi27ZO5SCwwBge0kTDsl6vqxaxYkgT+7QjMHIYzOGgLwwYGgU2wMOIUMSNgi mr1uxNVCTf/quZ3jVcMA0/Uy+cwc8O4aRQn1iYivhp2fW4wEhx94ZiVV8Wjgh34wTfk0ayArzi1L v1feHLE2zC6SJHUgHipatNYNkwRR/N8OeWG9OKM79Rwh6+lsfP4T+MOQHWE7iPUrIEeQ5Vmdj2Wf tdGaHPyt46Nvsu4TkJ/WCyxiXko+DmrMkl95kUZT3k0d83l2JK+4JswPpHRstqrKk/OGv+ivR3m3 xd2/AnLkhUXIq/P95BlWTVw7ikmcDTmBd60RrPm4/snU41RB6WOeQJBQ+cXMCfCSLIR3aFcVz6QQ tz4SQvcv4Q8NQ9Hdt9WRrzsKKErd+KzdY9GvkQSX8ckz3fcmkG7h81xukMT2Fw+ukuzCbsVUeeGG YenSqos6EXCCd/NaEHzIwx68C/rz7kte9bM8dKS+X+4ppr1Wli3DMNZ8LPapOA0cQOUZcdJtZGD8 CQIvVClnUduVkOHiGp8bNQ0BWEIyUcxdXLJ5Y8Uc9iuprkZUh6xRUQ2UzfUZCxOinmkI6AcLMp4j Pc4yk45b+9NodEK2DHO3DBtMsSCPpcbSRN4XfFc/gsaREiwVSJsQxpTXXdu9mi3mYQU7JW9ziywK UoMF1uEboPXp8Fv2/u77Io8Kh9PZIld+CQFNtRD815Zt6ba8YBkYIi8uAmX6GBRmto16srCbMrYW GzBPWMvf35+MnmHHVM1vV39jUDp2BI7Qx2P7IwEMGl2DIAteV18xf8hVtYltf1/dptn2kf/efxZY oPhsq/kMoxow4gspvgpLHmPrqrVitONQ49Ga0qU+0z8PATa80IJrwDTVjGROEByK/m8cWyRZoA4h 0uIc56V1qjMA/ilACzCk7dyVecYMc1hrg+ORWxy/mOBSw+7JJ224JsihTdNgkzhvz8xI+EQK4zJA Ab2qi6kCPfyJQXeBCLc0qdC29oX3XnfUEUn0b7POj/TWCAqH7QX2CjgVKM0gmC81tpomvc4ND+If QVrEAGPBjo5jUb0fX6kc5/HzpaWNoIf/jHFRUBI8yUCHx29UsXmKDhU+bUXxluTZhuq/QVOVna12 vasYiTfDcs5eYY8uDNuVALHL6Lo3MZbfnOT2JR8PPxxyE6iZ1cZasxSO1JrwXaSy9V+yNTL1ZuPj RNNJznXS5rqg4dk6pGxjIi1fHkcaWp+9sH5xADILcIWQbRwpHvMJ7nbsl1brVcgnxEChdrCoRjQv 88KP2qRfSljBwG4t+7rJXqz4wk7Ip9o+9vVYaX0t8tZr1UmIZ5HA46hrnngEw9cmxTUMFoN0ffgv Z9jEGoX2u++LyRAXZn1hrNwmHwaYe4t/Y6/uLQ8sSohejFe17BoO/qUEfpwcwhEGHX+tovz+A1LT 2ffoacviFmxsLh2UPtECcYt3eNnJ9wRi/WN2KOaqY+am07yAW85zJbgUhtK7rlEl7FexVpGnd0YS uC5CtjINfgFMMFsxDjSgw+Luomvp7lK8Rv4lRQoJxMKV0qzD5nnBU1l+WOVvzjU7vOrEM6TIHlcY o1opbmPoMojuztsp/60iehKb3hW5ocBgC84xnpgZ3RuKkJZ4fwr7FXF14nAqdpzK8zbNK+HQ3kbm LS2/uqkW2Tpy4JNMl3eJoSa8S4W1J4TYiWtNlFC5RdwA49gkuyguY4hBkjEdQMVwc0hjqaUwFCOM 1s+m/SUyJMLn7mqyhWJuGDNIHmU9VJysyDKHlHl5sOGIabnSJfcefiXtOKWwUDfs0yY+hJ9xHzHD cKZcsSEeKKKDJRfxXcotkSC07zom5q42vOAFBUqxNqbSuR76QnLq7J3ChfSB7e8kR/awrn3kkIAn h3+iOC/Cz28ug6k4gE8VoJ0ElRw4qY5IaKLGhL8ETEVU4snf0cAotS6seEH+BB3pF+pWQwrCb4fy C7pPP6tXTWXum6fk7wC9nTK23vDQHWUfUUwDbGawg/HTea5IlkGLh16jyIjC4SWE51fRG+XqWKrX FcrnrmG22E2lEm/jCyFDB02rJwu+Brhc2p9ApFBHgon3rheZYgDe9YLnXA4E4WGADDa0PDM6T7eN AQKAsaZc6ODCPcSHCBbaDn/OHbehdWRrmToT0uPbGpGzg78LlLmKatxAm6lAXrV5mlTObs8ZZsDo 1Pi5wl/2bk5IhPZAvFlKTHl7V/MK4OTaDvKZYMDlN54tsnCnyr/2GFmGOFrWeZ4QP0KXPv7ZhnC/ x32INWp0YH41fMqliBJJDxRQZM0MpYbmPfc3PO62fZpQSuf8V26MLMFRJdQPjrb8lGXGKEASv9J/ flJRMIP6jWu0LIY44NlTonXPIN7w/ZrpcAiOmbpxHGoRrzu6Rrf5egIRHHB+fummHEq/TzarMVE4 GSQTn1ujewIWskL997m4f4sB4U0oDABj0jUaKL0iE5OmGne//+BVuA9PWjCqXNXUxq84Y271cKgF rd+a5SHOh5H4xw4oKtzIfRTWar6G96bmPLGJ14YmOumylGKCnhlWoKrbpZTB/v11NMdZMn/PAq2f fRyKq9YqgC4qVIeTdGP24XsJXjjTTdr8hZ7uzvDOIi7t7CPH45PuyMobmVjpNtj42VdBeX0k/Rul PtjHCQa6ApHSrtTqAIplUsm3kZyCl0CWtgpft36LjnT20PbJG1Fn0T4KCe134wlUx4dLMdCEeBsQ xX4fQ6WItCij4O4H1CoLR4AxgpWOxYkBUcX5emSk6ORukdcyQSEIYyluEjLfF1AG3SCc5/jnxpQk Y49FRSOh9x5FiAx+BPvC6xnXyywDbl0n3PGCmZcy7wHbj4pXv9kjQyN140VZuVtSFVw7q4noRyE3 CPE0B+5F/eB2WXYoi3h6dy/UjW6jcmfJDZPzsL0ie+dgP5w6xphUKJgonoPaD7DCiVjw0RxdVB7j 2onej0/0pwkZsf/lH1WLDgkvTwifvH/6+SZGEnWmyOKzBSDFBRDJ2aK1RJ4+jHaq/1pfj15PWian IO6AgiHX5MwzgS10upWt/mOiE8u3eITZ/Bcz9eUJ4ScYOUgCG1fUaf6EhkNXH0q8jQj/+8tJQkUI 3auAMAh0o133hdzmUoUi9nxjWeWHFZIuJY/bYIP9pkHcDHobZjzu91RHISCHiclGbOkGq/aKvOqS vbjBfHbxe858F0Gk3uW+RloLgZtwZ3jRs5SobYY5R4+iBiFWp3NLvwusK+Ppomw7HnsZky8cZTn1 yFxPWfboF33k+pJtZVVa2y++jY6OdOZyNmG29tYY1X7EXmr4O8eYvRntGjLCm+vYOJ0xd8FXsfeG vv6+yLEChJYYAv2f6jGKRo5EEqdrnaZsUbas5VYtAvqgH1Vy7sO4Lidgi6Kznsd/R9QxN4C/2+Vb whSxmP7F+5NpjhxPAGigOPeRlnyiS2ZPtZ0ghDZwPvFiJ9zPrNiUKszD0zCNCft4K/VfTELqYeDl v5i2dd2Rl0fGmuPGHHdRvHVblUmBl+wDIDfPhV8sX2j5PzWtb6datmQ9K7Mzy8wzjfNawJs6Ri6L ZujEJf3xo6hXkVDeGXnsLY8OhY14sl4wxjjPj5H7Ly8d6B3q/U1C1AcYZAvZC4N752rxqkA7C9Zo YYOnmQLzzucnKPaMjTgSLKhCnQWWGOUL4sbbGkOP4hr8goTxRHwJGKttBtsrFi1v6+R+WE19VlDG neCqquRHxMra3YBgAk2Hs6SEjA3nP/065FHvpHYafoaFtATODPYx+A5+LmvJpSd9uw3HUGBJlUxv Utz/WHRlVrx5B5sFqMjVvDOL1UUsSb2E21Ixp2nb052EbRJgMMUxZblx8IY+WKk6om4z91BK7DkP jSn0K5oytTIfIDYemNDvsmrbmKc06Jaax88sAzyx9vVnwPgkVKLt2ZMSZrG9cKyO3ASJm5fG/RIq 6e3p8Tsmmcux+2BMH63KTZMy53NvlMURrDXQd52a7WOHuqhK1JtAfv+h7HTjWOrosNsYur4gGGTB 0VRKZx4Ztrk5On1yj2fpQfmG/evYYF3aFUKh43KzgKlPCY5VDUGJw9gbLeeZzNjy2dTDG1z1yf5Y Nj4VviPANCV+FYELblwdHYPQTLFzefCWk0P0GfrEU1x5REtBeRjJul7W6qL0w6GKHAeHWj9FyRZV nTGSE6eMnwWDCYM9+P72I2oO7wXrVqQotD5v7/b8IGvnW4b6hHXEv0AVxgWsD5Sr5rFjXqNLhLnA ApKswN2vtHU4J+p+8tgs+OZ3StfcPvjGtL3mnAphbwhxEkrBu6bRj4BR2LK2Psa2Y/hD1v+W7+om ObO2CEMXobOuWXpou9UMYDQ5FmAHpsMB1MMOu80sKwiKLKtyHCB8sDq+n13e/T5BeRfI11LLNJgg vd0pOH+3kVgW7MnKVAEHdAjjXFfWsD9XYspBE1+V/OMPc0FzbTG3usawNUiHAq1EVzNVan/B5lC1 +9XuuZ4ty51VcuhfgKPtq8lyxtWhpNZ1fqV8hP5vHf44VafIpGwtFH2KHFhl9302cKxl9XBxco6M 2kZhEJukHKqCfzuaCPctPzVilG1Sl9ydUPgLBjz/Dssd8fDR7cHb17XSR039ar0nwp5Zz0gvCCIn oPoK2exYVdfyOHGjKPXW98741BzED/ne0RX24gMOeqX+8TS+kXXUIE7HHOMielsQ/h1FpgySNCKk MLKJhhaLg9tK/Ww+mXre0BUOvhqtWUvDJENHKIsitJx7EenfK7mTuc6MXlSixdy/OB6pZw8zQP+h GOhVykw7Q6YvCfO2rZWpU6VNa94nymNR6dUxGBMa+pRYrMO6JvYfET9voRLbX+K/O/qpXtIEAX/y Cb5FVEDL3Y4QXg4WYWg4I6TCSeR9jWN1na3VJ+6YhlmSNhG1nrauh2Emf96kAoWeMU9v1cBGMgQ+ oL6OQQHZd7cnbEZbpVtst+BjzrFndYnYhKGMmYeMtsNXXbcE17wOdmTfvWYfFpXl9zcBhkexNkwB rANZR47A+lt5t7pJP0O32XZ79PirRopgabe6WWUQiakToIbrc9LjR4Grp12ujYBZ0NKOccIDvnLM RGkpWOSG4i8Zuv5mBu9MVr6ZqZfpMWDc03v1rDyZM/5RrnTj+bXZHAKYIb4iOdri4pKW+mM5FMhd 4LMHSv1nN8AfcZMODA6emRQPqANtQlapREtkn4ZNI/AY6YO/EVbw+0l4BIlxtsF3r7E9e3Dk9n0o GAe8D0f5Wp+bcaR9QUoiCPqX9K69W2qN69lpn07xZ9rgMx7qyCOc0FMICAyEiPepQ03MIqycg2uo NQQWEqSBtm6EeggN6XwV13uSDpOy9E4Vk77PpU0Ju4pzEjli51bfOIyWeYp+ktAMRbBo6G15iioz Lf9TJXztjVw7PJ5EerzvO61QM7Bqeb1Tfglv4SatlSfmEvQcNx0gYlxnOhr6VcaQSQ3B4jAjsFiq uFrEUO34+f2Jqal20gANvn+rcEK/8dSJIJrHnGyqbz0mkr4WT3zoUYydIMahLaAgmOATbws2WQs4 UEruwXq4DyDpTGoCJ7ETQwarSiCdXR2wDk52JXiydlzdbwb0XY6PCHSA93XX7rbs7113ERXEL2Gk V4wuP3mVcRqrWN1BwU7z1vO6THAlWHIc36Ox8pTJqGRMkqIa7G/qBmNh7Zu5AfhFygLrAvXuCM2L kp5ibKAXD5kVfLIqEKkx9VCihq8VprmK/4KFHedA00K1+zVLvdJ4NCjAlinKbODXb2oqXbU8QFzZ 7eJn4gj6avdBas7HSdd2v6nz5i1h0Xw5trqR7LRiHBstTHlVJU2k9Bd3H7hLa3shgV0eLWiGCK8f Bu0j4zuzZHqp1AX8h1n1BqRajTSgZuTzaQDTIjApjjHt7B0lICdThARuTJmAUBrxLbIso9Wwo0FW bQcGO1pr3yw5pBgWBgICeV4Chb/Xh/3LMirhGrQ5GmMlP4/NY0xcX/cGZOoL7CvD0JeK2yLae5ZZ WJizT2RPB7RSm1ucG66C0V3X82zemJyQPcewGiIwsNGGzxbJ7Zvaj6uqHvs3/+W6kURAkMfrZAph VAadIwrErQAK1sFYQHaIsJ7XyZPfDfEB/p7sbG8srQEmWRub1DYySbiMNDU8GMIpjW81XxfMVCgQ PZFo+Giu/aNkNJSsl5PqK+iSelPyrRDKhbLT2hyjIlW0Bpot1egIGAAVjFwQ/NmQ8L7GmzRE7qI7 D9nT9C1E8LivHu9ylI7IyDUiGptGyg7PKZusVQxPlFJh8DRUOkq7Xq8nynepo9ggW6PSPcAqEygU KuCcHCqyvlfI0aYNBu2jovA7K2N+VMMCVEv+IrUhvlfuWPgnBdVYKobD+VINtYXHv9czKXttbBDF nlTtI+XX2kzIxlB3uAkUVGnmg/qOwT2wwaSrrVfNcRf4LUhFX+Wihr0ti5EVIACDZcRaPd1blygg sghORnb5WrehrPFU305zezBb2YGwoVG1rpAH0xR3k8FxHpao+CcbMYSWAHZzao2Uk6Hz5JcfUztP G1OuVLlrzATyVleRk0ToKtQGzNO2fWZlUrjPxT0wC56fqL2gnMkAApmPhIeUMny5YFe2MVIx4E3b T4mjXE87XtgEzSjJ23tq3kX00bg/+MQ1crAGbiPp4Nv9VquPi/ls1lUIj9H+sYOasBUeie8wwJl8 tDaFrzWbqQCzJLsEz8j0dqG0eoMu7m1/l1zYRH7Wr4PCENR2i3jRXVSrPMB+U5yc5EXWRLtBe758 /BDcdF/HLvxdNHAOxKd//QJMlwVmHcXlBadtS9Qc4B42309sjKREdX2spIF1srDiXZ1g2JdQ6BSj nA/vf59W8v2C0G0BZ62n31H0RVKzPjFFrzQoNo/9mBxK46ay+bDNqervvCXzywRteHbxaHS4sFau CPaKZEl1iVJpjgfvvG/iKMQxm9/E172GqQgz9nnyraDwVU3KZYKnKwJmjlQKKisVezR5jc5mc2r9 FiwNItD8I/NBnJtlYE1EbKBsgRW4OFF9KXuAfhH0UYK5WS7244fwKADX1iKq6lz5TbY7a9lGzC+z qRrr3eYPpJgLerW7Y9UFFoMeFA1M2omIrACfR8/01QrCQr9jhiNLBaDL9CS5LDrLFJbNXDXkq8qb HB2W3xDwEmy6l4XfmKtccMbNPVN05ZmjJpc+tnZxqVzpuBL9/u1ii4HGJh++Pr4rxLqTgKEMf/Hm Vz8IL2WId9WurF8gxg4jPjfAtk7L+p70zSrNREkjl03gpR5rV7iiO6vsNAK7+Hbh0t4csOMRv5J5 a2PNj7zWV0uaDl+OvKBtbMUWtClAwV4n8QzFBJb16s0VNRF1lt0+QSfG0RIDAVQze1NwPQfSD0pi A6COeX3DFZp0FmMqcowB3FUT7AlYzDNiOBwv/OyZMO4+8dmzVKPxQ8TOImmnedaLNHn6AfNUFx2Z 2K2vPknPvij8jBJNzlXFDhzQulp0pt8cORWYTB/4L1rDCRLCz/WDGDVRkXNA2T0rHQzmC2rGhJ3l xBaFIV4IjsW1Om8eG3YF/rRzFXb/gjo+YEWxguy8HxLEvmKWuf30jTyY4h0s+1wJC4ChXriXRutY Tv6Hr3giz4xEZF8Nb5dn72S6luxoQ/9W8Oq4HKStqMJ1vfxYFMOQorA1nsNfTOSwBqJZX336GtvN vsCRhHM+qhOTlR/C2SM916fUedPtSpxZ1OfJDY5gLNz/t86ul9D26sBuBmc/qQ3SJNjZddnULLUR G2YFl0OpmvTRRJV3S1N2T89soS8QoJCArzpmxMwZc1XU9hEVxH5JtJMy28ImfqyrAPX/68I+8h1i 19rLZF8HPG6vB2AsitzuxUtebX2YBGB7UV/CIXbkpiP0zqVfsFScPx9e8bps39JYWcQi3l+aBpyS nvp+IHpXw7qi8EzvNUkoTMRI8xou6JPq/ojbCIbW2SKLujmRLMfvRMH5pQdt4E4KKJodU6/sdW+U r5oEauRiWz9aGQYjnE0ecYUBgl5vBYxlqZFirfHoclunUi3nADQ0reILFcl4N+z1byx+DfJ5uP6h FD5l+2G4p8DERHYHBUIYnxnR5detCvCAU9eLDliMO9EsyGz6CLVoWFWWH46etpMt4AfdU3T8Dc/0 cferhdtOPivHsyAmDgB30zMk6hyYwOeHKj9TcHO3xoiXVSyLqfcWMyef/M5oERQ8dRMxdXleDTHz nfv6HTxLS84gGzR/Bh3dqLLTIFsyX5l2dj97ZQeccSB4EMI67MUUw86VGhELEDj3swDKMNgswEQd 879+Zn4DzP1ES/0tDZk3dqqXS2hA3XoKiVwYae2bYKGk3ttfMnS/Yd6aybDj3W7IVO/ykstPQUel 4qEjZb7OuwLd3yJ453FKuGHiiuk/0+eGA3HtBuFPJCs41eIxZ3Rjm9lmN6eUZh5IWeMZYNXnzIS+ tVZ6nnmwXFn/CYWXsgSe/6QjX0hOQq2qRO/n+bqnPFaB3kiMBNy3+WCVsqkyEq95TcgUVE0SZYhf W3Lu2ZuHLNlKbC2Lc7XtoaXIhDkHVbIB3Qy+QWfrRMgQDThnjCpFukLyZ5sK7r9JgL359WFuNBmH c8wecG+ArBS7QwSnCnVfDQd32kbmWM5Pxyxrhqe4CJSachpdGH05ypd6qAEUCnMKajI0UjjtmBwW mq1yYaDNjxsOb2LABUaPZuEYHG9Kp8r++PkkC3A4At2XHfDybI7MxPXmkOd8Cua8lGFhoPwzmgmU bmUj8Q3SuPMlKFPG9tXGF+5JAPO9as+8hCNAo1h791IY8VRBnTCSHc3zWpv6V1wh9BN2MF5Xx1Yb bRkmD9NEPtVqcdFc/XxnBZY8ckbrS1KggXaNq//O7+oF+0PH/4VMARJ8IioFE7p9gB/L/Pp0hECg Klpn1d4VdhTZZWzotjFTxO3dCd3wptT3MfXB24o3irDHMpPayhCzEb++HBqeXHK+/+Wrf42I/JmQ ZrdqIgaV80zphD2dNzMfifmM2VLhiAqu6I1sVYy6CwoU0rPI+oWxM4WH9a2imHk5nk0tR3YfAymG dCH7UY6qFGFLOLE/UdXrGv/PJQhb9ZG6YbsXQ+gAIT+mZH86EGw4g3VUDcfAPuheE3+5mMdf/3MC epbA6OzTddkIsgX/8mp7BrRjunnfYPsrOGAZW5Ui8L4NlmOwTJ99NkL33j7MUrCxeD2zMlFQVGC3 6v4cEPLDUem6fG8ltEK0zHaOCNU2pHrhQoO+aAhPCeEmsTrJh04oHxs7zAgRPN2VQm8HlULWAw60 fULNvWstzTXhlND8S082Ry1UvhtrN+QyqkUI6juRT8g7fitdWCPwXaqrJkh7DoDtfnFcLqoXyWwn XyymswRtWFkhQ7B9MUrqbuiJ0QpKkjglp0qyVttNwzG6Ao9taEw6CL0+cMJ/G9H/Or6cqYlb47tl u+KyTjby/8B/jm3aqrHOOu8Ha7/eyJGMnyniasU8Y1JanwmnUMnpxBRS19DVyoSE31yoWIOVyIAY b/yhOIVbB1mm2OTwl82WBfGcPJJXg2P5OeIo/fXGvx8avvQXLHkiePUveNP8zpV2Rj6llbkc1TZy KH/99I6wYFEMNssaju7acEns5p6+nu/TtXIKWXp9M8SMIm7MBUyqwNB9tV2k6+WtYuPf+Nlng456 0UCJCLDHlOKwdstWoSTRsBCbpqSbRnQHFcmlexXytMjP4mCujRfqHA1l2AiZYefQPxG+88unMak0 vSOWOFRx/h8l1daLzGgcr94RE9TV/PqQg0ijcg241NGJnK+nHtTBwTOmcfzF8nZXKTUV+oInhp4o F+moQG86EuOGTHgU6Jj1RmiPiPVQ7XmvLS7TQihv1yK/LJovYJZFlN6kG3Susj3Gw1FEvSgsrdUw lvXM2Dyx0hw/MeS4z0uWR7oiLa69PBaV54jWVwdoJULemXoVbgsSNyKMAyxEzx/gr3N+XlV8XALu 7tE3kQbO0h1qsnEuXXMfm+NmDvay/vFi8Xz8UolVplHlEFWrsoRIXRezdRzumdU8cUoHR66Zh5eu pxcA35p0YDLMDTU8MU2ixuuOlGz3iKq4Qz4/5lIFS/ChSdoENfbSjIURrss++tTG8d/3fkDGGTMW nQs3np/bOu32Zi5Hb59sWPHQzd0JdUVUpoH7bfgqtSx4d6rX8KRwS4BqrOmHCotIW9160J17jTVs MP/z+kle5wiaF4NQcFOhUhQ+brQesrwygs0r7H/5aHOpaeJer1Y6BfgAyi4xlP6uRCJJa9hQPubL 9ppnyAyqH0UnPdNgpxxbiriK/2kd3soZC41JjyO56K4WF0GtmX2AlFC58Qq8dkrXR6TB0qdnMm16 Pm3QZ6ZpQ6uppjeCcrlnJFk7Vv7lhUv2SxNNchXgW1JPzI9R5GKPGd//2Iq4XP7hVmqRVgD9E8Lc 40oktIafvxMMT93c5s4g5vOLNZ3duDJZzEgf/yXnb3WiM3L1x6dWkYeagh+uiqFfedP7RGhou7Y/ BVoJ5YPKG8kqry6kQ8/EmWlBFgVjVXw8hboDCOd6qBj4tNUWcMwDWPHMtUqs7miGyNcMMa3wlDZ1 iCSDS2P4aBBCVrWwHbe0NS0AEoI/yrrRf58+k17dr+Xrrr2SyoPGB5P9sNvaFItTjW4Ocy7v+NuE D2CWwu5YpMO3iKBlWPHvIAibm201Zn1WTWCmt8y/sLjH3SOf0QVpG14AEEsjtLl38ZVgp5XSzinn SSbN0sbxp8xU27tPbbmeJ40851Vb7FeiOc46nXR54/7BzUW6htuJ10Mbhj7EeidAKpr+cxmhB1iE cBh9Ju5Lhp2FrrQ3ajM0lJ0OrDbvGdoAIR8yTR0WDwgb+q50MH1kpoU660EaLew5B5f2bZUHG614 qrsMgTP8FLjziEQXBPbrf6DbLvSnHKnxInWTQq1jHEiQr1UF7NMYrLtg6AmVfM9Avz27ZGPOAk0Q ju60FarDIpte9MH5Wyk+mBgGTb+ziEVTU5KpTRcAianlshjUb3ihsrUspURkXR5t+GBoamiBRmnD KNiLtaV+++nSDVoKZG9Ds/ynPrvYgf75KaC6e1v8STcxduQx4eqc5Dn+3/LuZwxnBz9Uor0yjnNs nKDsCHKdhZfBfMHUZPhPxxyUeQpsqpywgb+qQPMud7wveC9Brfhfos+Nktd8lllIUkXM9ffZeTqw 4bg1qL+5jAXRxVLPZdOX9yHS1hD8TyUUmNZDsbB2lC9r41vTBk1n+QCw5EQ3p74ihBZlGVt2U2mm VgroS9bcNNxa21BBY50W8EYwI7HMwLLkZ6K8/75rUFm8pNZaS/tadnByxlOaSfKJAfhSIJTKQJVM TeHw7oVp5/0RBbj1jbkcGSOMuTvIXmE2QOYX9RgcfIipAID8YaXsx/kup19M+hd2ulUsYdVryU6F qEezpahSBYhDF534B6z9Ug/uUi+xqwOeigNqXBKm3o0Ks2Nhm/XYgIlxBB5q2BkXRQJOluROUag5 TC/Ej2S4uFYsn6vQ00s5yz0vkGgJlMpSnth48yKi1hu0KmB4/44uGdYfhRCvYyyXhTpyhu3JBJJC YVwWNcGal7lFecmol3QVVFuMs18Pmp4pI9KtHc0QnGiOsESTsLd0gQkKVm4L0dQNc9NirZtdQr6W MIshYDo8W3NM+Tgfkuam9DAQhZg/UsjqvUmEvYaaBht4fxJNdAPiqO8KjxVL2QdgJtljfw5Y7cmE GwSopNNZGH/6AK6x4IDIajL6dJk9TZ79dTLFKHpRFz8QhMCjaIoYcOCvoVdgpthO1Von9qmx+tA4 OywZOw8YnSdv2Z9RKR56tq0bqjIOjAGKk9Zpqv/v0FJMmhm+0aXlYsEoaEr5HL7Zo2GpEEUJ8e/z 2+hVXF552Ja8zgUX4czTFGp5fyPRg8B6wB/FjRtgxq3lNj+luqljvDsJAZLAgC+jRKNPF6SM0O8A Ewrqf5rabx4YJEp0AXRO0m4lw+poSZ07bRItvOUZSmKfDE6MQJOTjHYP15huAsqUqQ8Zb7Maad8r +JvcyqmehsFK9QRC8wPz3Rhh1cqQnPfbKUktcLAEDAajINYvJE9/FRMZtS8f8E59J1KW6VZssn6E 0pQYzocQRL6ULTwc858I1xbiZyBsURC+8n131wPTS1Pbw/ky1zn8mXvDkY71dwHyQtKuzwteDNQQ v5GqNazRxFDD3n2NNAC3e8QvQTV7chxPGfhTGwy3z5iJTPxs7s8BIusvHvh/zOhPAP/sUo4u3NGf gxL+aN7r2m5aFQ85SgaFVnFqGJsH/bP0Kxroi9pS36jZBVkdEiI//uoX7NvyxejKalxgaY6AW+bP yNSFiya/R0ubgvJ7P0NztUFNLCWED8/HIp/2jn3euMaqbOXWSiFswaHxfKyS8f1y7Gdmz59uo8U1 aePSAoQAipmrQzzxvSshQTmYgER9FnAnlPAeTZ1NErfJe4QgCXuXnYZDHwrxtvXh5QtQwlLioT2m ZYK0GmK+5HpmUDEIyThp6JZgubQQ7AqLbDBVqpwMwVfsY1QaC7rZ6arKvED7UYIy1Rfr8VcxmPG5 m0MqFZoeX8DdeCqIdEv2tef0S2HqGHSSi6WkEhiURe/X39O5Dy1LogCD83ztOfahn+oYhkRQpdKE HDH3yqXxiwhQUtgR+WogmKEyXaWPEB5F3MjUe7eXD5wMJYLPO4W+punyrz5+IeMKCqHz6VTRnxg7 ARRpcdX87a51K9JBmKE9iKPNH7hbWNQBNnr58k++jqQGwF1xb7RNhB25hiVzwLLBOvzlwiXTFvwv TQncRF6/psH06TeR11VLgbBwScKSJK9yPwJTTbGMWs696xDdJ3YLHi8swTjgOITBM6uuHIfm1KnG hV1Nj+BEdUVgqWuNBcQlcLB+GycG/f5DpHL5+bZ445vuZisv9CVQZIwEnCvvFMfcoGauv5R3BAsG GTuatWAl/AkbcbNfSYYvAqrGgUJLP+Q4rezQR+Wog8Qp0h97q93kgk0Yi5K9hHXpG17wGNPtG2ee VtbIJZPEAtd9ETjL81fL07nlVcEpewouj1FyrfunzAe5VpNqk/NnOsKTL7jdMnEVS3ahSOZQDtC+ +r8gwFQiXUFU5w33CQgxqsEcNaizdepbSJ4/wQse2Ci3tEUdh1GH4LnVXSc1oX8PIxVkXEXZHqXf r64RmleTrYNAbLCCCIa7lAYg+w+hQEYtS8fKAq82YT14QR2M9yUNLNWJr0VLlpzHHNLBvvIV9WmK hGLfj/GLgdeESBV0wvBRcw4ryKjBdQCiegJkLmGWDaIcxSlUepNyx7LLxqsaHgzClXVFzlKa/G3N wV5pYtrnS5s0cOFzcv8B+DgpNZzhs2D445Isn29UTgprQV+SaY4ws0OHVHcUPPZvesaPe2uTWdGS 7tptiqDuUEaYSLbQUk97B5VzatZwjYNM/XKLv7DqUmr4aXTteF5E7SN+zn5XQlYIJx4xPy+DJ1js 8Sr50SQ64WVQV3q/IlRPdLSQA5oQF6GaoVARp9wcTbq4z3xi5cTt3LTl97djnu1JFIMyXs0Xm2bz IWEzkoj4b4lpVJrpidHPzHc4vgDKQzVwv4JaI9IfXIlU6J19+y/nqQ0a7giuf+k8lKsI7BeQoLGi B58SAQTPv0W2Wygncc9JTsXRMsjExNWgpPsfsvUh/xSzCPbK7jut/Sc1GWCai+mHTGPWpJcrdfE+ VJzDnyzbAmkFfBQ45nhy+urvR7nzmlKEARA/7AFkLGDJosmSSk6ICHLBA3oJ78zlTgprTGZyWIHO s9VgqSdNN2Z0SizCf6t9mZzsgoZWmFKEb6s3bQntfn5kzQUCZyIOu9nDdHIEs0vtWBzSpHmThb7g 6wLCPcQqV+YrRKlkLbLpxaz5DV3ls6FI86R6XqDycllne4hKV6LZWGgy8uMX52xGtnxvXsYlW0rY LR1RgO52udbW8FrlC2E+2lGkYVE5FOVj/3POAfGnGdYx06bTYYFXez04B2z6AWJWjLBXUbNgK1p6 Gyu01YmQv1dwilv6Z8qTnIebGNDtMvipcvmRqA6t6CF+cmaX06B8QXu7/xEJ7t9NKOmfuHcQbbxT OLoiw4kcv7blm+jzdjEUX5NCFLK9ROYEX9OdEfHnQwwuH56sz7liyrTCjPobgzB8RZGiMDSxaJ0f JT+fYXvHuYepGALs3oV1nEGEWQ1OkVUvltGZKpSRexXOv+xsMV3kPifgs6nmss8KAkBNwJ+W4Aoz r+TLWwKIeDCMmt/1ZKR0TLE/vPV8WCbyUBZXLQ6JlVjrmzSqf1D1EZqAJ54nAQxaNyi1iLNFG6+9 FUxHC9hj/eTcfb1tNibbJZ8X0oEhlrNvfyieGfycd4Imqj7k2yp9gFGgNxRBPBYx/7KdRfx34TQm IDhhGlJoTE9w9kwdVf8piXBpTnSZ76pSiDWY9G3EFvhryEpk9yR3by9kA1rZvprbwkAhFSfEfU9o qvMi2t0147JL3qBovULTm/m3Mobs0HO2PcXHu8eCXXnlUSyPhOQVQtojXbfhFm8a35rG95+uTR1T kbML6pmOAwQjDlm7qLkNMeDflpbRYaa33QE1DV58WPC9eWHi1G/Q/1Y0vS9iXyLWF+FuCGbyTFZa Lyfd4Jve7bkLrcOt7aPtc/leoojWvC5S0RCLeJz2KulhbZ9ylMAM9a8OP4haRULzf9ZMzXqxpKAF FEgFFvZFYO00TCKupoJ6MCHQN0c9IXyIgN1i+STQS/TDnVrUUHJqnJz5p6A09OgCTKv377GY3Z7j 59LTIXqzNt4RtmfU30XMBai1RUqUXKcl7eM7n29VBPBIZDlgooXpAXELWqMnjIW+v1/YwdcQhQdy TaUVWC0H/2JnR3b/NR4Gjf+YBHMygMJVT6AbSuQQisE0qnJ8sElY36FbVzzdqBD07AbLen5kqBfD 8sfJ1EfoWEvke5c84dF3+5Sk/yoe7Ax2UOEoKuQRpzkxr8lst6Bfq/Sn+0dMZl872JFpY85k8NN/ QThVxItzJvoIoynuX46LgpmmJs8bjGdfYw0lBK3VlZ0oslA6AfwmXeofzSJtT6aa6blwlujF5jNt 3xe+UBdZWqTn0spV8FLRZNRbRNAY1ANAzxbmZixHbuVT85dSYlmBgzUFP9aIK57VvqErxtT5Y3ZR Dxgluc7jhRyXiHXlxxcjHH3Luy5stEm80Ohl/6sQK2TgwBZGx4uqgf/EHlDEba/x8zA73JgGvn2G +VZSkHI608pOuqIJc4QVc9hsPhdzNOMXix/DYQXY1joJD+z9wzp8PBklIxX8+v+tWBQW15ZFOsT+ I9JOYNHjTVd0AL2YrO4ksqD6UVY5eS7tbMIwTPEdpAkFV6L/ISf/dQl0sgmO3kKzaLUnvgE7RmWw VlG37siWjzLwyI4feWOvqQkoBVh4VwqX+7DRU4NIlnIUNwAs//xp3UrPHef7gB/dXgxxrno4Ejg0 g7LjGuMODSu/m7duM+GQuo7y6SF9s2glSBLS/coauOvLiuCd9/qMIdpvuUGHYny7c/XGYowVsNJ7 IzSw7Pocn857UIc+U2NCo2RIb3ylJB+IC/fiew1VMEBsdsK7gLnQ9l/UplTJX8byK8G11K8VrVSC zLBeqyxcnpD/bz4yv6+S8rPy7t/ZGnInLKdSw6SdCKFa9m9MYjADVehqusn3eage/27inN0OcTGc a6cI+LsyANlrOi9ruNgwAGHw8MATUcDH4fsSD+/kyfKrkRR0Mz8B1P5PLV19HUoGCiprCn/hMQtD JI5fXr6/9+qLUd27exyPjfXsubVYZbieYhF05yH+bG+RzflsC/yVyTNLtocVoVKB3+5XF490wEGG Ot84V54AEAhMzTn5Hs3AKuY8rR4pb0KiciNSlfvY3CkU0gBO0xowvAyx1PskrXFzD7byI1l/Ns5M t/0p/lunnDEQdJ/EYsmWEZKQDUcqwAwNdy5R0jxzCS9BHlbfGnOMnOzdOd4wy5qJqgfVg5fJ/CMG 2Jd1I9RCsAV3nlTRwGnCnXFiWFW+2fGHCgxr5pf4bsMEDOwRguGbXZ120upel1l4azsOm6Ors8Jn 22fEm6ZSGOBRfHh4KXjd1ZtL9U9GwxwH2FwVmeXCqwbNlEypbonFsKCX8IAVG8hd9sZc8YSMtrC4 nWAmMUHLXwoudOFamk2FOHrRgJ4P+aBZqdiehR5kCscrJQS2oR/zN1F076frHDUHPmkX8jPTvROo UrlMzFDVF5zsWl2mEnlFxkG9Cj2153Szj5RdbfI8DgJZqC3+juohKJP5LMq8Mt4jYEShixjiIgu3 IG5L3R3ZV9aebEuneADXL+fuW0smSsXmaAV64SrE8AwOH/VJVZhaPmno/1O2QkP+rRY73pp/qiL3 74w0kg5ZoE4JnJt+FxsljeCticUnDbJVGXYMd54527x2Q+vHG82zQcmp04ZAJlkhmv+LloxoMoCH lW/WD/eZzPLo6ZE7SHBLxrRwSOXxq7ds01wx3pWX7i+jsJg4bAutTSoDirHx0BJmHBgweix18wE3 P6OdDKgB4hUfufVAaSAqPBnK96aPr9bqDfuJ/3Djvj8JsHsz8Fxojc1v5SEpxfyWDwD91EvgvfYV BXu8gCUANpshkxCNIHKVXb5hd5p9vGmR+uATo0bKrGADsXaMo/V/J+459HZzSXO+lRgjhurnsTX6 oCjHfhTxMkjv1bvQgekPiVHHDTdi/p0IAiLpKaMmJJy6v9Z1AeJOvQb2WQmfS100ReQRi35eOv45 njDJwAUHO64YTb1JAHXZUeadvRHJ8OhBpIy4BgOIDLXGj8oC0yxp29l/i+VTZGpjhd+vLJrfmT/x yo4gG1l9t1bCchZagBLGRgfCUy0mrIQ7W2SWOLt/x8+QmwKiJUvvsr1XITXExkrQH45k2vEWFEFX iQ5e/AkPO5W5CrpMiyKEqMjMms5EMm5cO6q7v1nRd/UBK7Q6KVByww+h3OvOsdTJZ7OyObaPPAv+ baTwM8Yczdmy2HLLO99i+N5wnMOImZnZgExJO66OLmOfDzUo5HGiX5i1FvupMJVY7lrCTtpvdv3u PdfWTve2j/auUMGHSdkRe/zGLYRQ1rA2T09eyPV4imensmOsTJeRz0ihLImtTYAHBAx2Wgt7AeOy qs25a0W7S2LXaFdBvtmgth8eddQxmp7978emvZMB1dOrrL7TYZUrOGS/OC0LpE16CbuPWBHqxlnX ImqyQuD8+9v1V8ZUtoqPgQi2HnLsyYo6FRC3j0QFctM4Fl9bUCUOOmmsd5aC1uXYcAUkDbZE7TAo VV2VegKK5YwqGUXxB7e7U8kwtrg0rTfheCZe4NSCfBK878GcjGRTy0LpiglO7NbivQOKSibmCUSn ifvgCCdo9g8QNHnhdsV+kC0/CO/1N5l2RXl6CsYjB+yGFhUeI1WgWOlnWGLt84a6PMILX8M8VV0T 99COrJ2ZfjRH9grZ0Mcv/ITq2eWusIbgXKy6lp7zCI3U092i7Q3Krl94avvAvKNkkJoO5chfGRtP uSxa0sH7Bjo7ALfKH3ZkgdKea138MWe3uWATpUA/qRMlSPJgeCIIivtI+/6xrNqW2U9kifVEYNg9 8LpJhM5FAKcn0DdxKvJA1Z1M77M3Bvbug1QAW3C/u1P5NvGo5tiHn3IyjBmM55Y1X58cHVAgKasH GJ+fygLTC2JqdVv8Bh13Rmdn3Y/88RrsvF5dboAlLhQV9BWnUjoMaFDjaM5BTyK/MzEkx3tZNJhK XEahe5Sol8blvEnlRi/hC5exxXUFa7KhohScY5vBt9caLz4j9hcnD1THC9rx3179C/jNpV3xFX5+ Xo9i7MeTpgnfmzh7BVRX0rE3sMGNLCWI34xtHeLNtbPeGnOnVwllXrqPRzptwl4UsTx5Wyxdf0c5 4VoktF2zlwnq91LAxFRry6TnAgAh/8iWN4VBMSuUy7f+uJ6cNEnesXEEFi3mWhCARgvkaSxohTiP YUhewYGxSCwL2FMcBs+3b/iXKwg1bh8/B0mgEbpWalwQZCE8QcbiHlpCrsnX2x0JBGbNGYqVz4+2 cG9Qat4vDGSx3Sp1NsL6nW4A6qA3B1XPpMfBmjy7tAITrI/wKs2Hd10gTbpRc1571dkqxb0z79Uu /OmQBjbo7rP95IqFdxm+qbQzo5trFTW3JFVlbojH33xvglrFREstqm7RWU/SS17ucQoS8RnBaDnp T6f16OWabiUeTr9g0xbCvN7+lFkrMNdyzrc3wTkKZZ1SViXxrFd5bGqnlkSLa2QIMs2Edo3elLMY fk1eNfurIDoot16FOx7pPzTLGwXrva6eCXqf/93/YGg7+NTqycSdTCsnH28NS78JQvBOQX8IQwdu TAFTkyk3cO4Krn7L/eT4/5j0sv/smQcs43Gcx1kjvQNluFc86MoOcuikN4YY4iJp1CbHy1qNmq4N Tl+e1poxvQLepaf+SjueXxj419q5MH9jG0rELaeZayw4Ig6n7W0Ih2jJq0CYlt+C7lawrJPMG8gY iGHOHaV7yFOJXWjHrXHX1jSqduox2x5ElNRvCDBs0MpZ43R33OqwZOmBix6SdtYUySeq4fVPfc2q 8blbRcagbbIUzJHHuyrmw5mRn2wkb0yVs+IaO/22TsLa1yHNExgA3aFxSGYhZWrK2QvWSUDKa8bJ mOdmnyuWAg08Om8LiItLQr3iD37vxTcxoPspgtg6G2vdAfRFk9zObcYL1Fm0ZeD4i3OnDC2+oJ/P rMAl8FnC+a+KSHdPUGNW4SIUZG2ErutwheURPkkWnUlteDD+HAdp7HQzId8SyhRFJc6OesLhvIVW OpGmthv4kNifuy540CZGN45xovWJI8BVw36/lY2Vb58bcS41cf2F0SdP9Yk140nM8QOx/kEX7xBM VTMfVFOTvaAGznl/eR5ZbvHaZIwD9VfNb/7zU4K/e7reZs8eNY86r2sXCSkeXTAeIOuWUJI4tbgO f6fPG14wYEkfKyFbZ5nnUEs+WCL2AS/CzuKE/XkQllnCcy3hi7Q58CPpKjfQHBubPzFu3iyV8T5C 2UeGw9aLoa744xNPKXahxhGX+efafZ3xjoN9sJ48xvrf64Es4fA0ugnsYAPiDfdrIbwGRBozVWGU TfIiM7X1FD1avp8cfh+9oWm7muVVwqUBm9NZbRu7WVz2fxeckgnT6ePKttvUIk7aibX9/Eic5sve 0FCIoUnFMkJ+ZOhqR8CQ+ZcalTqXLHulado807ZLq9FG+yV0VANASZcKCaIcmkCIii0/MMJNUzcH SIkj4D2TwiZSIxv0aWSJ8ERZpbaJjfHQ3aI0cgSMjwBcw4EzHy6RG7io+kURvQVG1yax+WganfMT 9YFBRjYXTCs57V9eXw/HfVtXg20r/0cun4D96f3TJ9mdesIsOUGt54vix3oLLxdQbuA0bHjJfBqS 5DVPReuUS9JjmQAkygKcUxlxB6FTsPikRmoimn7rDw6bJufGkgmJZuT/p4EVHHtmW9m99vbhIU60 aqiXVkdlLvBajqFLUZgOZkxQhrfy6Hv4ZvjyBB3bZ9tSrCzcu/gWY0jm097yhS/pf3gKNXvfRDZk P8/lxmM4vDErByRQPubmqVQUQDvqRdHd3iZbV/8HmZawlrctiyvkA9AY4PwkEhglYyM69HyD2BpM Jaax1xdUBJXOipJMOkCjqJq3WrBT75UYUNmudFI9vRL+V03HLmVikY/C3psPq+T4NtESkFpqCIGh LW/yhREylQAE56vl4An1UKd+7XD+fsNaEKYML19DoS6f7elxa6OIRy/wDGPJVsPJgFWoxsmOPxbx jcq8y6vyY/DhyJ1uRxeyAtI7GECrJNDmWRUCiDY6wtxK+kxjJbqnRvB3+Q/DKoX4Uv+V1+2ikFbG Q+Phmy/KzI1flxnQNuMaNBU4hzv9hIttzt2zcK2Faiv5RJkLYPty6ESlV0RVWtkGMLrhXbIBFocW sz5gbQ2Qn4SdL+PgZ10QYHlsfFj4D+wV40g+GrDt6WRtW0gtkwJGchlQ9O1xm34visVgevCdV6wi hWdhc+45bIxEOetce4dpwtKss9h9uITnUlyDonuiUyD/RclFv7/jI3G6ZYzbDJqaLFhvuOoGI7KT fA6Fm8PBKh8Utbogr2RyOoD6ej3+I979my+30mZESR6GOUIiJIpIKiplylSFtNNpg8VsD12bQklf L0RMcjgH/pHcUUfWmcCnZ8smxHAZqbw2mvrtMYKPExBr79dsqYIhK42df53Av8eX0kPS7EuBw9UO oO8hol17Ucsz4KGEJOygaNY4OMmt58/3b6HlIkD0unWmda3CnuDUSkqvPF0MIvRNCIpjC+PKeinA F+SHltmk9Lg5PtArxexqO3HxgYc+xxMwLNDyOC2vLzwB+0MdJB2NrcviY1qEZEdzlb0sh6g82M2v PouKs5GjHDRJa5OGN1ZaIaaoLx75aGUAMup/uJaOB71c3GVoqSb4zhY5NXVBjZaJ/PQaXDqjfEPd z/CJLu6B0dt8ueq/y0tVHRQ9FGNUEBRgjuOBg/Sck+txZH90HuNgoWsC5oJIr+NzOMXBp2EEdkBW rPgOOJlVYNtCRMgnUlFsDeoaygoewx3X/PtGJr3EM54riEBy+1os6QkHrS0GzT5zOOE/cDBjDQG7 h315BzbvLJQ+1BPd6g/oJ/nX1zXur1Hqf7cV3ru8A5cp5bt47MGITsl6APjg0v75+xxy+uvlPYdI 9jWlCuQkjRNbGLHHvxXLBi4ZsTzmnFkznzVD9spPmkCIJM31QPN186F4dIWtaaYE+6Hv+WoKDDnO uswbF44r1KoHjJtVEU+vS+Wmu60s1r15Tr3NgM0mVZit6WHvjfOe5hrMvniFOY6wpCuC5OqWw1gz jZJxWGTDjImlQTYD9n4m0Ow8b9ur9oy3RtRtGmStdWGOqloZaSvy8hP/lmODwZkbEkCRa1e0VFFy XUK1h0/cBQ0WO5cFk1qTCMsyePH+IIESpiHMFxEQr+3cjbIgqLM2CwqeTSlZH0xkWfNoTle9xsxx S+pkIj0xWDX6ATms2ac019y+fUilU7VJbCtY8OmsXZilRzt9XX3yaHH0sVB/BGQEjL4M7RfLgEXm e/G3e6CR+uHJ8n1TzsqbJD8IzmmcFC3PUbk2rvohVtbN8yU4XXsGka5ctZ+RYokb7SgcYF5EKqka UR7u89XhvWNFSt6TbcuNum+NQOUatP4KvZrXJbCg8pdWvES8cHTm6pqv99q09fIKkX0ES2r9hm2W Q3vBEE86YbsmTRlOWFT3RpKA/giRlP3LJAQ/TMdMDaFp2nK6cUeAwS2ghcgVfGNTMHF3Dje1VsQW zUlZZBmvnDr7/gMRfPnwL5VpconFrt7XgRswG6rm0pcZTmMk014byRUiyJy3Qo8IU/2vDGipEn3m r7CXnKpaQnQu4aBXb8Ey6VfDQlEIdAiE9SO9MLwOcKR9qLsqM6wg3CWMV9Ajr3n4UHySwZPd5WGy iNtJdovV7ms59Oas6OFxab0dguDeTHqjGF46uWZgZdfbwx3xRC0BQj14ZFA/OOcyV9v0JFZxDKFw mxUox3VZsy9+qSenNslBcoBcjgazly64QiV8cYBPv4zoP6hibY/Jt6CK3F4pJAev2V/qiG03pYCK 2/F0CIj7as3LwIi2Kyx+X56g/fjao6acai1/15I0QVJTO3JyC03tbuidFXtwuFIUQnBYcsCJAP82 /jQwnosODGC8XXNz81GQMV8IS7CF1LNj9n7K1zHTVVSeJsZgxoN49OxOL/F8cnCqZS2kUG1QO+o+ 5D+8wPk9gZxwAgVrrG7jpsKYHtwRgkya563yt5GEdsjuw5SJD48UG1FUmkJxC6Sya+Wse/sbWXcW 0PKvDsrd3KVi5Zr9uX9YMfs8DtHFbsfwTb4oc/EsDTnm49yJ31Q5+vjTszr5P2wqozTbwSBpBhCp FUsrW4wS0FlnUWePB60iGrqqf8w+/w5mAHKSh8FOo3YRgyhxz0c3ViARboTVf4sA2+AKlrtc8TQV 7kobGIO2TebyJ3uPDXg84bJVuQgmw5m0KmAMsF1CdDF9tkgbAlIoyzV+VlT1HjDD+F4jmtIksaaf s64RJz1godYfyUb4nFLSmqpzPI60UM6cNz6xx97MhxsodHfXi/wMpuDcFNlz/cALUxveg1oeGiwg PgwQ4VeqfmaLe+7wzX3km3lEuNQtd3oKjt35L2iR3TVjWEvBvs0/BZvCZyjzRZ2N0ZXXgAsGt4YS Z2zKTDb9t99lvR3/X4b7Os+ltegFFl9iEnoDME51iRtZhdhK8X8LBqkQ9a7SALKAoNsI/SOngfdo TARgaObXdK/E/Vj3v8ZFgn78q37arxQUgU+x6MeWCvdpb5UkmL/QwcGpQCR7lEP+8207Cl1wa0fp onEXUt/OwI2+Ean8qhzlxtP8/CIv1WgUcWkHSV6Xn8hBHwKyqt3l05RLmWIagz8s9b6SpqSroAi0 qcK4BsRyxoag0f1tfTyB+SD95B9f1m38WGjJ223itm5wOlFy8h5yAwQH5EU4XAn/46suaTNsbv0J BTfgYMqmyVa7/p79EnIUdl3CH/OrwNnrIye/dZvcFd+nZ0cM7RgWDDKe3WxOqX2mZpkVazf9V+JQ i04ifNzXjIh1YiR8CdgSUqi7AYFSzwPbtzUixpnSt23D0eiwN9F7TzCg1lyqrcKeu0D0dIPy3zw/ 40lSlUV0O234lBprI31twmalsuYt5Sg9VYxq/xDS+AcS/HZcdePr/QTIlrbT3fCyHxLU9QvgKmhs Dk7ioqMw58tgqO+9o5YoY6K1Gx2CBcRpNJmWW3fNX8pil35vZEbO9hhWTvdXIuc9m64XXzd4u9OD n1w1bEUiNtaz9Ulk8bKCuTvUL67eStNZ7WoQqQfWAIjfsWtQm1dsI3zeJWmvJpixtmL9vXmAtHIt p4z8+q7oFq5JoNtUyJgOjy17O1aBhbmXdwET67Q0xWbcr0VlGpd+0bz8+1GpRXgm0hNLmavsYLzG NJ0ap85VEEYsbvEyHoAuZ+pd4EIr4IyO6qlROYU96srsvUmZBsSVoZlexQZw7tpAn/QdCeHwDdAd lg2x7nyrowkcOwv1O1JNsQz7EMh0L3Y64tm7WJ/msu963YKYTcTtx+hVPeqPVYeGP4eg9Xgo/zVb n4P+CkJH3rT4pcxyWyH9NkHxqcn9Nu6Ot1X9kpTX/Mqd9JqihIQUq9zQhvOcJEQwLx7eUiJ7fCum kLO4iIN/udl8f86+kFf4g7k44f4nvmaisyszuSyyv9fMHTu8WDfZCc/U5Xr3lBVss69magaMxkuL JpCh4nBFfMrkeoPiqVfSd0DigLJlvrhv8wkncAoEMoW8Xq0onCh7G2eckDZSjGTw7HAvCiUsH+Le 8TyWeIX28/BdbSUDyLkceE09jzrNO8ui9Yv9NgQ8k93MyaTE2TI+3wVjF9pUvKHma6P5xxKqtU+L iQaWLilW76l3yTbaauUUoAITJD6fYQqMSNHcdxqkRYPRUyYe1hng9vg4haS1uf/6Vvf8A9JVdmnU hlI+rajXfGqCoaa0H33rnuSdYulwlD8veOTqm2Dr1YZfuaBrX8eIfhKB4A6pylVz7rKXSUyN/6of dts0j367Z+aMQLZfGGPimhd6afHpdK2232f7mid0iahSQMC8dihIDWGhMn3EeMc8/hWMRn6e3Khp vftjAavt4Ine4NQxjocW1wyOr0qdWvzd1E5AGi6rP7usO70cU1WkY0WNUUuNDIS++61jfuUMNmAS kWujjt4MJhV4JrVoR/v9OIwrY4oRNhdA2U6fPFhIlbutvUVVz805k7mNUKy1qhPjNzpOvr7btZ0w 3XZQ6y/Q97LcZ00ZGNDoUfrWh3OKNIXuJOspbEJWN4iSZ5sUGgqVSsLMHHnPyHH7azP1I/p82mdM OTLvavC/8Z482lzkTe55kugLN2bNW9wh1Wcc4MKkRdfUq7KNtXvLyvKILNIl2ADJtEt4AhLSEEm7 msSJJq1i/sbLW2DmPIgJip/SuC/ASFA+YgXupcR9TL1+DHlR/T1ANfPhEpH7LLZX+jX5u7Y54awr 02wDYc8wEfAqM7GMsRmWVEyVwL9SI0kqnLUznKtHj6k9oPHOQXQOsCWbccSCUEAtMA6DkfHKEEwl PJdsmwCsf6srV3Bt7Qs12t5OfaSS2VqUlF/5M8DKbk5QZ7fwACCvt0yYA35JLKL5ZQ9oV3brEhm/ twhyCLjq/rtl1bcxYBJFDG75qSiLrPbo9ojN13KSuttvsS1sdAyRsUObLGJ4BigAhG5WstTuvKkX RbjFwOkLH7FBp9RvqnVL4Ip9OmDUe740EeW2sdfWThgzmDbyvvlxnWbNseZfzN7ZOESFD5WnRff8 XS7/2fmu6+1NGuWrt6goLcJoE6jfgkkc1etq5uw1iAB0mcPlmhINiEpaJgYmM1U3qKMB0oNGg2Md +W3vTUvROnw80WStJRRQuCUfTkuosq920kK6x69eE0tUJ6r5+8tpE/mPdVkoU4OKGbZ0wfM47a/h Aol/ZeygSNjhXiBb/YEECkGFfkUaZt+1wXJ9vN+SORNPbmp6A7Of5R2bF6+qSwGMj37JT2hYiWp7 h9yBShPvKF2tE2IBs4EvjsJ0FmaSFQ2NAkpVXLvtiNPEULbODfbcPjCduBS5zbu9Tm9KWcq9SgcK s6f5YwIGf8gIVOeo9KsFXXRY1qqDO5Mezh2Hr0vIGki3RPVoSSOQii7Z7xMyhc4Z9uFmHk1FKp0u d/2blPm1KzYrHY2WwvqbK5lWJfvhQI0WQ+ZT/bh23CC0+d9HyxJt+e5hebbk5wwZ8Iz3rEEyrvEX 8NNRiVO3NS2E4rGwKLAo2J7Cymw3SbrWn0u06TBXyBE+/5j043xoi3tOCesU2/6FjLRbLA1O7rjJ R4ooWR8agL4Q6t44bIHe8iJDB75FQV+T+uuEole7IuRg8VujL+Yt15BD1mbBSRfV/sTBqSYukIsp uRCAMhEfZTswHzmJUcIQJ04xCOHtj6L1TEFbVq+e2/5IUowD38UbqSIjQycNhHBxsuHr/Spdr7pf y0JbCg11eq3kT+l044QfFajCjfMAIxToHkjbytU139ANTI+zmvipXP79pfTg9iyDc6VY+AAgR2oa WAlEu7dxE2VxVU2/dTt8RUV4nZK1VuzCUJ8cQPS3NY69fZCslJL5ZnptVENBoQS+fMc0OKpMyUJz zHhzgVOyBvDcrglzSKwKJDe+ZnCfq6LuobPITg31R7R7GoXGcv77N21nTdyASuA46dzugNZsZi58 dK6e25LqntgMzr5mGplwpyLX3XDcGcxITbz+HHZepajkQu0f9WucP9IOEx8Q9LGagmKFr48FwfqN MVcB43e5trfMp9ABcnQN2Hg2G+226vU+GR92VglAIrDjYtdpEFRr6+EbYmOA6fmeeGek1qKbMWUB w0ZNPSA4/lbYJY0wTbEzKtNsPwJzQqXy5TlXgJmZ00ovCdxDY/tAU2FqWFEJWyLyH+EBMq8IbcsT VbGs/R/VmvtZmk/uMdV4asUd2GSShM7EBWUWwXkVM0wN32+d3OG5gKzlJ8r3fDM+0kDEqKXFSjNL 9I3Zh0Oz8UNpKEmjErPJz79J3/u6xdKyBiSvl6DTte/6O0+9VAZP3mNSxgONo3bu/5dcstIdLq72 3pVAMSGO8L1/BJsMtVz+vM2JgmNbw5QhRjmxrrZ0S7fYoHXamFMs548vm1/KzhdulMGCnZ68DDNg lWjeYTPLB5iCB+DR58PWcxCvflHVeJ5GQ0JHpx7fItSmmg7K4/0fwn/W6tm23bcCrnC50BsSIilL dOEGdGIxZcbYc7lfIKKssyBO4p66h7i8t3wf4Cx3qTl4Qj+jHNDyxrmU+8+4iAIQohmtnX0mvst5 /uzWBuuv9oyxPbFIOyUTENWu/87OCRTBoWziePeNbcxDsJSpEjJ13QGMgZ3Cp0PPb4fvMX7nQG8h 7M5NvWzA5XMQO5zOXp0AT1RItr2STEonBvg5XCLv59LPPUYR0mKnIImzndeZQGS2UVCyr+xx3rTX FJzwBneL3FTPdbUcwgCDLPppGRZwDuRbzo5WroXurPL0AfNJYxr56eJyzdazuvyKTYsdC9nO5f/4 G20LCmbfixD/UTmW2a/cfMEf1VJC+veIJxGnsiFvYSMPyX2P/tzFDIqGB9Mjl+wTYD2x6yTCfCzH E+kNN0ielxLpTXIHCtnM53UDa0vbHwfR8k2DnmYy7orzLSzDx6N2AsvmvQV/wsMw8hJD3d9D2m2X P4pZT/b0uJ6rFEep2wWH6AacO8I/Y7/2fg1TIZRFd+oZf4Jm2oYuh3Lh9Ny6kZJxwr2BiOaJbloZ HsN9s0CDntezGbGFrIlitLTj8o2V3mKzgeCSSgMutKITBqFo8Q+wQGyF/DUV+MilsoqEmFLImgem 5Yq7RcqWXAU3r8r3FHvQwFE8cjLQGw0h6OJxU5e1uXTAqZRidpH8nKXErYHFzhHLlMawkQkk/lvb owM9tlrAw6g5RiCW9VbhXweCmgTf0lw73CuF55pa7YVixOucEV+ysNOEHk8kInSWGJ5G4RznlMkC CMtea/+5JvFtNgyT68tLkhqxbMrVM4NK55Gu4dESA0WzYpAFEDPsw8tWJTQuogku6pKYtumbIygS 6XnXZ535S8yQOl8QzqxWoUTQi2vloY0T1rjEbc0/9OhHaGhfdBFTgtTeSiSsWIR6vUvtsDFtK2yE z859TiWAYipLL9Yv8mJlTc4n/UwuKfkvcmYgIdfZjI0yZXRyt7C9thobx04JbZ3mv7igk3xGkOTL t1CXNWm24il3EOsI4RI22KeFTUW0I4yYXOXtX+Wtn4WIL9rgCxt6OR95vbr6e3s5vhPmdLUzX52E LAc0W1MTw6TPB2N6ii7Z6XdiQy1UKBiOHyiskIfN5c68N53TShQq0OTEizLrQZ7Ax6XPcnlpjxyI zSyt7N5OHX6bnLwqbCixGCx9Zs7ddffPD6Nys7cfdx6vBdwOcNPi06RIMxIEeixob7An3A9fhMuo 4oPbnPbp23aW5LxOOqHfIPnJtsPq0f0YdvR/C2lJ6vJKDq5CBMGbBUbOw8aphCvApEOODta6Knvq KljVcwIjAGGORivPdm84rUBQJibXJ+h6FWVWrAs2B+n8CqqVAHkXM0ORNgBNrEDDxZcvNVqJuLDm BnI60VUZDcwIQGcIZttQCaWEsFaygfasSf3c73qoBkx3ZyTa42K+1Km2cJH/h24ppnqfGsMCDzKK VS8jJ+1F9Re7oghSZaeHZv3u4m0GNRJd2/oI8yLGs6nS6solBC4gwf0dxTPKnarThvz/wI6t/zJY sBRBa16rADvfgAUC9z+ClLvUMRI5quG21+TuBX9vb+Lq1s78jy/a+ct2ML4LxD+gBDxNYZo26bH8 0ipOb4d/N9Wg6WJXsnOmP+3vFgSBcKLFx9o2vfbKjkspYrDMjAjezeWLm4Z3oekVh9fSU0GP7nwV MPr6X9aEkRVVZZU4/MdwI+7sYxB/lnpv2aawFAb8yVQTrjtVUSzAzWqszkXdh8/2c+Y+VTNb2J47 Rmalj/d+LpxtLg66c9wnMM5NlEKxE5X8wyrB9Bwk/sy70bOXwOhcQQFsJiAUbpeU3rNxKJeNq70I 7adnRrQx/+Bcc4pdsc+Eso9cmL6uicAIHdwlSXTBaRYxqdMa3tyGEPEDo7EsRNMqISrJbThLvJ81 BBIMXuO8pzcRSfG0qSbIKqJY5qHpktYVHm7GB+Lm1gbmKjB3BbJfTT4JjweIOmnt/sQiHq1Tvn2f ADevCpk+zCLIiCBitTW9huC/z8/j8S/Iu/r+TJuG8D0fGsSom3bOjDcaFJdz5H5vJ8QJr2j3Szqi evT14OSjtUtFJHnB24pWwjFdOABdpTz87WAkzLwZyHJoFy4OUkiFf9ad8pOESZt/A5Z2nAV/VSBJ pt8qV+V6WfoblXM3JgvjxMgwUEGsn5qPbUbXiNcdE10l0E8DzH1nzD+GaQsFyFJxnaZQcD5AVnU1 F8RLhBR5C29pdGLQ8gLQsG/GwFF0qz+A1FqHo9joJOAvXRuWX5Ni9KZsd3KE7f84CcA7VQoFasFo NaBIDqq/1n/CFfh/HOAEMqnerkpBOP/tI7BC8f2EoGPsnsJhDU1z/xpwEcyiKGMd58MjFQvVQNQa 0xMU9NBndZdGA5RlT7suoFEwMMwxOZjhjKEFyJTPK/YXkgLwroHTm1T/H9BTQPUviW5Wztf8LVko PoH6CYAR8mseJMVMRF5V6iw9U/eP3Dk76p2XPNTbEqMWnHegbMC5oPAwXcREVIeJwMQVLuSeILMH vAprC/in0KeBjFsKmOr6N2xDmp6/VYNCs09oFPTN2mtZEokxPFPUiKbdmSmPjVX07L8ifq541kaO EiZypncRASmteF4f9APTVWXTQJWoy55GpSiEIa/qtSbKDKhhSw/7x4bTile7RQ3RVdtBhXEoiIcx 99kKgwUPpjpvH/h3ZUa6lnGerhIOVUdkP82sBXbz9vYRQpn3VB3yQi1TM1bFen8UK6nm7VpmxXMd MszpZtDQ4GDxhBIZ1NOZesUY1FhcoPd04dePYJJHGpdtS1Lu89SAL/YIP44sRVKmTUAmzw8XQ2AH qJvgRjeQQONRRuWpZEBP5nyzAxzeTws4zwIkLuplhTRSoafJ01agLtSoYjDVofCMtsSReixyZBiy lMz+t4zPTMIGTEKk2GWh+cYTv6g/W5cKzyIzd1Jx5LMuc14KFZ1fOIvSruycqrNtfz/+xDjrdm/x Th6DXcNCF62GCxcOsx2eexcAS224e24EfH3+BxLEDz3qSBZ1O3WkMdQEddJ1xuTu6G4jFYav9xNp reldnsiALYTXJK+rrGbV3pEZsiJBs1lceXOBYBNq5TSaONwdlN9p2DEhHwsJ14i7LL5ThSUaqy6U xhVGDwa1lcs5MZT+3TyogXwqqUpt688G+OpQF6VeSjgGxMGVNLPpbTjZFw4w4qWmxLxPqz486vt/ lJ7kN1/2rA4h55ZxZ2z37ZdMQhJzIsMCH9lPvUwt9tBvMrvxtOV/eabJH6r+bEDhp4TCPjDgkpkx gugvAbg6J/V/ocSRVuIUwXS+IEwy8IN/TsFgaxlM5vnogRPsF8yOF6gYqDf0cihWCHwwm1mdoGO8 Hl/DGyqPafMERg86uqRLNtfkdhsgrWot4IYxckxO/6urfecTJFCQAWVHoDyP8Pb/irgb9WmUJWym 2FdhsPvXmo/c5X4NtX6mzbCsYRr6k1byHq14a1giL29lcI0qHmFz+RPPYjMD1XEx/iuIIeEaaOnk 5saJYOjkv7BtZ90O344+5XboHQuk5D+HTz6OCNmoy1p9Vfhh/e1PhEkNvqSe+klQCyjpPNOj2wT8 ViI0+lXXnghbmvf7O2YRnEKQqmarZOBsFj2o0b3qLXFM2pyJqt1SvXAofCxD6ESt8ugA7DdYwp3E 3YHh4EYmkWHPnRGWx/tegDG6MrgHIyCHinnYM9GPZbg0EXXoAiJFpQinPrR0fW1vTUZZcFGl4Zow EFcPaWJzCECnQVAJ4vjDcSleE2yDcQbwfCHVnNZuyF3SD5N/oIJNAmiNoXaIf9NGXSDYVYT21Y4a psMLhJSRf8ewtBvCrP/FDCaCmw6nd5pTU5gN+l4InHH2kq3THNKBE7TBXYeW7wLBDd+AOpb+kKNO 5F88xrur3Ay+wp7MTwftPkWO+9nI+vlnIiRHxKt/Dqs0IESzfmxcBh/FTqVEq7HPmHV1D8vKxNE0 T67CBlsxwXpTgqK2tvM3JgcD9d2IvOkzFLFl4fdmPtPyfiy0QsCbm64q2p6L/KpQL6R22xlkMXYe KKPSiAPNWXgvq61arbxBx6ZQ/Pur50DlR+9SZfa3mCsk5jnhx7It31etcGMzK3Q4rES+WAddn3wU wDKnVpj8WxsO3ymoQaZn6bgfLHK+xLgHwW8ZkdpQez4oO1gcX9kayw/86coBpvwv76zP6q3LWkuE JCS3TQCRSwiX2t7I0EC0msbTw/Lw09mh5Prdnyi3YkXM74qaY1nOEvct/OZSBFhEdLvXreYWvD16 sexYnkDhu908rABKs/BnGuIa2XEjU7XdTfj+AVil8yW5L9ufwRK6Ub1LsKgpFqKNrEgwLvB7zCfz 7jClgT6RpOFANeAzP11FKt60zR3BPC5UxscW386dQISYhejcZlxnsLooCp24Ayqx3RuYcfjymuUM ch1frySu6ZfHp3Ya5keTlTkl58rimKNLScoNK5aLvMjom6qeREdBVpNsw2ulIZPcqutQf2lhULZg E1g955QDBqa5OhRGkpNmBp/lFvtBDbBHWgLQBrqo132o55GxmF8ej8RQVqjbtQpXeHYhJsArOXwU GgQomZtSNEbg7UkVj2/ihRLBQuUb3IoHWZjUEy55ozs1i6W2sRTx2h+To9grPWfti6F+gGZuYpY4 Dm6NVQh4FtNixvZ2NLWfxL7B/74Kk0QZ1yvXSACaDV1SRdyD7+7lmAJM+XS25tcUKH66HWd58LiT xPkd599/52J3tHJqmOgfnyRaJwvtMUGLC6f21ran0HhmUt3jepzvy9AUFdUySARvajrxA2B8pAx5 OHjxikXyu/NvXEMFmm6GBcMQhzuMOhMcv7G7hohez3kjLxYaFzGnis4au6iTaQ4jo5iOSfmpWHdA DD6yrvZTI3/kOfcWGCdra5OA5crdeHgBFh7Wu4ki3ydTMYlmEOCwUXFfgYR7HETCuxOBp3UcY3eO Myn1l2eBVkhPL1ulAr5XNIOCTn7xfffkoLMXMHDG4OajEOMZOU+mhNCyDhRApmAT7SRR5wcgoz/U WD17JQs4rxBQQWRKL0cEdBXeKGKml6uy4HnYbIrwJHcuVrve9HAGw8HFNCI2fuw1O4j2NfETqiK2 e4eNYvtBYP6K9kTLqo5uYbFEo+VUOYYEYGxlL4m12mP8kBR16pSLNg/+kKy/CFuCJM0ehP97/2F0 BDtu7hZXt7skoUaYz1UVjAz8Y9VJIXz0dCp4Sw9Tid67yrZsO6/o8mPxCmFQcC5rKg0kFWt1fRmq MGWTnGGaw8kVUELMfVZnqIyxOMy76RMCbuw8KqMRrDUbBOiTmxLViuf01KwJBs/VvBdyxfrZzBAr umrEuOc8zvWnRDhq1lBLoeFX7bTsN827yNgQX3VUu9n6FaJlVte/9ZhFi7bwLG2XqSArHxuYy2aC gldf71r6EeY8TRQazkmRaKyLG2m4r0wkfI26wKY7ZKQsIedDZ1T3Ko9z/H87B2bRXYCI8zdQDP54 Zx+CGF/f9ARTjKqU6IW1zxeRNtNguyJ45HVMacTETQP4u4IP5Nuas9O0+2s1b8UwW+zJqZuw22r/ uIRD/DzAqbK9gRux7dyjwPImvu9QZ8hcsyGGSA6jPL/LDhEzgIKOjWlqVlVkuYFwiTHStyU9r0dg VDEEepEbMScFz3lKV2XjChkTiBcc4kjkCaeFNeRdYE/9Km8tMWo80nLQTXKgtaCD13Wc7V33G30g BbVd8XMIZJymTzlEoOEpMG/AxXGeQYkFOEE6q5Fsa2X0HNX7e1IYl6duBkm8+Zd5oSDMmn+pmSOM rPii8tp5RLl/opHEDRn72gSSI5oDBWrSpwed7DMGacwmY8QOYodYlcku+6JL5dIdmnKfH3hkdPwM 4SiUruExFI9yYgw40RGb9VKc1h0kXwW3uWF9H5uq0UeEpYqWtLTmjPIzcz1NnQtvLjQ1C9t3YJ7b 9rXUhxK84RwKMehe2s43FKy4e23bNbVh+wImO74JNXp4XKEPkQM0zqvCGME4XgksJs6XGhG/xOyL nEBg6sOD9MicgYFII8PeQlgawbhaUWZDV0120l0A66T6MsjMG/DuVj6XSZk9lA4woCQnKx7rhnGE Scef3W+xuK/f9F7AA6HYGvn36Ric7O/LE/FKAs7aePIxGJu3UkfHBRGKlK0v2RLK1lnd5rO84Nry 44AF54XYxHHJfbnH20XRS3B0kMHGKZaUE7zJ3d10OT600BZRQ76j3CyYFTyBbQKkwtGU8uVSbauQ 0GNas15vuJEKGKw1jN5YqnFS3Q07E3JNp+Q4PQ+tqijfaIyZ8ze5P0GCuFaDtB8xga7rDMG4zjbE iPxZxFdWQhPXc5qDQgPZwMramglUb6AW5mRKbGGLotZHaSkZsUxSaGby/ntxM9h60xcwGurkTp0T /1T5qVm/wxYK/N2fWFZkba3Cbnjj0BfVMRmI8Nb9fBrx7XGl3nSPBCuNS997w0zoocx5ifZSC4OB 7XBAWeADyLkRypgTQkMtGJJTxpgFY6Mp8DbT1yYvPGMMCEYud+rS9YEL/PdT4+2htbgGV2fXMwaH A6uFtqRCdHzNMGsfF3aHxl2WopDO0ixZlZcqBUx6V+SIhkaEw2bIW+n9VxvUEC6QaRD4nkXosv7f SvxDqshnuHa5hc/+7cSX9nkw+vQN+wAjfpAzUjBW+kUp0YQsASKfhjpQCnf+hE6X0EkgjpgbQqqj mjPplpVrmzSf/zAudHLxXxPC/enWwpXe6lelTNKYJgxRa5po0j/bWwsni/YeIKCE56FbPRx4KUW3 tHsJiIRE3/LVLJSn85U5O1MZ0AcqdpLzv7FsdtVjyrHwN+wx5zq4bjeLBLUe9j3w6LfUc+Xs4J8B 0/Yx2aZ1cNnGLqjEdLuvVZBrb6+aAz2ZuAz/+sJKIF2ey00ThQuaqRbzh7wrgtHbf+IkektU4fFt qN4F1wy9+rpLhmzT5vAO2Bygwj0cbyuM9McpykDhKgugdmtzQ2kupqYQ+SAUi1UEZ40NKhWel0th nCs08iaXcVxQ6bp4ZrOykD4eHNMYlZpbYu7o0RdpweSBBFByfecFezOSoQ/3I6QZX24nK8wN4uAk RwveJdxPiZReACZ/obvdAoEOYh2gOdjy9M7xzIMED1GjlhfRg2am3cnIOcXo9r/yHDryE5kkGMcx ryk7MZJvAcm6HYDLJeXYudReLGutuucFOYyWJ0zyCE3UX8ObsbwA//rwlcYZfjof2LyVWATqOIZU ANA6jJIzpSte7/RB9npRpHLb74/+PiuBu+1TLK+8DYrIiV+I7e0kfuZu3K5R1LmmGpo7PO7R29oz HJW1aCGSzOZqaQxDZSKG7eM6xLRTFp6guqAK7ccmOikcUpgga5yNmJtIGxBpNBEaQ0ZhUnm1eV/D yf/cMbSSI8rubCpBr8/mOkgeP8hreT+iPiiWXwCp/XJOY/stRU561ePxyzOmY7uUzdgjqQXIUlLC dymjL6TAaJb5+BVZ8glv54NAz2u64Ir1kzKPX2mHK+oerSZwaVTh1QvRffT9T952+1Bv814Eq6kw H8vv0TOEGXgnBbblkyI02nAc/tJ9+IVmU8UXvYMoO4SBS9qrx3Ff4fTxdw4cq5pdx+R/xMjN7lzp OTVNJ8XiX85gZgjOViitMT6cN9hNhGpB6yyLMfXGp/LxeSHLuD72i1fv7JPRbIi60V0COZ/3wsRw YlBJvpnuVeJ2exDsPTTOVzxdY6DVmvV7nx0d1XUNio2sNMfrOK3YHNnyqP5W3NaISUO4JB7ZMRum lWCVBxiBlsukpC2JthFgZ8ThutD/jMZq4dQazzWNscJkTelftmLry0QrkkxUyjlKec0xflfH3ElH 3chYo7mx50Dsd2Y+cEGhgU4atdQTXPxXgGHHSeLL0h09MId9MTAagDBVVDmQcpFqGVBnpvGsOTwq I/U842as1Xdy5u4vRlJBvXD1i+8/01X8+MjNDCEPwQ3yaMCnF5PAy9Ay0HQLQ3xQY0jkmtebci6j 9Q2QAB7CKg8+2kMwyfIGZwbkSWQN5CUlSSmy7kQTe8pbeT95/Q+yh/x6J2bOL+uNqMlrk2mF3wKE QKTFo+Io8468bRHjmfDqu8DcldJcZgF7aFOQN2teEftM6VWm032sRyxTaJFqD3c0rt+GOr60LqgJ 0354Ypb7QCi3+V5xlaFVJg/B0uHTq/qNpk5Pu2nx3SwoMC6l5BVgrvHhtwjHybnoaX0F/H3tQmZO nAZWCAFczWQSrRxFBiL8e/8qMkQFFf4AlyxVLJEd4q9lcgRUNPf04i70ria28Bd9CMkL8VfVTkE+ jMCGIuK6LzxOrbzNr3vqJP66UGqg78jDnvOjFtRPXmTlAfrmiwogseo/D50U1PzznW9DT77eYPtS W2IYt7O6hojJvqC5dJCurqudcC6X4vIfUJZxUEXc0k66USZBrVZuLEMCycHLg1qXMYW188qjNuom WF4czvOMR7dx1IMI85budjDel+ZtOBm5udkGKPz19XWfAUq1jovpwmltQttx6PcB8ikxYLZe7aHJ KV8qKxmMzYZE1VZHGoYuqgY3i2RjA9f8+Kz2dRP8nKVeaQpkpVzjjzNAY+iQJr+wTw4BZDMTRMtr YqOSkGNAqK5zxqt1NvdlsrbcGkorjZkoK/9ouY1t+vdp5XfRCOq9vC1TRlAmVwk0y4UQAd1cUmyr hzdFOP4IzR/3RiMmVnYVbE/mMTZAXN6i+cgywntT+v0TI9JKcizAIBWFBOi5Y2N4Li+PdhGKSHkE ddx0qSHgXOW+7U1TmvqvzsAGglcNlJYtyuovQ/CbumlTIhlMmVfmXs3N6i/O3V0o2kmLFxgIx9IM 3IA11q0KLphqDCHmYymakWTQiGwJHEcUQsigGRqAYjIe4I/Y9ROcgF67ID1LVW9N5h7I+ze2omH/ EbpOLKygdYfljDtCsiIy2tysag1VU0OYwA+pObKVDopg+aWZe2lEOMiAmefir0eO652BSiOj1skv +Sct9iRG7R2+u7jtXS7jCRhhruLfAoIkRZ8qO9W3BqjzWxKalo1jqbgz5bYpmTY33fCSsR9xQHFx C6Pmh9lLO1FfvIrBKXznYSQ+LQRLaBl4NZxHD3i9EBwN05xVxbhRX4xf5SMkijjbSM1pXSjexOqr MXpJTI5RHmvS1X8/KP4rsrRFxui0Lq5Soka+4MumZm3oV7fS0ScwE3ZnRyF1cOUXipX9OrEHJ355 N8QyG2UQ8TbEYFGVMWWgEaoEZJDOasrraSIhYfExSnTFwJvV5pm9GyuvqMeJobE8ZehyqkzbG5ng E+P9vXjTtSRhcJ8MVCUuiiVpmk4o6o9ssAdBUrTgkRQmiYSfXoerwFutn9QCGceUB2gNG6G/MXVc 8VZK+C4H5039dTQQGRp3nnEq8Nr++vOcHKcDDoeb2A6JYaaoQAwN2sHGI722lQafi7gMsfCw4rMG bRd/1kVtgIsMOYW3Wxc6CqeF/6HI0T1a1ALj6zBHwrlkEBG6LzYoBKu6ThMX62N60jRKiHMOtES9 O5XKZqRtIZDKsVzeLKgKeykSQPUdD21e3xJxQTUUn8WeBisAPToY4CXgxaQJEkB31pjhDhggyLoo 0QeDhvZ6oA+rQqQfL3bM6WSUmm+seJwd0sHY437Q7lVYHuLEnNhbs7QBs42deg2VfeGRZZqQjXHj bgtv2Sz85xcMnPz8Gmj5FElWa1KS9hGdT9H1PiHmsc1Iy6WjwNRBU7SS6VNQO0UimbJA5nxbJAXc 0dRJg8riN1nZYAx9YCj+m0L5JQ31nQkWqJPgjlUPcG5l+WuVq+PX3xRWSxguTMz5utGr2zMOHxHi 76IIBvm2SyTAbycARJ28gKbMSva3BSp0bsTIxYVTtkFAXtCTk+Cdw9t3wfnqE36SojkuIOGMl1h8 aJJoCzzfKVXTh87GlG6jr/p8pxW0w+5YlV7f14WGIB4JIdQZ5DH6AeYXorSqGIVa6pVXX4XguzNH RtwT1dH+RPvAJvqGJPYbzJb5s/JnAUt+nMYl5mmceqnSLmmWiPGjerz8d9hX3xmUnqssUfhEVhFN NCF5wXARjHT++hojcLjj7SS2c7eu+uqTOUrqfD5huKvrGpQDzjKaIRxLZitkTWxZw8p0KlJYNFgx UPaTt9FzF9HCACfRDLwNjbgGSQDQo4V6EfcMUMGbRb7tXFRzTJ+JtgGO9f1BgLhth/HTPmlH9L+y 5PJAew5VEDJKTytJmlCw91rDb9L3Hp8q9eQJXTYeuXhetznegbFbnMMowilZ5o2kPZmnn6QXqV8+ 35oErk2Ggd1tu9CjQGH4xypj8hqji2V75NNGP1KsWzRarvihIcsDni7LBNF/kAI+SBXQX1syhf/E lId5dDtAbXDrVSx7LKkCA2QhNHeRF0ZE2Ds3nOtu+2sUoMvOwcDqaFX5lEmf89epdAzIyJaOq6PG k218BR0Oex7vpJi8oldpPv1lDl7oyHFcnAV33drJFsP0oMlSy8zkgZ7IQlrQ7K/grOtM8apwsVkg 0tYLnLh8KhC9CvcvStH1GDkXZJUzQHu6exgKeYFNDqaI4F95Sxnpr+aJKy7kWMW2Ff3YJwOzd932 OklEtZaRBXyBOKETxHGieImngQEY9PeG3HPXIgH0aeHO3WeCjN2P08C+xPjF6vwkOgBzMGbN3xgT 3S7XgnEE5U1QUJ+xs30jCwXvpbkINJPj7RAMGGGRP3evRbPeUBDIMKV4YMoEsYowlNm+wbak7L78 1PmwCSriLNMu9pdygCBIP2r01sQmVWbeBeqhtVTVMvGyum8wj94wdE6bvl8QDBJ04UV2Qvt9ylok QRYKRlxf1uAfv3R5//PxJitVDCzoB/ghVLi11P8FviHr+uFOqNyWAb+mfcVomBQUnOM9IEwuoZnI Dv9xMVjw2yOI5EvWPDRryfQwZ+XWWDUjyV9EnS5X5LchI9XsZ3cvBoDkLW60Toav13HsGIOGnE9G XZGkO1HnoY1NsqH9k+TfTnrJSs5UD/sz7O0c7EH5c7LNUJyz1LdXzA6bHxlCF6KVnJ9DPqArmptM rSmcOLO42Pa1QnEJOBdshO7eAo95jP5IKHpMiiTgxd7Mtfts4QHCWkFeFfsnQF0gEo4cuvLWAgz0 I7N9YV4gNd0u0Kt6RZ57BrhwgBReGYEKV5Reyt/LBN+Pjjtl1SGulw+MyDwzBrXypPwGKBFFChuG t5v7RfXx8Vi+W9KfEkyrgPXqSSycbunpgrbNNUr4D0GU+5Yimv15OboESsdGPhHEfaqa5c27OzBE qI6bHQYzk8cMCK27/+NLW9s7J1fy+VphqmKniZO/ujI9vZj3cGgaAMtpKdLGkVFQQgUQy8Mmt1kG 7kLaP22FvTop6C9HFfcufCgqLgZFenZzT1LtDE1UFZ+tfKdOA/ZUL12383YGZ3tF3mrxR4nK39fX IMdW1jI7Fbd74ehs60B9WB+4uHqXe4HZi+u3DtJtQ9dlprG/cVtO56/g6hYLC/DgRvvw7xBpluN/ tLqfo681SmIM0Z8CG0azu9NE7JqSaHvhnLbqUVtHVoOM1yT81eavXq3dOsHD/fnHODKR/XC4TM+p Rb3Ts8JWlOsYDj0TlByDon6kLhC6/NHcmOvn5IcRUBuIFSWdut0UgQqSWw/O47kt7d4zQxs4E61H 3UGwf+cvAHPxLibP5RG5X4s3P0hGUCe90Irs8qVUnodmjITDOIdEld4NpLBFWfx3JFVI1kIzGomS ojScRN2gIstBNJO3bMOoJ6ZqV7ClVqBZmhBY1yzEFk9WUXG+zQ36vR4DK3rKmXzNIgTHUdyIaCrG YZZ5zgKN3Fj07r2zhpY9/CH0qGHhH4q++sQlNxQzvmvokXepM9xDjjUJxpimo7w2YEuEb6aNs/au CuG7d/Hsapuk8JijniPR6k6FyPNOpzsXGszWhlmSmHPmwbgIKjpAE//wNqXlK1om0quXCy94YWp9 2vZii8BXMZU73pX+mGGUzL2F7vZrNsAyHruJI824nywCAAIna1soCy5NxTvwAL7K8JslwdjOL8US 3TOn7OSxkR9rhBhxP5uEN2to/6Tb4SI6E+9LSdgX7bM/VReXVEaxjWp5yfjyV1QHH708D7XWJrYK qhTRijSNpQQn39SWOMWV2cOCcXayRDXq25OQv0BYuAz99UpeL/Qt0hni/kf9788RPCjXPRxIXX7P vw0qzs6Ge/ZkIOnNlv+JP+FtV1wtk9OKzholba7R+n8ga023gMvRVp8SZgn4VfNELO1NcFl1wMKL v6/jgQf2BAdrRpxB3pM15NGQmXp/nZgwfH0jK5/YUObJZ4tHxK1TxOzmr/aEoJrOkCzy192f8LfZ pf+12AAXsC70Lr5q7kdsV8M+56vX4CWtgIp7tlPgW8OzoLHH1t+mvgrsm7G16/yYpKGV9/VSJORO CaUK8hmrn5PZX7Rr+8pTQm6TuVCyo/m0qjAWk4HgNjfVJWlYxLeclKW/9OuTMCiCxjw2ipXSsIjI yfED2XnlSotBtri3Oe6kWEov7wp2KrMlegacZxQZ1R6Omm2wI78NjH+dqnnj2Wgzf64Sg25bDfGO g+NfwHbMrGNFmEQnnOdfehLKaPtZ6+TMRJQlmAXwnVySu87FREttDQHh1rTq7jyPUYXWJKXXxc7c L7t5CepzkxK1Y90DnwyoFOtkMiAqxfpdZAHvdmWGK8m1GLF6Q3Fp+G1WVy+Vr6EbRcJbUofAeGPY r7Leb21P4pD6gGTHRk1zpkO4ojmvJsNZRu977kfF7kH6XzHl6Vq3ub+wICJCohCAHOO2rsiUGPRo Uhv/WsSB5Fa+lIufVzNIZwa9M334T/5CYmI8UTLXzaHbutvUKnNnTmeCR+hhZqeP5IMI910oOGUp U5HaMJ8m5bVQPLFuYKC9cGxhJS623gBsY9K+kSBkKle4t0UWqGK9UVxWxcRCJYvOHmz/zKbHtUDx jjYSA0StvnRair2m1YDI+m64xIOvABgUZEjYi1+HZlzPe/T8FzpXz+sWTrgqnLElnnXtJnRNq1qQ z6FJb2MFW9WHxh9In0tGXXr9hE3GtSxJRYLqccIq11ph0JR/CJPr7pYYKgRdrG8mA75A2T9sanpO HaPjV7MwhxrpXvW0wzfQP3By0wC0WmXBmzNQ/u2mGYUfcs0rt1mpuVwuwjOpmKPkUG6PBgygSPev Xu095xP09RqOaQ4en+5zbHsxfvYJLoitCevbaxih+T3QTXsuVY5gwkzvabVcXFlu8lZUFuO1NTRH FojUkjZTD2uB3ufj4/sfqCPx2+jzDokj9ZtbcUKAbY6cKtmBK3F/wr68c+BS8tXih7MLEAQ0GiYl pX51AWAYdJwGn/B4CK7lWmm/WfJXvPzlbudeBoxaEebOIGcm8grjllTRl1W/CBO0FHfY1C6P38Wm 1l6n+Ngx049loMp5xvDiqdFT637qCKNn6AhX41wqBGYSkg3lQ0HSrJX2sHQTH84j6sAxb1FnVkmN 9g7/GaohW41PUStgBbriqUFzx+NZMyarIM+BAInApEHe0uyHHz5BjkfjfUgOeyMWdZP0wJ1PKeAF BsVB/Ya6Tlum6MAjXdjyStT1EIQevO9Fv6nQFDeiw1DgkhR6Kv0F3DC+eDos4ZN+4wBmVkrlLjHy xfsefPPNixYAYPYL2Ax4c0GaT+v+nQ+B5GRWEowSPpPJFk5ynzqZHS8b/L1+y0EcmMfcgyGj2Zh4 DURCP51WJ6srrVK07QWF+TVpvkfgT016/8XfmN2SzJdENq3f02YQlWhQtsYICxORsqIeMwXSmHJG teUGbZGXBi/+4+tTVMPVO63sMpHK2+t7bPjpNL6vTpsl6d5Sr1qdh6QXhgXAswWZE8Uvo9jnIux4 hLDtR3MVMLA90tQgsnraX0DbVQITIHC7mgX4B3IHeJg8gmlI9auWYrC1NyrVIDzFZNpMCjWOxitn T5sGdnIyDRBfy/0CEj7/llkTecbt8gyj+X01cDx2+s7HvpZ9U1f5DglJXsKbyuT+cTJAxAfzM9Zz dDYwEB+U2MgpIdL0o46BAFdUW/ht6pcFDPlbCADDS2aQcwWMkwwKFr+VoZUR1bauD8HmV0KDf771 /kNlNhbVx3cGV9kFkf6/QGNbg39OPkBCNJz8Vzxf6OXDJhAtN8CAuGFrxuVx+slCKKgbdUXkjcch Gy831LwAYs/D/u/WjEz2XUQ+rJUwNpTOSIgeHk8FGITcvz7giMx9p6d79umIzykzs/kG3+JlCf+N gJW6r1w22HqGmiE8/1zd81SOaWDwTgRbHFY41DSdVQ1Oj0XGwdDO4XCk03e8hNUyz6HGxSiF3hN1 DRuM00tEYNge1yjolkPpraLj51RMy1qIGpTJxl8FXbYvfWbZSQADVaIcrunWjmdYLsnFKSrNC6PG HGegOYVrT1nQjmJb+uTkFfHHmB8vsYJGSB6Lxxhlsp2dF5HP+/jCTGdKEk8siB4+VQsd7Cb56rW2 rhMKzfjM2IGl4fszfAChdp5rDgegS3v5xbKgp4PA1TxcQORGWwNX2Woy5gDPCMdDdkHyFPVWBIFU 4itay7LyZgfJ1VGX4VPCv9qCeTbyBUvL1N0H4qpIjd6ndrQFfty0g1AelS4yR3Hp2h1vXN6scIUY 9Q2Yd7XF0WoJZ3kUQPOchtIYnp+jOriEBcyCXnCf4+eEPUL8HTJNPc3jFuGSpnyEezjYDpXmbQ93 vRWoPfNTwiVfYrxBhBnPic/Y1BEtHxsUw7DL7ItWpd3dW7Dt0jZ2NNGmVwbHwlx8MfZ84pH4Z4wE YYTACNRSzXT5DGbGzuMuvRxO747O0BFgNISTLoIcCoNviPkarl+wiQRA0Qll5Uv75qSDbA2+ZX5l lnw3idIxYEfpxjTzS+Tgjmh+CJykMUMyA1I4DPSG/YT1ddgN0oTZ0KNbdSYQEZ0Z8JWOqkxosP31 Cm2Dz9QcKGlRIrDDz5zARy8yFgtu9avHvFUBxDUoiSO2GQ+kDUNsH0vAEDoxly+hMvmJG6Pt0VWa AVFJZZ5X7BaLRrbDo0ZCykquucMWadl+JpzAFrBwRQBBUNwLpRTO0KB7gGJUlDsFWlN3hATLkKsT AJjbujZZ6JuFuqbl3LXM4/NbSA1iFSpvBhaKLd1H4ru7VF8WeunUej3/Wj7NYBrsTi7nwSHRnR2B KeeDDGGzT1skHpFD5ISnMBhmb+zJo5phA7JzJxjbFXSnegISjbnqT6lYbXtvKJjgQkh0GRGB/nLV i3cmebka8cY008DlfMFmJCwuTuT+ZnnIUPsf/bzospYdttJA7lDohfJ2ohgH835HZfBPHFzjFpKj pODBQd7iRJRZfhj8E/3nNFocO3KTpJHfr2towNodjFcK6+hdQdEjqAa4Y+UahWy3x5hnzgZ9EGkx gb9FfXVE5ghaYQVnO2KeaHPEtloLCWEvTk9nehUPlma6DY+prpAGRl8BpZXwapDsN9dPNWKfOyr+ 4VhJ09LKAAKitZErUCqBVgCD/1owy4zHpbuUFzn2VLQmLZd83/kxPF/ZcqIr6qXb7pMltvN6lC3W dffcnIFJ103nOrO68gGQZ8AWgmFSMBJKVfFeAJf5y8xUpPxsTcWDIvlu47lzZUR0ptmJx8bYXDFZ TiM1BxG6ZtLCS6oKrUQWbrdumen/cb9ZQ80amXU0/dwF2i6diVb7eiDYjCXYpUdKwMk5CftIBhrz 3g4FxLR4e0xG3he37+sWG/GazCmvIL+yFKkOqTsjmOOhlVf1tWQvE9LLl7oS0UKpeCGOKenLpGkX 3t6PY1jfSBMGlzymONv6FqwbD560fMabfPkfyJmSkaDEtVNP77yhO7JxiUUxFsCPEQAs1bSHlee0 kagzB9w2jipWMhv26VM7SCfmxR1QsV0bwNEEpN+OTLqb2u9Z+pOkfE4gIQp5VFxMd0/r551FyPwV ZEkB7dvW6Ndd/xJ/AEPJxZ1hjX0XaUKGw794gRTqzs+i6VP68UBsbfCr0LjmHWymoZnObnJ8n+ja z6OKb2v0phMPSAOfVSROgXKbY0zfPEXfxgQAJyo0Dzuqwocc8VY0nB7iUhKv3/paMiZdKfbOmh3B /acjrrG4VleAnKM+4+zd6XGOJULfGLH1ejKF86sS/YVnqXOzaYId96sHWJRFj7HAKRFdcvcs1136 efIByoeBnFCoPcvelwltGxrv7Z4Mkb0MDGucE6lFL7K8tnaKgF8Qs91nlC/kRkRKTn3FbyNCIj/q bMVa17pN91+6OLCZgEWX6t+ZOJFtbN53o9DDfQd0z+jGCmoG1c6Ko8hn/jkaEpmeakzias69GueN DIyyo5Dtgztnku4b2y1wF29Olb7bIPX5s34jX5SpmgJhe9qT8Q3o0JdOT9ylOrwPvUI9apuKvnEy tdn47Xudp2QABWbCKexI7m/nk0LisHiTFqyhdMNcr4NkFn7NoC0Vivy2efOQufddbq9+vOOSCuYy aBctWeIEWhjzsRoOXLab+kNcnYjub9ttAkz4h7vjBTe9zNLfM80nQTIv2bDczI+DB2/QFyJhDYoe GLqrFtqB/mnoheS9+p3oPCegLk4gcRIM1DjbtYgP6KCACJlWz5DhFtmymaZ2CYsY0l6JqgSpSQtM hlm1q4LRwCZQglYnjKhRo77mmCADtw8y1UIWGC3XVVeMkVJxSKEV0E5ZDX2ijBJtvROHYhWWM/Tv DCJT1PNV0eHjEyfBi9xtc4SyIbYav+f6jkXp9qzlMOQRBh50mZkTb+zGhx6JH7XKLQWF21ZJ6Q+j mos6ih+8Xe5rkszRVPVa2QRfOqegIWbSiEBk1mnO3Vn2gHjFnjhzRb0mTGUrmNc079Ba758rBdvI tdZFEmSq+TpDVmMBdmatYWv/RzjjxVde7T08AHA1E4/HLAVe/7Lgs63U1llJ66PKaZbEzOTrzhv0 oZcdWS7abDXfUWlJK9T5DtKV++CV9fs5g0sfXPnpYlUNyys8HA90nE+gCvHwi4gYrzl+HA5fxczK sciEb9lokFTBvjXHdJmh7/dX4YUz4RVIk0xfnQTWXeF8TpWvwOrljhurbIDmrerxcqj8E0IbdbnH ryGQHqMm67q8uWJ+E8HaHQb6RFghTcweknGecdYwK0x28kCRqS71M5SXvqGyi34vDja887GU8Sek yRFp15nrqSQbqgjrZZaR7ofAB8sbYl8RdHMzpR6rXNOp1jYgnB/OK6ijWA6x7iKdme3c6rdR3hz4 qRf1xXH1UXcOFbA1wVHWYV9k7UkgW+PuFpnT8qtzexzuAy2YlfGrl6uecahwtBNZU2J91VqCMCVb AXOD6ie7xZBZMNMDN5eprg6jpr+M7O7uetx7pssMknjabMH7x15/WnxcmS+zInk2wOsVlKZtpx32 WtXxDoDc8c33P2VYWnHck+h7vr5yENRwAk0fPE+xNNKtFyCLLrQThUK9R7SiFGnmE0oejr6qWrGp hAWzflzSQTwjfUhMXm+hUxrf7hhK/imznH20RjjzGOaC3aMjlUvgSRa378Fsc88mPsVmeiskghju UdX5LxTX5LbxcNBbxtDDcy/KlR+oNU5mOVLO7YuiR/FNMsJnOTkOIJF4AXJOkW0zqMWbzwCYStKB Yf+VKdwfKCi04yXrSfW4HqwHWFS3DKTgDwHaRVfNsDxBoDN/vYWhM9SydOakMX4wF2e+0Z1x7Lf9 JUZxPPYvBgsuJtMqoMHJwqrhuKR9wL9o2eEOoiTeUpRsK2kDpCHywCqy5i17q8nGNREYRbsnFidF aKwjHgYHgQwSzGNu3xQn2jJPCIeLVVCztlo2AJ/iYymhZaU4YUymdpb4T1hRWU43v8brPjEY4zCk y9fcKDTr1Bi5XLeGL+rbqNfThz5Ab4jkhbSLUqAjY6oPjt184NPT7pf3rW45Zn1U9isku0yZmKD7 3+9qLsAs8VwjtHkoRqAq+ZMAAt3L0wq0DoRinFrOGp5+Bu+mbg6GFKGzXlxBM12WWFylanzcjF11 9BjvvnnIym/dA7SocLFzpBPizFqiDngsdVfeWU/oObK28fnrCqm/gtyUEnrm6AffvCgy9NNOVADn lEx3FDl9fbrezN88QN37L2pVBplMzQbgI9RZQN1YqsMFUjM6gGYkbiGOTVeddhnCVm2SI495/1V/ IXxcddpJ/+dkWs7W8GazjVo2EW08xR+PPp0QZggmuEpA55bod2f1jyJ2ARU6/qNMMFYnaPQoeryr T8Voy6u7at8Gv1CWbyJU/lIYiqVPq7BXPXogIVQ2f25daGmSzjn6yuAYVdaYN9ub/gtWq1Zebebk AZUTiiLozPl+kHixJzNUZDofJM8r7D34+7L2uAsQ0D1PpHitlFKA563gA2LW6Z5W5IW+pPn6Jjwu pN7JDgI+u49oL/Y/ZhICsOptReVlnI+uZNhlyhMZMLueTIR9mHEpDJTR0/aCRSV7K/cwxHmy2Mez cDeUE8RrlOzuCjMxKJflLRPoj0U8715QamjhyuaonYzbpffkQ9q7oBMveEvMzh6n63IgxKDZfKfo QEOs7EitIboJUuR0/fUrQ5xZZikdX4dblmNPYGlbORIjyfSvVOEXLVYq9BmdaON9G/U14YhJJuah pUR1FTV45Wpn6E5eU+p6c1tIm5OMgG8LPjjw7z+abBm40uc1L1C/Ias+VzAKmp//yI05Eus3NAW4 A0NRDTFKETDrrx+AFPxHnR7qgyV1Fem07POOQcgdl9ZoxqahTnFKvcDkaWaYbVZA570Pe5YZll7i VJyXki6rWsL+Ghfijy3EP2zbVt6U/9Ao4Dr+k0ughgDdJ4uwK+CO3UT1JbPog4scyMRYw7Bwz/Zr 5HoZWRE0SYjkHKSHt5hjfNl+3vGDqSPl5bkK+K6YdcvBmVSodimb9e+yBTO+nAeva+JXP0hxfXeX j/s4VI6cJIETp/YLbtN6+2yqAfVOnhOVjzPr6Dj8i6iH4EmGpJAVjkww5S3/pYKuTfzxNiWTNLhE wKEZ/I2GlwtT/78eIEUoI6ysUbqlT+URYZeN1ECj6iDLmDdlBHUO6bgH+v9XEwKfAGe7ymh10rtB lZjFBW8itPsUPm+SvaumW56KyUkmfQgxFKlUVQc6xftEWGCgl2MGRj1kH5RjgJQs423HUHMx9rYZ Ly4ccjDRo3Ef3PHzPFMX3vXxkCB17RsZJ5Y7KVbZkpGCtZhsmzPWGXuA2Xbq8iISteFBddrRND5E CQY0weiWOzWfy1b2wy8qz4aWwpubD1wCU1kY0EHHjl6hM+NinUCN92X6YYgIRDSd+WG4sTAweLNQ HTom3X7eoyY4GwpD35P0Xs/Lc4LGfiyiqL/uIdP62z8ZmpySE1SS0tl4rEbteVET0diZ5woCAfLP yyjBKAB2uHOWlCfsP0OqCe9Z//DVwoTVZ5VnIwd6sbK3HcuyuLS1V+vTBQpjTNDmiIUe7ZtVRkqw LaS1VVa3gdoDtZa6AD61Q0DPYkPwCCmLn+37SU7+1hVehPz/Agv1LROZgRJdAhjD9Txvfs8d2NoE YQX/70O7/ALqgCBXzAQbhnVCyG4r07S+n+LhnDtGWgpcJwY3jsKpZvtDY345fuPEuLrz/AwgrD5x JXypF5bL9Jh9l5b1PtnPSEvnuLqvRD6MOVBN8fyT6/MaGoUJdWiupG5Q4+QoKZtnv7hwkWox2oaK hq8DWUNZk7gTqTElujmUOZhlhszJvUzgdC41gCQuvZ3vRRL96SHiWqZoSXKfrTx9d0eY26qorxyX PDl5S9lShBaKaBzt8VEBfqI8AoH1YkDZS3cYAazmmN/5AjZoKE59yLwrWB4PayzFkJnMqmSNtpE1 TyIKUpArmcI5CmIeS1G+ESMNSyUbFwPPrOel7rSUC7HVzBT+V3dT6REHqj0GKIW4DQE4r7CEjI2z yWCl0tb5kFxG4ZEF3LwH0DgUm01mpSKbgM9JNqtvwoBnWV1gff31msrIUVZpxFGlM8VGvhWWpKgN AAtsQDqxOcDPUYePol2t4lomQ54qaGvA7gHbp6mf7erZKWpH97qqWeAsW43gxh+YJVizQWzUqSbG MLP3UEWmhK2epEGqB0fCbWZH2LpyZYyD7dgnbIV91NQxvxKIYMm6hSFtndCMyUpOacXI0ME3ni8w WodwuGSm0ySezSMvRGZtdqiUo/K3wWDxreSwbBhuxHhR+FTpr2dFmW5tCXtkflYrzuOoreJtrHYZ 19afXLfNzlI9LToeVpAjWsJ6Q46z7fMSZtEEVIt8GPl1TehJfXZGptqAWJ9YZUE5j0iJOXSZ6Ol8 NFBAo6odA3oqh4qBc7k/lUF/HLST16C026UnUdDfAxmWE15Xsqu7fDvghx7BdsqDE3qT+NEuA04B 4ssomCkNCCQnljshOw1H8cNCRHxKtTzmEFZcwLSnJxfdt+mIcLa+B6dMcBvGrlzgDssC7BZ5K4y6 IUtN02GJLVFMxn+/8a5y4JcGlM/uy/3TLqOXoMz/2AexsvLJ+sQIvQeZbSVfcftm3cMtiqQrgHUs 1GaozHLAOhNouLUgUXnsDXfvEQIE26t9H0ISKsq0Eab1+1XX+kjz6AomkvXm1/ppyGBxCDPGJZIk 0+KP3Al4f20gx+zZ543zcXIhrZdQ0d9Ayudg9LtGPm6jVIhSQNUEJbIWtVqRGtYxWI6yyz/zipwy aCxrVLQRpR4jyUMR+En10ljQS/ORh2ewweDoN17pEghpgxryCmfZzFURpH6SDmc8ZkVNKW+i1Jq3 ExsN9eSCnA0vD08gw3iikLHRH/Rr8LnXneMBRiY/tdUJvcUUYcw1W6DKq9vlzGCa44ealC/I2mT+ lBET96eUVOJjTgZzcDWbGEFY8ui8KES+53l1vMC5CU7H4FDFd2t5X7kXjcCJwgsr75PWWFGyc88/ T7NM8JrPxEwz2c8hZesQGQDkHWDiexOuyeEKN4wljSyoVNpnZtT8atIA705umWvRokaDKWUrQNEM 1KvziuXiSwMYU2CCdNwj1zx6vpfCwDaoEZ3DidQSbjq0OrFT9CQMwcOCrq/Jf7hbHtfs80Xg7RFf 9psLtBvzitSXY3+AKScsv0jIOuT4xbEYc6FOh5IRNnMMI7fIS7oIlE33CfCT05nxW/TS2aMXZ1T1 zy9/294oad+HtAlVQImNl0nnHL7AqDhHnG1ohoXpJi2pu6kAyKW5RuYts9W2JdJV98WPkoUcjZK+ 11guYML6hud1E+0/7ndiyzk0h7JFC8lyPttxCK8o1+IrnA/gyFur+9LtB3f8ztSBRTci/LLbUAkf kWO9WguL/W3fZ3bF8sejGyZP2kF9/0sk7WfkdoIQaXPv5AdFZWjVsonrahQfchRJRxj83WC0fPEs 2fbPmd+LDkjkGhIoLmBqKcdKTiGUXGaRl7CYRrszYvuup6wBxslJFvofDZu/Xux13FgTWr3fkN+1 urfPxEOlcS+91R6lZT650m2GFn8T2c89Up9E7Dq/udac9W5t1jwiE/UKCsLQp2+X4NE5z4xqtYdQ VKj5OLZoAM92lS/Y3eV+U7XJZMXmMK4KsWJv/0Skx+AD5jHq1EugGfCeWEJpCXiw2RH3UmTFHPxn rwuTANlt0KMbQy+I00OPexkbpxyRfsmZCDQ3GDMBFleBCvBGEayXToGqMBs0vd7M+Dob377//owi cdUHw8/sy8zCD22UIvtiHgyF19oA6gd+zrlv0aLDZj6k7Gr0M29BWxSW5hkafM+Mat9yuQJxF8Gq rbAeaBAkh84YEcMHEUK0bFWHzBzw5gtGFR5uN6HhLAMRlvWGy3UKhEd5bCILFPDibnJHk6nHrs8/ PEThxO5ulMq7LcsHy0x/0VlXHmdBDLFItQZvMoY4ka82Alamv7JRbhy8u7kV8mJ22X95weC8A+cF eeqOXvErMPeeapi+yK1tuzY7emGV642E9lye/mXygOLLtweTupjBU+kKC41r3/qADZeNIKQ9KycK 5wCAm7g7/n7crCTlL+s4sRGUliWC+g8PEhJHTLmCyLpw88pllFpvwhqCwChLB3w1nvB8IHuVxOJ7 qR9B+sRAQAm/JnwOrfirxlGA9JNcEY2a2YIc8IXIOacQNXuBKMCuvMoDxpAPhYt9PZRwr3M8OTub U/FsEN8TTyANcxSbD/2QTufunBE7tWXb6sPoxBjLKPG0oZrMbpROIJVQpbivyij0YqHip8UR068W wn+9EzEBax/XENqEzPWRjgkHkobuoQGsKPU8S5duHgDkfs/vqgGifwu27i/1KP1la5Od0tBc/rXC OZN8l72FJW7HVt3MSkUgiddF30ai7Q2Fo/UJYQ9i65QjY4JZzq0X8VDd7Qh1KUVDp6gvNXLaKtRv okmmJG9FJp1Pohw37Du/J8f9o/NPX7W8kKpB3O92wikcBGAT265VfOpT96YYq1CDtaARfMzS7qWo XWGdat+N6sxHHEjWavbWheXJ8GjBkGyOGEtHibOLdHazG1UPohoj1efPs+E2ALfEOg/vuLlzpfSi fCzNT96vhfNq6X33EdlU2fLNt6D5F9uq2lP5S571ZzdpJcfHfbRcVslvW0fKZIVbKrdtLDYw7rkH YCNhWLDxWfzBAY8bF2gt4F7cjlnoK+pFVWDJqIwlCZd+ALmRIf6e+10MnVUX2vgx+CW0tsFCFrhy sXF8GPDsI/BCQZPkWGPtEFK0aV3TP3ZjfLrwAr9PxTtdZv77ytzALTe7I5vsSr59el1MB7bBQrGo 81hpAH3DL6Rg8rrLd4XLp5aX8s5S0C5wuHkppFAdB1lG69sAc4Ag1vs+yobO4ptStFn/mHYWY7HJ HjRLJWhny4c1XEFlSFWKEh+7K/hPFSzVIROaekYREYrG6VWfR/Ufe4/uzOAVs3NeKAkF4th4LnSy Yr/J/u+lgRA808pN2mMPEYofPDV9z4Xj792MniSQcOSssxdaUIeDkKUMC1pFNNPL4p9rn8HHIf8j KTP530bSWSQ4Qq+TZMdEoBsQliJE0b0HjCfqN4Dx6t/sTKttD5hWeN7m5HKvGSSOBR8zs129lGpq uz0npxdKR1GTRrLBg3kcWL6OEgigIbs6SSqGxVQknpfoCZqa9/rT8Y7mJu4jzcx5pVGO/1dklDH5 npZAbcZpCJPUGls4+HwyKNDegInJ6eBncJFjTiZGL0m7oYeJP3ZsHb1GknyMDrcmBqgowV65pnqw GRiBjcIqGaL3ZGK4w4cNzKlGxONw+8MBl3uJPuB6fwMaxElHHO6CwJmLb8mB2g8MNHV2MSBL9qx8 yyrC55EEWSkkXEqcbMhJNB3HGyK24Veeqs5CbiInlF4dF61tjVOfLMjEX2vJbjxXEGvw5X5CQqjm ZrDgijBF4EUlD7QVoGsm6K94uqk0prXartOvasN8gHn2iNVJs6wl/8Os11puNLF6U1Cdy+mZjRQ3 +2Sw51OU7ac9ZEVvS/TvUqZSPONBwMsBVdLPMsjHqeJaiZoNmQD57BxHxdLvYQVy2xaT/IplS62e mT554imNy3ckK6y9rVaYLHvpfpMVbK3V3zj/AnSwwWnUooTG7mhcIY1Q6HveBOQGBxVw92xUuKHG tsAntEcMnpo7tcDdrDUW+5DpPQcuGd8eTrZeRX/f9Kxnxpovj8CV7DIezNXWvvEumeuKWTMowsz4 iR1pbWf8IMZCirZO3zW13X2EFbb5b/ma6BT4YuvN7okynBOf2wBIJEt/8LDCo9ikYaGOxCrnIJMb r8M0zhtJ5NojykduiAAOyMzo0Pf4A+JCk0r3WQFmcHIzPDwZLHz8Kx0FdVA3t2a/mVC0wvWCtp0Q E2HrnKVPsdCzBQw4rbkOM1JZuXbDqUCRpZqsUaA4q7IiRB6zCr5HyrvzZiwe6xholKbImwLjFaho +jlEo8+CF/4TsWzLd2L4G+53mVqU1QFEXCuNxFw+T+1ukbhWza9sBTYgvk1hvnw7O9o3RMUPNygu 0T2JSB0u6PLFLOD/lxgVTWcl8rgEl/K+b6wAK4R4VuMMtvrZ2uBLjxzmSrPvPBWuvV8cYY5TY+Ch 7u3fq3vggUzDJigUQmE6Nhrc+KQtjhrQagPWb+0Bx3cHqTkoQiDCRP1dhsQ5/63peZsoyyxSmkJc eYhV1GLzFGveXv4N0309LMht5J18YeBVgS8nZP2eJSjyz3iHzTlRWctLHbu7I2EuB/7+RaEbl5gA OzRSl2N/gkJBJHRthTkWOMcSGVsWXaL+PT4nbwSH/aHQy0X0w8FiYyVmN3BiuCIJmpi3Y84V1Uug UDs+olWGZbh8GGjJvTHcAv2EO8HImg2N/JOaJ4BMtDjaDFcnBKWuhd531S7leMYqN/mGggN7hzWE 15xH7eUOY1OkqY95Yj4M4epyGnPpLzWRrQokVLQHBiF0tQuldkPNnp3uX36EZrMWm47C8kdWNtUJ oefEZD8UfPDQnfZf82dwsrvkArJ8KmFBU808VBBLkpNks1pp1XbsXfKFSBrDX//41+U4PEZTR3Iz 5g+1f5ESkn6Y63ATBDKLM0fAKxRRhGxzg3SfrhmSWWB1MeSzN3WKZyztNlFIorcUkfvywfGLBFN2 leY3MPm4RiQc0qFmpzNAs8KkP7Cb1KuA+IV58xycbUiCde93ZA5IKnVpJWUy/d43PywUHDO0HaJM ePjWlekQM3UeIAQ78+gUKYjVkidu3XHmg0pERHVK9EfSQrKLvCRKAVKyVBH65VfayH9hP0lfMMtn 32oxypQgzX/3z4qpFjOYtzyPuejp7opg9RZvBop3boncCQblW7Lf9mvbdognHWXKpBkZg1+jRZaK aUkRPFp/twKx4ETB9kjyxLcT1V7v6pe+690mgQ+xlomgiUV+2de/8zWqJZX4oVkoihSAFsxVaxQa Ozwx84dKNa2JyB7YoSzE1yh98pWgd+1h5Moa9CI8QFgVN2BvxohHmebPwp6xB9b2+mgnLUSc8I4a 7/U2h4RlOpADJV8x2yLX0ZvnqcQWOBRZmFin1PoI5BAHSBaW+MUP6LN+z329HtLGBFKmGh63CiJT 0dMSVRm6ndE9BwefZqO9HyU6TAK1TYNj4JIAhgORBVdlOlnMghwQ9Wv0XufhZjKvliQDMlXXzU9k 0yrsjoaLgXdJoJEnx1FBnThtvZ4DYBmzYIRe4N5SDzM2HwTe2kqGNsBwun0vxtVvM8oQMctfGWKe EzIC7dytBFUX8yA0oADeSTFDHe0o/NsZj7rQGI77QPFFY80YDDkgIK8pZdTGOkfOOqvgXuxYbLPl qkMllknjximPjJcpBl5tnPiAd2E34ZL/XateOWHjthPzPNV3YxClnNkuxlUutd3PfGH1NYCEKPfF +N9+bqM1QBWu6v35i52KQpJjTUcV3BqUtKqMo94XouhL4XsJ7hOr7jxWkyprCWzJ6HCFBkKHJ/JP bflqTN/m52qUANrMD3CDk/EpRJGHF5lThEv+UTrXYFrYlN0bK7L1s0aXcafaKm422Z+ayB3iuHol 8i9OPl0WENKUAgYOvgvxmuwmFAEcaf1bzDq/3o402dOJJufIl8hdkXW/m1mBywgYparkJ8APBbl+ /osvUZdiueqB5y3lSpwVCKjL3+suokGzowwrMTkqNYp+306v0E/MHL+2Bk9AOlITJ7Cs0oPLlCLn IF3I2igktLyZ9ADtV1jsaT/zFLW5L+LUuJPmyJicrGGkZHg/H+hT78dtkOj61S4OQqgr5qykma3t TrF1d5w+U71awwQpf73gA4fXnzCCdYUFBLLtTDCJQZe3VZdPwZqG3sQe8U7lkG0sNgAp1d7IMT24 l51mMOXalP0jk6u+iPxXk+kH+AJUOwPpOl4Ei4b8XrYmHOpM7Y0tVGIbVtLApH/UYDjcD/l1efuD DPSpwZd4fPTQHWZIIpfY65tAGRvXlvPcHT6EkzWW+/1meUPE4wIOT6DbcNTtYJZfLXBCjORbugak UL90ulx/uuWHjHxV+pkovYMSxr/1LskoAbwhacl0X894Dq+SPKaouuIcBRSZuoK+BXz85irYY+jI vheUUED8UXfoaibTDsobj+U6eZtY1JEcl6Y9yk8BCpnUVCZaRI39K+P53mKCVVK6+gGy6n22uH7A VfKnNbdvDG96r+WN9lo3KwqV0JufhUtE6pipiyCX4cjto+33fL9FuPuaIbQapLm7/Bh4171ecbsV OPcYI+4RkYgp49AJiIGxVQc5i94rgc5/mugHlUOR17ec5zup4IwSPZQ0flEvjYyzuvg0MogLLaWi gImdaNlO86HVj9nm6CfARlrdBgWlXjtkX8OksEV5v68IoeOx2KSOkE0M+N2OQ/aJq8LaRCt2sRNZ BmmD4D7Ru9ylDO97gGlT1O4cnb7gSqyAexc6Q0VKtDzbzlffEOf+rp5I4eQCaRtkUzh72s1QZRYq J1vXJ6z9/RByP0kay1BXim1/UxjuDoWbURUW8nnTtRMK60JbHiWVAenJDIXaSpq3sc2zAnlou3me pNCtCI4vlrJAKQJvLYNz5eYi8M8x/kXBm5J5+H4IIxvbNB6tHtv7u+s7tIfILeOlE7HVq0Rtr1BY Y6538799joPl5048Vq3H5sOiciNJhwqKAoXo4gwj0T39roGxHBnvSPU++WuyOcys34ld0HCEvU9w /iA51Zr2KMBvdkKVNdtouxmFDYTFWdPpC4Zhc6AWt3ecMJamm+CIRFtmgEDxYTjHvbAPx6p5qjl4 1u6ZO+bZZYGYT5PaIiCq2qXNUzQT6pfFR6PBcAGLrAEp0qlQC2wKZkpjCZTdSJIxSz2j1yA9AIIY 0A34CMPoNURnDITdRNikTvQxGqad9ZdM6FChkbOFsot+Hu8MII/qfyVBQfLGca1DXLmMKTfN1Yy1 4zGUu1Lf2u5PxiowwpT+SCIEtmrHLNh8JX4iYT/BJbutH5IRzk+FBTHZ3PUNz9tkkdQfL0vVF18a bgnqWsYAkrPgCeoBi16fUf/Y+7gnOj5BA4mlngEUnezUZ51vzthImtduuYkxAAu1s4g/5kMa+2po 4F8YDSfbVBuobHoPKOGGVa1r8JYZygXqqzyuG6gInHXzMwZ3ohPTUaQjgmgOsnD9z16oLfYr3DtS OCAza8Nd129qh1owfbOB+h21wG0d3cNE41PAaWMkfcvBbQitBCEsufE2i6wfY57jzmDwSrnna8/b EeZ/pIAX6/XjIzuDa6AacYAa0yWBKqPtP3nbLnu0rrwDyqmSZGeRzr0yR0khGJqWLdljZ9QsS4pt lxwrREvTagYpoQ5HzgtLYPaqN2mvjeFfmzMuiDUicAyp2R7zhyhS06LGgJ0+VtLIBIVXaALPsbpH 8fGMzcpoVo2YLBmXYSK+3bTJaTa309EIdtwl/b8hJO3nqTftMQKI910llGZKA89yv5/rj9wquc/9 PRNzfq4JXBKCbX6xS+7wWOtu4nCVUFUYaCTIlHDT6eqYOl4B6mdfqmoNURUD1OWZYhgyOYmQHdRv TaCfQ0elB7RCSl0Gqn6x/ysmNMO6kpOgjsMFkUu9EwlQSt6xTs9sTabH1jIuXcb7/gDWqVEvkGi4 qjHKyDaf7/eHvzxGFO5yGZGbEa35MFrjqBjxpQZZQ3PrLCCcmmFN/SzeWoZohJLExFwAaBTdvJkz SQJ8+JT8GEOrzOGEYSiqs3FVh2/Mq+AjDWYZ9f0D4TrzQPJ8NxMKVzaIlDuf89n23igPSosb11MV NgaIpe3qlwtNVzodpPlB2GdxUUTr09FSD/rgMlJfq6CCc2RmGgkv+qzxrHvo4AXiLJExvkAAAAiw 4l+osyjEyhX3m42yRX8bFAWS5ymnhtdiIVN/Z6AHk1xRrIUGRwwJfnJJPZUHzdyRXWoiRKtwZCF1 v+BV69M+XNleCRwHEZB6DAFswuo+bhjf33MslwBPjiqgsij9r9Uk319Ui5pvtqALcS0cjYrQ+Eya fwB/PnPt0zbDNtK8NWDF2Qp5zgPBp9hPXp9UZTeQ+/qgDRtz/65x630k2B+Dm8d69vFmF0Hf8hzb zUXzUtflMADqQdYqJHL4vXDVIhmNKnTc0nNPBr9iDSt9I2lsrFyAj9/+7L/cCqPe2za800FRXnaX YZcKz0mFIt9fmAHbLhFm4TBbhXhgw9l5udi2RcBuxWkh4iQMQTeLYlYgRz6agAK0cIENN2l3X5Hk HPHkqCR/a7yXDI+RS2eHgjXfwAO7ld1WgO5EEN1sZPK+bcg1SwZvZAEJIl/T2f3RQXKrV06jawvB Fd8ewLjHo+sjvqMCtjLF0oht+LiKeJfVWN6lg5VWumlQjkjKSed7tOZ8KHB7wHjDvIAXEBLZSduA w9Mvn6KVNL6fZT4qCluVpHApxUshP/M4sH9vwOEt/5kX9YmqftHAwJ3aEbPZZU5vJ/2nnA5wluNo 5ISxxilerxIh8KwTSt9Qw2iaPJc1cMHG09rczMUTGFEQ/masZYxWbd7BF/NvpHIDdaItPs/r7iZs 3w+6rUCBVx4dTKc/jn31P7QGhnzapZZhF1ENwnj9GLu+uJQbp/9MJjt4UUQ1PQlHV93nM5U+lP5t wnz/4XzXh9oXOYO5W8jfKJpbl8AKT0vmzrnUeej2hci2KiJVZEKtarJ/I2vFvKK5H2IKUWEQaJZy go7uRT2guapplnkjztSxyzEYiOO9UXzd8DjEGig2tLjvcgfwa6qxj6vYbHCfK6ZekQiAEIdDeMfl knxSqE6Dwhdi+JK/VeTvckvh7VrRXFoD7F6vRngXvKQRpWa4sMM5g/38fpZZdBT+I6J2y4R0avmQ MTaUDrXb3V/zpeK2070waLGp527wK1w3sgIQ7+4c+qZWNpDabYjzs9DZpAhXRnQwsgchXIVZSKxb xWav8JFj3a6HAeVJX6tZCxJYGujd1YY5fFwzxvdzV4OBqcI3L5J7w1wDsGS1odW4Fm5N34dWRlhB ZIoTP4eftzGIzSP3zhUE/ylFyA9+rwmfmkT7vDeJl2YCB3VE1D9UFeN/gPWKDkH4lBui3sexn0kh JkBgLbvkVsgAEnurUkVAllCSUdQS3h7bSB8LKr7ht6QW9QsGC7xVdPun0S6hi3faeolzIU5kEK8S oixGTZrMIVRSB+IW17n272GSzHwsGk0tBUo9NCqBcsCRPO/F9j5FI1ooqRfhKXaYDKhcXJO8nbG5 WktATpyA/BB38++nTcJhWD9DKN/s38tEaxs1gTcSmMjp0fPTt7EbZx6D5aqVKHOaTtuCp683IPgn 5tykYJsNnK1dxi0FT2deBHKy78wI8vXnUrWAGN6iRivnMxXLxXbv/cXkcc6I+XJdJjJNWowP9NTn dMJj1ITk3DFsZfhvk4vcpXVDD/Xnl+iJarrlRHflRBD3dQ66n8N098MsnsHMCMIPc8krnFwfw+s3 DUbDgqcuHGJwjsE1VUwuqRUpE7wzaBhUbHb+Flvxr6RC5Aqt4JcwxYBosDehonupSqhqJ5vzawQH j24r5guSZEsDGVDHM6g7gfx+pa7ormRUbLnZzmSqX5VHpBknhLpUi8QainPSsC5cGP/ITzh4BwyM 2F+D8Qf+GyfYtRig6hXNuwvmgZkUbTUyBE+0oz+BjWXjLAYar182z51EZvbBD7mmG4yfqvNKRkzU hhRqSufvqKvymEYgPFWLXlINHfP+JyN7PM0xWAKoXP+lObde4BPHC4AaazPe6T0OPz+uEq6Zzn6f VmkQ9SGDdMxIGVvjPMrzjwIIpGwAFlp1xx55NlFIQcaOnJRdkruap2s8dFgxQN9zKG2Zx95njFQm oXzZO0DxXpHNZaMHnMhJy/PlYy67RqBCKq4YXM8R4a3wOvk3Ol69MXJnEfxrbVTP0gTLOV38VKdo QI2MOK9n91zjafIO5U5VK2mCDc0Phq8w4jSmJRoPspPT1nwi3sHPmMMColUp7O/+Na4jnz0tu+xE Iytp+qcAmq7hx38UQ2qJ7UUVhEBO30e1H/fTCUd3iLAcGsumjZx0wM7p2Em9G0TML4zBJbG/XQaY E9w1nZ3qgta2eWIY+4yZ+wXfLTkGxli9gDNOy9uyHT7o5bS25S9SURgOBcvWZ5DMycN3EWvoQhc1 ZVqQYre9OkkTZliGdr1srPBtAmE4pJoM38zQjhE9mXsqLsn/bPyx0pvN3fUY1PACCwnlDe/5Mq/B 7nOSKecn8x6ibVZoUV11IsssRQ8IzQIctjO8RU2enWPq40FLDIIP1Nq078L/63XtIlsVQ/3KJPmi Y790eWjEFGehsdydsleRjFzPXxjhmIKqMZ/zcIyveZipaitNz+luPMmhw67ejL6TmpQXplu7CmlD UZnDTB0ZN9MuSv3CXRgY9Af3qH32gcc+pI0HbKI72P6RZKc1UJWIB7e6DHrgOItdjdSmNyZkFx8g mWYx2qzaPRAlbabe67V1VFnPC/Yxi3MzBaXBqzcNRi3/zqjyy7Mr2hV6a/PuGeymnamHbC0cSAwl M/ZI2e5/+B7eltOQvi2RNHMT3uJMAN4gfuSdFvCrQBlRYXDGqGdfwkFrwXrBcpCTXdXkocTlmwBR dzrr0VwT9Ids5rNJclmayidmYQcOJeej+k5WF9hlljbygNWo/qpCBMq53raYPIP03LK8AC1UIH41 WcDCNmZt2U0Bw1nFPGYyyHi3eSv3sLDiVIW+jPrMho7+twmWqY9dyoJFiHKXPL6YNxk13QR80MMT bCZn+4IVwTixVdzk3+F86kZLixjhEUwdQbGz8Pn9hCNhd4XyNu6EvBaHPOdjXY6C8CoNcjRi4AMe l0W5vGq7LAHNBsGck7Adk7dUPGhg7dC29Wmj2Hy65EV68A/19I2HMzZVsQdouHNIk3u/PogVAMiQ nKyY4sqktdjexHyW5WFMpPi8RdpH06pL5OC+epvlcTe1SlOF247kGMuPMiNtGVMqq3W2djLKkXoK 3w/7QYp4Espg02LpdOsoIgYVzHmJQ7SkSbNoZEdzeNuelcaI8mwZEjU71thQe3yJsCabgAnIx1hs w0LEch5pJr0QVk8dQIwRwN8c7yKrxBtR9Ng9IXXedhBQZaN0gn6b3kzaf80QnA65FyGPrq7roIp4 yiM3dxvXQ/Uh1Zk4sk662U5Yx7ogUFivAkTwtY8BtLPjxdVJsJfVRFcafKr5igYJJ3+W7dJazTFw ye6bzTXuXNN2U7hUsjNp6Z514UfMAsi3/RD+rkXidIvIqEUrNTdJyqteJKT/dHFGCWkErGN7o/cW D8woqE5xWNn9uYMmJf2AFYBmlILreWJp8HvW86PKbOQnaO33DydOzG7oGKuR4MIrHhK3gWACa3jR 6bXGu0QJuXh2YS3yXH9zqG2B/LxGHRWZoMBxIRr8CTCcX9qG55Up95SWB4HGZ1/4Oxj/foKpPn3H 6GdIX42IUFWYGEHmeWsGlwxc44I/Q/I8FaRyR62Xmx0szxlJxYS3aT7KzV1N2L9J046dqeRnm7nj mdSYVhGVSjd/szrVZMq5wj6Fgbwd4CPO+ZnlqLedE1bCIXsgmAlqRYeu3AFZ+u2YIc3JaEk8NpX3 D0BTtKAYn6NCbhN1cQy7s6AEooDAynJTuvJ0x5dVU1rK0dWRc9LBbmJKDqlCQVMQvVFAvUOH8+ve pf9y1rreSUzXAFdMKqwXpCDDPW0fbqL87xbkch2WosEuK7gSqWXfkolpGvKbxI+EksVs6PV/pBVN J1z7Gg+OHNYflckkSza4KuR/0U0E/OTC9kb9AesuAolAnoy0AIdThkhb6hlPtIZRw/hEQ9ixYedB Vgwa9+1f5ikaoNH3yWifKVKp+nMQqxyy96sGy5/susvpVOS4+fuhfrxvrlWCYtu5oPp7HiYJezfB g8IU3p39Q4Ndlk1+ah0PSD7hX+TKrqgaxH2wZUZ0rUlO4LFUd6Zn2dvHANsTS4gyZ27i5mgsbKCi 0Kd2cemCbL3oSVFNTUU9RPaUeFbPDSTfCDxwagUYGIBsljUA+bcNJ4dw33Jx7QhGeUyv5NBEbmUI ZDT4TlzhdR+e8CRcSn+T6753w1PcqhKQRYyhlDIqatEUJ/StP5pkNg0vCEXyrJr99w/S9/qIMpJV dMDoYBARoWtrtcWt0AIJ1zFjxCr6om2tKBGf+KiSk20+EJsDQv9ImKOCOsUVPIyYhkIyQ0EM/SlY yE5to6T0rCRW8nEHrA8ifafqFTkw0LWVqEv5Dj01gsDjiKuJhEPP0AqoUa9cDF47RjMrF8RXa5Mb 9Zdh9YbI2ah8FtJ6ZOwGcLh3J+7nxLvIPk57lq3XzJ+1lkKavznNaM9E9fmFHrkETEbIAJcVyt2v 7rq4/A8Sm+QjGxIz0tBKIESs1z491vi1AH5As4oRBjLypm6+Srrt9FcjFPFWdaUMqfATL+OAXRVa K0jjv3MtQ1elk14sHu5C55c9AzpFXsOKEIla3QijGfndltVNXGjV9d5xP/z89GvYZ4f1/F06SzyE IK7HYbbXSbYp+lyKOkj3wuZD01znmpFUBQ8PTL7dG9jpmWgemB2wuGG/FotN3JyPzqVXjddNNnG7 JIeG2IAHwapf0Mi3d985YEt1ZKMCs7vnGGucJBYiYaa4WCz+fVTnNKANE0DJNfcQF7F/sr3Kl+o2 oh6Edhy8jcyMzOWwEpGyz7GpMfrTAs79BZ492TQF8mbQnQPR37LvMoFPdA02/+R1NK+8j5Uq5gi+ eyIXlkcQqggy5g5pL6IHF3Bizp/I9DnXqp06VIvOrAsCgo1Q6uUHEvv9H5M01Einlbk7iRvJqpDH iMY/zPJBNK4bpQ69W0o6pmc2ojB5ZXCNkOJPi3MOVtjGsTRbCwDcz9NqKM3e4fwIvnZgl5YN992J e3Le0FWKmtqZNfxIMZyYRCUljiug56yX4/Ru6vfCY7x+cHqN9qBdh2R5vEPav4Bv8ll+eFgzvT+m lROD5qjBs0Bfr2ielduZKTHc5NdLn8qqchw41Qu6PRmLpZv5vwfmMUQAT1gz5ySYh+3ik6BC4Ul3 GxxZZ30jSSNAFSbp8Gd/6Tr68DBVyQSPEVtTy9cYbEseLGAagv6zdiw9uGfhVzaQb3qTpJuEV20/ l8QtJuYxBN/hhL790CpMz42XU9ro0+BXs7/MHgYOUi9XMxGBcXagdR/okqKj9vgz3GdWuTaU3mHu 01k7uS6en+0gXnEu0lk/xIeLcdk6LkGm8PlIhp3eRbI6ZcfIv4L0xTWE9IxDMixamacaSo/SMeAE spvGJnPhB0ExMzi+EiT/z9iiUETtEeMZx2h9sHKjDzv6iKC6Igx8k+eVP2XMdF/W8JhDsAsfudIN dgXkKLLMyZF/xRqV11JEue/fN49PZwu9O9qvMnQso1ZRHlsB0Y+CjuuBOEBSUksz59hyhI9/GpIj DRsZ93EMWIl5+pX+2QRF4PMAhN3oWpA0ijG3qLVydHIhkQK+FZAxbAzu77sJFywa4YmEaau99gBP qW9YJZVSM8BoAWJSAhhum9J5l9tu3ePl7TZZntJ4QUtfO9d1brSo2ZxSDrBAeRvs0/7nw1frn6lm JAXDWKXg3NLR1wj/MN61gXZJ9SeGx/VQKKwbnIpj5cdoB25QQRWBDyRkKWlCaadQIDurl0HgHfud qswo+rbVaiGIZEnB27V2UvxdqKt/bwfMxfF1i752o/3fSfVpCEpLAJi5UQ6P6MaRsjWgeYjI11XN jZ/z0eZD5I4s2INf+b8Be9C1EHBo2PLfdCTCXMhXQ1qWeglDB4CeaDC4+kp77X8JIavNEghpI1DY Vc0QQGrqNumLf7mxLxLS9um/PMABaxpg0GnuqnZnwAYCO1eovCAMztMLYeeKtDch+MEUVq0T0Kh8 xniMdHkq+STMeB79dZKVw5M/euCMcMHiXD/zB9qfT999Ooc3nofcFcp+x76UggX8MDRjRtLbBtd/ 8yUnlUdgQG/MdRG9baSS5mOMRUl1wMZCdKbirr6sn5BbwtVsuew6m3ceHOKjApRYSdoT/VPtSuEA nRZ4sP+XtWcHU56el6bOA4GzjxVx8Ghy7Hma4STFXYNLXYLxf2J9lrmC3i1rfoJJaTJs1dR2mN5N A9IvVNI7CnVA6UpCIupXKfmbRxTnX0o95oehRw0E3kRIsqlCKrjkt9HkKU+QSrOGWmAbTUtRFKYV rH+7CaOy6z7GPX/aaMI9crQAlQlC19kZPlOGtkU94gwX5Z0KCvUHd8vXgD5x6T7c177+C7uLw/aI egb0wQ3kwV2dg8aeTn3nyEMjbeNac1kdfTshZwbll9N2f0VLiCxvBLLFdBc69EyRHQcZaH7wecNq fK1qBilMprq7yap4zmxWeskrq0JgK66HzWVDkHDh6trnrVl6yRUM5kn51qpekAAaL5mKuqeDjJU9 oJ9OpgZW1Cc7pMGfGp/edQLmNEHfxgyQ26zycgCfVi16wZR935u8D2BzFOBE25k/1yUSEl3R3ima JUc76mG1WkGdE7EPB0kDc0ksbCMJknmCyX+UYEotLWeXuJdkMiSGYarHOXmHSQ0KIcVtlPP9uGA3 jPq2pZStraHRsY4EYN7sS2Zh7J+5bBEJcSZIQU7TPKUMsAvwy67NPdjXnBLc9eSxYEewinod0u3A xh5uLk7TCB5GHwGDWsWP+sl5tY9tHuvQdbCAxJRTMLK6+vsqvmVztOcLtEUA3zRo2pvl3z0y/KFU HrCmegaTxdqTVsqLegOzmx5AVQzRbEQUB9hBsJ8QMG2vQQvWL9KmZ8ye1sqOkbTB+zV+fZGEEOSV FQRZRTf5l01GZd1138jVe1Rghl8fT/OdlXtAiRik0P1B/4qwUQe1KceL8zl+xZIij/2QKmoQEkal qIvTi4EhsJjwoYaHqL7KZrK1dHQUaee8ODu3DAC2ctIublIAoXadzbyFix7DrwX5HBENumaaixbc Er6xYi4ONKlptnkm03Vh7uNxYfpgYSqRCUv8N32AUvJpUTBuFRkYZlVFGYAM6yEOIVqsRyUGf0qK QttbNxC5xPpnhR0WHndSjyXdp4i56XMx7x7OqsoeRo/igcPY+fQ1RfoyjJSAk5hZQR4MUONo+lYl wHaGC3WzyfwJPf4w5l04cWdMJlMVgd1YZkTxcQqYYmpSyn7dsI5ZDXXozwZPVPSsm52sYYIw03f0 B5LaesQsTLmgWvD2VLRMKdVP3edfPCHenxiCqHNZdWz9pLyxyPXMTizNrUoZ+0XqOWQCPrQxdryR R7k8UHDRLA57+b6w9XA4cmNd9CzuzP0xpJdkETrJFPiYqgxtyYz5USsb29meuoFhHiUi0dCss5SD szzYBNpYQlnPWm21wzWvC2QJ2/fP4PaxOG+naNLJ70E370JkoTzHrtG8aFSFls/GxWZOm1cSipeT bJ3ok99Wjaj/O6GrGQ7/jOYaxoI4k02KmB37/MbGdNcTw+YYG3nbF3IpdaRzOPrv2scXH62m5Txe 3JhiO3abchQDRhO2X5uFZUNkowwsykwDOb/wzMkvr0v0PqnexEBHLWTZhXqcnReUJTzlnfI9Tlaw Rj89aKyXEWjnRHIIqZMr7WqfJyPPm8ipDcXMHXw+s3ljZ4SFEy2nGAKdpS7H/ae7fH9dpWwlconB y+VKC/Uv8wy7gk3jdsyGYFa7zDjWZ3FZ5VHQ3FxVYqGxu/cNlHV6I31SuJi0Ntt9n0Odv+TL1K6s 86zEFQ5i0XmngJMm/MXixa/Z+FyWXrGPXf0ez/dav71KynHp9sHMTF2dFtwENnpksUSFnS597t/6 YxapkSnbHCzbWjjUh/RQh5VW7C4J5BgkPl/OWkN6kfWKraBX1UrLczi2vOcmyp3Mp8o73rhDjFp2 dEj+1LDth3HvGvDZeUG/AuDC0bzNx9MVJkluNvCicJYdngCQ8aaevR9wMzroiHBzUFHbGtME1Es2 n8t9E4M0SLCMV3n0l6Ps21vvONR6ICwaSFy85cirQwjImSsdk1oXbhWP24xb5xpvJm4Kowma5wly 0IGpVuaWK+XKduuVqb5zEA5bsokSLwS1GMsGnpU9xOXnwOYsZDIu5VjfffY/phS++TYAxJGrEVCY c+WPNOhmW/oiiArnp+jJNCuZEVj2naFd3ucKtNpMbL0No9t1Q1hfr+b4kP5+AoPnWB2hriM6GFpT oSPbiL3JAyYLyg7mD9ThyCsuPQXMrdxwM75hwmNmdwxFwRNGX86f8KYWoF0efAU+RVfTOVY7eKCc Uv9z+FQUmx6Rg1y8Vhc51eZBsj/buaX7a66Uit6YgN5HBuFhwT2qe20D5eYm/jM7lBH7WgYe0dqx GxU3uKUsEO+oZuruVsmzW63QVMxK1whBreZ8ikqvhDsWXrWhxqAui68pFUfTMW5fyR1d+9jRic4d +PHjfX4xmy3YpPZIjiGStgN7WhXrgAylXnB63sDSD03ZEhwvNzuXmgpiy1XTifnlPQon+7OAU0RU r/C85+PxOXC2565cpERZhrd+3tJ912CvvSO8bAS7ey/BnGSwSZUr1lUUmRaRfS44zivi1rkAH7wY znRNZVsCNn2PBe6U+cNGge1pprGa7CGCmqR+R/l4SyM6B6eH8Hl+fJqaPSJGUyXfZDkFkOJ5/zaf NpEzF3Ko3wXRYy1QLW82yvyjFZHsy3juAlDQapEZlWqp7O3nvIsbk7Erkki0ff1I3L3ESoe3+YNm ZsdIZQnYRSHm5pYTUeVv5wA6hthJc2T1/vD4yyufM5omE5DT4SaB/5rXGflLQJZRUkpkRvnRnEo8 v4nhdfk8ZeMxbOatbPI6AAe3cWMbiAaUvfr7cOceRK/OwsmOn7/aEUCwOR4uf/saE3CBhSOV/Afz 4O9MiNN9wck0tRqcRPehRBIi8wCNi3+L8NaIAojXwId+4XYqDSZEfbdmjTzWwfBZE/m3F6Z5HsUV CwxD1qkW+pi23JLVFOnpGYB+vCcqJDdMtEHVpATs4ritgd7u9149XPqE8rUk811aMz0afscyuwve sIXW47L1i3i2Sp70QVvLQJA81RYMm8vk7RalhN5G/401pQK8ntKRWgL5oeUODUWA2m69h8S/I02o I+7RGO/bomr419QU+s7ax93IVKQdSNP+Jble1s06EW56UCHJ1sLEAGUuA0X4X7+6PxteI9m3oNPE ig+J8gw3LwNeJ4HC7Ulw6WmmnDY552mwm+QEHSgeTAYo8n7pOpPY1imyMVKKzNAxzJ7Uhahv9YrH 74deuWrffilgf5hVCQH4H6nliN4Bb23+vxZE2vXfVyJnVaZooaVKX2LepCARwHKGcL3betoCHylY WS0j1X+jaeQ9uT9vk31cdaJsfPSchc+suUAu0Dfx/gVmZ5aXhPxoUkWl+Z2oZGu95OV+3ok5+cvU sDcR+ilms7UGjI2wSKx9KDFJENE3KAFnxv1sFw9J2jstZnZZxxljMTsGihuijnhzFRAMhvR6iiaQ NjA+dbkIx+yYOp63Ja47vzcSdFQAR+azhjvLChKE1nYQa1wPcjsNikDvGqi/ffjZ7uORp2atKYvJ 4d6558k75syLs7LMP8G5wxoIkMKFYdd2N+7qguC/NH+Iu5H49999bXVCyJ7f3xc5n5CC5EKuFW+8 xQZ2MVferNB1sFyvPj+9okSuKio64syUcs67DWMjlATpwybzZjJKBH6cL1d2LQOiGR1X4GcubGsV Sbf0SwtTAYNEDoq2gkan6Yp6/b3YznWx/9DqsdnuAVnW6nfUM2GpR11u/6fDenEZfcGTy09PwUW4 Tb+t8JLL32TpaaaA71GubswxLz3i81f3596ywGnIJZH7gzh3QLwDzlgRq/HMMdjwM5U2P+JJWnFg yXQFqti7OS7p0/8P93ePOd5hxCdN1QfhQY5FxA9ksZAnbCAOsYoRyv/U/mYdHna18WJv1ZrPQOlO j4WBDZQxOsBjeG1f+MoIQKt4bX/fz2fqCvse8IIw2hjA4xgaYZeP0dfOF3oTqb2JOPDbRPQjMKNM y40Zsm5KfWGVeQBRG8Y8FYgvRvjHIiv2EFZZBFAUr618MyCsXdF9PBpWOazj8G+pd6kiFQQok3cC l6udq9eBnFJBkQDjbyZHQTs5nbKfgcS1G43IkxO2S3uNGtOOxhWxBjmqCNdI/DUDvV3I4XbksTHE ug3veaSz6iWctJbfqWBa75T4Tp2/+B4mt81Kb9ARfOFiVDaOaUlVPAj125eUMHNWlu7qTlk/Gywm ZYEDFfDcdFND/ayhRXTNCMiyQ3ritgdX8miG5BHBQLcSjRXSaHtQ4IVQHZ3aGT61jQpdR2Plt5F+ m6U39juDn8AVeeiIduH1wYCZZuoplkDKb4dQgBY2dq3w6ZeWD/6lDjHCt8+7Cva58MkIo0aLwqH0 SjiPFritaA7xPAB8oPbK0jbRVL4xe1IbC7ZLRICAoZKeo6ZSCzZyoOm5SrE51NLdVmz3hmzVezNb i7IaiC1eZ3WsHCAjl8CId9ybhLyG3kRL7V8ds3AbYE8o1CCcaU+4LgkVyvJMsdhUm7Rxg9Z+J2w3 4gHHagS1khcQcabmtU+1pmfNXumdHuFSssX4sr9gDysod6ck5It4iS/Z4fbtf5KrclzWXnfOWpfI 0oHst9BqImYyaQibo6BDJS7QfmV0MVDN9zOvQjk7HgTLYchPzPV5WW1DAMhXpTYTqwvpIUYXJo5J D2F9TgawfTJw8KCU8l0HoYzn/b+fkCNdpHiLJdvse02ScYexlhk/WtV0fnPkgFYst4d0kdj6VWSI MLcSt5aRjKqsJfmA9+W9yF6lQitUXclEW3kVvF90t7RSXzQjcDNIf/fmEmfDlJJYW0mpFSD78fMH GhwZb7ObcaazBCtc00nQGBjzApVH0vrf1WfjGnjb0urvi/OxBMrQE0xcTp9gkMzgFZtZQgwHI198 ngG09dJXRUBF4fWF9OKl6qN7NIO7pILLXqNgVZZ1Eq++xoEGmVKORth9bnYL31wndSa9emPBpMWM WZBwnv+dIHh3PQP+KAfUInkpY3iHA0Qra9lkRclcMk84Y5njttsDLgaO5mz1l+v1ZXGC/h5UCk3o vOM79euvzswcBSo17hz/hQBlC0ND2FtnW9NuWRCiZTuKCnJIAzfr8cj4Um+XHEHPXU95VinIc1wt jnsXgFO7TlVvnalUcmN4dW9MnkkgsqohT1fjUy1lpzAEKi+vQpXFkRQIc9jBa3zwecaxvdiB4kt2 9yReB4mItZzLpFyg0JJtOCbwyi+gNHC4Ux61FagxR5V23hb+ClL7DxHCFAU8IZxHyKSvV8S07kMN PFeXDMnKcGJywG6K36bZ8w7dtkRtxVqpWwCsX3cBSMlH49S7Ee3sGBdzCdGNpY72Y3DUZn8clTT5 QSwyIAIz6en3Z/HOS1UFZV0ws8FtwN7QIXua6EFr+GoNHlmCv4nnpQTWw4u0OPV2I793fZoctLLO vkkznpbdfhWFsjiU+IVYYZiEW/KP/SLAD3dL/93T5MFJn62T/YDKjK2x91wKZ2Prwz99Vga8/EoE k6eNUm4zGrjd4ja97tO9jaBmbshNiVlCL0RlQIFnSVD3gtb1lF4gPtpKYMws3SFGJxD+EUQRKUqy xewpQFjSaUFRY0Dpot7A9Glb6KTnTaSrxChgpPjncSafqUMo1LQPw9Hem4x+6IyUZ9P4CmUhvrWw FdmQJ8sktB6o+9UFIQOcVH87e4Gni9CfDn+NJdVIDteg/aLyyufNVihKicA1ZS98tfULzW/4UxFq x467A/1U8vpFPIC4+OfWpEFMe65SNmtUPC7KEAH5GYucnlqzfu0wBMrxx6/gIPCgWSW1WF/R0lTF 8LAvQL0XcwlHMd9FpyNewnUcDM1FcRygz6oeSRrnlHS27NaxX5ufbDx7KEBTRWX1YORuhYORpaw5 LmoCzmoxbiZkn216BIyVR0WbILBc2DGRX6xi/Q2qH938IBZ7R2BWJhJMmS3w49+cn19V1sBACPfT r3/5/q+U4vOkNDf/6/3VYdGYq+uirrN5juD/NDxNfUiDiMqlCtBnnFQbeOLCBKDTltAQZXfW3byP iQfNkGC3w88RkYmwcUHv5WZIc+U1Oo4yef/xWsCQlztPLOh0lgfbKt0aNphgDo+lteKISNUGld8n OLkjagCSv2T5OZOgMviGjLAV9+19VkarBo+4kVFv68dHg1N9eFhwSoH/ZDHEGN7y/+jqjQ0Xj+Z4 Ui+nu4EhaPSAJoeGaoBbbgn0OfK5+oyvpq1q/WpjiRf6ck7NjW6D9hNF+15aR9azDwkFiXmzLdjr Top2JCZjSFJ6SzpZX3QyZMdfmfFBtRdVKKYL0nsGAAqKzSp1SjCNR8joNabV+Bt4qQB8GKkpTqLj BNf9nK/ZlxxjElDFwWO+0RRC2iEBgN3mQOvKypQU5JRAMVIQeSU8wkj13/M8TGGCH6pLA2WtHp41 840CoH473SGkr6pcwfWS5/QfY7cvdM5RM37xjFtRdoI5z4aTuT1/shE5b2HKSOkg/2e94SlItraL iRvx70OoKPxmSxSP+m4ics6+NdYP/4LlHit/MDATA4AUBTru8RhcCHXLsjCsuBTWWpeLZx/bWhd0 ZCAj0S0aICBrXmGAiCZPoN+S9hFJxmV43LEjJDN05tm0cjkbLBb3ognID367Vgtp/hv6Iw3UsiOy RMfI6r9sDnJPaK+kq81wg9WIkg8yu39e5uFrSQ+n8ek3QAVFY9G7qasm1MWl/6CemYB159AqEnv2 kEaW5qstP5g91eEA6Cv/7NZJQ/ObD3UZ3xdkPdkpiZDbCiCJuDpns/y0FtTbD8nZ8B+7jNWMa6Ch /+HfAs3HIZSjfIp6Ks9bhhip3cB+jLnNYv2KLhoxo2iWafN+hYzcB7otTjtDjiaAqlzOoDBgo+Ku fiEfBwop6VaCvrGe8QQi8tsMaiXk+P0rZgWxR58VnnmS19S31ni01tK5i4LDq4ycFefnQrhovZNl HHkouINj16ak03hrYKm5+iHhDmzC1HFrkB7kChFuH+Mnx6LO3zvvfn9RCu1UjxpM1hJJsjJQGUfd WwVAg3/gDQU0Dc25RWIpx59r/qe8teNbg03qaLEDCt2xUhoqrGv+SW2MhKfShDYyFfSEq8OyzM5Y BepLdPB1sEP9DVtK27VaFmrdkGaWwGbf6QJDTwGHpozuHhRg5W3yTggiNZrzknKtBm3D1WfmHJW6 cN2U/IXaFF1cHIDBxFiepZ6RkiBUVltEF5nI0NKd1kQIqv+mSEpKqIl5GgVwwhJuJQvabW5dgzSi HeZJei5jDG+XtIcEg1vGMmVWL349SrxlSmnJ4eUExgCZYn3cx23XpbkqTU88mmkVxx/Jyre0WDgr kQFn1hCTiZXZqXsmd+8Dga/w3cYbvyp5oAa4r3ZEa3l9ALIhkpDG33fpx/xaVK3lhPLdRbKrusSB GpmONoYuX3+ebh/x0wlcV0jwiDWES5fMVUOs/m8N3yprTjkRjOtoooc7hn+4Vzgbt8ZGqER543YO 15lqs83XVLqkQ6yxEJa89k8h4fqiuJKwCdcmfzGjyJVQUzKCWKlYaOJzBUQ2UyKkbqiqbph13Yeb /zWeSrYwAe4XOd/vu8WaUhFZW4l0Pg5JTfhGfcgXgcEEoW2IWG2B1FSOwacaotS4JgXHCfrl2ViE 049cziV4Zyq86b/ncMiuR8U/oqcIRQYhvSJm6zn2UArlQXgBjPJOeLdAdREdPbhXCGpais7lOW8R z6/wsU7puG4HO2ZZ7zsj7/xLYelFrFWXsz5teflbssMeN4upMxe5aVhNktm//KEgOLnLGOfVkLYa ysC7aK7RN0vvXsF82qXutvya8qA+UegJcj5o+qPPRxEAz1Ci3TFdBiQQbx4i8Ht9051nA0ijggi2 wQDdCMSRKR0V/hNuW9rNpSbL/+YHHE5aPKimkkmk9grNP2s1fwOvWeO5vwZdDa9it0GNHSvlEEXD enm9GUX+3DnDYeZhgX/hRZfjOlgCo/aBW/skM09q8SXUNNe4E2IucdrRi1yXk26e1WsSAOaselZQ 2lY46S5zniqbQGaai1TgJdGjmKm+d6mn8M7T20G7CPp0pB+aYX1bKZAN0i5lUs77Sshk/ADloJAY N3yJpUzzxQTqhf3FEksZGjyjqtaQpb0we2ZajjPUNlnv/9Nxu9RhHKaGtAy4y9287LdQO4xUxnns JOwPo4i0jvIvoRONPpyKq7w5ozfNbKj2pJP41Snr38vDa6vPtV9vlrer3VNqFz5OHXufw3GMlrbY nFLaObZ6pdzEZZUV3RJA+8jH11a9gbVc55cjE/W5JmGWJj0Kstzz4yrTMgD8CfgQ5KbyvrELiDAJ oHUpaE+AQsPmdWPKvHzpCV+uzqPthQMRDi6R+vR7oGi/xzmDWPAFXzK8ZFdekyIUVjL1+DtvdCI6 evcPm+u8XiH84uAus+GPopnkia6xWAoupSnl35F1BII0caz6DBQDC5lFRgLxoJ0WDiaykpcWJBmc ghlkYb6UGABtvWeFCtvvP6u+JwrfpgZWU1Uhz2s/usk/4voNHSaw7j96Lihb/yjH8NdtvQfKEv/Q zZb2JvZY704SyINaL1sEXaMEMV0CikrB4Gg+5Gm2HNPwDZF/0UhbX3Cv6MG3HHIcauwgLN6K9gAu lzfn6y/T3bI01u5PJ+CMiN220hs1w3gxzmSt8e3g5lazk3HF/vszfKgKm9yQyTJf3B0ZD3v1SsoX lqkY/NtymMixXiwbHCaA77ncOxLTJ/EOOn5aYH9dGEbgAgNAvUEehg2Llf+n9B6esnt9i4sBOptx c06W6iLuKCJXT7zKl0fhoaWY7zCZaU/vXq3MZUT4IcXdi1PBG5AtnRcFLjck2cYLRuYY985P/UBU 5AlqRYpf/rl0RAHepAELVtQ44dj7DXsEKaCO3LZSeu6UeivYo5m5phZhUnwExvophYRLSlQyiMhT sujqGW3U13ZvvxBXGIKMKfsbUAq5u/JMKonfxAeSkkSTW7WkuM4WfnnxLpqJBkYT5fV/uv5L4TjP usI9CZkaN2XulGzYJuBhk+C/Pihz8uY6hWTWLQUc9YbdoKAbukpic+wrNg8GOB3ZZ6ZITO1XNQZ3 U2Z2n6e/DfwEJ0xfpWUllRh56HzBWCpvYfaLkBST7TbkcsQhvpZcht/yReTpCuLWhrMkfca63Huc Cjhk2VklyUmBqYxCZ2WeHqBjX4hHH+weWkXdcQ9NTtKP2ePL0wfBk0UJLEkw2B+10TJ4Wy1OL0VP PZmyUPlVsh99vEESR0ACInHAVvAuekwP0VIJEzf0CO+u3poBJYOsQz3toj6EA7bwL81dv3S57TVL wH9QlOOus4jTHjsAnsm4JatWdrgCdeuIv0WJhywvvkZu9S68uEpwE42yyHYVaUrOT95bl7huqL/4 uzoAoC4y5CXnRgH3DOMA6OuFsMqWezE8Y8wgesMvW6F4bxhaVo/tGorElArmQbm+p+LVK0Zxarvt kV2CBVNa45A0L+Lu7NCtkP77XHtZ4Nv68bo5XLz+bnQDr0drArr/lWgsQT1+pNFqMO4GEbgKy1vv 4l8fhEJxin6FEpjpaRt+KTnAAG84KKvRp8DMXMYZEkhXTv6es7fQLtO/nKX6EJwvVx+Y8kLwDECg hlgi9qGIo74KqYkh2swOsQBcU7a6L2vPFc3nVDQjRFUs6e0LOBSpgyB1BqqCIu41kWrvOmX1IpXf kthJwru8j/JL4U3lQasY2uDginWk2pGVTJ6g/pZBGHvFOd/d/X/MQ0FFKIu3DzGndU+jJfqHUKy7 h15w1MLX00a0qVAZ68CfIA/F09ASKSs6oUeQ4K7O2EBMpwPDOtCParJze1jZU15RoAcvBPeRulMD oj+XVKLQLQAA8eMzVqMGr59089Htx6ZLLtSNgrAMsADvu+Wf+LXrjCOeTEMaJXV5vboL3ARcSZqx fbZTakC4AQzLAI2Asj10y0R8xvc2RZfSbRDvPvVR534TVbux5HLGfxGiDjj3zgsfBfFPUhDfIRU6 6a4j8iscAJNQzs2wKSxjmMABjr/h+tHrOcmjM2ZVBLeZmIEnOYh0GYWTqCfDxKm/f5O8OIDBKO1D Ri62PFKoVM0uH5KRru3TfjTCL3p6doPL8Itbi98RlyraL2ZSVdGW7GG+OVSTrB5jZHcfokfNIeLR ZAE+473Oi2fQxfaFfU9KtDIZzNnCmsH42g5+TQS6cwnKfj9D1GK1EOpo4djM7BdGf8hjBmhEikg3 kxUTLyQlYsfCWabk12eLnP+CcN48gm61gOHj3RfWdhtUaNQy97116mTzx+CBX6LpE8Rj7P/xIQRf uELXFJikt5SJQ5x0fA9eyiYiUjphPaWSepLwjqWIgwZZfljEhG+qNIPrzA3TtXfI/01t3YfweIYS 5Nfl+p1P5+zjLGex7cGm964TlHDaZAbOnhMk2XyxjXsPwkBrbkFt97ejlFhXc+LxA7eEK59OBYX2 gLjQY3cnnB/uAfaKUoOH3im7/DHDfgX2drOwJgGjLiJNYl40B3785WrK28y/r1HrNwDExPEleEkO yb6WHdlyHNbZvEG9a9RwXEm2jJOxoMIB23macmOoIlK1IXP5KH8rZVLa2M07Mf6935LDddxMDxkt kQzNDu6gtpY6O+jqCQjakJBHzmrPCGNwT7unIt88jPN7knYmxbFRxDk1PdDT34JGGHU2A+LeAomF bCe7XxAy3/kIgtWARSq0cURcvzsf0efIKn+tlVbxYX9IDVWjxZoh5dthwJh24tEMHhEZhjdRkjvb xB68L9bOl9AtryPUqoZUu1+Yy00+hmbTR9dcFb24ivo0ohiGc2dB0sZxqTbDCTfMsI5SwLaUAXaC ENQYymOhWW2pYy1uBTW5+znI9PIhFDpHtNEjr39HlrK1tNu1p7VDgNsY2tT48TjRJ7dH6+OtJ2aA MlZj8ij0eSdCNQwwj1TI/k51ND2QXTaSSprqgxgZY68HHgZP4vTf7WEGtNePodahpZqAcS3Wa2Ou Pyxw7XEBgKTFI/1V0zMBRy+1Q4ZlSdyyWRBhfvwrpPQmfc2tgeltG3wjiu/9j0x1vh4AqakotDZx zFw0wJwrg56d8Ia6j1AfJt2i6+PR2F7nrEBtLo+svjlTaJ8VxS4MuedT62Azfaqt4vPGLqiOViwQ UHSSsFo3uxOOZ0CvXvokNbH5UbqVF4P2gk76IpE9ad0fAyir/P11Rw6ViM/XvQBKbol2C138fY3F 0K7LyopIjDVRaac9NzymZTKUqVyu4RBPLjvG85b9U3RhzChjn/mAbpYf3koTgMggx9PygNOgpk9A PCc3cIMWwpKFgqaujO3AdYeFnbZQyWuXQ4eNnLTZ7tJoRSfGX0EYU9HS7zku02ul8Pa8hJO/zRxX rTp4Q4aefyjDI5oE62pxbyODhv7KtaNunYaPBSlCwkKub+d6TzEqlGP9gKWzwkK7p8f3KDjX1n9r 8dzcuDwbyWz3sX5rZqLcoJPFht+XJQUT/oEukhgec0LHy5qoBYA+2+RvEDa47m6/Dz8ElmELSIyQ Wg5rcTC3dMF63isXcHKDXAjQBZDfnFEGjGzItg52NgaC7n5cf3TFnG7x9Rc5awl40yOyP4qCFK+e +4ccKmq5Y+48E9f8iC1fXQ+0Mw1qsWs6Ewm79mWwMQQA0Cnjej/rO4H12z/T5d7MguJJm3VpJ2W3 s4REAnTpfn2L/X5X5af+0+qkdDnHJmA6KqUHNzG7F4vbZRC9LzRRsZUsP6F4U52DqScx44dNkFYY zv3c9W9kgJ7lwi6uWjq9L0DJSORovlY+LNkr1+WRsc3ya41Wmkubmk4zdDL0TRl73i5b2Wr4vOv/ afdyG3WwsfPWuVIqqeklTxxXo9qoijsF8hXVStYJxDoNx+wOya9+UfHbOYuwU3FtR6+FupFkKZ/B gkiX8kGtFwVX522KPdO2fWCj0A1Ob5S5vJdiu0rPzQ1uKNuZEfqf4lcS/7gW3kXh2FCrkUhwhmxM MbJu7tlSyyCjN0VIgfPWe6llpcDDVW+9VmcaELN/ovGC23jmu9huuN8wgHt0SOJpQl0oXHMAOD9d nZSP03E2RA3bGLXdgcG8TtRiBz9urhS43E0sELi7xi0mUeAmaQ8MnzGtK+IJsoFRi3UCkUoN7qez nIVLESu9Z1lYLZ6hBePj3w2/PkxI9Xh47YsrnN2oTXVQz0kyuqkfskKBGIjKBAyvwLNmoENUhceW h9oWYhfgTqM1sebmxAOKM7vhyMGxJmcdl7a09KZvNsWSirkbaOSIq59lmupehaOZk56ArM1x6QMW Qm26FBn6RF+MOQu38G7Vu2v6dXu060NbM+c76EUrND1OU00IAJTgutLiaqkVwhDqo0LMlw9MCHov oJRpBqi2lHCf7/6SOf/jkNu7JrQ8s3YZUhOk5ZwvR6FZDqJlQ1938N4CYJLR9ndthSFXUGpt4zPD eRtPcG7+07yLUMuL8ybCo0PiCQFYTGXj0Azj4dhV1X+gVk3wCWsAX9GI9kBgcGSpT/vvQxJRswEV jR/tCIANjPIt6Xjz46SjVYvXpkwyWsl8n3B29IOQTAS9G2pR+R3ivxfbAijC/LRZq2fqP8xrkmsa GbyUlWIP0buQrgz61FMDE4yhIhnRGoyLBDBD4CrN8/Opduz5ybDn/+/jot5Kv+6f13Xm8dGS3sBv 679haN6A1+A6BS7Sljmt2hIvGheV7yKeIOa2IyXhZ3qYveH342AnL+qBctjjaVpqSu5Q9AuGvb5k Ti1cIUBufpNhpKJWPraZaHt5Tl7dvL7Y3OSTi6tOTGZsT2BOWaJLq4sSYYNheDncaHyb3ynwNvu4 FmmkipSX6csAyT8Katg/+eW67xbJFrC5qHbi5TFAn73+O+HOvDpcihqHfNNu4Ba592m6c4/JS3ER l2H2y3rpLeYwsThD7gV9JwqE6fci0BBifsqWNfzbjyKOupIbr1vIUG27xyiq6blrU2uh8lgRvuPD V/ZRH7xWkQ2XK8hYsUcgx/l3Nz3HI/nzUzsu6VxfL1/PHN49JepkHUxtpdI1nbq/UhjrurPRa4o2 7eRt11I1mqKDU/7hxKtB0x6iM9IEEwzuSNlmkHyw2C//kFuKy2jbnQnofq8+va2A6HsrtwJLf8Xd IxnbPQNtEqS7Zo+x5nomuuv8bYOc+mA9Rqf3EgCuuaK2CgM9bTT/6mta6mVjLsbmBAPV4eXxSTp3 dQ7zVP4cwRSjx1PemFZn7fzsgyvHY10IpqeaFLcS/JW4bA1obuiYwSZWd1bTFZ66rtDxubwLlD5w 1mJB0deRLlBk/Ig8jnNTFWPwBGgLTWGRirYcxY+NCkIixXoCpcqDssUTUVou982UuYr8JAqqFk2j ZYU8o3WhXsTuhqEKNGdbfV2Zh2IBRS+wKRdsFfHK5HcaBTqoVc/lt4ORrEEj9/06jR3xf69/rypR c/seB91Xpo+AROFtWHpHjYFa0E8QcTQyZVRas86VeYq/Sgg3MnhLcZG/6NnBFYn028H74TNX7cfQ dWQVV9AN8QkQhyxe0KTqdN4STyQHHzz08dzYBNK9VXBFVdL951Kg+LSDIc8RtSQEYw1DRZ3LvFp5 0aZFHrsj6Wd63TvIVhRRGgSOBguyIBenM3jNGi5gMWzrMYhrMQauS860wm8AgOedz2aiGLztanzR KbRha1cux0ZLnyhuzbtifCFzZXptv4VZPH8KWUvCQwSl8M74H/znlLhXja377j0OfI3OjBe+pDti 78EB374OTWol+vOUmjkDZQWPfumEwToseKPmQLy8n+eTBaqWCMS+njwJ6tjC8HMY87oXao0m4KFC nDfri4aeiTJsG+8fgdXcPzOibB3MsX6XwXuq2ANiKH8sXApNsgR9+SjVkY8UNAK0lOrOJwCkedTz DK8cBlysnI1yGaQTNc9N52+IsAxU7ONte1y8Zx/+mnDaji5PtwQ3vqF6eSoQ+tdgSWZRblmDdx/t uY8AYQo2O2i+6nuGEmsl5HD5r3ptoOOoRopbax7d3j/9wXuz2A0EnNZYYJpfAP0fQEYDfWJSLdUX 5mrrD7o0o6avGy8pL+at9l8Sxe2IrFMQ7tmVpuiYcNcZ+kBeEFJ7Ixy5aClZ5AWtbNPHF8Uxg0aI YmTiAPiEgstR84M+P27GDJMMloIGdjuvw82OzeyfpcAmPcRoun1yRR2/tl3LjEoHdd+bP0MQm8aG SY7g11irHR9Dl2OjGQJPT6Z+23E6J/XEWoikp5prZKJoiV0b7wATNhF0idWNFZ6Y1/ffW3hacaDP 0n4hSVP9uMwazCScrvxhKkYO8ODu5RGLGIxj0V03eNMA6+2Cn+fpIpP76wPG5n9u9l5ehIXFBgzd 4879qfki4RHkduOAZgvDTZuK0nLOFdtVt4VqTW/D+Fy8RhVjsIAztCcki0gEfFT/WaBW+KTTRbgU /fqgDyZOF+zskKrM1RlsoUcj7aHqX0V58tUXHkp4Pv2umVReEAIAQTRSiFxN0mp6AvGlx7eQ45wg DAnri49x8M3DqULRPRPLhXC7Zs5TBH8vSI320Fi+t1PqA9sP40yvfBlh4dqme1L3IRFj0cIS2FfN F4vOeY8Sa0VUwPUuzP223oqGklnKqQd0BHvRwJokIsNQB3cREwYsn1cHO/uOWousfWnsDlEoA2yZ UdssRRfdtMLPVkKXDkmGYo2ujf3Mk3BJ85jfPInEBYXgQ2o9QYD81WoXJ3hiDhDDZdZafsz3GglC CspG0PVhIpflY2MXW492jlqLFOZufRGA27AjcZVKPcxQiAGwyyz8fPgV3QmxinLgrqbDTvsGXu8/ lB6hVLbjDieTTxefZLSHD0zA9ieJ8wjTGICFpanN505r1plaj8SC3kBcuFHStd5oNKRb37cvnCSy ZDVxvtg2qAZQApvH5Md8T4dqf/a7hBZXSCXq/nD+aodJaMABNilT43+p5kuC88ZeTIM7jB/1qWm7 nBaZyc3Zr6K0oEDBS9rRnlkQD6XvGJMvnpNuqkDpZ6/oglA0TKXof22MTvgx5lnvrgGoSFjBQyWV 3gLJTgH+aXy7ws0ybOClS0Fg1fTSUYKKNQ6ihYb7pwQeBWGe5v/ksKtNyTUH12jbxqtK3zTkbeFc SxKxZq1H9GeeC66YVDQzuZTaJqlAmAFNuwmzuTzee5It/b5GUEgsu0WoW4h56FMCjdUIMnvb5UnL 2H/6AWI+JAx19KURvlxSaKeA+eQjZf1A9EBVoC4kR1Obf0tvwXH+cZh0mrvr8q8IQueRwkPELt5Y ZwLGs3CzgUE5nD1lJao9UhWHw0LHglIWJPurmjZ9rYYuAoMNfqpzvXNHKCAUM1TzR9fbFDaXrxVi BOsjoKoYFieUltYDYhxsZv0HoKFheVX9NJB/dQHWQTOOtPCWsc1lWzcpgfZZ6GAIs/w0rMIIiW5O jgZZg2uKYVXL7noHkrVu/XXi2C/Mc7ZbYCXvbhgVZ4ShRwXS+hc8jgFrsl2axmzUsdRaEK7zJZe0 LuZ5qAyBQg1Ic+AXGpESNDJoO5pay3nvE0NPzIXTp7RrlcFZfFRFtOZyr1VeyD6G5SV8uBXTwVYB H+ViTv8P83R8NdjF44RdfNDWGq1izhDuAXOmsOQfft6ndCqUUivSnm6B3x8BNLR4rByf7O7zPXB9 dn4vr9tkA0D37AsQ8EX94U8xMx4d9+0h0eUNB9j458IHId3as/cYaVciIm0+57uEKMiU7mgSLKlO qok2/w3G7O5xFXTneFecUPD5GYz9RcHcz9AXq1zzxFHH63nuUOqd0pYwSb5/Nw+I4W5xBxfJz//J uP+/Qn/zAZ8Rqx/FXGNmuROzVyIef/tDMsMSy9eqqtskBnsV1WSnZ0gfeRzO76HUj9vOmE5xBvz6 tQZSd3zF/01vd64ghdqKGcxNYCUhyT87+m8WcZoJ6EjArXFRhjs3Wj04twXoYZmdl9Dw9nprYw/f frIy0MD6XhCM5vtyjhMhtL9mFioGi6GF7pcZgCN0MOvgoNn7JoUldxgAiXHB+6o9OyIjTsSc0w32 I+4ufXRqX0jfChvMZoaN1IFcohcqpnCdDVRmQUrqPWSkjjmn5lQSYEYqfssP8CtZgwxk5afdlIpR wrDkF6IrnWirPT3J+S2G/t8uhSS1lwPc6nm5hOip7LK1jR1Zz4BYQ71sw3ikb/cd2aVsYam9WhBg I9NcXAgfXiGiwzaroD3fHaFAkmwp4nxhEAjf6M+nVPkE5W8aGQ5CGHY333AQEiwsmrdWZEzkcRSY ZbJb+fbz7Y7VByc6ekUUK+9vOfHYhex3Mzce1WnLBTEfqp4breBEjcpW9mtvOUBT5ZRSIFOmVeUy yZaI3ff0FkHSOZzVFpKdSLk4xLMQyQmtG4UVbBGYxQJhY3qOSPUQHaDdDjbaZg5BO5Je9BqyW8Zk 7yf5ugjz3xXVJ+U/ya+rIxN3Mua1pDltyHW/Y9jqQr1Zt0lDRNL0oWr/MEe3Umu0H4vArHtEEI74 UKVHc9yzl2u+b6AqNG3vZdtHJz8kI52rPE1b1RD4iUviKeioDdG0EytM/w4ciWiSl0Yn8XJ8IBtx SXN3n+UFeodqH5Qpj2l4EEOo+t2PeOakaj+H/5iAgRf+dovf+2NGo3No+QNvD9o+GA8hOrEk8xGL ybWGTKjIQfl6XA4+4XP8r3ZxC9/KNxH5dbs01U3uPIQRwJqdfl21/xg0GFjBTY7a7cYg8D/GFK/d vMrHW3HMMr9W1JMLFlICMTfk89bl8uQJfbQHlObmSIx9H5YqyOYeBuuSgZTpLOSz+Vv2UeylDhgY hzFEJwPpQP37vQpc7qkk5SRM+ISLbbf5/+jDqErQStWp2SrtEZIzST1FXWHSAPxV/Fd+yQ4BIPYq ZkWE5k+k6+o4NuZ/OkycqwWswCh3w+C9oIRF+qkDLKhaHKI4hb3GdOVJnIQThN24DkJDwlOSN/mB 0tI3AGgsWXoyb0BcU9lAoGQrZPyDZnYsxpali30DZZnyP0OJzssnFTuRUwnuK8eOCJ0EC8ApBVB6 W9s3BhbhGS//5w3+G0gaIm7Vd9vtdvjl6BcOikiSAh5VTg4uuNobv956ugbKqyO7Bo2tjyagzZPF mgvkLfTJjswj7WS4lP+B7ZV1jUzdpHlIe1VWrETOM0EYjyK1eZflocsr9BmArkQ9co5RqH9pLFlv KdHUaR3tW5ALzLJkBnE2dHMPsZ7XXQhsNdAM8gLCh3r3gb3vsEHtkDRvdx/wubg8ViCkEClFPBCZ lalExRGfAoGDISG+hpsjxWgdBIBzhdNc8eDqh14YLfRZZq+PcGP9N4GAhMf/vrRRFPvolgn3yLh9 HKouI6dYfBwpSUpLOaA3riJRL8+JwiREAB2UcUARjbDTGk9Cf5Cbz0X38k8UFP7WCSLbw6ofdQQL ytISA9N24xAuFI2WRcYXo9oj6bcbR7eAtWaoSzCJQolD5H8u0yB+g+HyDGQCjv9/uvJu1twtCa5E 8f0ys6VEoKvLZntjvJNwbyuCc/nJjgetAM1dDxzqqfy2UJE4kJJhcUOnPkZSnpkuxBEN5j7YIrTM UkrDLF6aOmvyAM58HUZk/9d3hZPelckdKHfTdBCKugT2Fi0NHmcPaEha0lvCouQGAvLicNIGIlwP MoOKvOAHHj91gDYlYA7QSutUyYcagGrl6lacHhV5BNwWYrnrDTcCOk+4vF1mVRNTP7fmvBE4/L1x F/Bd7skiFyvBrukpdLAJVL5jG6dKrmjp2vKKgbhJ90ozrECK4DztOkcdVO3xKrmw7cwjGRVl/9gw P8ZEYngLNqnvIVy1/XQ9Hkb7OLxiJOyFs6o1BQ2DcsxLFLZowDuzzUAeyzJtJwlh4WCFqrx6yzxT wKYTvAVegTHO9K6OAem9vIRLX8DnfYGLefsQICpCvyJSCTu4UmcvvQz88BkZIuNZOYmSYoX0VaQY vsDtQMvzOXe5c2tHtxENBMq50ionUxWpmPOpA522XAidzBs4IQ2Q0EqSthbUJabm4ekb99YfMBi8 pIseF1FSWsJWWMFmEDCFkLMsO9BlFPyqy4w9AiFMlNVXLO8Pct+S9kwzdfFsFRyoj+kiMJWbVc6N nw6Ucpm4ko8bRv1omBEAoG+Gtj9nKPEr4gT8Z10rNrj0OwjTQHppf8ar6wHA8X9TD7/Nbdzkkccd DhaQzn800fSUH4Av3N3nnx9XkdnddDn/PAewG51kEgPB9lWvPFaJpE7Qqajl94V8Mn30qPcJgP87 IPC6ImAxTXP+gRdHbO2Pndy8CT4iThDn4VRUn2tES9TllBvJqHHJilTJKRalIuKRJ1XF2vMk+Iim qkbdMU8fk4rZUQfudp4aqRxcUseB8HNjnmEzJFSMEgZpUkCmmgrhcqAWcQ1EWTcsntAl2nMFxF7i VgIcCjoOfOmAtrqlUb+CHZ6o88WfnlReysqfOVjSQPjdtzDc0mcqcHAFY0XAbRAGFYiI+2I90nED WaaXTRQxBDxh86lVjZB2kovLyVgfKUASJey+sEeA26w++6Nnz0GkAwow0pB4pCgRLYxwjL9m8z0G dSk4k5YVqKXQxPL64kqc1sg3/kjZEh0zlMfKJo/euPVenQ5PYcN5HaBvbrfiRb8Evk71AYeaSQdV EXS0m7hcS3dHbnQBE/ae917jyH2X4jYCFce7sHrlu5Bk04mQWB/ET2Iuw2AVdSQwjBbHyqK/9L04 FSFcVgE3KvclBvfh4bpCDwbPlv6uujbqMRzAh3MVqNIMqVKP+kRQ7l1A9BpB2oz4clPc+7V6QEIH nPZbFi4NZK4F3wDzsQaHDeMXglX0bGK6EVY72+HPBhG6EMvBIPkmxk6DUaHmjCz/cZSEp2pPUfvn VN8mW4QTWLx8XtrWTXONu418CFmN6J5Ltu5yQ/rG9UKCCx3LvpluLq5MXhQFbVtHlLDslx58dkJP qyI3IBVnAjp+pV7fMLoqZ52ZygWM++pnh8u8bFsQT3N8iGKSHTR/piTfXRGbEuIM6gyOeJsDfywj Il/8V0j4YnNK3eyDzHctrENapkUnqO5hFLKwAZ4mALRVL33KJTUnpcobEIaIEjyT4086LPia1SV9 RhyseN5T0EQLEIARXK5Ictc0rLqpgTkLSxyGYRNP7ReV `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0.vhd
19
89172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ya253+37kdInKtzN3pd3f0ykMvIJsSTHE2tRr5TaFzMStJPqyqbq8G0/aCj9umOixPoTbod1oPEi NM8lNQufqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZnAl3olUb+r5fzAKtbT+P9BDg9y9NfOiCUm1R2Jcpt91ydHcXeu+pZ8D0lxHNM0CXXGhs5RFFeCB fQNmyCQv4qniT4fHHC3wrH5hPwmAH8kqSEyGt3c0SvSsHCYTeXhpF8Chp2XvC1WNZGYymRNjehFn t70d4j3zNeEsu5WAW84= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iKnL/TA899sfLGiFOsNtfsGv8lNgBNaSxC78jj2+skMz/TodvgTxrRQVQ/h/L38N/D5FIkKYR4II +olODWgmPzea4VBkBMLQ7z2XenA/M8Uvin39meT5Qbx7/ksgG2EdpyOtsmAvmeXZQgf/A59DevU7 Mrm0rcVFwLpmjNvbnBOl5iGpGgx6v231GzIUzFEiOeCx1PkRai2IOZKE9lG2BMKHN7Bhsm6JH1NF XhuV8OyupD6h/Fr6EDMMNZqriSBB1MM7btJKN6VC9jmTT/Bega2BSYjqAkfYdUTeyup0UqEM3znP 2BL1mUmUOgL1/UMAmExO5qz/A5ddH+Ai46kqhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwfblhQfYU7J4v01pOh0vYth2hZJ6Xlf2qmEYdxkErcnbM5+VpJUpwU8+A/bDOJB4gUPbJHCeAw+ tmj2AabGe4D0Pf/UukkjTsO8eFOUvoPbwDwH6UV1AKQFszUSN+Z4NTgaKs8pxWumW0juNgJujhCL 2ChBu6ddPnHdB5HG8uQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UTW+eKUNnZFWLDMo9paR505jK3kaKnyoN1JMPNm5SlY5iSmlguqsHIHMaqSHkHrYg25dIfFqsLa+ ygBhaN4bDhxyus3QZ9m0sw/aVS4ly/5bNlw+8ePaK1evrFFnRWDzqTt8U+H1O06G7NfpkTmeK+am Q1esOyihSrmjwIiD3aw5SiSY1J84QcBDQl5D2DAd5uRtMADgrmEFzx9Y7yHel0j2iF6Z2vom7g5G 7K31eIbiTPvCntdYde5+aN/nl/kdiT8a+6o8fslm8ZFdkfMYbKE6CsL8CG+5F82TWbIzOMfxbILY sXfUaKwgi3ZDGoeeudit9zXCRYxReIG0hfQ27Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64272) `protect data_block /bcZV+m49oZuF6FYMRCgeXDBoi+tDtdX0blPrXZW2WcdMTVIfSVBSCAcvKerZqg5DwgpZ4zc1a8Z PQnazme/gUNwsrQbTFBj3g7LXgBYG44tA6xE1n0KrLcGlntfqBOsHgTpASSEkElb4HJXZB55DmKH gnveqK1rWnpq2sitK06fD+nm/dJBi/j1kOtyPVhNe7g7U7MTUoaXTurpQdwDUXpLtnyNAr1HRKh6 TE+MhbRskxJTdlsPtj4nEHfTMmvtIQtS3g1rN/+9QI7yr8C+fI3PYWqyPuFyl4GbBd1CDSLS1GHv 8tnAJq5WQFJ6vmIs/w7+Sv+PLCGEG179A2QgWQ8MtCN0XZ1kuWBbsXotXojnxDLY90u2R0EuGz9r QfGgrh7JEPNpUpszWqXEcKje98BABWOnQDRHBTiEZw0mfODfA2Zj4+W2A+xfymGgYXsPK9QHtYw3 gD9vNUzTpdBVsXKvYtMgrPsO+u/1VovOSGhOAzsAcXM02z5fd0k4USfVlxlVLLKv8caObncWQgJm MGL72VNY0xx7xeltFMDKx9YGODZcfdjWXPEGr01Pk2ejHxavbLDb1Egai52yI/ycoQch8OT0pnnc Pl5fRw1mRn4sBeEPrt7YNjq6jvTloylkKMcY1eQVQX/VYZz4WqSPyWTodrQR6+JZQgtHczBMWvkg I8QtywgTuPbcu+FAEXqhMFaUeSZJzOEnDQP5rvDKbkQurWUe8Y0q/wBLiAV721gDAykZdoMZJWA/ 6myOZAVyC53lZhlhXyJNp4SGD0wpZ6AK2R5N3tWAG5aMjuPOQk1Kl0yvbKhqEKmQcInSVrPpILPq qWmJMlaTmq4chBAFFeCmrXGRcb3EjB6cGZ1lQB+2IfmjxE+c5kJKAdTMDF0s8VepeHluNnYZpx4+ qQyG0O/tQ6f8w0+v6w0QO39BY4VtsblKqabYmms3h4fJTvNUfajj3lmoNbE9954B27Nb9xQyP9Nf SYnW5AHb1Vd4LP7eNG4wiOT/LT/FHeJTTfEJSvxdwUHqEZf6E7JNyfoutIZC2cZFK8o8epS9uDW6 C1fYaqsd4vOQM+QMcuafumTdYH54TIcVBwXwUaH94nrxwSaLhcsmdPB0R+hDrHvAtAozp2Vk+b4I 07nWMpciA7uPVEHI8x2VK1ZhEPt6lsl8UkRsXo86COEXC3iOjnx3WABlQfCHNXL8BqtYOOBbFkS1 Z3asfjAWxbjOK/mmBTvlZrG20fdqzESmJpkIMuIH9cv930hBXqCcaahuwnwFpw7drRZX6JXIIf7n VPW3aAHlplSAYFMDH2TC7QSvMBghwllnYcO2oQCfUccsVqZovLEaOiN9wLAXKp4p02jBumDy8zTr g2R7rqar5CfbHHzKnqUXtsCu9plM72c3Str6ucms4iY0CE1SdlnCEPUTaG9qHTxr5kqEcFUHnLVB ivaMNRjBCWv0yEm3xHSjw4Lo5DhFXhvhJO0ZhrGrRRPaar84yUewvh7BJYPPnEZBwyzcCaZRJ6Da nK8931tqFzUt1EJOSR9SWCd+sh2740m9yAkNRYV2ydtlYCPXeNCt9Xla/KzDDMhoVhCUybNakpiM 34sr/QFWxS3jfw/dKeaBzIPa/4xLDeqwEwo7yKVRR1JvngbJCkyj2IqnWz47FePc4LiF0898B65R OPM7bU7qazW4awk7DlKEhwhYTZQyASAeyxEPNg9qrrF4lcZBChwne0TNhtbOIYsXiE3gSpreksYF ObN6fRc/7WLe/mfxDEFjfX+QSQGhdu3dIhXKi9Ivr8y0G6gm1OqUEy28YHNehvJaIQOlgJdNQ7Pb ibFv6Z9KX74UUybO0QvIk8q17XUPQBQtnYtfHh5bdEbfpt246ZnpA2NLC8ZqZ5FVOlUkRNJXFduC BjuVIIUheFtqt758+CMesl0sCdfdOEN+fMoINSXUd9hh1r3ajQnOBjD3IoRqvRTAObC/Kqsrb11c e/Xxv7fDNBBZ0sDCU6d4cq3vxrYr/l6EoypXbZLxeRJktfPKuLxQFPIFI8KX3CF1U3TIkxJNtEqr wTU45ANMoGJJxXMzXK/QkoRhvOlevQbKUjEp4cu7Lk58h4/AjWPDLMgaqecLlrcMjPHeWLDYysjt 3E/kTIDJZxdX68mKkpMNOBKaEfsWECiCrcwpfdc8q3YXUJU8cRwPQwj/wGLozKU8wtj+NNozPiJ3 K1aFKTLMnWSqebxFeQnUyDv4WqUEZrWgmxBj313FagzJANB/2bLA/+k3xGTiuQC/Adz73IhfhC2g VOZXyslyEObEpdWCm1L0KMvAyE2Cg/rNWa1Z8kCdW9FZZuO5p1dHhNvGZN4iJMcXeHfEa8bnFgWt sD2BvzBbzWOB6T857aaDMNQchVWvoZEnnE5LiRMxNi8SNfNaq9foJYDJVaeUsvKV4Wd2t0Oohtpt UlSV5k2wWXA+vW/sQsW4713yRFK96/0bYA+7o2p/X9vbCu7FzxUFqg21ZswZPBNLNRki0HF3v/M4 sjbh9GHmjSe0ZrWmpgX4yYWhi78l0x8tI+bzwB58O7v+/6G3TEoThVP4W6BUDU35qNyFF/EUOrvN VNKer3v5RfuEJrK9XB2siDBIpSrw/Qzc486ur4Ay/FR/S1AjLVVs/6rtcBg9zeTu/LrB5QOnHaER j9i+KvuRbMGj+zym9AizphF3kP8Cv5G2jI0KF1fsBSOMKUcU3Za6TXX9SqxyjfoTsBMZ+a0F4pmN EA7jA5c0HynlS4ppxjegZlLM67kiCi9SEJlKnb49j6PjCPt+hc7d87WQ+Ddg7ut41Bqk0sU8Npfr 8WL/zdRRiMFjxJJ2TqFJFPJpkK2CZYrqPyiHBoySEgia4h2JoF79I9SoUQtwHapGXC9b1dZspcwG dC1059+/Fe3VCuEIURlTF/YjYv9/cgjwh9ET1FSeXgZSKg4/iA0ibrqnVy+H5gN/8DU6GOY5OIpI k8y3tC2VTPKIGTUDxyDF4kVVrYm1LF0Ob5tNApaXEuIXq41yly2/E0HIM57QwxgoaGJcvdJ2WAjK AxFVEa+7lnqtzZWmj0nIc54Ls1n3TveouJePInJ+OqYgNW5ISG13qJReX8lOIcnP7w9gTAuzZoMU lMpCLNFaZwMt76hZNmSoB5nOsBjgeXD8GLMR7QuNK6QGjV4C9WIrVLNKNF9GvDUVuJ5GMqKWKAYq RZFspPQ6Jx4/cLcvne3t/w/9Pe7HxGfAQhTrNh9NokqAdlil2792YglaMBnVSNQxPZVYm3rDYMwf YPhVN3HPuCy6Yp4KNg8PvC5Y7IumWbgGnVGvSpHa18RGoSNgrxpcN+eULaX5qo7gVuOn9wFBGuHG v7iQujfQD64Td5sUwWYLI/M4AjGXVoG0hCd4+bf421L4xuUEeHPZro8fJUx/PkcfynQ1Z1C/YfiN Bl5FBzHs6Eu8i6w1enOuvcO/mu54ytgUHpqk7WTBT9RAAJjoCr9vdH5fGvNXSvZP9VFhHmKsIshU f+H1+j9lHAm7+zqz5lW6HUMhCUThuA0bNO3ShfTBAYma61Vyb+BoQwfE1L4FxqkVprjQvSlzUHpX MT2TqKOIFJP7M3Om5/l52oiabuCa67RMcBukRomc9lqcmVQs7ea7ezeKQaCT1VGEc0DrHGogP6H+ AKIF1AUb9whYplivB7Hpe2HMpkLd9ZLoegn0LZyIjKkTThb0HWeM5zyibSFQ5H+zGMe2JzRkjE5Q Ed7/Xw3qQ9QV98Gn0/7FUUK+VgDjQyLNcINA1PYBCi50iELJXLj2vebZ97A8OAZy+5fvV1pYNU6/ SHNWcRTC5OR6fgBWfbZfarFQpvs6ukJ7l9tJ+ZJcUsSoZYO7JxVqnXr3nsoABKn/l85BRznM7Etv Esiv9Gv05vzIN0HMUZBI32ws1//KkPf0JlAATpIBXBJrGjKktk1r3n7K2X46+LBnNCmyj6yLa/WM bU2va+bkyJEUua7zZDqEINntC+Sv8wXQe4JmzagjoLGCnYveerStX1wKq0tlAV858oyrJexKSMr3 sAzu7D/C8Vlvb86YXLfL5ZY464oiMjcJoKn4Y5BPEEfY6wiOCHAyGYiy4FJSbrJnFEwtMuZ3r3D4 IMASoGJ7Wb0fwHha0EoylTkqPVH8keaYpZAfY7KmL+p9tbrjWWrX3WAAX36OUy6W8EtkrtWlhpAe VvzaWIHbcoq0HOLJmxnD0a/mqoHxjzT6S+RpiOBzLQh+AXLWuA5g4fHsqBJm4Gcx+DZYx0T5qkqC YJIchEoDORrr/+ugse1EqFW7/UWfka+BQxmDmXOohnEFBCXgl1HCrzuNrqiRaubWrR3x/lKhesGf laADOC+gxidQxFiOKcOmzZVnbhPWFauGPjQTGEk7uPwoZCbh8avmsHu/aZwq1LU3WL/K41GR1p5G Afjxr48iZzPUNJeUq/mMBXMuE0kgmb++iphDi2WDi0bRIVfeMMYf3g6o6pT2thb47ULDh7ZQfn8O gZaH9GczG4yPM/mFfTUgPQsP+WwBcMGPTNnM2wNlmhejvGt859eZO5EH9Ia/Zz2XuR0/1NwNP1i6 b5Rk6wPSdt201KDgNuKFzLcfTgIfJAKiymS46Fft0OOd45+ak/1WMkB0mqtRc+tRPdRlv9wRcKiA mrdphuJrcmWAiEaURL1j0Y8vYRomdCsJwxX7EZmMEZ7ijU7qaoht/4R8uK8joH8amrmOuVABVz+6 e7LPLxBv+wFrSYxSak3FyKAY8hzNVSYjSSwDX0xcyRpVnDh3/8n/YUEr+IQFM7Sht2ZRzGy3gfIR 6lK+85ScZTEAPv4Zp2gBRRlmYt1jYNlBBBqV+JwvmfNDXCCnSZyooI658yfeaRrpyQkCmluN7mcn NtNlao7h+tINcyCzDIKNRQHhl8rxDiwiR+NWZYDQXCJ5n9AiWexKPqb/P9Yn3iZcAQBMf0Q7KFyb QAT85CmlDzg3RTrbhwcjk5CzBdT9i4LAwq3+TvtmUWiF7OUxDMibG1G51gQlfCFNApoEqgIRskaQ dzf2/qq6uL8AURHSn27CWKoHVdcDoJ3Hh9RzrKuSKD6OYkYUPUX0hLvnWVSql5LaeQPBcf34hqVA OHNZGRZ4oGWO8VBdKPTwtlPev5R7HrI2pAa6XcPs9JWqWkDlcFTmmDACU6kGPBMhvRY8eaAD5B1q +q2pPfmNmStP5aQQ0Ek7ottldDtPzTAursOeP8ICQ8N2A1WojnHfmF4APLmsovHEkL52e+TYjVF8 mL9+7DNKFFX/VzAfG7/FBWi5V7YVbZ5AVBqlXv+CTqMBPzgoEUh5ewiPsRIE3AsqAUFTJ/cpI7Nj h9vY5cwqQP3UA8OEzOwgYwzttpN263RfhWg9o51fM799dExFTVxcJSeLuvMniOnzOhKT1yGjs3UO OOKcA4rambP00nfSqdymoPDj4HDgFWREGO7fzGv+je4WzbtFHyR/13YOWrltnKhQAzgqUZYFqrH8 Tv4g5F8JNZym9k+6mR0cVSvb+JNjwzzIXaorO9OvwxiLCyiayBHxipgFa5NYOQAT2Zhg2NOjaYnR Fq8MpJeUHUXC1hddNiy0gh3MCBkxDP9/2ULpOayaZl8xt6NLQRhVTYUFP+DsovEng7bToCO88FvQ wQVUEnDtwXPklpnnfUswq1buY/IyN4IE2GYn9rxDcNvX0QpQkFOg4HYteoJLdhJ3UpRaiyvdbjk/ 9pNod1iJ20feIAvuhU5UzaPCQKWf5s5cKfjVIxFhwXUGo4C2Qx6qayZEDH/+Mkl4ou+Bjuuru8ry 3gA8/qN9rID853UCeCYfzOQIrvDIgUF9ExtRnewE77oIHEtu8jsn6i08dc7p+QVav2FVSx4jyXLg lO5tqAxwZdC9j0ALyhyBvO2CroePgPFMlIG4yGWs84ctc3cl7M7yBDaJBSQh8qvVB/vBX1aOoCKD uofEDJPVA6VVfYwtOfmTn4Df5Z47/euTsxz9/IMET1TCy7CJrFO0Xr53h7pQNHlyo9/9JxK49QmL aocW/Acf+GubXTZAYrYEjar77jExzHhCcyAgCsI8Enz2yHDJTs1UH8XW2h2dn9Qopzp7EUGiXG4B GffQmit38ypdgMHzleM3Km8tRdqeHiaOvlBRakf82txopBi9NiH2px4IEoz1+ss6aoKer+N7JjR0 rSEf7hFDpbUKNsOi9ATAeMADlNIShbC3y6K2pDmP1WMnRCCMLRa0a9qObyQp8Vd7V1JcMFkID7+f H9jCtBMJL9XwWYD3nSS5EHTClRZJxR1PLeb07/O1igaLelwTnDtGRBc1hiIsnivV26wLgd9MUqBj s0JnkplXai811cqyG4zkzERGfcLLAmQLnrORLYxebUz0Kk1clT29tYTotr/8itBuVEfkgRDgL2OA GIdDCtsyNOZAI7Yer+TrBJXHMRMgebcztFJduNpi1RPMCniXHfrvtWtp4YotR/MnX+4BYDAGmyGz waWKQHoDmJO5/6AQJ33sY3ubyTKazntlh2nQ3HVS6d5xVrkO3hRPnOjpDbTWA9S6a/Ptlc9cb0A6 7Liv0lF1zDPGpC6NJxeghMXl3y7hQEJyvfaw2Ir2p+Y2UEJaGGUshgDIe85lvm70zSnA6UN8s9sD ZSnb4p79DebHVO9nA9Hoz3O9hSHdmQWp+6OZtbyZMCrHfpG6zc5J4S3F9yA6eYy/4QXe22q0R9AW lmMcCFqkNedUApeaZnbLSUJsLQxf1b7u23+H5r+VB4EAgXt928NKi+sXlGKoLdp+ihXw+czH8RLI dasR3Sg2oFkUwj7ucxOeYlPXk6EpOLaUjtd5F7kAjj3NtCiAuufSEDTSQWttVtT9OS6yAptS6BCN 4iKgRPU6X1pDXMfS/c6DCfeURUMdArhXUaxPdOpiMKNkYBH01ilHjlnkzG7luuMKp9PtI0kXwev9 1Y5TZNbTHc34QH+CCu5e9blSNtxXYuGijYcyoZDigBeNaia56qTIpK4fliSuoCwvTiFV1N7Jr6dK 2/6VM2jwPFo3QrIMBpL/Jcxi3zesTduTFDrPsXpgT2MFPRvD9v7ojmvic8/NUhodJv9jhEqOgN1o fdNp+xj7AECHPmeIxi27ZO5SCwwBge0kTDsl6vqxaxYkgT+7QjMHIYzOGgLwwYGgU2wMOIUMSNgi mr1uxNVCTf/quZ3jVcMA0/Uy+cwc8O4aRQn1iYivhp2fW4wEhx94ZiVV8Wjgh34wTfk0ayArzi1L v1feHLE2zC6SJHUgHipatNYNkwRR/N8OeWG9OKM79Rwh6+lsfP4T+MOQHWE7iPUrIEeQ5Vmdj2Wf tdGaHPyt46Nvsu4TkJ/WCyxiXko+DmrMkl95kUZT3k0d83l2JK+4JswPpHRstqrKk/OGv+ivR3m3 xd2/AnLkhUXIq/P95BlWTVw7ikmcDTmBd60RrPm4/snU41RB6WOeQJBQ+cXMCfCSLIR3aFcVz6QQ tz4SQvcv4Q8NQ9Hdt9WRrzsKKErd+KzdY9GvkQSX8ckz3fcmkG7h81xukMT2Fw+ukuzCbsVUeeGG YenSqos6EXCCd/NaEHzIwx68C/rz7kte9bM8dKS+X+4ppr1Wli3DMNZ8LPapOA0cQOUZcdJtZGD8 CQIvVClnUduVkOHiGp8bNQ0BWEIyUcxdXLJ5Y8Uc9iuprkZUh6xRUQ2UzfUZCxOinmkI6AcLMp4j Pc4yk45b+9NodEK2DHO3DBtMsSCPpcbSRN4XfFc/gsaREiwVSJsQxpTXXdu9mi3mYQU7JW9ziywK UoMF1uEboPXp8Fv2/u77Io8Kh9PZIld+CQFNtRD815Zt6ba8YBkYIi8uAmX6GBRmto16srCbMrYW GzBPWMvf35+MnmHHVM1vV39jUDp2BI7Qx2P7IwEMGl2DIAteV18xf8hVtYltf1/dptn2kf/efxZY oPhsq/kMoxow4gspvgpLHmPrqrVitONQ49Ga0qU+0z8PATa80IJrwDTVjGROEByK/m8cWyRZoA4h 0uIc56V1qjMA/ilACzCk7dyVecYMc1hrg+ORWxy/mOBSw+7JJ224JsihTdNgkzhvz8xI+EQK4zJA Ab2qi6kCPfyJQXeBCLc0qdC29oX3XnfUEUn0b7POj/TWCAqH7QX2CjgVKM0gmC81tpomvc4ND+If QVrEAGPBjo5jUb0fX6kc5/HzpaWNoIf/jHFRUBI8yUCHx29UsXmKDhU+bUXxluTZhuq/QVOVna12 vasYiTfDcs5eYY8uDNuVALHL6Lo3MZbfnOT2JR8PPxxyE6iZ1cZasxSO1JrwXaSy9V+yNTL1ZuPj RNNJznXS5rqg4dk6pGxjIi1fHkcaWp+9sH5xADILcIWQbRwpHvMJ7nbsl1brVcgnxEChdrCoRjQv 88KP2qRfSljBwG4t+7rJXqz4wk7Ip9o+9vVYaX0t8tZr1UmIZ5HA46hrnngEw9cmxTUMFoN0ffgv Z9jEGoX2u++LyRAXZn1hrNwmHwaYe4t/Y6/uLQ8sSohejFe17BoO/qUEfpwcwhEGHX+tovz+A1LT 2ffoacviFmxsLh2UPtECcYt3eNnJ9wRi/WN2KOaqY+am07yAW85zJbgUhtK7rlEl7FexVpGnd0YS uC5CtjINfgFMMFsxDjSgw+Luomvp7lK8Rv4lRQoJxMKV0qzD5nnBU1l+WOVvzjU7vOrEM6TIHlcY o1opbmPoMojuztsp/60iehKb3hW5ocBgC84xnpgZ3RuKkJZ4fwr7FXF14nAqdpzK8zbNK+HQ3kbm LS2/uqkW2Tpy4JNMl3eJoSa8S4W1J4TYiWtNlFC5RdwA49gkuyguY4hBkjEdQMVwc0hjqaUwFCOM 1s+m/SUyJMLn7mqyhWJuGDNIHmU9VJysyDKHlHl5sOGIabnSJfcefiXtOKWwUDfs0yY+hJ9xHzHD cKZcsSEeKKKDJRfxXcotkSC07zom5q42vOAFBUqxNqbSuR76QnLq7J3ChfSB7e8kR/awrn3kkIAn h3+iOC/Cz28ug6k4gE8VoJ0ElRw4qY5IaKLGhL8ETEVU4snf0cAotS6seEH+BB3pF+pWQwrCb4fy C7pPP6tXTWXum6fk7wC9nTK23vDQHWUfUUwDbGawg/HTea5IlkGLh16jyIjC4SWE51fRG+XqWKrX FcrnrmG22E2lEm/jCyFDB02rJwu+Brhc2p9ApFBHgon3rheZYgDe9YLnXA4E4WGADDa0PDM6T7eN AQKAsaZc6ODCPcSHCBbaDn/OHbehdWRrmToT0uPbGpGzg78LlLmKatxAm6lAXrV5mlTObs8ZZsDo 1Pi5wl/2bk5IhPZAvFlKTHl7V/MK4OTaDvKZYMDlN54tsnCnyr/2GFmGOFrWeZ4QP0KXPv7ZhnC/ x32INWp0YH41fMqliBJJDxRQZM0MpYbmPfc3PO62fZpQSuf8V26MLMFRJdQPjrb8lGXGKEASv9J/ flJRMIP6jWu0LIY44NlTonXPIN7w/ZrpcAiOmbpxHGoRrzu6Rrf5egIRHHB+fummHEq/TzarMVE4 GSQTn1ujewIWskL997m4f4sB4U0oDABj0jUaKL0iE5OmGne//+BVuA9PWjCqXNXUxq84Y271cKgF rd+a5SHOh5H4xw4oKtzIfRTWar6G96bmPLGJ14YmOumylGKCnhlWoKrbpZTB/v11NMdZMn/PAq2f fRyKq9YqgC4qVIeTdGP24XsJXjjTTdr8hZ7uzvDOIi7t7CPH45PuyMobmVjpNtj42VdBeX0k/Rul PtjHCQa6ApHSrtTqAIplUsm3kZyCl0CWtgpft36LjnT20PbJG1Fn0T4KCe134wlUx4dLMdCEeBsQ xX4fQ6WItCij4O4H1CoLR4AxgpWOxYkBUcX5emSk6ORukdcyQSEIYyluEjLfF1AG3SCc5/jnxpQk Y49FRSOh9x5FiAx+BPvC6xnXyywDbl0n3PGCmZcy7wHbj4pXv9kjQyN140VZuVtSFVw7q4noRyE3 CPE0B+5F/eB2WXYoi3h6dy/UjW6jcmfJDZPzsL0ie+dgP5w6xphUKJgonoPaD7DCiVjw0RxdVB7j 2onej0/0pwkZsf/lH1WLDgkvTwifvH/6+SZGEnWmyOKzBSDFBRDJ2aK1RJ4+jHaq/1pfj15PWian IO6AgiHX5MwzgS10upWt/mOiE8u3eITZ/Bcz9eUJ4ScYOUgCG1fUaf6EhkNXH0q8jQj/+8tJQkUI 3auAMAh0o133hdzmUoUi9nxjWeWHFZIuJY/bYIP9pkHcDHobZjzu91RHISCHiclGbOkGq/aKvOqS vbjBfHbxe858F0Gk3uW+RloLgZtwZ3jRs5SobYY5R4+iBiFWp3NLvwusK+Ppomw7HnsZky8cZTn1 yFxPWfboF33k+pJtZVVa2y++jY6OdOZyNmG29tYY1X7EXmr4O8eYvRntGjLCm+vYOJ0xd8FXsfeG vv6+yLEChJYYAv2f6jGKRo5EEqdrnaZsUbas5VYtAvqgH1Vy7sO4Lidgi6Kznsd/R9QxN4C/2+Vb whSxmP7F+5NpjhxPAGigOPeRlnyiS2ZPtZ0ghDZwPvFiJ9zPrNiUKszD0zCNCft4K/VfTELqYeDl v5i2dd2Rl0fGmuPGHHdRvHVblUmBl+wDIDfPhV8sX2j5PzWtb6datmQ9K7Mzy8wzjfNawJs6Ri6L ZujEJf3xo6hXkVDeGXnsLY8OhY14sl4wxjjPj5H7Ly8d6B3q/U1C1AcYZAvZC4N752rxqkA7C9Zo YYOnmQLzzucnKPaMjTgSLKhCnQWWGOUL4sbbGkOP4hr8goTxRHwJGKttBtsrFi1v6+R+WE19VlDG neCqquRHxMra3YBgAk2Hs6SEjA3nP/065FHvpHYafoaFtATODPYx+A5+LmvJpSd9uw3HUGBJlUxv Utz/WHRlVrx5B5sFqMjVvDOL1UUsSb2E21Ixp2nb052EbRJgMMUxZblx8IY+WKk6om4z91BK7DkP jSn0K5oytTIfIDYemNDvsmrbmKc06Jaax88sAzyx9vVnwPgkVKLt2ZMSZrG9cKyO3ASJm5fG/RIq 6e3p8Tsmmcux+2BMH63KTZMy53NvlMURrDXQd52a7WOHuqhK1JtAfv+h7HTjWOrosNsYur4gGGTB 0VRKZx4Ztrk5On1yj2fpQfmG/evYYF3aFUKh43KzgKlPCY5VDUGJw9gbLeeZzNjy2dTDG1z1yf5Y Nj4VviPANCV+FYELblwdHYPQTLFzefCWk0P0GfrEU1x5REtBeRjJul7W6qL0w6GKHAeHWj9FyRZV nTGSE6eMnwWDCYM9+P72I2oO7wXrVqQotD5v7/b8IGvnW4b6hHXEv0AVxgWsD5Sr5rFjXqNLhLnA ApKswN2vtHU4J+p+8tgs+OZ3StfcPvjGtL3mnAphbwhxEkrBu6bRj4BR2LK2Psa2Y/hD1v+W7+om ObO2CEMXobOuWXpou9UMYDQ5FmAHpsMB1MMOu80sKwiKLKtyHCB8sDq+n13e/T5BeRfI11LLNJgg vd0pOH+3kVgW7MnKVAEHdAjjXFfWsD9XYspBE1+V/OMPc0FzbTG3usawNUiHAq1EVzNVan/B5lC1 +9XuuZ4ty51VcuhfgKPtq8lyxtWhpNZ1fqV8hP5vHf44VafIpGwtFH2KHFhl9302cKxl9XBxco6M 2kZhEJukHKqCfzuaCPctPzVilG1Sl9ydUPgLBjz/Dssd8fDR7cHb17XSR039ar0nwp5Zz0gvCCIn oPoK2exYVdfyOHGjKPXW98741BzED/ne0RX24gMOeqX+8TS+kXXUIE7HHOMielsQ/h1FpgySNCKk MLKJhhaLg9tK/Ww+mXre0BUOvhqtWUvDJENHKIsitJx7EenfK7mTuc6MXlSixdy/OB6pZw8zQP+h GOhVykw7Q6YvCfO2rZWpU6VNa94nymNR6dUxGBMa+pRYrMO6JvYfET9voRLbX+K/O/qpXtIEAX/y Cb5FVEDL3Y4QXg4WYWg4I6TCSeR9jWN1na3VJ+6YhlmSNhG1nrauh2Emf96kAoWeMU9v1cBGMgQ+ oL6OQQHZd7cnbEZbpVtst+BjzrFndYnYhKGMmYeMtsNXXbcE17wOdmTfvWYfFpXl9zcBhkexNkwB rANZR47A+lt5t7pJP0O32XZ79PirRopgabe6WWUQiakToIbrc9LjR4Grp12ujYBZ0NKOccIDvnLM RGkpWOSG4i8Zuv5mBu9MVr6ZqZfpMWDc03v1rDyZM/5RrnTj+bXZHAKYIb4iOdri4pKW+mM5FMhd 4LMHSv1nN8AfcZMODA6emRQPqANtQlapREtkn4ZNI/AY6YO/EVbw+0l4BIlxtsF3r7E9e3Dk9n0o GAe8D0f5Wp+bcaR9QUoiCPqX9K69W2qN69lpn07xZ9rgMx7qyCOc0FMICAyEiPepQ03MIqycg2uo NQQWEqSBtm6EeggN6XwV13uSDpOy9E4Vk77PpU0Ju4pzEjli51bfOIyWeYp+ktAMRbBo6G15iioz Lf9TJXztjVw7PJ5EerzvO61QM7Bqeb1Tfglv4SatlSfmEvQcNx0gYlxnOhr6VcaQSQ3B4jAjsFiq uFrEUO34+f2Jqal20gANvn+rcEK/8dSJIJrHnGyqbz0mkr4WT3zoUYydIMahLaAgmOATbws2WQs4 UEruwXq4DyDpTGoCJ7ETQwarSiCdXR2wDk52JXiydlzdbwb0XY6PCHSA93XX7rbs7113ERXEL2Gk V4wuP3mVcRqrWN1BwU7z1vO6THAlWHIc36Ox8pTJqGRMkqIa7G/qBmNh7Zu5AfhFygLrAvXuCM2L kp5ibKAXD5kVfLIqEKkx9VCihq8VprmK/4KFHedA00K1+zVLvdJ4NCjAlinKbODXb2oqXbU8QFzZ 7eJn4gj6avdBas7HSdd2v6nz5i1h0Xw5trqR7LRiHBstTHlVJU2k9Bd3H7hLa3shgV0eLWiGCK8f Bu0j4zuzZHqp1AX8h1n1BqRajTSgZuTzaQDTIjApjjHt7B0lICdThARuTJmAUBrxLbIso9Wwo0FW bQcGO1pr3yw5pBgWBgICeV4Chb/Xh/3LMirhGrQ5GmMlP4/NY0xcX/cGZOoL7CvD0JeK2yLae5ZZ WJizT2RPB7RSm1ucG66C0V3X82zemJyQPcewGiIwsNGGzxbJ7Zvaj6uqHvs3/+W6kURAkMfrZAph VAadIwrErQAK1sFYQHaIsJ7XyZPfDfEB/p7sbG8srQEmWRub1DYySbiMNDU8GMIpjW81XxfMVCgQ PZFo+Giu/aNkNJSsl5PqK+iSelPyrRDKhbLT2hyjIlW0Bpot1egIGAAVjFwQ/NmQ8L7GmzRE7qI7 D9nT9C1E8LivHu9ylI7IyDUiGptGyg7PKZusVQxPlFJh8DRUOkq7Xq8nynepo9ggW6PSPcAqEygU KuCcHCqyvlfI0aYNBu2jovA7K2N+VMMCVEv+IrUhvlfuWPgnBdVYKobD+VINtYXHv9czKXttbBDF nlTtI+XX2kzIxlB3uAkUVGnmg/qOwT2wwaSrrVfNcRf4LUhFX+Wihr0ti5EVIACDZcRaPd1blygg sghORnb5WrehrPFU305zezBb2YGwoVG1rpAH0xR3k8FxHpao+CcbMYSWAHZzao2Uk6Hz5JcfUztP G1OuVLlrzATyVleRk0ToKtQGzNO2fWZlUrjPxT0wC56fqL2gnMkAApmPhIeUMny5YFe2MVIx4E3b T4mjXE87XtgEzSjJ23tq3kX00bg/+MQ1crAGbiPp4Nv9VquPi/ls1lUIj9H+sYOasBUeie8wwJl8 tDaFrzWbqQCzJLsEz8j0dqG0eoMu7m1/l1zYRH7Wr4PCENR2i3jRXVSrPMB+U5yc5EXWRLtBe758 /BDcdF/HLvxdNHAOxKd//QJMlwVmHcXlBadtS9Qc4B42309sjKREdX2spIF1srDiXZ1g2JdQ6BSj nA/vf59W8v2C0G0BZ62n31H0RVKzPjFFrzQoNo/9mBxK46ay+bDNqervvCXzywRteHbxaHS4sFau CPaKZEl1iVJpjgfvvG/iKMQxm9/E172GqQgz9nnyraDwVU3KZYKnKwJmjlQKKisVezR5jc5mc2r9 FiwNItD8I/NBnJtlYE1EbKBsgRW4OFF9KXuAfhH0UYK5WS7244fwKADX1iKq6lz5TbY7a9lGzC+z qRrr3eYPpJgLerW7Y9UFFoMeFA1M2omIrACfR8/01QrCQr9jhiNLBaDL9CS5LDrLFJbNXDXkq8qb HB2W3xDwEmy6l4XfmKtccMbNPVN05ZmjJpc+tnZxqVzpuBL9/u1ii4HGJh++Pr4rxLqTgKEMf/Hm Vz8IL2WId9WurF8gxg4jPjfAtk7L+p70zSrNREkjl03gpR5rV7iiO6vsNAK7+Hbh0t4csOMRv5J5 a2PNj7zWV0uaDl+OvKBtbMUWtClAwV4n8QzFBJb16s0VNRF1lt0+QSfG0RIDAVQze1NwPQfSD0pi A6COeX3DFZp0FmMqcowB3FUT7AlYzDNiOBwv/OyZMO4+8dmzVKPxQ8TOImmnedaLNHn6AfNUFx2Z 2K2vPknPvij8jBJNzlXFDhzQulp0pt8cORWYTB/4L1rDCRLCz/WDGDVRkXNA2T0rHQzmC2rGhJ3l xBaFIV4IjsW1Om8eG3YF/rRzFXb/gjo+YEWxguy8HxLEvmKWuf30jTyY4h0s+1wJC4ChXriXRutY Tv6Hr3giz4xEZF8Nb5dn72S6luxoQ/9W8Oq4HKStqMJ1vfxYFMOQorA1nsNfTOSwBqJZX336GtvN vsCRhHM+qhOTlR/C2SM916fUedPtSpxZ1OfJDY5gLNz/t86ul9D26sBuBmc/qQ3SJNjZddnULLUR G2YFl0OpmvTRRJV3S1N2T89soS8QoJCArzpmxMwZc1XU9hEVxH5JtJMy28ImfqyrAPX/68I+8h1i 19rLZF8HPG6vB2AsitzuxUtebX2YBGB7UV/CIXbkpiP0zqVfsFScPx9e8bps39JYWcQi3l+aBpyS nvp+IHpXw7qi8EzvNUkoTMRI8xou6JPq/ojbCIbW2SKLujmRLMfvRMH5pQdt4E4KKJodU6/sdW+U r5oEauRiWz9aGQYjnE0ecYUBgl5vBYxlqZFirfHoclunUi3nADQ0reILFcl4N+z1byx+DfJ5uP6h FD5l+2G4p8DERHYHBUIYnxnR5detCvCAU9eLDliMO9EsyGz6CLVoWFWWH46etpMt4AfdU3T8Dc/0 cferhdtOPivHsyAmDgB30zMk6hyYwOeHKj9TcHO3xoiXVSyLqfcWMyef/M5oERQ8dRMxdXleDTHz nfv6HTxLS84gGzR/Bh3dqLLTIFsyX5l2dj97ZQeccSB4EMI67MUUw86VGhELEDj3swDKMNgswEQd 879+Zn4DzP1ES/0tDZk3dqqXS2hA3XoKiVwYae2bYKGk3ttfMnS/Yd6aybDj3W7IVO/ykstPQUel 4qEjZb7OuwLd3yJ453FKuGHiiuk/0+eGA3HtBuFPJCs41eIxZ3Rjm9lmN6eUZh5IWeMZYNXnzIS+ tVZ6nnmwXFn/CYWXsgSe/6QjX0hOQq2qRO/n+bqnPFaB3kiMBNy3+WCVsqkyEq95TcgUVE0SZYhf W3Lu2ZuHLNlKbC2Lc7XtoaXIhDkHVbIB3Qy+QWfrRMgQDThnjCpFukLyZ5sK7r9JgL359WFuNBmH c8wecG+ArBS7QwSnCnVfDQd32kbmWM5Pxyxrhqe4CJSachpdGH05ypd6qAEUCnMKajI0UjjtmBwW mq1yYaDNjxsOb2LABUaPZuEYHG9Kp8r++PkkC3A4At2XHfDybI7MxPXmkOd8Cua8lGFhoPwzmgmU bmUj8Q3SuPMlKFPG9tXGF+5JAPO9as+8hCNAo1h791IY8VRBnTCSHc3zWpv6V1wh9BN2MF5Xx1Yb bRkmD9NEPtVqcdFc/XxnBZY8ckbrS1KggXaNq//O7+oF+0PH/4VMARJ8IioFE7p9gB/L/Pp0hECg Klpn1d4VdhTZZWzotjFTxO3dCd3wptT3MfXB24o3irDHMpPayhCzEb++HBqeXHK+/+Wrf42I/JmQ ZrdqIgaV80zphD2dNzMfifmM2VLhiAqu6I1sVYy6CwoU0rPI+oWxM4WH9a2imHk5nk0tR3YfAymG dCH7UY6qFGFLOLE/UdXrGv/PJQhb9ZG6YbsXQ+gAIT+mZH86EGw4g3VUDcfAPuheE3+5mMdf/3MC epbA6OzTddkIsgX/8mp7BrRjunnfYPsrOGAZW5Ui8L4NlmOwTJ99NkL33j7MUrCxeD2zMlFQVGC3 6v4cEPLDUem6fG8ltEK0zHaOCNU2pHrhQoO+aAhPCeEmsTrJh04oHxs7zAgRPN2VQm8HlULWAw60 fULNvWstzTXhlND8S082Ry1UvhtrN+QyqkUI6juRT8g7fitdWCPwXaqrJkh7DoDtfnFcLqoXyWwn XyymswRtWFkhQ7B9MUrqbuiJ0QpKkjglp0qyVttNwzG6Ao9taEw6CL0+cMJ/G9H/Or6cqYlb47tl u+KyTjby/8B/jm3aqrHOOu8Ha7/eyJGMnyniasU8Y1JanwmnUMnpxBRS19DVyoSE31yoWIOVyIAY b/yhOIVbB1mm2OTwl82WBfGcPJJXg2P5OeIo/fXGvx8avvQXLHkiePUveNP8zpV2Rj6llbkc1TZy KH/99I6wYFEMNssaju7acEns5p6+nu/TtXIKWXp9M8SMIm7MBUyqwNB9tV2k6+WtYuPf+Nlng456 0UCJCLDHlOKwdstWoSTRsBCbpqSbRnQHFcmlexXytMjP4mCujRfqHA1l2AiZYefQPxG+88unMak0 vSOWOFRx/h8l1daLzGgcr94RE9TV/PqQg0ijcg241NGJnK+nHtTBwTOmcfzF8nZXKTUV+oInhp4o F+moQG86EuOGTHgU6Jj1RmiPiPVQ7XmvLS7TQihv1yK/LJovYJZFlN6kG3Susj3Gw1FEvSgsrdUw lvXM2Dyx0hw/MeS4z0uWR7oiLa69PBaV54jWVwdoJULemXoVbgsSNyKMAyxEzx/gr3N+XlV8XALu 7tE3kQbO0h1qsnEuXXMfm+NmDvay/vFi8Xz8UolVplHlEFWrsoRIXRezdRzumdU8cUoHR66Zh5eu pxcA35p0YDLMDTU8MU2ixuuOlGz3iKq4Qz4/5lIFS/ChSdoENfbSjIURrss++tTG8d/3fkDGGTMW nQs3np/bOu32Zi5Hb59sWPHQzd0JdUVUpoH7bfgqtSx4d6rX8KRwS4BqrOmHCotIW9160J17jTVs MP/z+kle5wiaF4NQcFOhUhQ+brQesrwygs0r7H/5aHOpaeJer1Y6BfgAyi4xlP6uRCJJa9hQPubL 9ppnyAyqH0UnPdNgpxxbiriK/2kd3soZC41JjyO56K4WF0GtmX2AlFC58Qq8dkrXR6TB0qdnMm16 Pm3QZ6ZpQ6uppjeCcrlnJFk7Vv7lhUv2SxNNchXgW1JPzI9R5GKPGd//2Iq4XP7hVmqRVgD9E8Lc 40oktIafvxMMT93c5s4g5vOLNZ3duDJZzEgf/yXnb3WiM3L1x6dWkYeagh+uiqFfedP7RGhou7Y/ BVoJ5YPKG8kqry6kQ8/EmWlBFgVjVXw8hboDCOd6qBj4tNUWcMwDWPHMtUqs7miGyNcMMa3wlDZ1 iCSDS2P4aBBCVrWwHbe0NS0AEoI/yrrRf58+k17dr+Xrrr2SyoPGB5P9sNvaFItTjW4Ocy7v+NuE D2CWwu5YpMO3iKBlWPHvIAibm201Zn1WTWCmt8y/sLjH3SOf0QVpG14AEEsjtLl38ZVgp5XSzinn SSbN0sbxp8xU27tPbbmeJ40851Vb7FeiOc46nXR54/7BzUW6htuJ10Mbhj7EeidAKpr+cxmhB1iE cBh9Ju5Lhp2FrrQ3ajM0lJ0OrDbvGdoAIR8yTR0WDwgb+q50MH1kpoU660EaLew5B5f2bZUHG614 qrsMgTP8FLjziEQXBPbrf6DbLvSnHKnxInWTQq1jHEiQr1UF7NMYrLtg6AmVfM9Avz27ZGPOAk0Q ju60FarDIpte9MH5Wyk+mBgGTb+ziEVTU5KpTRcAianlshjUb3ihsrUspURkXR5t+GBoamiBRmnD KNiLtaV+++nSDVoKZG9Ds/ynPrvYgf75KaC6e1v8STcxduQx4eqc5Dn+3/LuZwxnBz9Uor0yjnNs nKDsCHKdhZfBfMHUZPhPxxyUeQpsqpywgb+qQPMud7wveC9Brfhfos+Nktd8lllIUkXM9ffZeTqw 4bg1qL+5jAXRxVLPZdOX9yHS1hD8TyUUmNZDsbB2lC9r41vTBk1n+QCw5EQ3p74ihBZlGVt2U2mm VgroS9bcNNxa21BBY50W8EYwI7HMwLLkZ6K8/75rUFm8pNZaS/tadnByxlOaSfKJAfhSIJTKQJVM TeHw7oVp5/0RBbj1jbkcGSOMuTvIXmE2QOYX9RgcfIipAID8YaXsx/kup19M+hd2ulUsYdVryU6F qEezpahSBYhDF534B6z9Ug/uUi+xqwOeigNqXBKm3o0Ks2Nhm/XYgIlxBB5q2BkXRQJOluROUag5 TC/Ej2S4uFYsn6vQ00s5yz0vkGgJlMpSnth48yKi1hu0KmB4/44uGdYfhRCvYyyXhTpyhu3JBJJC YVwWNcGal7lFecmol3QVVFuMs18Pmp4pI9KtHc0QnGiOsESTsLd0gQkKVm4L0dQNc9NirZtdQr6W MIshYDo8W3NM+Tgfkuam9DAQhZg/UsjqvUmEvYaaBht4fxJNdAPiqO8KjxVL2QdgJtljfw5Y7cmE GwSopNNZGH/6AK6x4IDIajL6dJk9TZ79dTLFKHpRFz8QhMCjaIoYcOCvoVdgpthO1Von9qmx+tA4 OywZOw8YnSdv2Z9RKR56tq0bqjIOjAGKk9Zpqv/v0FJMmhm+0aXlYsEoaEr5HL7Zo2GpEEUJ8e/z 2+hVXF552Ja8zgUX4czTFGp5fyPRg8B6wB/FjRtgxq3lNj+luqljvDsJAZLAgC+jRKNPF6SM0O8A Ewrqf5rabx4YJEp0AXRO0m4lw+poSZ07bRItvOUZSmKfDE6MQJOTjHYP15huAsqUqQ8Zb7Maad8r +JvcyqmehsFK9QRC8wPz3Rhh1cqQnPfbKUktcLAEDAajINYvJE9/FRMZtS8f8E59J1KW6VZssn6E 0pQYzocQRL6ULTwc858I1xbiZyBsURC+8n131wPTS1Pbw/ky1zn8mXvDkY71dwHyQtKuzwteDNQQ v5GqNazRxFDD3n2NNAC3e8QvQTV7chxPGfhTGwy3z5iJTPxs7s8BIusvHvh/zOhPAP/sUo4u3NGf gxL+aN7r2m5aFQ85SgaFVnFqGJsH/bP0Kxroi9pS36jZBVkdEiI//uoX7NvyxejKalxgaY6AW+bP yNSFiya/R0ubgvJ7P0NztUFNLCWED8/HIp/2jn3euMaqbOXWSiFswaHxfKyS8f1y7Gdmz59uo8U1 aePSAoQAipmrQzzxvSshQTmYgER9FnAnlPAeTZ1NErfJe4QgCXuXnYZDHwrxtvXh5QtQwlLioT2m ZYK0GmK+5HpmUDEIyThp6JZgubQQ7AqLbDBVqpwMwVfsY1QaC7rZ6arKvED7UYIy1Rfr8VcxmPG5 m0MqFZoeX8DdeCqIdEv2tef0S2HqGHSSi6WkEhiURe/X39O5Dy1LogCD83ztOfahn+oYhkRQpdKE HDH3yqXxiwhQUtgR+WogmKEyXaWPEB5F3MjUe7eXD5wMJYLPO4W+punyrz5+IeMKCqHz6VTRnxg7 ARRpcdX87a51K9JBmKE9iKPNH7hbWNQBNnr58k++jqQGwF1xb7RNhB25hiVzwLLBOvzlwiXTFvwv TQncRF6/psH06TeR11VLgbBwScKSJK9yPwJTTbGMWs696xDdJ3YLHi8swTjgOITBM6uuHIfm1KnG hV1Nj+BEdUVgqWuNBcQlcLB+GycG/f5DpHL5+bZ445vuZisv9CVQZIwEnCvvFMfcoGauv5R3BAsG GTuatWAl/AkbcbNfSYYvAqrGgUJLP+Q4rezQR+Wog8Qp0h97q93kgk0Yi5K9hHXpG17wGNPtG2ee VtbIJZPEAtd9ETjL81fL07nlVcEpewouj1FyrfunzAe5VpNqk/NnOsKTL7jdMnEVS3ahSOZQDtC+ +r8gwFQiXUFU5w33CQgxqsEcNaizdepbSJ4/wQse2Ci3tEUdh1GH4LnVXSc1oX8PIxVkXEXZHqXf r64RmleTrYNAbLCCCIa7lAYg+w+hQEYtS8fKAq82YT14QR2M9yUNLNWJr0VLlpzHHNLBvvIV9WmK hGLfj/GLgdeESBV0wvBRcw4ryKjBdQCiegJkLmGWDaIcxSlUepNyx7LLxqsaHgzClXVFzlKa/G3N wV5pYtrnS5s0cOFzcv8B+DgpNZzhs2D445Isn29UTgprQV+SaY4ws0OHVHcUPPZvesaPe2uTWdGS 7tptiqDuUEaYSLbQUk97B5VzatZwjYNM/XKLv7DqUmr4aXTteF5E7SN+zn5XQlYIJx4xPy+DJ1js 8Sr50SQ64WVQV3q/IlRPdLSQA5oQF6GaoVARp9wcTbq4z3xi5cTt3LTl97djnu1JFIMyXs0Xm2bz IWEzkoj4b4lpVJrpidHPzHc4vgDKQzVwv4JaI9IfXIlU6J19+y/nqQ0a7giuf+k8lKsI7BeQoLGi B58SAQTPv0W2Wygncc9JTsXRMsjExNWgpPsfsvUh/xSzCPbK7jut/Sc1GWCai+mHTGPWpJcrdfE+ VJzDnyzbAmkFfBQ45nhy+urvR7nzmlKEARA/7AFkLGDJosmSSk6ICHLBA3oJ78zlTgprTGZyWIHO s9VgqSdNN2Z0SizCf6t9mZzsgoZWmFKEb6s3bQntfn5kzQUCZyIOu9nDdHIEs0vtWBzSpHmThb7g 6wLCPcQqV+YrRKlkLbLpxaz5DV3ls6FI86R6XqDycllne4hKV6LZWGgy8uMX52xGtnxvXsYlW0rY LR1RgO52udbW8FrlC2E+2lGkYVE5FOVj/3POAfGnGdYx06bTYYFXez04B2z6AWJWjLBXUbNgK1p6 Gyu01YmQv1dwilv6Z8qTnIebGNDtMvipcvmRqA6t6CF+cmaX06B8QXu7/xEJ7t9NKOmfuHcQbbxT OLoiw4kcv7blm+jzdjEUX5NCFLK9ROYEX9OdEfHnQwwuH56sz7liyrTCjPobgzB8RZGiMDSxaJ0f JT+fYXvHuYepGALs3oV1nEGEWQ1OkVUvltGZKpSRexXOv+xsMV3kPifgs6nmss8KAkBNwJ+W4Aoz r+TLWwKIeDCMmt/1ZKR0TLE/vPV8WCbyUBZXLQ6JlVjrmzSqf1D1EZqAJ54nAQxaNyi1iLNFG6+9 FUxHC9hj/eTcfb1tNibbJZ8X0oEhlrNvfyieGfycd4Imqj7k2yp9gFGgNxRBPBYx/7KdRfx34TQm IDhhGlJoTE9w9kwdVf8piXBpTnSZ76pSiDWY9G3EFvhryEpk9yR3by9kA1rZvprbwkAhFSfEfU9o qvMi2t0147JL3qBovULTm/m3Mobs0HO2PcXHu8eCXXnlUSyPhOQVQtojXbfhFm8a35rG95+uTR1T kbML6pmOAwQjDlm7qLkNMeDflpbRYaa33QE1DV58WPC9eWHi1G/Q/1Y0vS9iXyLWF+FuCGbyTFZa Lyfd4Jve7bkLrcOt7aPtc/leoojWvC5S0RCLeJz2KulhbZ9ylMAM9a8OP4haRULzf9ZMzXqxpKAF FEgFFvZFYO00TCKupoJ6MCHQN0c9IXyIgN1i+STQS/TDnVrUUHJqnJz5p6A09OgCTKv377GY3Z7j 59LTIXqzNt4RtmfU30XMBai1RUqUXKcl7eM7n29VBPBIZDlgooXpAXELWqMnjIW+v1/YwdcQhQdy TaUVWC0H/2JnR3b/NR4Gjf+YBHMygMJVT6AbSuQQisE0qnJ8sElY36FbVzzdqBD07AbLen5kqBfD 8sfJ1EfoWEvke5c84dF3+5Sk/yoe7Ax2UOEoKuQRpzkxr8lst6Bfq/Sn+0dMZl872JFpY85k8NN/ QThVxItzJvoIoynuX46LgpmmJs8bjGdfYw0lBK3VlZ0oslA6AfwmXeofzSJtT6aa6blwlujF5jNt 3xe+UBdZWqTn0spV8FLRZNRbRNAY1ANAzxbmZixHbuVT85dSYlmBgzUFP9aIK57VvqErxtT5Y3ZR Dxgluc7jhRyXiHXlxxcjHH3Luy5stEm80Ohl/6sQK2TgwBZGx4uqgf/EHlDEba/x8zA73JgGvn2G +VZSkHI608pOuqIJc4QVc9hsPhdzNOMXix/DYQXY1joJD+z9wzp8PBklIxX8+v+tWBQW15ZFOsT+ I9JOYNHjTVd0AL2YrO4ksqD6UVY5eS7tbMIwTPEdpAkFV6L/ISf/dQl0sgmO3kKzaLUnvgE7RmWw VlG37siWjzLwyI4feWOvqQkoBVh4VwqX+7DRU4NIlnIUNwAs//xp3UrPHef7gB/dXgxxrno4Ejg0 g7LjGuMODSu/m7duM+GQuo7y6SF9s2glSBLS/coauOvLiuCd9/qMIdpvuUGHYny7c/XGYowVsNJ7 IzSw7Pocn857UIc+U2NCo2RIb3ylJB+IC/fiew1VMEBsdsK7gLnQ9l/UplTJX8byK8G11K8VrVSC zLBeqyxcnpD/bz4yv6+S8rPy7t/ZGnInLKdSw6SdCKFa9m9MYjADVehqusn3eage/27inN0OcTGc a6cI+LsyANlrOi9ruNgwAGHw8MATUcDH4fsSD+/kyfKrkRR0Mz8B1P5PLV19HUoGCiprCn/hMQtD JI5fXr6/9+qLUd27exyPjfXsubVYZbieYhF05yH+bG+RzflsC/yVyTNLtocVoVKB3+5XF490wEGG Ot84V54AEAhMzTn5Hs3AKuY8rR4pb0KiciNSlfvY3CkU0gBO0xowvAyx1PskrXFzD7byI1l/Ns5M t/0p/lunnDEQdJ/EYsmWEZKQDUcqwAwNdy5R0jxzCS9BHlbfGnOMnOzdOd4wy5qJqgfVg5fJ/CMG 2Jd1I9RCsAV3nlTRwGnCnXFiWFW+2fGHCgxr5pf4bsMEDOwRguGbXZ120upel1l4azsOm6Ors8Jn 22fEm6ZSGOBRfHh4KXjd1ZtL9U9GwxwH2FwVmeXCqwbNlEypbonFsKCX8IAVG8hd9sZc8YSMtrC4 nWAmMUHLXwoudOFamk2FOHrRgJ4P+aBZqdiehR5kCscrJQS2oR/zN1F076frHDUHPmkX8jPTvROo UrlMzFDVF5zsWl2mEnlFxkG9Cj2153Szj5RdbfI8DgJZqC3+juohKJP5LMq8Mt4jYEShixjiIgu3 IG5L3R3ZV9aebEuneADXL+fuW0smSsXmaAV64SrE8AwOH/VJVZhaPmno/1O2QkP+rRY73pp/qiL3 74w0kg5ZoE4JnJt+FxsljeCticUnDbJVGXYMd54527x2Q+vHG82zQcmp04ZAJlkhmv+LloxoMoCH lW/WD/eZzPLo6ZE7SHBLxrRwSOXxq7ds01wx3pWX7i+jsJg4bAutTSoDirHx0BJmHBgweix18wE3 P6OdDKgB4hUfufVAaSAqPBnK96aPr9bqDfuJ/3Djvj8JsHsz8Fxojc1v5SEpxfyWDwD91EvgvfYV BXu8gCUANpshkxCNIHKVXb5hd5p9vGmR+uATo0bKrGADsXaMo/V/J+459HZzSXO+lRgjhurnsTX6 oCjHfhTxMkjv1bvQgekPiVHHDTdi/p0IAiLpKaMmJJy6v9Z1AeJOvQb2WQmfS100ReQRi35eOv45 njDJwAUHO64YTb1JAHXZUeadvRHJ8OhBpIy4BgOIDLXGj8oC0yxp29l/i+VTZGpjhd+vLJrfmT/x yo4gG1l9t1bCchZagBLGRgfCUy0mrIQ7W2SWOLt/x8+QmwKiJUvvsr1XITXExkrQH45k2vEWFEFX iQ5e/AkPO5W5CrpMiyKEqMjMms5EMm5cO6q7v1nRd/UBK7Q6KVByww+h3OvOsdTJZ7OyObaPPAv+ baTwM8Yczdmy2HLLO99i+N5wnMOImZnZgExJO66OLmOfDzUo5HGiX5i1FvupMJVY7lrCTtpvdv3u PdfWTve2j/auUMGHSdkRe/zGLYRQ1rA2T09eyPV4imensmOsTJeRz0ihLImtTYAHBAx2Wgt7AeOy qs25a0W7S2LXaFdBvtmgth8eddQxmp7978emvZMB1dOrrL7TYZUrOGS/OC0LpE16CbuPWBHqxlnX ImqyQuD8+9v1V8ZUtoqPgQi2HnLsyYo6FRC3j0QFctM4Fl9bUCUOOmmsd5aC1uXYcAUkDbZE7TAo VV2VegKK5YwqGUXxB7e7U8kwtrg0rTfheCZe4NSCfBK878GcjGRTy0LpiglO7NbivQOKSibmCUSn ifvgCCdo9g8QNHnhdsV+kC0/CO/1N5l2RXl6CsYjB+yGFhUeI1WgWOlnWGLt84a6PMILX8M8VV0T 99COrJ2ZfjRH9grZ0Mcv/ITq2eWusIbgXKy6lp7zCI3U092i7Q3Krl94avvAvKNkkJoO5chfGRtP uSxa0sH7Bjo7ALfKH3ZkgdKea138MWe3uWATpUA/qRMlSPJgeCIIivtI+/6xrNqW2U9kifVEYNg9 8LpJhM5FAKcn0DdxKvJA1Z1M77M3Bvbug1QAW3C/u1P5NvGo5tiHn3IyjBmM55Y1X58cHVAgKasH GJ+fygLTC2JqdVv8Bh13Rmdn3Y/88RrsvF5dboAlLhQV9BWnUjoMaFDjaM5BTyK/MzEkx3tZNJhK XEahe5Sol8blvEnlRi/hC5exxXUFa7KhohScY5vBt9caLz4j9hcnD1THC9rx3179C/jNpV3xFX5+ Xo9i7MeTpgnfmzh7BVRX0rE3sMGNLCWI34xtHeLNtbPeGnOnVwllXrqPRzptwl4UsTx5Wyxdf0c5 4VoktF2zlwnq91LAxFRry6TnAgAh/8iWN4VBMSuUy7f+uJ6cNEnesXEEFi3mWhCARgvkaSxohTiP YUhewYGxSCwL2FMcBs+3b/iXKwg1bh8/B0mgEbpWalwQZCE8QcbiHlpCrsnX2x0JBGbNGYqVz4+2 cG9Qat4vDGSx3Sp1NsL6nW4A6qA3B1XPpMfBmjy7tAITrI/wKs2Hd10gTbpRc1571dkqxb0z79Uu /OmQBjbo7rP95IqFdxm+qbQzo5trFTW3JFVlbojH33xvglrFREstqm7RWU/SS17ucQoS8RnBaDnp T6f16OWabiUeTr9g0xbCvN7+lFkrMNdyzrc3wTkKZZ1SViXxrFd5bGqnlkSLa2QIMs2Edo3elLMY fk1eNfurIDoot16FOx7pPzTLGwXrva6eCXqf/93/YGg7+NTqycSdTCsnH28NS78JQvBOQX8IQwdu TAFTkyk3cO4Krn7L/eT4/5j0sv/smQcs43Gcx1kjvQNluFc86MoOcuikN4YY4iJp1CbHy1qNmq4N Tl+e1poxvQLepaf+SjueXxj419q5MH9jG0rELaeZayw4Ig6n7W0Ih2jJq0CYlt+C7lawrJPMG8gY iGHOHaV7yFOJXWjHrXHX1jSqduox2x5ElNRvCDBs0MpZ43R33OqwZOmBix6SdtYUySeq4fVPfc2q 8blbRcagbbIUzJHHuyrmw5mRn2wkb0yVs+IaO/22TsLa1yHNExgA3aFxSGYhZWrK2QvWSUDKa8bJ mOdmnyuWAg08Om8LiItLQr3iD37vxTcxoPspgtg6G2vdAfRFk9zObcYL1Fm0ZeD4i3OnDC2+oJ/P rMAl8FnC+a+KSHdPUGNW4SIUZG2ErutwheURPkkWnUlteDD+HAdp7HQzId8SyhRFJc6OesLhvIVW OpGmthv4kNifuy540CZGN45xovWJI8BVw36/lY2Vb58bcS41cf2F0SdP9Yk140nM8QOx/kEX7xBM VTMfVFOTvaAGznl/eR5ZbvHaZIwD9VfNb/7zU4K/e7reZs8eNY86r2sXCSkeXTAeIOuWUJI4tbgO f6fPG14wYEkfKyFbZ5nnUEs+WCL2AS/CzuKE/XkQllnCcy3hi7Q58CPpKjfQHBubPzFu3iyV8T5C 2UeGw9aLoa744xNPKXahxhGX+efafZ3xjoN9sJ48xvrf64Es4fA0ugnsYAPiDfdrIbwGRBozVWGU TfIiM7X1FD1avp8cfh+9oWm7muVVwqUBm9NZbRu7WVz2fxeckgnT6ePKttvUIk7aibX9/Eic5sve 0FCIoUnFMkJ+ZOhqR8CQ+ZcalTqXLHulado807ZLq9FG+yV0VANASZcKCaIcmkCIii0/MMJNUzcH SIkj4D2TwiZSIxv0aWSJ8ERZpbaJjfHQ3aI0cgSMjwBcw4EzHy6RG7io+kURvQVG1yax+WganfMT 9YFBRjYXTCs57V9eXw/HfVtXg20r/0cun4D96f3TJ9mdesIsOUGt54vix3oLLxdQbuA0bHjJfBqS 5DVPReuUS9JjmQAkygKcUxlxB6FTsPikRmoimn7rDw6bJufGkgmJZuT/p4EVHHtmW9m99vbhIU60 aqiXVkdlLvBajqFLUZgOZkxQhrfy6Hv4ZvjyBB3bZ9tSrCzcu/gWY0jm097yhS/pf3gKNXvfRDZk P8/lxmM4vDErByRQPubmqVQUQDvqRdHd3iZbV/8HmZawlrctiyvkA9AY4PwkEhglYyM69HyD2BpM Jaax1xdUBJXOipJMOkCjqJq3WrBT75UYUNmudFI9vRL+V03HLmVikY/C3psPq+T4NtESkFpqCIGh LW/yhREylQAE56vl4An1UKd+7XD+fsNaEKYML19DoS6f7elxa6OIRy/wDGPJVsPJgFWoxsmOPxbx jcq8y6vyY/DhyJ1uRxeyAtI7GECrJNDmWRUCiDY6wtxK+kxjJbqnRvB3+Q/DKoX4Uv+V1+2ikFbG Q+Phmy/KzI1flxnQNuMaNBU4hzv9hIttzt2zcK2Faiv5RJkLYPty6ESlV0RVWtkGMLrhXbIBFocW sz5gbQ2Qn4SdL+PgZ10QYHlsfFj4D+wV40g+GrDt6WRtW0gtkwJGchlQ9O1xm34visVgevCdV6wi hWdhc+45bIxEOetce4dpwtKss9h9uITnUlyDonuiUyD/RclFv7/jI3G6ZYzbDJqaLFhvuOoGI7KT fA6Fm8PBKh8Utbogr2RyOoD6ej3+I979my+30mZESR6GOUIiJIpIKiplylSFtNNpg8VsD12bQklf L0RMcjgH/pHcUUfWmcCnZ8smxHAZqbw2mvrtMYKPExBr79dsqYIhK42df53Av8eX0kPS7EuBw9UO oO8hol17Ucsz4KGEJOygaNY4OMmt58/3b6HlIkD0unWmda3CnuDUSkqvPF0MIvRNCIpjC+PKeinA F+SHltmk9Lg5PtArxexqO3HxgYc+xxMwLNDyOC2vLzwB+0MdJB2NrcviY1qEZEdzlb0sh6g82M2v PouKs5GjHDRJa5OGN1ZaIaaoLx75aGUAMup/uJaOB71c3GVoqSb4zhY5NXVBjZaJ/PQaXDqjfEPd z/CJLu6B0dt8ueq/y0tVHRQ9FGNUEBRgjuOBg/Sck+txZH90HuNgoWsC5oJIr+NzOMXBp2EEdkBW rPgOOJlVYNtCRMgnUlFsDeoaygoewx3X/PtGJr3EM54riEBy+1os6QkHrS0GzT5zOOE/cDBjDQG7 h315BzbvLJQ+1BPd6g/oJ/nX1zXur1Hqf7cV3ru8A5cp5bt47MGITsl6APjg0v75+xxy+uvlPYdI 9jWlCuQkjRNbGLHHvxXLBi4ZsTzmnFkznzVD9spPmkCIJM31QPN186F4dIWtaaYE+6Hv+WoKDDnO uswbF44r1KoHjJtVEU+vS+Wmu60s1r15Tr3NgM0mVZit6WHvjfOe5hrMvniFOY6wpCuC5OqWw1gz jZJxWGTDjImlQTYD9n4m0Ow8b9ur9oy3RtRtGmStdWGOqloZaSvy8hP/lmODwZkbEkCRa1e0VFFy XUK1h0/cBQ0WO5cFk1qTCMsyePH+IIESpiHMFxEQr+3cjbIgqLM2CwqeTSlZH0xkWfNoTle9xsxx S+pkIj0xWDX6ATms2ac019y+fUilU7VJbCtY8OmsXZilRzt9XX3yaHH0sVB/BGQEjL4M7RfLgEXm e/G3e6CR+uHJ8n1TzsqbJD8IzmmcFC3PUbk2rvohVtbN8yU4XXsGka5ctZ+RYokb7SgcYF5EKqka UR7u89XhvWNFSt6TbcuNum+NQOUatP4KvZrXJbCg8pdWvES8cHTm6pqv99q09fIKkX0ES2r9hm2W Q3vBEE86YbsmTRlOWFT3RpKA/giRlP3LJAQ/TMdMDaFp2nK6cUeAwS2ghcgVfGNTMHF3Dje1VsQW zUlZZBmvnDr7/gMRfPnwL5VpconFrt7XgRswG6rm0pcZTmMk014byRUiyJy3Qo8IU/2vDGipEn3m r7CXnKpaQnQu4aBXb8Ey6VfDQlEIdAiE9SO9MLwOcKR9qLsqM6wg3CWMV9Ajr3n4UHySwZPd5WGy iNtJdovV7ms59Oas6OFxab0dguDeTHqjGF46uWZgZdfbwx3xRC0BQj14ZFA/OOcyV9v0JFZxDKFw mxUox3VZsy9+qSenNslBcoBcjgazly64QiV8cYBPv4zoP6hibY/Jt6CK3F4pJAev2V/qiG03pYCK 2/F0CIj7as3LwIi2Kyx+X56g/fjao6acai1/15I0QVJTO3JyC03tbuidFXtwuFIUQnBYcsCJAP82 /jQwnosODGC8XXNz81GQMV8IS7CF1LNj9n7K1zHTVVSeJsZgxoN49OxOL/F8cnCqZS2kUG1QO+o+ 5D+8wPk9gZxwAgVrrG7jpsKYHtwRgkya563yt5GEdsjuw5SJD48UG1FUmkJxC6Sya+Wse/sbWXcW 0PKvDsrd3KVi5Zr9uX9YMfs8DtHFbsfwTb4oc/EsDTnm49yJ31Q5+vjTszr5P2wqozTbwSBpBhCp FUsrW4wS0FlnUWePB60iGrqqf8w+/w5mAHKSh8FOo3YRgyhxz0c3ViARboTVf4sA2+AKlrtc8TQV 7kobGIO2TebyJ3uPDXg84bJVuQgmw5m0KmAMsF1CdDF9tkgbAlIoyzV+VlT1HjDD+F4jmtIksaaf s64RJz1godYfyUb4nFLSmqpzPI60UM6cNz6xx97MhxsodHfXi/wMpuDcFNlz/cALUxveg1oeGiwg PgwQ4VeqfmaLe+7wzX3km3lEuNQtd3oKjt35L2iR3TVjWEvBvs0/BZvCZyjzRZ2N0ZXXgAsGt4YS Z2zKTDb9t99lvR3/X4b7Os+ltegFFl9iEnoDME51iRtZhdhK8X8LBqkQ9a7SALKAoNsI/SOngfdo TARgaObXdK/E/Vj3v8ZFgn78q37arxQUgU+x6MeWCvdpb5UkmL/QwcGpQCR7lEP+8207Cl1wa0fp onEXUt/OwI2+Ean8qhzlxtP8/CIv1WgUcWkHSV6Xn8hBHwKyqt3l05RLmWIagz8s9b6SpqSroAi0 qcK4BsRyxoag0f1tfTyB+SD95B9f1m38WGjJ223itm5wOlFy8h5yAwQH5EU4XAn/46suaTNsbv0J BTfgYMqmyVa7/p79EnIUdl3CH/OrwNnrIye/dZvcFd+nZ0cM7RgWDDKe3WxOqX2mZpkVazf9V+JQ i04ifNzXjIh1YiR8CdgSUqi7AYFSzwPbtzUixpnSt23D0eiwN9F7TzCg1lyqrcKeu0D0dIPy3zw/ 40lSlUV0O234lBprI31twmalsuYt5Sg9VYxq/xDS+AcS/HZcdePr/QTIlrbT3fCyHxLU9QvgKmhs Dk7ioqMw58tgqO+9o5YoY6K1Gx2CBcRpNJmWW3fNX8pil35vZEbO9hhWTvdXIuc9m64XXzd4u9OD n1w1bEUiNtaz9Ulk8bKCuTvUL67eStNZ7WoQqQfWAIjfsWtQm1dsI3zeJWmvJpixtmL9vXmAtHIt p4z8+q7oFq5JoNtUyJgOjy17O1aBhbmXdwET67Q0xWbcr0VlGpd+0bz8+1GpRXgm0hNLmavsYLzG NJ0ap85VEEYsbvEyHoAuZ+pd4EIr4IyO6qlROYU96srsvUmZBsSVoZlexQZw7tpAn/QdCeHwDdAd lg2x7nyrowkcOwv1O1JNsQz7EMh0L3Y64tm7WJ/msu963YKYTcTtx+hVPeqPVYeGP4eg9Xgo/zVb n4P+CkJH3rT4pcxyWyH9NkHxqcn9Nu6Ot1X9kpTX/Mqd9JqihIQUq9zQhvOcJEQwLx7eUiJ7fCum kLO4iIN/udl8f86+kFf4g7k44f4nvmaisyszuSyyv9fMHTu8WDfZCc/U5Xr3lBVss69magaMxkuL JpCh4nBFfMrkeoPiqVfSd0DigLJlvrhv8wkncAoEMoW8Xq0onCh7G2eckDZSjGTw7HAvCiUsH+Le 8TyWeIX28/BdbSUDyLkceE09jzrNO8ui9Yv9NgQ8k93MyaTE2TI+3wVjF9pUvKHma6P5xxKqtU+L iQaWLilW76l3yTbaauUUoAITJD6fYQqMSNHcdxqkRYPRUyYe1hng9vg4haS1uf/6Vvf8A9JVdmnU hlI+rajXfGqCoaa0H33rnuSdYulwlD8veOTqm2Dr1YZfuaBrX8eIfhKB4A6pylVz7rKXSUyN/6of dts0j367Z+aMQLZfGGPimhd6afHpdK2232f7mid0iahSQMC8dihIDWGhMn3EeMc8/hWMRn6e3Khp vftjAavt4Ine4NQxjocW1wyOr0qdWvzd1E5AGi6rP7usO70cU1WkY0WNUUuNDIS++61jfuUMNmAS kWujjt4MJhV4JrVoR/v9OIwrY4oRNhdA2U6fPFhIlbutvUVVz805k7mNUKy1qhPjNzpOvr7btZ0w 3XZQ6y/Q97LcZ00ZGNDoUfrWh3OKNIXuJOspbEJWN4iSZ5sUGgqVSsLMHHnPyHH7azP1I/p82mdM OTLvavC/8Z482lzkTe55kugLN2bNW9wh1Wcc4MKkRdfUq7KNtXvLyvKILNIl2ADJtEt4AhLSEEm7 msSJJq1i/sbLW2DmPIgJip/SuC/ASFA+YgXupcR9TL1+DHlR/T1ANfPhEpH7LLZX+jX5u7Y54awr 02wDYc8wEfAqM7GMsRmWVEyVwL9SI0kqnLUznKtHj6k9oPHOQXQOsCWbccSCUEAtMA6DkfHKEEwl PJdsmwCsf6srV3Bt7Qs12t5OfaSS2VqUlF/5M8DKbk5QZ7fwACCvt0yYA35JLKL5ZQ9oV3brEhm/ twhyCLjq/rtl1bcxYBJFDG75qSiLrPbo9ojN13KSuttvsS1sdAyRsUObLGJ4BigAhG5WstTuvKkX RbjFwOkLH7FBp9RvqnVL4Ip9OmDUe740EeW2sdfWThgzmDbyvvlxnWbNseZfzN7ZOESFD5WnRff8 XS7/2fmu6+1NGuWrt6goLcJoE6jfgkkc1etq5uw1iAB0mcPlmhINiEpaJgYmM1U3qKMB0oNGg2Md +W3vTUvROnw80WStJRRQuCUfTkuosq920kK6x69eE0tUJ6r5+8tpE/mPdVkoU4OKGbZ0wfM47a/h Aol/ZeygSNjhXiBb/YEECkGFfkUaZt+1wXJ9vN+SORNPbmp6A7Of5R2bF6+qSwGMj37JT2hYiWp7 h9yBShPvKF2tE2IBs4EvjsJ0FmaSFQ2NAkpVXLvtiNPEULbODfbcPjCduBS5zbu9Tm9KWcq9SgcK s6f5YwIGf8gIVOeo9KsFXXRY1qqDO5Mezh2Hr0vIGki3RPVoSSOQii7Z7xMyhc4Z9uFmHk1FKp0u d/2blPm1KzYrHY2WwvqbK5lWJfvhQI0WQ+ZT/bh23CC0+d9HyxJt+e5hebbk5wwZ8Iz3rEEyrvEX 8NNRiVO3NS2E4rGwKLAo2J7Cymw3SbrWn0u06TBXyBE+/5j043xoi3tOCesU2/6FjLRbLA1O7rjJ R4ooWR8agL4Q6t44bIHe8iJDB75FQV+T+uuEole7IuRg8VujL+Yt15BD1mbBSRfV/sTBqSYukIsp uRCAMhEfZTswHzmJUcIQJ04xCOHtj6L1TEFbVq+e2/5IUowD38UbqSIjQycNhHBxsuHr/Spdr7pf y0JbCg11eq3kT+l044QfFajCjfMAIxToHkjbytU139ANTI+zmvipXP79pfTg9iyDc6VY+AAgR2oa WAlEu7dxE2VxVU2/dTt8RUV4nZK1VuzCUJ8cQPS3NY69fZCslJL5ZnptVENBoQS+fMc0OKpMyUJz zHhzgVOyBvDcrglzSKwKJDe+ZnCfq6LuobPITg31R7R7GoXGcv77N21nTdyASuA46dzugNZsZi58 dK6e25LqntgMzr5mGplwpyLX3XDcGcxITbz+HHZepajkQu0f9WucP9IOEx8Q9LGagmKFr48FwfqN MVcB43e5trfMp9ABcnQN2Hg2G+226vU+GR92VglAIrDjYtdpEFRr6+EbYmOA6fmeeGek1qKbMWUB w0ZNPSA4/lbYJY0wTbEzKtNsPwJzQqXy5TlXgJmZ00ovCdxDY/tAU2FqWFEJWyLyH+EBMq8IbcsT VbGs/R/VmvtZmk/uMdV4asUd2GSShM7EBWUWwXkVM0wN32+d3OG5gKzlJ8r3fDM+0kDEqKXFSjNL 9I3Zh0Oz8UNpKEmjErPJz79J3/u6xdKyBiSvl6DTte/6O0+9VAZP3mNSxgONo3bu/5dcstIdLq72 3pVAMSGO8L1/BJsMtVz+vM2JgmNbw5QhRjmxrrZ0S7fYoHXamFMs548vm1/KzhdulMGCnZ68DDNg lWjeYTPLB5iCB+DR58PWcxCvflHVeJ5GQ0JHpx7fItSmmg7K4/0fwn/W6tm23bcCrnC50BsSIilL dOEGdGIxZcbYc7lfIKKssyBO4p66h7i8t3wf4Cx3qTl4Qj+jHNDyxrmU+8+4iAIQohmtnX0mvst5 /uzWBuuv9oyxPbFIOyUTENWu/87OCRTBoWziePeNbcxDsJSpEjJ13QGMgZ3Cp0PPb4fvMX7nQG8h 7M5NvWzA5XMQO5zOXp0AT1RItr2STEonBvg5XCLv59LPPUYR0mKnIImzndeZQGS2UVCyr+xx3rTX FJzwBneL3FTPdbUcwgCDLPppGRZwDuRbzo5WroXurPL0AfNJYxr56eJyzdazuvyKTYsdC9nO5f/4 G20LCmbfixD/UTmW2a/cfMEf1VJC+veIJxGnsiFvYSMPyX2P/tzFDIqGB9Mjl+wTYD2x6yTCfCzH E+kNN0ielxLpTXIHCtnM53UDa0vbHwfR8k2DnmYy7orzLSzDx6N2AsvmvQV/wsMw8hJD3d9D2m2X P4pZT/b0uJ6rFEep2wWH6AacO8I/Y7/2fg1TIZRFd+oZf4Jm2oYuh3Lh9Ny6kZJxwr2BiOaJbloZ HsN9s0CDntezGbGFrIlitLTj8o2V3mKzgeCSSgMutKITBqFo8Q+wQGyF/DUV+MilsoqEmFLImgem 5Yq7RcqWXAU3r8r3FHvQwFE8cjLQGw0h6OJxU5e1uXTAqZRidpH8nKXErYHFzhHLlMawkQkk/lvb owM9tlrAw6g5RiCW9VbhXweCmgTf0lw73CuF55pa7YVixOucEV+ysNOEHk8kInSWGJ5G4RznlMkC CMtea/+5JvFtNgyT68tLkhqxbMrVM4NK55Gu4dESA0WzYpAFEDPsw8tWJTQuogku6pKYtumbIygS 6XnXZ535S8yQOl8QzqxWoUTQi2vloY0T1rjEbc0/9OhHaGhfdBFTgtTeSiSsWIR6vUvtsDFtK2yE z859TiWAYipLL9Yv8mJlTc4n/UwuKfkvcmYgIdfZjI0yZXRyt7C9thobx04JbZ3mv7igk3xGkOTL t1CXNWm24il3EOsI4RI22KeFTUW0I4yYXOXtX+Wtn4WIL9rgCxt6OR95vbr6e3s5vhPmdLUzX52E LAc0W1MTw6TPB2N6ii7Z6XdiQy1UKBiOHyiskIfN5c68N53TShQq0OTEizLrQZ7Ax6XPcnlpjxyI zSyt7N5OHX6bnLwqbCixGCx9Zs7ddffPD6Nys7cfdx6vBdwOcNPi06RIMxIEeixob7An3A9fhMuo 4oPbnPbp23aW5LxOOqHfIPnJtsPq0f0YdvR/C2lJ6vJKDq5CBMGbBUbOw8aphCvApEOODta6Knvq KljVcwIjAGGORivPdm84rUBQJibXJ+h6FWVWrAs2B+n8CqqVAHkXM0ORNgBNrEDDxZcvNVqJuLDm BnI60VUZDcwIQGcIZttQCaWEsFaygfasSf3c73qoBkx3ZyTa42K+1Km2cJH/h24ppnqfGsMCDzKK VS8jJ+1F9Re7oghSZaeHZv3u4m0GNRJd2/oI8yLGs6nS6solBC4gwf0dxTPKnarThvz/wI6t/zJY sBRBa16rADvfgAUC9z+ClLvUMRI5quG21+TuBX9vb+Lq1s78jy/a+ct2ML4LxD+gBDxNYZo26bH8 0ipOb4d/N9Wg6WJXsnOmP+3vFgSBcKLFx9o2vfbKjkspYrDMjAjezeWLm4Z3oekVh9fSU0GP7nwV MPr6X9aEkRVVZZU4/MdwI+7sYxB/lnpv2aawFAb8yVQTrjtVUSzAzWqszkXdh8/2c+Y+VTNb2J47 Rmalj/d+LpxtLg66c9wnMM5NlEKxE5X8wyrB9Bwk/sy70bOXwOhcQQFsJiAUbpeU3rNxKJeNq70I 7adnRrQx/+Bcc4pdsc+Eso9cmL6uicAIHdwlSXTBaRYxqdMa3tyGEPEDo7EsRNMqISrJbThLvJ81 BBIMXuO8pzcRSfG0qSbIKqJY5qHpktYVHm7GB+Lm1gbmKjB3BbJfTT4JjweIOmnt/sQiHq1Tvn2f ADevCpk+zCLIiCBitTW9huC/z8/j8S/Iu/r+TJuG8D0fGsSom3bOjDcaFJdz5H5vJ8QJr2j3Szqi evT14OSjtUtFJHnB24pWwjFdOABdpTz87WAkzLwZyHJoFy4OUkiFf9ad8pOESZt/A5Z2nAV/VSBJ pt8qV+V6WfoblXM3JgvjxMgwUEGsn5qPbUbXiNcdE10l0E8DzH1nzD+GaQsFyFJxnaZQcD5AVnU1 F8RLhBR5C29pdGLQ8gLQsG/GwFF0qz+A1FqHo9joJOAvXRuWX5Ni9KZsd3KE7f84CcA7VQoFasFo NaBIDqq/1n/CFfh/HOAEMqnerkpBOP/tI7BC8f2EoGPsnsJhDU1z/xpwEcyiKGMd58MjFQvVQNQa 0xMU9NBndZdGA5RlT7suoFEwMMwxOZjhjKEFyJTPK/YXkgLwroHTm1T/H9BTQPUviW5Wztf8LVko PoH6CYAR8mseJMVMRF5V6iw9U/eP3Dk76p2XPNTbEqMWnHegbMC5oPAwXcREVIeJwMQVLuSeILMH vAprC/in0KeBjFsKmOr6N2xDmp6/VYNCs09oFPTN2mtZEokxPFPUiKbdmSmPjVX07L8ifq541kaO EiZypncRASmteF4f9APTVWXTQJWoy55GpSiEIa/qtSbKDKhhSw/7x4bTile7RQ3RVdtBhXEoiIcx 99kKgwUPpjpvH/h3ZUa6lnGerhIOVUdkP82sBXbz9vYRQpn3VB3yQi1TM1bFen8UK6nm7VpmxXMd MszpZtDQ4GDxhBIZ1NOZesUY1FhcoPd04dePYJJHGpdtS1Lu89SAL/YIP44sRVKmTUAmzw8XQ2AH qJvgRjeQQONRRuWpZEBP5nyzAxzeTws4zwIkLuplhTRSoafJ01agLtSoYjDVofCMtsSReixyZBiy lMz+t4zPTMIGTEKk2GWh+cYTv6g/W5cKzyIzd1Jx5LMuc14KFZ1fOIvSruycqrNtfz/+xDjrdm/x Th6DXcNCF62GCxcOsx2eexcAS224e24EfH3+BxLEDz3qSBZ1O3WkMdQEddJ1xuTu6G4jFYav9xNp reldnsiALYTXJK+rrGbV3pEZsiJBs1lceXOBYBNq5TSaONwdlN9p2DEhHwsJ14i7LL5ThSUaqy6U xhVGDwa1lcs5MZT+3TyogXwqqUpt688G+OpQF6VeSjgGxMGVNLPpbTjZFw4w4qWmxLxPqz486vt/ lJ7kN1/2rA4h55ZxZ2z37ZdMQhJzIsMCH9lPvUwt9tBvMrvxtOV/eabJH6r+bEDhp4TCPjDgkpkx gugvAbg6J/V/ocSRVuIUwXS+IEwy8IN/TsFgaxlM5vnogRPsF8yOF6gYqDf0cihWCHwwm1mdoGO8 Hl/DGyqPafMERg86uqRLNtfkdhsgrWot4IYxckxO/6urfecTJFCQAWVHoDyP8Pb/irgb9WmUJWym 2FdhsPvXmo/c5X4NtX6mzbCsYRr6k1byHq14a1giL29lcI0qHmFz+RPPYjMD1XEx/iuIIeEaaOnk 5saJYOjkv7BtZ90O344+5XboHQuk5D+HTz6OCNmoy1p9Vfhh/e1PhEkNvqSe+klQCyjpPNOj2wT8 ViI0+lXXnghbmvf7O2YRnEKQqmarZOBsFj2o0b3qLXFM2pyJqt1SvXAofCxD6ESt8ugA7DdYwp3E 3YHh4EYmkWHPnRGWx/tegDG6MrgHIyCHinnYM9GPZbg0EXXoAiJFpQinPrR0fW1vTUZZcFGl4Zow EFcPaWJzCECnQVAJ4vjDcSleE2yDcQbwfCHVnNZuyF3SD5N/oIJNAmiNoXaIf9NGXSDYVYT21Y4a psMLhJSRf8ewtBvCrP/FDCaCmw6nd5pTU5gN+l4InHH2kq3THNKBE7TBXYeW7wLBDd+AOpb+kKNO 5F88xrur3Ay+wp7MTwftPkWO+9nI+vlnIiRHxKt/Dqs0IESzfmxcBh/FTqVEq7HPmHV1D8vKxNE0 T67CBlsxwXpTgqK2tvM3JgcD9d2IvOkzFLFl4fdmPtPyfiy0QsCbm64q2p6L/KpQL6R22xlkMXYe KKPSiAPNWXgvq61arbxBx6ZQ/Pur50DlR+9SZfa3mCsk5jnhx7It31etcGMzK3Q4rES+WAddn3wU wDKnVpj8WxsO3ymoQaZn6bgfLHK+xLgHwW8ZkdpQez4oO1gcX9kayw/86coBpvwv76zP6q3LWkuE JCS3TQCRSwiX2t7I0EC0msbTw/Lw09mh5Prdnyi3YkXM74qaY1nOEvct/OZSBFhEdLvXreYWvD16 sexYnkDhu908rABKs/BnGuIa2XEjU7XdTfj+AVil8yW5L9ufwRK6Ub1LsKgpFqKNrEgwLvB7zCfz 7jClgT6RpOFANeAzP11FKt60zR3BPC5UxscW386dQISYhejcZlxnsLooCp24Ayqx3RuYcfjymuUM ch1frySu6ZfHp3Ya5keTlTkl58rimKNLScoNK5aLvMjom6qeREdBVpNsw2ulIZPcqutQf2lhULZg E1g955QDBqa5OhRGkpNmBp/lFvtBDbBHWgLQBrqo132o55GxmF8ej8RQVqjbtQpXeHYhJsArOXwU GgQomZtSNEbg7UkVj2/ihRLBQuUb3IoHWZjUEy55ozs1i6W2sRTx2h+To9grPWfti6F+gGZuYpY4 Dm6NVQh4FtNixvZ2NLWfxL7B/74Kk0QZ1yvXSACaDV1SRdyD7+7lmAJM+XS25tcUKH66HWd58LiT xPkd599/52J3tHJqmOgfnyRaJwvtMUGLC6f21ran0HhmUt3jepzvy9AUFdUySARvajrxA2B8pAx5 OHjxikXyu/NvXEMFmm6GBcMQhzuMOhMcv7G7hohez3kjLxYaFzGnis4au6iTaQ4jo5iOSfmpWHdA DD6yrvZTI3/kOfcWGCdra5OA5crdeHgBFh7Wu4ki3ydTMYlmEOCwUXFfgYR7HETCuxOBp3UcY3eO Myn1l2eBVkhPL1ulAr5XNIOCTn7xfffkoLMXMHDG4OajEOMZOU+mhNCyDhRApmAT7SRR5wcgoz/U WD17JQs4rxBQQWRKL0cEdBXeKGKml6uy4HnYbIrwJHcuVrve9HAGw8HFNCI2fuw1O4j2NfETqiK2 e4eNYvtBYP6K9kTLqo5uYbFEo+VUOYYEYGxlL4m12mP8kBR16pSLNg/+kKy/CFuCJM0ehP97/2F0 BDtu7hZXt7skoUaYz1UVjAz8Y9VJIXz0dCp4Sw9Tid67yrZsO6/o8mPxCmFQcC5rKg0kFWt1fRmq MGWTnGGaw8kVUELMfVZnqIyxOMy76RMCbuw8KqMRrDUbBOiTmxLViuf01KwJBs/VvBdyxfrZzBAr umrEuOc8zvWnRDhq1lBLoeFX7bTsN827yNgQX3VUu9n6FaJlVte/9ZhFi7bwLG2XqSArHxuYy2aC gldf71r6EeY8TRQazkmRaKyLG2m4r0wkfI26wKY7ZKQsIedDZ1T3Ko9z/H87B2bRXYCI8zdQDP54 Zx+CGF/f9ARTjKqU6IW1zxeRNtNguyJ45HVMacTETQP4u4IP5Nuas9O0+2s1b8UwW+zJqZuw22r/ uIRD/DzAqbK9gRux7dyjwPImvu9QZ8hcsyGGSA6jPL/LDhEzgIKOjWlqVlVkuYFwiTHStyU9r0dg VDEEepEbMScFz3lKV2XjChkTiBcc4kjkCaeFNeRdYE/9Km8tMWo80nLQTXKgtaCD13Wc7V33G30g BbVd8XMIZJymTzlEoOEpMG/AxXGeQYkFOEE6q5Fsa2X0HNX7e1IYl6duBkm8+Zd5oSDMmn+pmSOM rPii8tp5RLl/opHEDRn72gSSI5oDBWrSpwed7DMGacwmY8QOYodYlcku+6JL5dIdmnKfH3hkdPwM 4SiUruExFI9yYgw40RGb9VKc1h0kXwW3uWF9H5uq0UeEpYqWtLTmjPIzcz1NnQtvLjQ1C9t3YJ7b 9rXUhxK84RwKMehe2s43FKy4e23bNbVh+wImO74JNXp4XKEPkQM0zqvCGME4XgksJs6XGhG/xOyL nEBg6sOD9MicgYFII8PeQlgawbhaUWZDV0120l0A66T6MsjMG/DuVj6XSZk9lA4woCQnKx7rhnGE Scef3W+xuK/f9F7AA6HYGvn36Ric7O/LE/FKAs7aePIxGJu3UkfHBRGKlK0v2RLK1lnd5rO84Nry 44AF54XYxHHJfbnH20XRS3B0kMHGKZaUE7zJ3d10OT600BZRQ76j3CyYFTyBbQKkwtGU8uVSbauQ 0GNas15vuJEKGKw1jN5YqnFS3Q07E3JNp+Q4PQ+tqijfaIyZ8ze5P0GCuFaDtB8xga7rDMG4zjbE iPxZxFdWQhPXc5qDQgPZwMramglUb6AW5mRKbGGLotZHaSkZsUxSaGby/ntxM9h60xcwGurkTp0T /1T5qVm/wxYK/N2fWFZkba3Cbnjj0BfVMRmI8Nb9fBrx7XGl3nSPBCuNS997w0zoocx5ifZSC4OB 7XBAWeADyLkRypgTQkMtGJJTxpgFY6Mp8DbT1yYvPGMMCEYud+rS9YEL/PdT4+2htbgGV2fXMwaH A6uFtqRCdHzNMGsfF3aHxl2WopDO0ixZlZcqBUx6V+SIhkaEw2bIW+n9VxvUEC6QaRD4nkXosv7f SvxDqshnuHa5hc/+7cSX9nkw+vQN+wAjfpAzUjBW+kUp0YQsASKfhjpQCnf+hE6X0EkgjpgbQqqj mjPplpVrmzSf/zAudHLxXxPC/enWwpXe6lelTNKYJgxRa5po0j/bWwsni/YeIKCE56FbPRx4KUW3 tHsJiIRE3/LVLJSn85U5O1MZ0AcqdpLzv7FsdtVjyrHwN+wx5zq4bjeLBLUe9j3w6LfUc+Xs4J8B 0/Yx2aZ1cNnGLqjEdLuvVZBrb6+aAz2ZuAz/+sJKIF2ey00ThQuaqRbzh7wrgtHbf+IkektU4fFt qN4F1wy9+rpLhmzT5vAO2Bygwj0cbyuM9McpykDhKgugdmtzQ2kupqYQ+SAUi1UEZ40NKhWel0th nCs08iaXcVxQ6bp4ZrOykD4eHNMYlZpbYu7o0RdpweSBBFByfecFezOSoQ/3I6QZX24nK8wN4uAk RwveJdxPiZReACZ/obvdAoEOYh2gOdjy9M7xzIMED1GjlhfRg2am3cnIOcXo9r/yHDryE5kkGMcx ryk7MZJvAcm6HYDLJeXYudReLGutuucFOYyWJ0zyCE3UX8ObsbwA//rwlcYZfjof2LyVWATqOIZU ANA6jJIzpSte7/RB9npRpHLb74/+PiuBu+1TLK+8DYrIiV+I7e0kfuZu3K5R1LmmGpo7PO7R29oz HJW1aCGSzOZqaQxDZSKG7eM6xLRTFp6guqAK7ccmOikcUpgga5yNmJtIGxBpNBEaQ0ZhUnm1eV/D yf/cMbSSI8rubCpBr8/mOkgeP8hreT+iPiiWXwCp/XJOY/stRU561ePxyzOmY7uUzdgjqQXIUlLC dymjL6TAaJb5+BVZ8glv54NAz2u64Ir1kzKPX2mHK+oerSZwaVTh1QvRffT9T952+1Bv814Eq6kw H8vv0TOEGXgnBbblkyI02nAc/tJ9+IVmU8UXvYMoO4SBS9qrx3Ff4fTxdw4cq5pdx+R/xMjN7lzp OTVNJ8XiX85gZgjOViitMT6cN9hNhGpB6yyLMfXGp/LxeSHLuD72i1fv7JPRbIi60V0COZ/3wsRw YlBJvpnuVeJ2exDsPTTOVzxdY6DVmvV7nx0d1XUNio2sNMfrOK3YHNnyqP5W3NaISUO4JB7ZMRum lWCVBxiBlsukpC2JthFgZ8ThutD/jMZq4dQazzWNscJkTelftmLry0QrkkxUyjlKec0xflfH3ElH 3chYo7mx50Dsd2Y+cEGhgU4atdQTXPxXgGHHSeLL0h09MId9MTAagDBVVDmQcpFqGVBnpvGsOTwq I/U842as1Xdy5u4vRlJBvXD1i+8/01X8+MjNDCEPwQ3yaMCnF5PAy9Ay0HQLQ3xQY0jkmtebci6j 9Q2QAB7CKg8+2kMwyfIGZwbkSWQN5CUlSSmy7kQTe8pbeT95/Q+yh/x6J2bOL+uNqMlrk2mF3wKE QKTFo+Io8468bRHjmfDqu8DcldJcZgF7aFOQN2teEftM6VWm032sRyxTaJFqD3c0rt+GOr60LqgJ 0354Ypb7QCi3+V5xlaFVJg/B0uHTq/qNpk5Pu2nx3SwoMC6l5BVgrvHhtwjHybnoaX0F/H3tQmZO nAZWCAFczWQSrRxFBiL8e/8qMkQFFf4AlyxVLJEd4q9lcgRUNPf04i70ria28Bd9CMkL8VfVTkE+ jMCGIuK6LzxOrbzNr3vqJP66UGqg78jDnvOjFtRPXmTlAfrmiwogseo/D50U1PzznW9DT77eYPtS W2IYt7O6hojJvqC5dJCurqudcC6X4vIfUJZxUEXc0k66USZBrVZuLEMCycHLg1qXMYW188qjNuom WF4czvOMR7dx1IMI85budjDel+ZtOBm5udkGKPz19XWfAUq1jovpwmltQttx6PcB8ikxYLZe7aHJ KV8qKxmMzYZE1VZHGoYuqgY3i2RjA9f8+Kz2dRP8nKVeaQpkpVzjjzNAY+iQJr+wTw4BZDMTRMtr YqOSkGNAqK5zxqt1NvdlsrbcGkorjZkoK/9ouY1t+vdp5XfRCOq9vC1TRlAmVwk0y4UQAd1cUmyr hzdFOP4IzR/3RiMmVnYVbE/mMTZAXN6i+cgywntT+v0TI9JKcizAIBWFBOi5Y2N4Li+PdhGKSHkE ddx0qSHgXOW+7U1TmvqvzsAGglcNlJYtyuovQ/CbumlTIhlMmVfmXs3N6i/O3V0o2kmLFxgIx9IM 3IA11q0KLphqDCHmYymakWTQiGwJHEcUQsigGRqAYjIe4I/Y9ROcgF67ID1LVW9N5h7I+ze2omH/ EbpOLKygdYfljDtCsiIy2tysag1VU0OYwA+pObKVDopg+aWZe2lEOMiAmefir0eO652BSiOj1skv +Sct9iRG7R2+u7jtXS7jCRhhruLfAoIkRZ8qO9W3BqjzWxKalo1jqbgz5bYpmTY33fCSsR9xQHFx C6Pmh9lLO1FfvIrBKXznYSQ+LQRLaBl4NZxHD3i9EBwN05xVxbhRX4xf5SMkijjbSM1pXSjexOqr MXpJTI5RHmvS1X8/KP4rsrRFxui0Lq5Soka+4MumZm3oV7fS0ScwE3ZnRyF1cOUXipX9OrEHJ355 N8QyG2UQ8TbEYFGVMWWgEaoEZJDOasrraSIhYfExSnTFwJvV5pm9GyuvqMeJobE8ZehyqkzbG5ng E+P9vXjTtSRhcJ8MVCUuiiVpmk4o6o9ssAdBUrTgkRQmiYSfXoerwFutn9QCGceUB2gNG6G/MXVc 8VZK+C4H5039dTQQGRp3nnEq8Nr++vOcHKcDDoeb2A6JYaaoQAwN2sHGI722lQafi7gMsfCw4rMG bRd/1kVtgIsMOYW3Wxc6CqeF/6HI0T1a1ALj6zBHwrlkEBG6LzYoBKu6ThMX62N60jRKiHMOtES9 O5XKZqRtIZDKsVzeLKgKeykSQPUdD21e3xJxQTUUn8WeBisAPToY4CXgxaQJEkB31pjhDhggyLoo 0QeDhvZ6oA+rQqQfL3bM6WSUmm+seJwd0sHY437Q7lVYHuLEnNhbs7QBs42deg2VfeGRZZqQjXHj bgtv2Sz85xcMnPz8Gmj5FElWa1KS9hGdT9H1PiHmsc1Iy6WjwNRBU7SS6VNQO0UimbJA5nxbJAXc 0dRJg8riN1nZYAx9YCj+m0L5JQ31nQkWqJPgjlUPcG5l+WuVq+PX3xRWSxguTMz5utGr2zMOHxHi 76IIBvm2SyTAbycARJ28gKbMSva3BSp0bsTIxYVTtkFAXtCTk+Cdw9t3wfnqE36SojkuIOGMl1h8 aJJoCzzfKVXTh87GlG6jr/p8pxW0w+5YlV7f14WGIB4JIdQZ5DH6AeYXorSqGIVa6pVXX4XguzNH RtwT1dH+RPvAJvqGJPYbzJb5s/JnAUt+nMYl5mmceqnSLmmWiPGjerz8d9hX3xmUnqssUfhEVhFN NCF5wXARjHT++hojcLjj7SS2c7eu+uqTOUrqfD5huKvrGpQDzjKaIRxLZitkTWxZw8p0KlJYNFgx UPaTt9FzF9HCACfRDLwNjbgGSQDQo4V6EfcMUMGbRb7tXFRzTJ+JtgGO9f1BgLhth/HTPmlH9L+y 5PJAew5VEDJKTytJmlCw91rDb9L3Hp8q9eQJXTYeuXhetznegbFbnMMowilZ5o2kPZmnn6QXqV8+ 35oErk2Ggd1tu9CjQGH4xypj8hqji2V75NNGP1KsWzRarvihIcsDni7LBNF/kAI+SBXQX1syhf/E lId5dDtAbXDrVSx7LKkCA2QhNHeRF0ZE2Ds3nOtu+2sUoMvOwcDqaFX5lEmf89epdAzIyJaOq6PG k218BR0Oex7vpJi8oldpPv1lDl7oyHFcnAV33drJFsP0oMlSy8zkgZ7IQlrQ7K/grOtM8apwsVkg 0tYLnLh8KhC9CvcvStH1GDkXZJUzQHu6exgKeYFNDqaI4F95Sxnpr+aJKy7kWMW2Ff3YJwOzd932 OklEtZaRBXyBOKETxHGieImngQEY9PeG3HPXIgH0aeHO3WeCjN2P08C+xPjF6vwkOgBzMGbN3xgT 3S7XgnEE5U1QUJ+xs30jCwXvpbkINJPj7RAMGGGRP3evRbPeUBDIMKV4YMoEsYowlNm+wbak7L78 1PmwCSriLNMu9pdygCBIP2r01sQmVWbeBeqhtVTVMvGyum8wj94wdE6bvl8QDBJ04UV2Qvt9ylok QRYKRlxf1uAfv3R5//PxJitVDCzoB/ghVLi11P8FviHr+uFOqNyWAb+mfcVomBQUnOM9IEwuoZnI Dv9xMVjw2yOI5EvWPDRryfQwZ+XWWDUjyV9EnS5X5LchI9XsZ3cvBoDkLW60Toav13HsGIOGnE9G XZGkO1HnoY1NsqH9k+TfTnrJSs5UD/sz7O0c7EH5c7LNUJyz1LdXzA6bHxlCF6KVnJ9DPqArmptM rSmcOLO42Pa1QnEJOBdshO7eAo95jP5IKHpMiiTgxd7Mtfts4QHCWkFeFfsnQF0gEo4cuvLWAgz0 I7N9YV4gNd0u0Kt6RZ57BrhwgBReGYEKV5Reyt/LBN+Pjjtl1SGulw+MyDwzBrXypPwGKBFFChuG t5v7RfXx8Vi+W9KfEkyrgPXqSSycbunpgrbNNUr4D0GU+5Yimv15OboESsdGPhHEfaqa5c27OzBE qI6bHQYzk8cMCK27/+NLW9s7J1fy+VphqmKniZO/ujI9vZj3cGgaAMtpKdLGkVFQQgUQy8Mmt1kG 7kLaP22FvTop6C9HFfcufCgqLgZFenZzT1LtDE1UFZ+tfKdOA/ZUL12383YGZ3tF3mrxR4nK39fX IMdW1jI7Fbd74ehs60B9WB+4uHqXe4HZi+u3DtJtQ9dlprG/cVtO56/g6hYLC/DgRvvw7xBpluN/ tLqfo681SmIM0Z8CG0azu9NE7JqSaHvhnLbqUVtHVoOM1yT81eavXq3dOsHD/fnHODKR/XC4TM+p Rb3Ts8JWlOsYDj0TlByDon6kLhC6/NHcmOvn5IcRUBuIFSWdut0UgQqSWw/O47kt7d4zQxs4E61H 3UGwf+cvAHPxLibP5RG5X4s3P0hGUCe90Irs8qVUnodmjITDOIdEld4NpLBFWfx3JFVI1kIzGomS ojScRN2gIstBNJO3bMOoJ6ZqV7ClVqBZmhBY1yzEFk9WUXG+zQ36vR4DK3rKmXzNIgTHUdyIaCrG YZZ5zgKN3Fj07r2zhpY9/CH0qGHhH4q++sQlNxQzvmvokXepM9xDjjUJxpimo7w2YEuEb6aNs/au CuG7d/Hsapuk8JijniPR6k6FyPNOpzsXGszWhlmSmHPmwbgIKjpAE//wNqXlK1om0quXCy94YWp9 2vZii8BXMZU73pX+mGGUzL2F7vZrNsAyHruJI824nywCAAIna1soCy5NxTvwAL7K8JslwdjOL8US 3TOn7OSxkR9rhBhxP5uEN2to/6Tb4SI6E+9LSdgX7bM/VReXVEaxjWp5yfjyV1QHH708D7XWJrYK qhTRijSNpQQn39SWOMWV2cOCcXayRDXq25OQv0BYuAz99UpeL/Qt0hni/kf9788RPCjXPRxIXX7P vw0qzs6Ge/ZkIOnNlv+JP+FtV1wtk9OKzholba7R+n8ga023gMvRVp8SZgn4VfNELO1NcFl1wMKL v6/jgQf2BAdrRpxB3pM15NGQmXp/nZgwfH0jK5/YUObJZ4tHxK1TxOzmr/aEoJrOkCzy192f8LfZ pf+12AAXsC70Lr5q7kdsV8M+56vX4CWtgIp7tlPgW8OzoLHH1t+mvgrsm7G16/yYpKGV9/VSJORO CaUK8hmrn5PZX7Rr+8pTQm6TuVCyo/m0qjAWk4HgNjfVJWlYxLeclKW/9OuTMCiCxjw2ipXSsIjI yfED2XnlSotBtri3Oe6kWEov7wp2KrMlegacZxQZ1R6Omm2wI78NjH+dqnnj2Wgzf64Sg25bDfGO g+NfwHbMrGNFmEQnnOdfehLKaPtZ6+TMRJQlmAXwnVySu87FREttDQHh1rTq7jyPUYXWJKXXxc7c L7t5CepzkxK1Y90DnwyoFOtkMiAqxfpdZAHvdmWGK8m1GLF6Q3Fp+G1WVy+Vr6EbRcJbUofAeGPY r7Leb21P4pD6gGTHRk1zpkO4ojmvJsNZRu977kfF7kH6XzHl6Vq3ub+wICJCohCAHOO2rsiUGPRo Uhv/WsSB5Fa+lIufVzNIZwa9M334T/5CYmI8UTLXzaHbutvUKnNnTmeCR+hhZqeP5IMI910oOGUp U5HaMJ8m5bVQPLFuYKC9cGxhJS623gBsY9K+kSBkKle4t0UWqGK9UVxWxcRCJYvOHmz/zKbHtUDx jjYSA0StvnRair2m1YDI+m64xIOvABgUZEjYi1+HZlzPe/T8FzpXz+sWTrgqnLElnnXtJnRNq1qQ z6FJb2MFW9WHxh9In0tGXXr9hE3GtSxJRYLqccIq11ph0JR/CJPr7pYYKgRdrG8mA75A2T9sanpO HaPjV7MwhxrpXvW0wzfQP3By0wC0WmXBmzNQ/u2mGYUfcs0rt1mpuVwuwjOpmKPkUG6PBgygSPev Xu095xP09RqOaQ4en+5zbHsxfvYJLoitCevbaxih+T3QTXsuVY5gwkzvabVcXFlu8lZUFuO1NTRH FojUkjZTD2uB3ufj4/sfqCPx2+jzDokj9ZtbcUKAbY6cKtmBK3F/wr68c+BS8tXih7MLEAQ0GiYl pX51AWAYdJwGn/B4CK7lWmm/WfJXvPzlbudeBoxaEebOIGcm8grjllTRl1W/CBO0FHfY1C6P38Wm 1l6n+Ngx049loMp5xvDiqdFT637qCKNn6AhX41wqBGYSkg3lQ0HSrJX2sHQTH84j6sAxb1FnVkmN 9g7/GaohW41PUStgBbriqUFzx+NZMyarIM+BAInApEHe0uyHHz5BjkfjfUgOeyMWdZP0wJ1PKeAF BsVB/Ya6Tlum6MAjXdjyStT1EIQevO9Fv6nQFDeiw1DgkhR6Kv0F3DC+eDos4ZN+4wBmVkrlLjHy xfsefPPNixYAYPYL2Ax4c0GaT+v+nQ+B5GRWEowSPpPJFk5ynzqZHS8b/L1+y0EcmMfcgyGj2Zh4 DURCP51WJ6srrVK07QWF+TVpvkfgT016/8XfmN2SzJdENq3f02YQlWhQtsYICxORsqIeMwXSmHJG teUGbZGXBi/+4+tTVMPVO63sMpHK2+t7bPjpNL6vTpsl6d5Sr1qdh6QXhgXAswWZE8Uvo9jnIux4 hLDtR3MVMLA90tQgsnraX0DbVQITIHC7mgX4B3IHeJg8gmlI9auWYrC1NyrVIDzFZNpMCjWOxitn T5sGdnIyDRBfy/0CEj7/llkTecbt8gyj+X01cDx2+s7HvpZ9U1f5DglJXsKbyuT+cTJAxAfzM9Zz dDYwEB+U2MgpIdL0o46BAFdUW/ht6pcFDPlbCADDS2aQcwWMkwwKFr+VoZUR1bauD8HmV0KDf771 /kNlNhbVx3cGV9kFkf6/QGNbg39OPkBCNJz8Vzxf6OXDJhAtN8CAuGFrxuVx+slCKKgbdUXkjcch Gy831LwAYs/D/u/WjEz2XUQ+rJUwNpTOSIgeHk8FGITcvz7giMx9p6d79umIzykzs/kG3+JlCf+N gJW6r1w22HqGmiE8/1zd81SOaWDwTgRbHFY41DSdVQ1Oj0XGwdDO4XCk03e8hNUyz6HGxSiF3hN1 DRuM00tEYNge1yjolkPpraLj51RMy1qIGpTJxl8FXbYvfWbZSQADVaIcrunWjmdYLsnFKSrNC6PG HGegOYVrT1nQjmJb+uTkFfHHmB8vsYJGSB6Lxxhlsp2dF5HP+/jCTGdKEk8siB4+VQsd7Cb56rW2 rhMKzfjM2IGl4fszfAChdp5rDgegS3v5xbKgp4PA1TxcQORGWwNX2Woy5gDPCMdDdkHyFPVWBIFU 4itay7LyZgfJ1VGX4VPCv9qCeTbyBUvL1N0H4qpIjd6ndrQFfty0g1AelS4yR3Hp2h1vXN6scIUY 9Q2Yd7XF0WoJZ3kUQPOchtIYnp+jOriEBcyCXnCf4+eEPUL8HTJNPc3jFuGSpnyEezjYDpXmbQ93 vRWoPfNTwiVfYrxBhBnPic/Y1BEtHxsUw7DL7ItWpd3dW7Dt0jZ2NNGmVwbHwlx8MfZ84pH4Z4wE YYTACNRSzXT5DGbGzuMuvRxO747O0BFgNISTLoIcCoNviPkarl+wiQRA0Qll5Uv75qSDbA2+ZX5l lnw3idIxYEfpxjTzS+Tgjmh+CJykMUMyA1I4DPSG/YT1ddgN0oTZ0KNbdSYQEZ0Z8JWOqkxosP31 Cm2Dz9QcKGlRIrDDz5zARy8yFgtu9avHvFUBxDUoiSO2GQ+kDUNsH0vAEDoxly+hMvmJG6Pt0VWa AVFJZZ5X7BaLRrbDo0ZCykquucMWadl+JpzAFrBwRQBBUNwLpRTO0KB7gGJUlDsFWlN3hATLkKsT AJjbujZZ6JuFuqbl3LXM4/NbSA1iFSpvBhaKLd1H4ru7VF8WeunUej3/Wj7NYBrsTi7nwSHRnR2B KeeDDGGzT1skHpFD5ISnMBhmb+zJo5phA7JzJxjbFXSnegISjbnqT6lYbXtvKJjgQkh0GRGB/nLV i3cmebka8cY008DlfMFmJCwuTuT+ZnnIUPsf/bzospYdttJA7lDohfJ2ohgH835HZfBPHFzjFpKj pODBQd7iRJRZfhj8E/3nNFocO3KTpJHfr2towNodjFcK6+hdQdEjqAa4Y+UahWy3x5hnzgZ9EGkx gb9FfXVE5ghaYQVnO2KeaHPEtloLCWEvTk9nehUPlma6DY+prpAGRl8BpZXwapDsN9dPNWKfOyr+ 4VhJ09LKAAKitZErUCqBVgCD/1owy4zHpbuUFzn2VLQmLZd83/kxPF/ZcqIr6qXb7pMltvN6lC3W dffcnIFJ103nOrO68gGQZ8AWgmFSMBJKVfFeAJf5y8xUpPxsTcWDIvlu47lzZUR0ptmJx8bYXDFZ TiM1BxG6ZtLCS6oKrUQWbrdumen/cb9ZQ80amXU0/dwF2i6diVb7eiDYjCXYpUdKwMk5CftIBhrz 3g4FxLR4e0xG3he37+sWG/GazCmvIL+yFKkOqTsjmOOhlVf1tWQvE9LLl7oS0UKpeCGOKenLpGkX 3t6PY1jfSBMGlzymONv6FqwbD560fMabfPkfyJmSkaDEtVNP77yhO7JxiUUxFsCPEQAs1bSHlee0 kagzB9w2jipWMhv26VM7SCfmxR1QsV0bwNEEpN+OTLqb2u9Z+pOkfE4gIQp5VFxMd0/r551FyPwV ZEkB7dvW6Ndd/xJ/AEPJxZ1hjX0XaUKGw794gRTqzs+i6VP68UBsbfCr0LjmHWymoZnObnJ8n+ja z6OKb2v0phMPSAOfVSROgXKbY0zfPEXfxgQAJyo0Dzuqwocc8VY0nB7iUhKv3/paMiZdKfbOmh3B /acjrrG4VleAnKM+4+zd6XGOJULfGLH1ejKF86sS/YVnqXOzaYId96sHWJRFj7HAKRFdcvcs1136 efIByoeBnFCoPcvelwltGxrv7Z4Mkb0MDGucE6lFL7K8tnaKgF8Qs91nlC/kRkRKTn3FbyNCIj/q bMVa17pN91+6OLCZgEWX6t+ZOJFtbN53o9DDfQd0z+jGCmoG1c6Ko8hn/jkaEpmeakzias69GueN DIyyo5Dtgztnku4b2y1wF29Olb7bIPX5s34jX5SpmgJhe9qT8Q3o0JdOT9ylOrwPvUI9apuKvnEy tdn47Xudp2QABWbCKexI7m/nk0LisHiTFqyhdMNcr4NkFn7NoC0Vivy2efOQufddbq9+vOOSCuYy aBctWeIEWhjzsRoOXLab+kNcnYjub9ttAkz4h7vjBTe9zNLfM80nQTIv2bDczI+DB2/QFyJhDYoe GLqrFtqB/mnoheS9+p3oPCegLk4gcRIM1DjbtYgP6KCACJlWz5DhFtmymaZ2CYsY0l6JqgSpSQtM hlm1q4LRwCZQglYnjKhRo77mmCADtw8y1UIWGC3XVVeMkVJxSKEV0E5ZDX2ijBJtvROHYhWWM/Tv DCJT1PNV0eHjEyfBi9xtc4SyIbYav+f6jkXp9qzlMOQRBh50mZkTb+zGhx6JH7XKLQWF21ZJ6Q+j mos6ih+8Xe5rkszRVPVa2QRfOqegIWbSiEBk1mnO3Vn2gHjFnjhzRb0mTGUrmNc079Ba758rBdvI tdZFEmSq+TpDVmMBdmatYWv/RzjjxVde7T08AHA1E4/HLAVe/7Lgs63U1llJ66PKaZbEzOTrzhv0 oZcdWS7abDXfUWlJK9T5DtKV++CV9fs5g0sfXPnpYlUNyys8HA90nE+gCvHwi4gYrzl+HA5fxczK sciEb9lokFTBvjXHdJmh7/dX4YUz4RVIk0xfnQTWXeF8TpWvwOrljhurbIDmrerxcqj8E0IbdbnH ryGQHqMm67q8uWJ+E8HaHQb6RFghTcweknGecdYwK0x28kCRqS71M5SXvqGyi34vDja887GU8Sek yRFp15nrqSQbqgjrZZaR7ofAB8sbYl8RdHMzpR6rXNOp1jYgnB/OK6ijWA6x7iKdme3c6rdR3hz4 qRf1xXH1UXcOFbA1wVHWYV9k7UkgW+PuFpnT8qtzexzuAy2YlfGrl6uecahwtBNZU2J91VqCMCVb AXOD6ie7xZBZMNMDN5eprg6jpr+M7O7uetx7pssMknjabMH7x15/WnxcmS+zInk2wOsVlKZtpx32 WtXxDoDc8c33P2VYWnHck+h7vr5yENRwAk0fPE+xNNKtFyCLLrQThUK9R7SiFGnmE0oejr6qWrGp hAWzflzSQTwjfUhMXm+hUxrf7hhK/imznH20RjjzGOaC3aMjlUvgSRa378Fsc88mPsVmeiskghju UdX5LxTX5LbxcNBbxtDDcy/KlR+oNU5mOVLO7YuiR/FNMsJnOTkOIJF4AXJOkW0zqMWbzwCYStKB Yf+VKdwfKCi04yXrSfW4HqwHWFS3DKTgDwHaRVfNsDxBoDN/vYWhM9SydOakMX4wF2e+0Z1x7Lf9 JUZxPPYvBgsuJtMqoMHJwqrhuKR9wL9o2eEOoiTeUpRsK2kDpCHywCqy5i17q8nGNREYRbsnFidF aKwjHgYHgQwSzGNu3xQn2jJPCIeLVVCztlo2AJ/iYymhZaU4YUymdpb4T1hRWU43v8brPjEY4zCk y9fcKDTr1Bi5XLeGL+rbqNfThz5Ab4jkhbSLUqAjY6oPjt184NPT7pf3rW45Zn1U9isku0yZmKD7 3+9qLsAs8VwjtHkoRqAq+ZMAAt3L0wq0DoRinFrOGp5+Bu+mbg6GFKGzXlxBM12WWFylanzcjF11 9BjvvnnIym/dA7SocLFzpBPizFqiDngsdVfeWU/oObK28fnrCqm/gtyUEnrm6AffvCgy9NNOVADn lEx3FDl9fbrezN88QN37L2pVBplMzQbgI9RZQN1YqsMFUjM6gGYkbiGOTVeddhnCVm2SI495/1V/ IXxcddpJ/+dkWs7W8GazjVo2EW08xR+PPp0QZggmuEpA55bod2f1jyJ2ARU6/qNMMFYnaPQoeryr T8Voy6u7at8Gv1CWbyJU/lIYiqVPq7BXPXogIVQ2f25daGmSzjn6yuAYVdaYN9ub/gtWq1Zebebk AZUTiiLozPl+kHixJzNUZDofJM8r7D34+7L2uAsQ0D1PpHitlFKA563gA2LW6Z5W5IW+pPn6Jjwu pN7JDgI+u49oL/Y/ZhICsOptReVlnI+uZNhlyhMZMLueTIR9mHEpDJTR0/aCRSV7K/cwxHmy2Mez cDeUE8RrlOzuCjMxKJflLRPoj0U8715QamjhyuaonYzbpffkQ9q7oBMveEvMzh6n63IgxKDZfKfo QEOs7EitIboJUuR0/fUrQ5xZZikdX4dblmNPYGlbORIjyfSvVOEXLVYq9BmdaON9G/U14YhJJuah pUR1FTV45Wpn6E5eU+p6c1tIm5OMgG8LPjjw7z+abBm40uc1L1C/Ias+VzAKmp//yI05Eus3NAW4 A0NRDTFKETDrrx+AFPxHnR7qgyV1Fem07POOQcgdl9ZoxqahTnFKvcDkaWaYbVZA570Pe5YZll7i VJyXki6rWsL+Ghfijy3EP2zbVt6U/9Ao4Dr+k0ughgDdJ4uwK+CO3UT1JbPog4scyMRYw7Bwz/Zr 5HoZWRE0SYjkHKSHt5hjfNl+3vGDqSPl5bkK+K6YdcvBmVSodimb9e+yBTO+nAeva+JXP0hxfXeX j/s4VI6cJIETp/YLbtN6+2yqAfVOnhOVjzPr6Dj8i6iH4EmGpJAVjkww5S3/pYKuTfzxNiWTNLhE wKEZ/I2GlwtT/78eIEUoI6ysUbqlT+URYZeN1ECj6iDLmDdlBHUO6bgH+v9XEwKfAGe7ymh10rtB lZjFBW8itPsUPm+SvaumW56KyUkmfQgxFKlUVQc6xftEWGCgl2MGRj1kH5RjgJQs423HUHMx9rYZ Ly4ccjDRo3Ef3PHzPFMX3vXxkCB17RsZJ5Y7KVbZkpGCtZhsmzPWGXuA2Xbq8iISteFBddrRND5E CQY0weiWOzWfy1b2wy8qz4aWwpubD1wCU1kY0EHHjl6hM+NinUCN92X6YYgIRDSd+WG4sTAweLNQ HTom3X7eoyY4GwpD35P0Xs/Lc4LGfiyiqL/uIdP62z8ZmpySE1SS0tl4rEbteVET0diZ5woCAfLP yyjBKAB2uHOWlCfsP0OqCe9Z//DVwoTVZ5VnIwd6sbK3HcuyuLS1V+vTBQpjTNDmiIUe7ZtVRkqw LaS1VVa3gdoDtZa6AD61Q0DPYkPwCCmLn+37SU7+1hVehPz/Agv1LROZgRJdAhjD9Txvfs8d2NoE YQX/70O7/ALqgCBXzAQbhnVCyG4r07S+n+LhnDtGWgpcJwY3jsKpZvtDY345fuPEuLrz/AwgrD5x JXypF5bL9Jh9l5b1PtnPSEvnuLqvRD6MOVBN8fyT6/MaGoUJdWiupG5Q4+QoKZtnv7hwkWox2oaK hq8DWUNZk7gTqTElujmUOZhlhszJvUzgdC41gCQuvZ3vRRL96SHiWqZoSXKfrTx9d0eY26qorxyX PDl5S9lShBaKaBzt8VEBfqI8AoH1YkDZS3cYAazmmN/5AjZoKE59yLwrWB4PayzFkJnMqmSNtpE1 TyIKUpArmcI5CmIeS1G+ESMNSyUbFwPPrOel7rSUC7HVzBT+V3dT6REHqj0GKIW4DQE4r7CEjI2z yWCl0tb5kFxG4ZEF3LwH0DgUm01mpSKbgM9JNqtvwoBnWV1gff31msrIUVZpxFGlM8VGvhWWpKgN AAtsQDqxOcDPUYePol2t4lomQ54qaGvA7gHbp6mf7erZKWpH97qqWeAsW43gxh+YJVizQWzUqSbG MLP3UEWmhK2epEGqB0fCbWZH2LpyZYyD7dgnbIV91NQxvxKIYMm6hSFtndCMyUpOacXI0ME3ni8w WodwuGSm0ySezSMvRGZtdqiUo/K3wWDxreSwbBhuxHhR+FTpr2dFmW5tCXtkflYrzuOoreJtrHYZ 19afXLfNzlI9LToeVpAjWsJ6Q46z7fMSZtEEVIt8GPl1TehJfXZGptqAWJ9YZUE5j0iJOXSZ6Ol8 NFBAo6odA3oqh4qBc7k/lUF/HLST16C026UnUdDfAxmWE15Xsqu7fDvghx7BdsqDE3qT+NEuA04B 4ssomCkNCCQnljshOw1H8cNCRHxKtTzmEFZcwLSnJxfdt+mIcLa+B6dMcBvGrlzgDssC7BZ5K4y6 IUtN02GJLVFMxn+/8a5y4JcGlM/uy/3TLqOXoMz/2AexsvLJ+sQIvQeZbSVfcftm3cMtiqQrgHUs 1GaozHLAOhNouLUgUXnsDXfvEQIE26t9H0ISKsq0Eab1+1XX+kjz6AomkvXm1/ppyGBxCDPGJZIk 0+KP3Al4f20gx+zZ543zcXIhrZdQ0d9Ayudg9LtGPm6jVIhSQNUEJbIWtVqRGtYxWI6yyz/zipwy aCxrVLQRpR4jyUMR+En10ljQS/ORh2ewweDoN17pEghpgxryCmfZzFURpH6SDmc8ZkVNKW+i1Jq3 ExsN9eSCnA0vD08gw3iikLHRH/Rr8LnXneMBRiY/tdUJvcUUYcw1W6DKq9vlzGCa44ealC/I2mT+ lBET96eUVOJjTgZzcDWbGEFY8ui8KES+53l1vMC5CU7H4FDFd2t5X7kXjcCJwgsr75PWWFGyc88/ T7NM8JrPxEwz2c8hZesQGQDkHWDiexOuyeEKN4wljSyoVNpnZtT8atIA705umWvRokaDKWUrQNEM 1KvziuXiSwMYU2CCdNwj1zx6vpfCwDaoEZ3DidQSbjq0OrFT9CQMwcOCrq/Jf7hbHtfs80Xg7RFf 9psLtBvzitSXY3+AKScsv0jIOuT4xbEYc6FOh5IRNnMMI7fIS7oIlE33CfCT05nxW/TS2aMXZ1T1 zy9/294oad+HtAlVQImNl0nnHL7AqDhHnG1ohoXpJi2pu6kAyKW5RuYts9W2JdJV98WPkoUcjZK+ 11guYML6hud1E+0/7ndiyzk0h7JFC8lyPttxCK8o1+IrnA/gyFur+9LtB3f8ztSBRTci/LLbUAkf kWO9WguL/W3fZ3bF8sejGyZP2kF9/0sk7WfkdoIQaXPv5AdFZWjVsonrahQfchRJRxj83WC0fPEs 2fbPmd+LDkjkGhIoLmBqKcdKTiGUXGaRl7CYRrszYvuup6wBxslJFvofDZu/Xux13FgTWr3fkN+1 urfPxEOlcS+91R6lZT650m2GFn8T2c89Up9E7Dq/udac9W5t1jwiE/UKCsLQp2+X4NE5z4xqtYdQ VKj5OLZoAM92lS/Y3eV+U7XJZMXmMK4KsWJv/0Skx+AD5jHq1EugGfCeWEJpCXiw2RH3UmTFHPxn rwuTANlt0KMbQy+I00OPexkbpxyRfsmZCDQ3GDMBFleBCvBGEayXToGqMBs0vd7M+Dob377//owi cdUHw8/sy8zCD22UIvtiHgyF19oA6gd+zrlv0aLDZj6k7Gr0M29BWxSW5hkafM+Mat9yuQJxF8Gq rbAeaBAkh84YEcMHEUK0bFWHzBzw5gtGFR5uN6HhLAMRlvWGy3UKhEd5bCILFPDibnJHk6nHrs8/ PEThxO5ulMq7LcsHy0x/0VlXHmdBDLFItQZvMoY4ka82Alamv7JRbhy8u7kV8mJ22X95weC8A+cF eeqOXvErMPeeapi+yK1tuzY7emGV642E9lye/mXygOLLtweTupjBU+kKC41r3/qADZeNIKQ9KycK 5wCAm7g7/n7crCTlL+s4sRGUliWC+g8PEhJHTLmCyLpw88pllFpvwhqCwChLB3w1nvB8IHuVxOJ7 qR9B+sRAQAm/JnwOrfirxlGA9JNcEY2a2YIc8IXIOacQNXuBKMCuvMoDxpAPhYt9PZRwr3M8OTub U/FsEN8TTyANcxSbD/2QTufunBE7tWXb6sPoxBjLKPG0oZrMbpROIJVQpbivyij0YqHip8UR068W wn+9EzEBax/XENqEzPWRjgkHkobuoQGsKPU8S5duHgDkfs/vqgGifwu27i/1KP1la5Od0tBc/rXC OZN8l72FJW7HVt3MSkUgiddF30ai7Q2Fo/UJYQ9i65QjY4JZzq0X8VDd7Qh1KUVDp6gvNXLaKtRv okmmJG9FJp1Pohw37Du/J8f9o/NPX7W8kKpB3O92wikcBGAT265VfOpT96YYq1CDtaARfMzS7qWo XWGdat+N6sxHHEjWavbWheXJ8GjBkGyOGEtHibOLdHazG1UPohoj1efPs+E2ALfEOg/vuLlzpfSi fCzNT96vhfNq6X33EdlU2fLNt6D5F9uq2lP5S571ZzdpJcfHfbRcVslvW0fKZIVbKrdtLDYw7rkH YCNhWLDxWfzBAY8bF2gt4F7cjlnoK+pFVWDJqIwlCZd+ALmRIf6e+10MnVUX2vgx+CW0tsFCFrhy sXF8GPDsI/BCQZPkWGPtEFK0aV3TP3ZjfLrwAr9PxTtdZv77ytzALTe7I5vsSr59el1MB7bBQrGo 81hpAH3DL6Rg8rrLd4XLp5aX8s5S0C5wuHkppFAdB1lG69sAc4Ag1vs+yobO4ptStFn/mHYWY7HJ HjRLJWhny4c1XEFlSFWKEh+7K/hPFSzVIROaekYREYrG6VWfR/Ufe4/uzOAVs3NeKAkF4th4LnSy Yr/J/u+lgRA808pN2mMPEYofPDV9z4Xj792MniSQcOSssxdaUIeDkKUMC1pFNNPL4p9rn8HHIf8j KTP530bSWSQ4Qq+TZMdEoBsQliJE0b0HjCfqN4Dx6t/sTKttD5hWeN7m5HKvGSSOBR8zs129lGpq uz0npxdKR1GTRrLBg3kcWL6OEgigIbs6SSqGxVQknpfoCZqa9/rT8Y7mJu4jzcx5pVGO/1dklDH5 npZAbcZpCJPUGls4+HwyKNDegInJ6eBncJFjTiZGL0m7oYeJP3ZsHb1GknyMDrcmBqgowV65pnqw GRiBjcIqGaL3ZGK4w4cNzKlGxONw+8MBl3uJPuB6fwMaxElHHO6CwJmLb8mB2g8MNHV2MSBL9qx8 yyrC55EEWSkkXEqcbMhJNB3HGyK24Veeqs5CbiInlF4dF61tjVOfLMjEX2vJbjxXEGvw5X5CQqjm ZrDgijBF4EUlD7QVoGsm6K94uqk0prXartOvasN8gHn2iNVJs6wl/8Os11puNLF6U1Cdy+mZjRQ3 +2Sw51OU7ac9ZEVvS/TvUqZSPONBwMsBVdLPMsjHqeJaiZoNmQD57BxHxdLvYQVy2xaT/IplS62e mT554imNy3ckK6y9rVaYLHvpfpMVbK3V3zj/AnSwwWnUooTG7mhcIY1Q6HveBOQGBxVw92xUuKHG tsAntEcMnpo7tcDdrDUW+5DpPQcuGd8eTrZeRX/f9Kxnxpovj8CV7DIezNXWvvEumeuKWTMowsz4 iR1pbWf8IMZCirZO3zW13X2EFbb5b/ma6BT4YuvN7okynBOf2wBIJEt/8LDCo9ikYaGOxCrnIJMb r8M0zhtJ5NojykduiAAOyMzo0Pf4A+JCk0r3WQFmcHIzPDwZLHz8Kx0FdVA3t2a/mVC0wvWCtp0Q E2HrnKVPsdCzBQw4rbkOM1JZuXbDqUCRpZqsUaA4q7IiRB6zCr5HyrvzZiwe6xholKbImwLjFaho +jlEo8+CF/4TsWzLd2L4G+53mVqU1QFEXCuNxFw+T+1ukbhWza9sBTYgvk1hvnw7O9o3RMUPNygu 0T2JSB0u6PLFLOD/lxgVTWcl8rgEl/K+b6wAK4R4VuMMtvrZ2uBLjxzmSrPvPBWuvV8cYY5TY+Ch 7u3fq3vggUzDJigUQmE6Nhrc+KQtjhrQagPWb+0Bx3cHqTkoQiDCRP1dhsQ5/63peZsoyyxSmkJc eYhV1GLzFGveXv4N0309LMht5J18YeBVgS8nZP2eJSjyz3iHzTlRWctLHbu7I2EuB/7+RaEbl5gA OzRSl2N/gkJBJHRthTkWOMcSGVsWXaL+PT4nbwSH/aHQy0X0w8FiYyVmN3BiuCIJmpi3Y84V1Uug UDs+olWGZbh8GGjJvTHcAv2EO8HImg2N/JOaJ4BMtDjaDFcnBKWuhd531S7leMYqN/mGggN7hzWE 15xH7eUOY1OkqY95Yj4M4epyGnPpLzWRrQokVLQHBiF0tQuldkPNnp3uX36EZrMWm47C8kdWNtUJ oefEZD8UfPDQnfZf82dwsrvkArJ8KmFBU808VBBLkpNks1pp1XbsXfKFSBrDX//41+U4PEZTR3Iz 5g+1f5ESkn6Y63ATBDKLM0fAKxRRhGxzg3SfrhmSWWB1MeSzN3WKZyztNlFIorcUkfvywfGLBFN2 leY3MPm4RiQc0qFmpzNAs8KkP7Cb1KuA+IV58xycbUiCde93ZA5IKnVpJWUy/d43PywUHDO0HaJM ePjWlekQM3UeIAQ78+gUKYjVkidu3XHmg0pERHVK9EfSQrKLvCRKAVKyVBH65VfayH9hP0lfMMtn 32oxypQgzX/3z4qpFjOYtzyPuejp7opg9RZvBop3boncCQblW7Lf9mvbdognHWXKpBkZg1+jRZaK aUkRPFp/twKx4ETB9kjyxLcT1V7v6pe+690mgQ+xlomgiUV+2de/8zWqJZX4oVkoihSAFsxVaxQa Ozwx84dKNa2JyB7YoSzE1yh98pWgd+1h5Moa9CI8QFgVN2BvxohHmebPwp6xB9b2+mgnLUSc8I4a 7/U2h4RlOpADJV8x2yLX0ZvnqcQWOBRZmFin1PoI5BAHSBaW+MUP6LN+z329HtLGBFKmGh63CiJT 0dMSVRm6ndE9BwefZqO9HyU6TAK1TYNj4JIAhgORBVdlOlnMghwQ9Wv0XufhZjKvliQDMlXXzU9k 0yrsjoaLgXdJoJEnx1FBnThtvZ4DYBmzYIRe4N5SDzM2HwTe2kqGNsBwun0vxtVvM8oQMctfGWKe EzIC7dytBFUX8yA0oADeSTFDHe0o/NsZj7rQGI77QPFFY80YDDkgIK8pZdTGOkfOOqvgXuxYbLPl qkMllknjximPjJcpBl5tnPiAd2E34ZL/XateOWHjthPzPNV3YxClnNkuxlUutd3PfGH1NYCEKPfF +N9+bqM1QBWu6v35i52KQpJjTUcV3BqUtKqMo94XouhL4XsJ7hOr7jxWkyprCWzJ6HCFBkKHJ/JP bflqTN/m52qUANrMD3CDk/EpRJGHF5lThEv+UTrXYFrYlN0bK7L1s0aXcafaKm422Z+ayB3iuHol 8i9OPl0WENKUAgYOvgvxmuwmFAEcaf1bzDq/3o402dOJJufIl8hdkXW/m1mBywgYparkJ8APBbl+ /osvUZdiueqB5y3lSpwVCKjL3+suokGzowwrMTkqNYp+306v0E/MHL+2Bk9AOlITJ7Cs0oPLlCLn IF3I2igktLyZ9ADtV1jsaT/zFLW5L+LUuJPmyJicrGGkZHg/H+hT78dtkOj61S4OQqgr5qykma3t TrF1d5w+U71awwQpf73gA4fXnzCCdYUFBLLtTDCJQZe3VZdPwZqG3sQe8U7lkG0sNgAp1d7IMT24 l51mMOXalP0jk6u+iPxXk+kH+AJUOwPpOl4Ei4b8XrYmHOpM7Y0tVGIbVtLApH/UYDjcD/l1efuD DPSpwZd4fPTQHWZIIpfY65tAGRvXlvPcHT6EkzWW+/1meUPE4wIOT6DbcNTtYJZfLXBCjORbugak UL90ulx/uuWHjHxV+pkovYMSxr/1LskoAbwhacl0X894Dq+SPKaouuIcBRSZuoK+BXz85irYY+jI vheUUED8UXfoaibTDsobj+U6eZtY1JEcl6Y9yk8BCpnUVCZaRI39K+P53mKCVVK6+gGy6n22uH7A VfKnNbdvDG96r+WN9lo3KwqV0JufhUtE6pipiyCX4cjto+33fL9FuPuaIbQapLm7/Bh4171ecbsV OPcYI+4RkYgp49AJiIGxVQc5i94rgc5/mugHlUOR17ec5zup4IwSPZQ0flEvjYyzuvg0MogLLaWi gImdaNlO86HVj9nm6CfARlrdBgWlXjtkX8OksEV5v68IoeOx2KSOkE0M+N2OQ/aJq8LaRCt2sRNZ BmmD4D7Ru9ylDO97gGlT1O4cnb7gSqyAexc6Q0VKtDzbzlffEOf+rp5I4eQCaRtkUzh72s1QZRYq J1vXJ6z9/RByP0kay1BXim1/UxjuDoWbURUW8nnTtRMK60JbHiWVAenJDIXaSpq3sc2zAnlou3me pNCtCI4vlrJAKQJvLYNz5eYi8M8x/kXBm5J5+H4IIxvbNB6tHtv7u+s7tIfILeOlE7HVq0Rtr1BY Y6538799joPl5048Vq3H5sOiciNJhwqKAoXo4gwj0T39roGxHBnvSPU++WuyOcys34ld0HCEvU9w /iA51Zr2KMBvdkKVNdtouxmFDYTFWdPpC4Zhc6AWt3ecMJamm+CIRFtmgEDxYTjHvbAPx6p5qjl4 1u6ZO+bZZYGYT5PaIiCq2qXNUzQT6pfFR6PBcAGLrAEp0qlQC2wKZkpjCZTdSJIxSz2j1yA9AIIY 0A34CMPoNURnDITdRNikTvQxGqad9ZdM6FChkbOFsot+Hu8MII/qfyVBQfLGca1DXLmMKTfN1Yy1 4zGUu1Lf2u5PxiowwpT+SCIEtmrHLNh8JX4iYT/BJbutH5IRzk+FBTHZ3PUNz9tkkdQfL0vVF18a bgnqWsYAkrPgCeoBi16fUf/Y+7gnOj5BA4mlngEUnezUZ51vzthImtduuYkxAAu1s4g/5kMa+2po 4F8YDSfbVBuobHoPKOGGVa1r8JYZygXqqzyuG6gInHXzMwZ3ohPTUaQjgmgOsnD9z16oLfYr3DtS OCAza8Nd129qh1owfbOB+h21wG0d3cNE41PAaWMkfcvBbQitBCEsufE2i6wfY57jzmDwSrnna8/b EeZ/pIAX6/XjIzuDa6AacYAa0yWBKqPtP3nbLnu0rrwDyqmSZGeRzr0yR0khGJqWLdljZ9QsS4pt lxwrREvTagYpoQ5HzgtLYPaqN2mvjeFfmzMuiDUicAyp2R7zhyhS06LGgJ0+VtLIBIVXaALPsbpH 8fGMzcpoVo2YLBmXYSK+3bTJaTa309EIdtwl/b8hJO3nqTftMQKI910llGZKA89yv5/rj9wquc/9 PRNzfq4JXBKCbX6xS+7wWOtu4nCVUFUYaCTIlHDT6eqYOl4B6mdfqmoNURUD1OWZYhgyOYmQHdRv TaCfQ0elB7RCSl0Gqn6x/ysmNMO6kpOgjsMFkUu9EwlQSt6xTs9sTabH1jIuXcb7/gDWqVEvkGi4 qjHKyDaf7/eHvzxGFO5yGZGbEa35MFrjqBjxpQZZQ3PrLCCcmmFN/SzeWoZohJLExFwAaBTdvJkz SQJ8+JT8GEOrzOGEYSiqs3FVh2/Mq+AjDWYZ9f0D4TrzQPJ8NxMKVzaIlDuf89n23igPSosb11MV NgaIpe3qlwtNVzodpPlB2GdxUUTr09FSD/rgMlJfq6CCc2RmGgkv+qzxrHvo4AXiLJExvkAAAAiw 4l+osyjEyhX3m42yRX8bFAWS5ymnhtdiIVN/Z6AHk1xRrIUGRwwJfnJJPZUHzdyRXWoiRKtwZCF1 v+BV69M+XNleCRwHEZB6DAFswuo+bhjf33MslwBPjiqgsij9r9Uk319Ui5pvtqALcS0cjYrQ+Eya fwB/PnPt0zbDNtK8NWDF2Qp5zgPBp9hPXp9UZTeQ+/qgDRtz/65x630k2B+Dm8d69vFmF0Hf8hzb zUXzUtflMADqQdYqJHL4vXDVIhmNKnTc0nNPBr9iDSt9I2lsrFyAj9/+7L/cCqPe2za800FRXnaX YZcKz0mFIt9fmAHbLhFm4TBbhXhgw9l5udi2RcBuxWkh4iQMQTeLYlYgRz6agAK0cIENN2l3X5Hk HPHkqCR/a7yXDI+RS2eHgjXfwAO7ld1WgO5EEN1sZPK+bcg1SwZvZAEJIl/T2f3RQXKrV06jawvB Fd8ewLjHo+sjvqMCtjLF0oht+LiKeJfVWN6lg5VWumlQjkjKSed7tOZ8KHB7wHjDvIAXEBLZSduA w9Mvn6KVNL6fZT4qCluVpHApxUshP/M4sH9vwOEt/5kX9YmqftHAwJ3aEbPZZU5vJ/2nnA5wluNo 5ISxxilerxIh8KwTSt9Qw2iaPJc1cMHG09rczMUTGFEQ/masZYxWbd7BF/NvpHIDdaItPs/r7iZs 3w+6rUCBVx4dTKc/jn31P7QGhnzapZZhF1ENwnj9GLu+uJQbp/9MJjt4UUQ1PQlHV93nM5U+lP5t wnz/4XzXh9oXOYO5W8jfKJpbl8AKT0vmzrnUeej2hci2KiJVZEKtarJ/I2vFvKK5H2IKUWEQaJZy go7uRT2guapplnkjztSxyzEYiOO9UXzd8DjEGig2tLjvcgfwa6qxj6vYbHCfK6ZekQiAEIdDeMfl knxSqE6Dwhdi+JK/VeTvckvh7VrRXFoD7F6vRngXvKQRpWa4sMM5g/38fpZZdBT+I6J2y4R0avmQ MTaUDrXb3V/zpeK2070waLGp527wK1w3sgIQ7+4c+qZWNpDabYjzs9DZpAhXRnQwsgchXIVZSKxb xWav8JFj3a6HAeVJX6tZCxJYGujd1YY5fFwzxvdzV4OBqcI3L5J7w1wDsGS1odW4Fm5N34dWRlhB ZIoTP4eftzGIzSP3zhUE/ylFyA9+rwmfmkT7vDeJl2YCB3VE1D9UFeN/gPWKDkH4lBui3sexn0kh JkBgLbvkVsgAEnurUkVAllCSUdQS3h7bSB8LKr7ht6QW9QsGC7xVdPun0S6hi3faeolzIU5kEK8S oixGTZrMIVRSB+IW17n272GSzHwsGk0tBUo9NCqBcsCRPO/F9j5FI1ooqRfhKXaYDKhcXJO8nbG5 WktATpyA/BB38++nTcJhWD9DKN/s38tEaxs1gTcSmMjp0fPTt7EbZx6D5aqVKHOaTtuCp683IPgn 5tykYJsNnK1dxi0FT2deBHKy78wI8vXnUrWAGN6iRivnMxXLxXbv/cXkcc6I+XJdJjJNWowP9NTn dMJj1ITk3DFsZfhvk4vcpXVDD/Xnl+iJarrlRHflRBD3dQ66n8N098MsnsHMCMIPc8krnFwfw+s3 DUbDgqcuHGJwjsE1VUwuqRUpE7wzaBhUbHb+Flvxr6RC5Aqt4JcwxYBosDehonupSqhqJ5vzawQH j24r5guSZEsDGVDHM6g7gfx+pa7ormRUbLnZzmSqX5VHpBknhLpUi8QainPSsC5cGP/ITzh4BwyM 2F+D8Qf+GyfYtRig6hXNuwvmgZkUbTUyBE+0oz+BjWXjLAYar182z51EZvbBD7mmG4yfqvNKRkzU hhRqSufvqKvymEYgPFWLXlINHfP+JyN7PM0xWAKoXP+lObde4BPHC4AaazPe6T0OPz+uEq6Zzn6f VmkQ9SGDdMxIGVvjPMrzjwIIpGwAFlp1xx55NlFIQcaOnJRdkruap2s8dFgxQN9zKG2Zx95njFQm oXzZO0DxXpHNZaMHnMhJy/PlYy67RqBCKq4YXM8R4a3wOvk3Ol69MXJnEfxrbVTP0gTLOV38VKdo QI2MOK9n91zjafIO5U5VK2mCDc0Phq8w4jSmJRoPspPT1nwi3sHPmMMColUp7O/+Na4jnz0tu+xE Iytp+qcAmq7hx38UQ2qJ7UUVhEBO30e1H/fTCUd3iLAcGsumjZx0wM7p2Em9G0TML4zBJbG/XQaY E9w1nZ3qgta2eWIY+4yZ+wXfLTkGxli9gDNOy9uyHT7o5bS25S9SURgOBcvWZ5DMycN3EWvoQhc1 ZVqQYre9OkkTZliGdr1srPBtAmE4pJoM38zQjhE9mXsqLsn/bPyx0pvN3fUY1PACCwnlDe/5Mq/B 7nOSKecn8x6ibVZoUV11IsssRQ8IzQIctjO8RU2enWPq40FLDIIP1Nq078L/63XtIlsVQ/3KJPmi Y790eWjEFGehsdydsleRjFzPXxjhmIKqMZ/zcIyveZipaitNz+luPMmhw67ejL6TmpQXplu7CmlD UZnDTB0ZN9MuSv3CXRgY9Af3qH32gcc+pI0HbKI72P6RZKc1UJWIB7e6DHrgOItdjdSmNyZkFx8g mWYx2qzaPRAlbabe67V1VFnPC/Yxi3MzBaXBqzcNRi3/zqjyy7Mr2hV6a/PuGeymnamHbC0cSAwl M/ZI2e5/+B7eltOQvi2RNHMT3uJMAN4gfuSdFvCrQBlRYXDGqGdfwkFrwXrBcpCTXdXkocTlmwBR dzrr0VwT9Ids5rNJclmayidmYQcOJeej+k5WF9hlljbygNWo/qpCBMq53raYPIP03LK8AC1UIH41 WcDCNmZt2U0Bw1nFPGYyyHi3eSv3sLDiVIW+jPrMho7+twmWqY9dyoJFiHKXPL6YNxk13QR80MMT bCZn+4IVwTixVdzk3+F86kZLixjhEUwdQbGz8Pn9hCNhd4XyNu6EvBaHPOdjXY6C8CoNcjRi4AMe l0W5vGq7LAHNBsGck7Adk7dUPGhg7dC29Wmj2Hy65EV68A/19I2HMzZVsQdouHNIk3u/PogVAMiQ nKyY4sqktdjexHyW5WFMpPi8RdpH06pL5OC+epvlcTe1SlOF247kGMuPMiNtGVMqq3W2djLKkXoK 3w/7QYp4Espg02LpdOsoIgYVzHmJQ7SkSbNoZEdzeNuelcaI8mwZEjU71thQe3yJsCabgAnIx1hs w0LEch5pJr0QVk8dQIwRwN8c7yKrxBtR9Ng9IXXedhBQZaN0gn6b3kzaf80QnA65FyGPrq7roIp4 yiM3dxvXQ/Uh1Zk4sk662U5Yx7ogUFivAkTwtY8BtLPjxdVJsJfVRFcafKr5igYJJ3+W7dJazTFw ye6bzTXuXNN2U7hUsjNp6Z514UfMAsi3/RD+rkXidIvIqEUrNTdJyqteJKT/dHFGCWkErGN7o/cW D8woqE5xWNn9uYMmJf2AFYBmlILreWJp8HvW86PKbOQnaO33DydOzG7oGKuR4MIrHhK3gWACa3jR 6bXGu0QJuXh2YS3yXH9zqG2B/LxGHRWZoMBxIRr8CTCcX9qG55Up95SWB4HGZ1/4Oxj/foKpPn3H 6GdIX42IUFWYGEHmeWsGlwxc44I/Q/I8FaRyR62Xmx0szxlJxYS3aT7KzV1N2L9J046dqeRnm7nj mdSYVhGVSjd/szrVZMq5wj6Fgbwd4CPO+ZnlqLedE1bCIXsgmAlqRYeu3AFZ+u2YIc3JaEk8NpX3 D0BTtKAYn6NCbhN1cQy7s6AEooDAynJTuvJ0x5dVU1rK0dWRc9LBbmJKDqlCQVMQvVFAvUOH8+ve pf9y1rreSUzXAFdMKqwXpCDDPW0fbqL87xbkch2WosEuK7gSqWXfkolpGvKbxI+EksVs6PV/pBVN J1z7Gg+OHNYflckkSza4KuR/0U0E/OTC9kb9AesuAolAnoy0AIdThkhb6hlPtIZRw/hEQ9ixYedB Vgwa9+1f5ikaoNH3yWifKVKp+nMQqxyy96sGy5/susvpVOS4+fuhfrxvrlWCYtu5oPp7HiYJezfB g8IU3p39Q4Ndlk1+ah0PSD7hX+TKrqgaxH2wZUZ0rUlO4LFUd6Zn2dvHANsTS4gyZ27i5mgsbKCi 0Kd2cemCbL3oSVFNTUU9RPaUeFbPDSTfCDxwagUYGIBsljUA+bcNJ4dw33Jx7QhGeUyv5NBEbmUI ZDT4TlzhdR+e8CRcSn+T6753w1PcqhKQRYyhlDIqatEUJ/StP5pkNg0vCEXyrJr99w/S9/qIMpJV dMDoYBARoWtrtcWt0AIJ1zFjxCr6om2tKBGf+KiSk20+EJsDQv9ImKOCOsUVPIyYhkIyQ0EM/SlY yE5to6T0rCRW8nEHrA8ifafqFTkw0LWVqEv5Dj01gsDjiKuJhEPP0AqoUa9cDF47RjMrF8RXa5Mb 9Zdh9YbI2ah8FtJ6ZOwGcLh3J+7nxLvIPk57lq3XzJ+1lkKavznNaM9E9fmFHrkETEbIAJcVyt2v 7rq4/A8Sm+QjGxIz0tBKIESs1z491vi1AH5As4oRBjLypm6+Srrt9FcjFPFWdaUMqfATL+OAXRVa K0jjv3MtQ1elk14sHu5C55c9AzpFXsOKEIla3QijGfndltVNXGjV9d5xP/z89GvYZ4f1/F06SzyE IK7HYbbXSbYp+lyKOkj3wuZD01znmpFUBQ8PTL7dG9jpmWgemB2wuGG/FotN3JyPzqVXjddNNnG7 JIeG2IAHwapf0Mi3d985YEt1ZKMCs7vnGGucJBYiYaa4WCz+fVTnNKANE0DJNfcQF7F/sr3Kl+o2 oh6Edhy8jcyMzOWwEpGyz7GpMfrTAs79BZ492TQF8mbQnQPR37LvMoFPdA02/+R1NK+8j5Uq5gi+ eyIXlkcQqggy5g5pL6IHF3Bizp/I9DnXqp06VIvOrAsCgo1Q6uUHEvv9H5M01Einlbk7iRvJqpDH iMY/zPJBNK4bpQ69W0o6pmc2ojB5ZXCNkOJPi3MOVtjGsTRbCwDcz9NqKM3e4fwIvnZgl5YN992J e3Le0FWKmtqZNfxIMZyYRCUljiug56yX4/Ru6vfCY7x+cHqN9qBdh2R5vEPav4Bv8ll+eFgzvT+m lROD5qjBs0Bfr2ielduZKTHc5NdLn8qqchw41Qu6PRmLpZv5vwfmMUQAT1gz5ySYh+3ik6BC4Ul3 GxxZZ30jSSNAFSbp8Gd/6Tr68DBVyQSPEVtTy9cYbEseLGAagv6zdiw9uGfhVzaQb3qTpJuEV20/ l8QtJuYxBN/hhL790CpMz42XU9ro0+BXs7/MHgYOUi9XMxGBcXagdR/okqKj9vgz3GdWuTaU3mHu 01k7uS6en+0gXnEu0lk/xIeLcdk6LkGm8PlIhp3eRbI6ZcfIv4L0xTWE9IxDMixamacaSo/SMeAE spvGJnPhB0ExMzi+EiT/z9iiUETtEeMZx2h9sHKjDzv6iKC6Igx8k+eVP2XMdF/W8JhDsAsfudIN dgXkKLLMyZF/xRqV11JEue/fN49PZwu9O9qvMnQso1ZRHlsB0Y+CjuuBOEBSUksz59hyhI9/GpIj DRsZ93EMWIl5+pX+2QRF4PMAhN3oWpA0ijG3qLVydHIhkQK+FZAxbAzu77sJFywa4YmEaau99gBP qW9YJZVSM8BoAWJSAhhum9J5l9tu3ePl7TZZntJ4QUtfO9d1brSo2ZxSDrBAeRvs0/7nw1frn6lm JAXDWKXg3NLR1wj/MN61gXZJ9SeGx/VQKKwbnIpj5cdoB25QQRWBDyRkKWlCaadQIDurl0HgHfud qswo+rbVaiGIZEnB27V2UvxdqKt/bwfMxfF1i752o/3fSfVpCEpLAJi5UQ6P6MaRsjWgeYjI11XN jZ/z0eZD5I4s2INf+b8Be9C1EHBo2PLfdCTCXMhXQ1qWeglDB4CeaDC4+kp77X8JIavNEghpI1DY Vc0QQGrqNumLf7mxLxLS9um/PMABaxpg0GnuqnZnwAYCO1eovCAMztMLYeeKtDch+MEUVq0T0Kh8 xniMdHkq+STMeB79dZKVw5M/euCMcMHiXD/zB9qfT999Ooc3nofcFcp+x76UggX8MDRjRtLbBtd/ 8yUnlUdgQG/MdRG9baSS5mOMRUl1wMZCdKbirr6sn5BbwtVsuew6m3ceHOKjApRYSdoT/VPtSuEA nRZ4sP+XtWcHU56el6bOA4GzjxVx8Ghy7Hma4STFXYNLXYLxf2J9lrmC3i1rfoJJaTJs1dR2mN5N A9IvVNI7CnVA6UpCIupXKfmbRxTnX0o95oehRw0E3kRIsqlCKrjkt9HkKU+QSrOGWmAbTUtRFKYV rH+7CaOy6z7GPX/aaMI9crQAlQlC19kZPlOGtkU94gwX5Z0KCvUHd8vXgD5x6T7c177+C7uLw/aI egb0wQ3kwV2dg8aeTn3nyEMjbeNac1kdfTshZwbll9N2f0VLiCxvBLLFdBc69EyRHQcZaH7wecNq fK1qBilMprq7yap4zmxWeskrq0JgK66HzWVDkHDh6trnrVl6yRUM5kn51qpekAAaL5mKuqeDjJU9 oJ9OpgZW1Cc7pMGfGp/edQLmNEHfxgyQ26zycgCfVi16wZR935u8D2BzFOBE25k/1yUSEl3R3ima JUc76mG1WkGdE7EPB0kDc0ksbCMJknmCyX+UYEotLWeXuJdkMiSGYarHOXmHSQ0KIcVtlPP9uGA3 jPq2pZStraHRsY4EYN7sS2Zh7J+5bBEJcSZIQU7TPKUMsAvwy67NPdjXnBLc9eSxYEewinod0u3A xh5uLk7TCB5GHwGDWsWP+sl5tY9tHuvQdbCAxJRTMLK6+vsqvmVztOcLtEUA3zRo2pvl3z0y/KFU HrCmegaTxdqTVsqLegOzmx5AVQzRbEQUB9hBsJ8QMG2vQQvWL9KmZ8ye1sqOkbTB+zV+fZGEEOSV FQRZRTf5l01GZd1138jVe1Rghl8fT/OdlXtAiRik0P1B/4qwUQe1KceL8zl+xZIij/2QKmoQEkal qIvTi4EhsJjwoYaHqL7KZrK1dHQUaee8ODu3DAC2ctIublIAoXadzbyFix7DrwX5HBENumaaixbc Er6xYi4ONKlptnkm03Vh7uNxYfpgYSqRCUv8N32AUvJpUTBuFRkYZlVFGYAM6yEOIVqsRyUGf0qK QttbNxC5xPpnhR0WHndSjyXdp4i56XMx7x7OqsoeRo/igcPY+fQ1RfoyjJSAk5hZQR4MUONo+lYl wHaGC3WzyfwJPf4w5l04cWdMJlMVgd1YZkTxcQqYYmpSyn7dsI5ZDXXozwZPVPSsm52sYYIw03f0 B5LaesQsTLmgWvD2VLRMKdVP3edfPCHenxiCqHNZdWz9pLyxyPXMTizNrUoZ+0XqOWQCPrQxdryR R7k8UHDRLA57+b6w9XA4cmNd9CzuzP0xpJdkETrJFPiYqgxtyYz5USsb29meuoFhHiUi0dCss5SD szzYBNpYQlnPWm21wzWvC2QJ2/fP4PaxOG+naNLJ70E370JkoTzHrtG8aFSFls/GxWZOm1cSipeT bJ3ok99Wjaj/O6GrGQ7/jOYaxoI4k02KmB37/MbGdNcTw+YYG3nbF3IpdaRzOPrv2scXH62m5Txe 3JhiO3abchQDRhO2X5uFZUNkowwsykwDOb/wzMkvr0v0PqnexEBHLWTZhXqcnReUJTzlnfI9Tlaw Rj89aKyXEWjnRHIIqZMr7WqfJyPPm8ipDcXMHXw+s3ljZ4SFEy2nGAKdpS7H/ae7fH9dpWwlconB y+VKC/Uv8wy7gk3jdsyGYFa7zDjWZ3FZ5VHQ3FxVYqGxu/cNlHV6I31SuJi0Ntt9n0Odv+TL1K6s 86zEFQ5i0XmngJMm/MXixa/Z+FyWXrGPXf0ez/dav71KynHp9sHMTF2dFtwENnpksUSFnS597t/6 YxapkSnbHCzbWjjUh/RQh5VW7C4J5BgkPl/OWkN6kfWKraBX1UrLczi2vOcmyp3Mp8o73rhDjFp2 dEj+1LDth3HvGvDZeUG/AuDC0bzNx9MVJkluNvCicJYdngCQ8aaevR9wMzroiHBzUFHbGtME1Es2 n8t9E4M0SLCMV3n0l6Ps21vvONR6ICwaSFy85cirQwjImSsdk1oXbhWP24xb5xpvJm4Kowma5wly 0IGpVuaWK+XKduuVqb5zEA5bsokSLwS1GMsGnpU9xOXnwOYsZDIu5VjfffY/phS++TYAxJGrEVCY c+WPNOhmW/oiiArnp+jJNCuZEVj2naFd3ucKtNpMbL0No9t1Q1hfr+b4kP5+AoPnWB2hriM6GFpT oSPbiL3JAyYLyg7mD9ThyCsuPQXMrdxwM75hwmNmdwxFwRNGX86f8KYWoF0efAU+RVfTOVY7eKCc Uv9z+FQUmx6Rg1y8Vhc51eZBsj/buaX7a66Uit6YgN5HBuFhwT2qe20D5eYm/jM7lBH7WgYe0dqx GxU3uKUsEO+oZuruVsmzW63QVMxK1whBreZ8ikqvhDsWXrWhxqAui68pFUfTMW5fyR1d+9jRic4d +PHjfX4xmy3YpPZIjiGStgN7WhXrgAylXnB63sDSD03ZEhwvNzuXmgpiy1XTifnlPQon+7OAU0RU r/C85+PxOXC2565cpERZhrd+3tJ912CvvSO8bAS7ey/BnGSwSZUr1lUUmRaRfS44zivi1rkAH7wY znRNZVsCNn2PBe6U+cNGge1pprGa7CGCmqR+R/l4SyM6B6eH8Hl+fJqaPSJGUyXfZDkFkOJ5/zaf NpEzF3Ko3wXRYy1QLW82yvyjFZHsy3juAlDQapEZlWqp7O3nvIsbk7Erkki0ff1I3L3ESoe3+YNm ZsdIZQnYRSHm5pYTUeVv5wA6hthJc2T1/vD4yyufM5omE5DT4SaB/5rXGflLQJZRUkpkRvnRnEo8 v4nhdfk8ZeMxbOatbPI6AAe3cWMbiAaUvfr7cOceRK/OwsmOn7/aEUCwOR4uf/saE3CBhSOV/Afz 4O9MiNN9wck0tRqcRPehRBIi8wCNi3+L8NaIAojXwId+4XYqDSZEfbdmjTzWwfBZE/m3F6Z5HsUV CwxD1qkW+pi23JLVFOnpGYB+vCcqJDdMtEHVpATs4ritgd7u9149XPqE8rUk811aMz0afscyuwve sIXW47L1i3i2Sp70QVvLQJA81RYMm8vk7RalhN5G/401pQK8ntKRWgL5oeUODUWA2m69h8S/I02o I+7RGO/bomr419QU+s7ax93IVKQdSNP+Jble1s06EW56UCHJ1sLEAGUuA0X4X7+6PxteI9m3oNPE ig+J8gw3LwNeJ4HC7Ulw6WmmnDY552mwm+QEHSgeTAYo8n7pOpPY1imyMVKKzNAxzJ7Uhahv9YrH 74deuWrffilgf5hVCQH4H6nliN4Bb23+vxZE2vXfVyJnVaZooaVKX2LepCARwHKGcL3betoCHylY WS0j1X+jaeQ9uT9vk31cdaJsfPSchc+suUAu0Dfx/gVmZ5aXhPxoUkWl+Z2oZGu95OV+3ok5+cvU sDcR+ilms7UGjI2wSKx9KDFJENE3KAFnxv1sFw9J2jstZnZZxxljMTsGihuijnhzFRAMhvR6iiaQ NjA+dbkIx+yYOp63Ja47vzcSdFQAR+azhjvLChKE1nYQa1wPcjsNikDvGqi/ffjZ7uORp2atKYvJ 4d6558k75syLs7LMP8G5wxoIkMKFYdd2N+7qguC/NH+Iu5H49999bXVCyJ7f3xc5n5CC5EKuFW+8 xQZ2MVferNB1sFyvPj+9okSuKio64syUcs67DWMjlATpwybzZjJKBH6cL1d2LQOiGR1X4GcubGsV Sbf0SwtTAYNEDoq2gkan6Yp6/b3YznWx/9DqsdnuAVnW6nfUM2GpR11u/6fDenEZfcGTy09PwUW4 Tb+t8JLL32TpaaaA71GubswxLz3i81f3596ywGnIJZH7gzh3QLwDzlgRq/HMMdjwM5U2P+JJWnFg yXQFqti7OS7p0/8P93ePOd5hxCdN1QfhQY5FxA9ksZAnbCAOsYoRyv/U/mYdHna18WJv1ZrPQOlO j4WBDZQxOsBjeG1f+MoIQKt4bX/fz2fqCvse8IIw2hjA4xgaYZeP0dfOF3oTqb2JOPDbRPQjMKNM y40Zsm5KfWGVeQBRG8Y8FYgvRvjHIiv2EFZZBFAUr618MyCsXdF9PBpWOazj8G+pd6kiFQQok3cC l6udq9eBnFJBkQDjbyZHQTs5nbKfgcS1G43IkxO2S3uNGtOOxhWxBjmqCNdI/DUDvV3I4XbksTHE ug3veaSz6iWctJbfqWBa75T4Tp2/+B4mt81Kb9ARfOFiVDaOaUlVPAj125eUMHNWlu7qTlk/Gywm ZYEDFfDcdFND/ayhRXTNCMiyQ3ritgdX8miG5BHBQLcSjRXSaHtQ4IVQHZ3aGT61jQpdR2Plt5F+ m6U39juDn8AVeeiIduH1wYCZZuoplkDKb4dQgBY2dq3w6ZeWD/6lDjHCt8+7Cva58MkIo0aLwqH0 SjiPFritaA7xPAB8oPbK0jbRVL4xe1IbC7ZLRICAoZKeo6ZSCzZyoOm5SrE51NLdVmz3hmzVezNb i7IaiC1eZ3WsHCAjl8CId9ybhLyG3kRL7V8ds3AbYE8o1CCcaU+4LgkVyvJMsdhUm7Rxg9Z+J2w3 4gHHagS1khcQcabmtU+1pmfNXumdHuFSssX4sr9gDysod6ck5It4iS/Z4fbtf5KrclzWXnfOWpfI 0oHst9BqImYyaQibo6BDJS7QfmV0MVDN9zOvQjk7HgTLYchPzPV5WW1DAMhXpTYTqwvpIUYXJo5J D2F9TgawfTJw8KCU8l0HoYzn/b+fkCNdpHiLJdvse02ScYexlhk/WtV0fnPkgFYst4d0kdj6VWSI MLcSt5aRjKqsJfmA9+W9yF6lQitUXclEW3kVvF90t7RSXzQjcDNIf/fmEmfDlJJYW0mpFSD78fMH GhwZb7ObcaazBCtc00nQGBjzApVH0vrf1WfjGnjb0urvi/OxBMrQE0xcTp9gkMzgFZtZQgwHI198 ngG09dJXRUBF4fWF9OKl6qN7NIO7pILLXqNgVZZ1Eq++xoEGmVKORth9bnYL31wndSa9emPBpMWM WZBwnv+dIHh3PQP+KAfUInkpY3iHA0Qra9lkRclcMk84Y5njttsDLgaO5mz1l+v1ZXGC/h5UCk3o vOM79euvzswcBSo17hz/hQBlC0ND2FtnW9NuWRCiZTuKCnJIAzfr8cj4Um+XHEHPXU95VinIc1wt jnsXgFO7TlVvnalUcmN4dW9MnkkgsqohT1fjUy1lpzAEKi+vQpXFkRQIc9jBa3zwecaxvdiB4kt2 9yReB4mItZzLpFyg0JJtOCbwyi+gNHC4Ux61FagxR5V23hb+ClL7DxHCFAU8IZxHyKSvV8S07kMN PFeXDMnKcGJywG6K36bZ8w7dtkRtxVqpWwCsX3cBSMlH49S7Ee3sGBdzCdGNpY72Y3DUZn8clTT5 QSwyIAIz6en3Z/HOS1UFZV0ws8FtwN7QIXua6EFr+GoNHlmCv4nnpQTWw4u0OPV2I793fZoctLLO vkkznpbdfhWFsjiU+IVYYZiEW/KP/SLAD3dL/93T5MFJn62T/YDKjK2x91wKZ2Prwz99Vga8/EoE k6eNUm4zGrjd4ja97tO9jaBmbshNiVlCL0RlQIFnSVD3gtb1lF4gPtpKYMws3SFGJxD+EUQRKUqy xewpQFjSaUFRY0Dpot7A9Glb6KTnTaSrxChgpPjncSafqUMo1LQPw9Hem4x+6IyUZ9P4CmUhvrWw FdmQJ8sktB6o+9UFIQOcVH87e4Gni9CfDn+NJdVIDteg/aLyyufNVihKicA1ZS98tfULzW/4UxFq x467A/1U8vpFPIC4+OfWpEFMe65SNmtUPC7KEAH5GYucnlqzfu0wBMrxx6/gIPCgWSW1WF/R0lTF 8LAvQL0XcwlHMd9FpyNewnUcDM1FcRygz6oeSRrnlHS27NaxX5ufbDx7KEBTRWX1YORuhYORpaw5 LmoCzmoxbiZkn216BIyVR0WbILBc2DGRX6xi/Q2qH938IBZ7R2BWJhJMmS3w49+cn19V1sBACPfT r3/5/q+U4vOkNDf/6/3VYdGYq+uirrN5juD/NDxNfUiDiMqlCtBnnFQbeOLCBKDTltAQZXfW3byP iQfNkGC3w88RkYmwcUHv5WZIc+U1Oo4yef/xWsCQlztPLOh0lgfbKt0aNphgDo+lteKISNUGld8n OLkjagCSv2T5OZOgMviGjLAV9+19VkarBo+4kVFv68dHg1N9eFhwSoH/ZDHEGN7y/+jqjQ0Xj+Z4 Ui+nu4EhaPSAJoeGaoBbbgn0OfK5+oyvpq1q/WpjiRf6ck7NjW6D9hNF+15aR9azDwkFiXmzLdjr Top2JCZjSFJ6SzpZX3QyZMdfmfFBtRdVKKYL0nsGAAqKzSp1SjCNR8joNabV+Bt4qQB8GKkpTqLj BNf9nK/ZlxxjElDFwWO+0RRC2iEBgN3mQOvKypQU5JRAMVIQeSU8wkj13/M8TGGCH6pLA2WtHp41 840CoH473SGkr6pcwfWS5/QfY7cvdM5RM37xjFtRdoI5z4aTuT1/shE5b2HKSOkg/2e94SlItraL iRvx70OoKPxmSxSP+m4ics6+NdYP/4LlHit/MDATA4AUBTru8RhcCHXLsjCsuBTWWpeLZx/bWhd0 ZCAj0S0aICBrXmGAiCZPoN+S9hFJxmV43LEjJDN05tm0cjkbLBb3ognID367Vgtp/hv6Iw3UsiOy RMfI6r9sDnJPaK+kq81wg9WIkg8yu39e5uFrSQ+n8ek3QAVFY9G7qasm1MWl/6CemYB159AqEnv2 kEaW5qstP5g91eEA6Cv/7NZJQ/ObD3UZ3xdkPdkpiZDbCiCJuDpns/y0FtTbD8nZ8B+7jNWMa6Ch /+HfAs3HIZSjfIp6Ks9bhhip3cB+jLnNYv2KLhoxo2iWafN+hYzcB7otTjtDjiaAqlzOoDBgo+Ku fiEfBwop6VaCvrGe8QQi8tsMaiXk+P0rZgWxR58VnnmS19S31ni01tK5i4LDq4ycFefnQrhovZNl HHkouINj16ak03hrYKm5+iHhDmzC1HFrkB7kChFuH+Mnx6LO3zvvfn9RCu1UjxpM1hJJsjJQGUfd WwVAg3/gDQU0Dc25RWIpx59r/qe8teNbg03qaLEDCt2xUhoqrGv+SW2MhKfShDYyFfSEq8OyzM5Y BepLdPB1sEP9DVtK27VaFmrdkGaWwGbf6QJDTwGHpozuHhRg5W3yTggiNZrzknKtBm3D1WfmHJW6 cN2U/IXaFF1cHIDBxFiepZ6RkiBUVltEF5nI0NKd1kQIqv+mSEpKqIl5GgVwwhJuJQvabW5dgzSi HeZJei5jDG+XtIcEg1vGMmVWL349SrxlSmnJ4eUExgCZYn3cx23XpbkqTU88mmkVxx/Jyre0WDgr kQFn1hCTiZXZqXsmd+8Dga/w3cYbvyp5oAa4r3ZEa3l9ALIhkpDG33fpx/xaVK3lhPLdRbKrusSB GpmONoYuX3+ebh/x0wlcV0jwiDWES5fMVUOs/m8N3yprTjkRjOtoooc7hn+4Vzgbt8ZGqER543YO 15lqs83XVLqkQ6yxEJa89k8h4fqiuJKwCdcmfzGjyJVQUzKCWKlYaOJzBUQ2UyKkbqiqbph13Yeb /zWeSrYwAe4XOd/vu8WaUhFZW4l0Pg5JTfhGfcgXgcEEoW2IWG2B1FSOwacaotS4JgXHCfrl2ViE 049cziV4Zyq86b/ncMiuR8U/oqcIRQYhvSJm6zn2UArlQXgBjPJOeLdAdREdPbhXCGpais7lOW8R z6/wsU7puG4HO2ZZ7zsj7/xLYelFrFWXsz5teflbssMeN4upMxe5aVhNktm//KEgOLnLGOfVkLYa ysC7aK7RN0vvXsF82qXutvya8qA+UegJcj5o+qPPRxEAz1Ci3TFdBiQQbx4i8Ht9051nA0ijggi2 wQDdCMSRKR0V/hNuW9rNpSbL/+YHHE5aPKimkkmk9grNP2s1fwOvWeO5vwZdDa9it0GNHSvlEEXD enm9GUX+3DnDYeZhgX/hRZfjOlgCo/aBW/skM09q8SXUNNe4E2IucdrRi1yXk26e1WsSAOaselZQ 2lY46S5zniqbQGaai1TgJdGjmKm+d6mn8M7T20G7CPp0pB+aYX1bKZAN0i5lUs77Sshk/ADloJAY N3yJpUzzxQTqhf3FEksZGjyjqtaQpb0we2ZajjPUNlnv/9Nxu9RhHKaGtAy4y9287LdQO4xUxnns JOwPo4i0jvIvoRONPpyKq7w5ozfNbKj2pJP41Snr38vDa6vPtV9vlrer3VNqFz5OHXufw3GMlrbY nFLaObZ6pdzEZZUV3RJA+8jH11a9gbVc55cjE/W5JmGWJj0Kstzz4yrTMgD8CfgQ5KbyvrELiDAJ oHUpaE+AQsPmdWPKvHzpCV+uzqPthQMRDi6R+vR7oGi/xzmDWPAFXzK8ZFdekyIUVjL1+DtvdCI6 evcPm+u8XiH84uAus+GPopnkia6xWAoupSnl35F1BII0caz6DBQDC5lFRgLxoJ0WDiaykpcWJBmc ghlkYb6UGABtvWeFCtvvP6u+JwrfpgZWU1Uhz2s/usk/4voNHSaw7j96Lihb/yjH8NdtvQfKEv/Q zZb2JvZY704SyINaL1sEXaMEMV0CikrB4Gg+5Gm2HNPwDZF/0UhbX3Cv6MG3HHIcauwgLN6K9gAu lzfn6y/T3bI01u5PJ+CMiN220hs1w3gxzmSt8e3g5lazk3HF/vszfKgKm9yQyTJf3B0ZD3v1SsoX lqkY/NtymMixXiwbHCaA77ncOxLTJ/EOOn5aYH9dGEbgAgNAvUEehg2Llf+n9B6esnt9i4sBOptx c06W6iLuKCJXT7zKl0fhoaWY7zCZaU/vXq3MZUT4IcXdi1PBG5AtnRcFLjck2cYLRuYY985P/UBU 5AlqRYpf/rl0RAHepAELVtQ44dj7DXsEKaCO3LZSeu6UeivYo5m5phZhUnwExvophYRLSlQyiMhT sujqGW3U13ZvvxBXGIKMKfsbUAq5u/JMKonfxAeSkkSTW7WkuM4WfnnxLpqJBkYT5fV/uv5L4TjP usI9CZkaN2XulGzYJuBhk+C/Pihz8uY6hWTWLQUc9YbdoKAbukpic+wrNg8GOB3ZZ6ZITO1XNQZ3 U2Z2n6e/DfwEJ0xfpWUllRh56HzBWCpvYfaLkBST7TbkcsQhvpZcht/yReTpCuLWhrMkfca63Huc Cjhk2VklyUmBqYxCZ2WeHqBjX4hHH+weWkXdcQ9NTtKP2ePL0wfBk0UJLEkw2B+10TJ4Wy1OL0VP PZmyUPlVsh99vEESR0ACInHAVvAuekwP0VIJEzf0CO+u3poBJYOsQz3toj6EA7bwL81dv3S57TVL wH9QlOOus4jTHjsAnsm4JatWdrgCdeuIv0WJhywvvkZu9S68uEpwE42yyHYVaUrOT95bl7huqL/4 uzoAoC4y5CXnRgH3DOMA6OuFsMqWezE8Y8wgesMvW6F4bxhaVo/tGorElArmQbm+p+LVK0Zxarvt kV2CBVNa45A0L+Lu7NCtkP77XHtZ4Nv68bo5XLz+bnQDr0drArr/lWgsQT1+pNFqMO4GEbgKy1vv 4l8fhEJxin6FEpjpaRt+KTnAAG84KKvRp8DMXMYZEkhXTv6es7fQLtO/nKX6EJwvVx+Y8kLwDECg hlgi9qGIo74KqYkh2swOsQBcU7a6L2vPFc3nVDQjRFUs6e0LOBSpgyB1BqqCIu41kWrvOmX1IpXf kthJwru8j/JL4U3lQasY2uDginWk2pGVTJ6g/pZBGHvFOd/d/X/MQ0FFKIu3DzGndU+jJfqHUKy7 h15w1MLX00a0qVAZ68CfIA/F09ASKSs6oUeQ4K7O2EBMpwPDOtCParJze1jZU15RoAcvBPeRulMD oj+XVKLQLQAA8eMzVqMGr59089Htx6ZLLtSNgrAMsADvu+Wf+LXrjCOeTEMaJXV5vboL3ARcSZqx fbZTakC4AQzLAI2Asj10y0R8xvc2RZfSbRDvPvVR534TVbux5HLGfxGiDjj3zgsfBfFPUhDfIRU6 6a4j8iscAJNQzs2wKSxjmMABjr/h+tHrOcmjM2ZVBLeZmIEnOYh0GYWTqCfDxKm/f5O8OIDBKO1D Ri62PFKoVM0uH5KRru3TfjTCL3p6doPL8Itbi98RlyraL2ZSVdGW7GG+OVSTrB5jZHcfokfNIeLR ZAE+473Oi2fQxfaFfU9KtDIZzNnCmsH42g5+TQS6cwnKfj9D1GK1EOpo4djM7BdGf8hjBmhEikg3 kxUTLyQlYsfCWabk12eLnP+CcN48gm61gOHj3RfWdhtUaNQy97116mTzx+CBX6LpE8Rj7P/xIQRf uELXFJikt5SJQ5x0fA9eyiYiUjphPaWSepLwjqWIgwZZfljEhG+qNIPrzA3TtXfI/01t3YfweIYS 5Nfl+p1P5+zjLGex7cGm964TlHDaZAbOnhMk2XyxjXsPwkBrbkFt97ejlFhXc+LxA7eEK59OBYX2 gLjQY3cnnB/uAfaKUoOH3im7/DHDfgX2drOwJgGjLiJNYl40B3785WrK28y/r1HrNwDExPEleEkO yb6WHdlyHNbZvEG9a9RwXEm2jJOxoMIB23macmOoIlK1IXP5KH8rZVLa2M07Mf6935LDddxMDxkt kQzNDu6gtpY6O+jqCQjakJBHzmrPCGNwT7unIt88jPN7knYmxbFRxDk1PdDT34JGGHU2A+LeAomF bCe7XxAy3/kIgtWARSq0cURcvzsf0efIKn+tlVbxYX9IDVWjxZoh5dthwJh24tEMHhEZhjdRkjvb xB68L9bOl9AtryPUqoZUu1+Yy00+hmbTR9dcFb24ivo0ohiGc2dB0sZxqTbDCTfMsI5SwLaUAXaC ENQYymOhWW2pYy1uBTW5+znI9PIhFDpHtNEjr39HlrK1tNu1p7VDgNsY2tT48TjRJ7dH6+OtJ2aA MlZj8ij0eSdCNQwwj1TI/k51ND2QXTaSSprqgxgZY68HHgZP4vTf7WEGtNePodahpZqAcS3Wa2Ou Pyxw7XEBgKTFI/1V0zMBRy+1Q4ZlSdyyWRBhfvwrpPQmfc2tgeltG3wjiu/9j0x1vh4AqakotDZx zFw0wJwrg56d8Ia6j1AfJt2i6+PR2F7nrEBtLo+svjlTaJ8VxS4MuedT62Azfaqt4vPGLqiOViwQ UHSSsFo3uxOOZ0CvXvokNbH5UbqVF4P2gk76IpE9ad0fAyir/P11Rw6ViM/XvQBKbol2C138fY3F 0K7LyopIjDVRaac9NzymZTKUqVyu4RBPLjvG85b9U3RhzChjn/mAbpYf3koTgMggx9PygNOgpk9A PCc3cIMWwpKFgqaujO3AdYeFnbZQyWuXQ4eNnLTZ7tJoRSfGX0EYU9HS7zku02ul8Pa8hJO/zRxX rTp4Q4aefyjDI5oE62pxbyODhv7KtaNunYaPBSlCwkKub+d6TzEqlGP9gKWzwkK7p8f3KDjX1n9r 8dzcuDwbyWz3sX5rZqLcoJPFht+XJQUT/oEukhgec0LHy5qoBYA+2+RvEDa47m6/Dz8ElmELSIyQ Wg5rcTC3dMF63isXcHKDXAjQBZDfnFEGjGzItg52NgaC7n5cf3TFnG7x9Rc5awl40yOyP4qCFK+e +4ccKmq5Y+48E9f8iC1fXQ+0Mw1qsWs6Ewm79mWwMQQA0Cnjej/rO4H12z/T5d7MguJJm3VpJ2W3 s4REAnTpfn2L/X5X5af+0+qkdDnHJmA6KqUHNzG7F4vbZRC9LzRRsZUsP6F4U52DqScx44dNkFYY zv3c9W9kgJ7lwi6uWjq9L0DJSORovlY+LNkr1+WRsc3ya41Wmkubmk4zdDL0TRl73i5b2Wr4vOv/ afdyG3WwsfPWuVIqqeklTxxXo9qoijsF8hXVStYJxDoNx+wOya9+UfHbOYuwU3FtR6+FupFkKZ/B gkiX8kGtFwVX522KPdO2fWCj0A1Ob5S5vJdiu0rPzQ1uKNuZEfqf4lcS/7gW3kXh2FCrkUhwhmxM MbJu7tlSyyCjN0VIgfPWe6llpcDDVW+9VmcaELN/ovGC23jmu9huuN8wgHt0SOJpQl0oXHMAOD9d nZSP03E2RA3bGLXdgcG8TtRiBz9urhS43E0sELi7xi0mUeAmaQ8MnzGtK+IJsoFRi3UCkUoN7qez nIVLESu9Z1lYLZ6hBePj3w2/PkxI9Xh47YsrnN2oTXVQz0kyuqkfskKBGIjKBAyvwLNmoENUhceW h9oWYhfgTqM1sebmxAOKM7vhyMGxJmcdl7a09KZvNsWSirkbaOSIq59lmupehaOZk56ArM1x6QMW Qm26FBn6RF+MOQu38G7Vu2v6dXu060NbM+c76EUrND1OU00IAJTgutLiaqkVwhDqo0LMlw9MCHov oJRpBqi2lHCf7/6SOf/jkNu7JrQ8s3YZUhOk5ZwvR6FZDqJlQ1938N4CYJLR9ndthSFXUGpt4zPD eRtPcG7+07yLUMuL8ybCo0PiCQFYTGXj0Azj4dhV1X+gVk3wCWsAX9GI9kBgcGSpT/vvQxJRswEV jR/tCIANjPIt6Xjz46SjVYvXpkwyWsl8n3B29IOQTAS9G2pR+R3ivxfbAijC/LRZq2fqP8xrkmsa GbyUlWIP0buQrgz61FMDE4yhIhnRGoyLBDBD4CrN8/Opduz5ybDn/+/jot5Kv+6f13Xm8dGS3sBv 679haN6A1+A6BS7Sljmt2hIvGheV7yKeIOa2IyXhZ3qYveH342AnL+qBctjjaVpqSu5Q9AuGvb5k Ti1cIUBufpNhpKJWPraZaHt5Tl7dvL7Y3OSTi6tOTGZsT2BOWaJLq4sSYYNheDncaHyb3ynwNvu4 FmmkipSX6csAyT8Katg/+eW67xbJFrC5qHbi5TFAn73+O+HOvDpcihqHfNNu4Ba592m6c4/JS3ER l2H2y3rpLeYwsThD7gV9JwqE6fci0BBifsqWNfzbjyKOupIbr1vIUG27xyiq6blrU2uh8lgRvuPD V/ZRH7xWkQ2XK8hYsUcgx/l3Nz3HI/nzUzsu6VxfL1/PHN49JepkHUxtpdI1nbq/UhjrurPRa4o2 7eRt11I1mqKDU/7hxKtB0x6iM9IEEwzuSNlmkHyw2C//kFuKy2jbnQnofq8+va2A6HsrtwJLf8Xd IxnbPQNtEqS7Zo+x5nomuuv8bYOc+mA9Rqf3EgCuuaK2CgM9bTT/6mta6mVjLsbmBAPV4eXxSTp3 dQ7zVP4cwRSjx1PemFZn7fzsgyvHY10IpqeaFLcS/JW4bA1obuiYwSZWd1bTFZ66rtDxubwLlD5w 1mJB0deRLlBk/Ig8jnNTFWPwBGgLTWGRirYcxY+NCkIixXoCpcqDssUTUVou982UuYr8JAqqFk2j ZYU8o3WhXsTuhqEKNGdbfV2Zh2IBRS+wKRdsFfHK5HcaBTqoVc/lt4ORrEEj9/06jR3xf69/rypR c/seB91Xpo+AROFtWHpHjYFa0E8QcTQyZVRas86VeYq/Sgg3MnhLcZG/6NnBFYn028H74TNX7cfQ dWQVV9AN8QkQhyxe0KTqdN4STyQHHzz08dzYBNK9VXBFVdL951Kg+LSDIc8RtSQEYw1DRZ3LvFp5 0aZFHrsj6Wd63TvIVhRRGgSOBguyIBenM3jNGi5gMWzrMYhrMQauS860wm8AgOedz2aiGLztanzR KbRha1cux0ZLnyhuzbtifCFzZXptv4VZPH8KWUvCQwSl8M74H/znlLhXja377j0OfI3OjBe+pDti 78EB374OTWol+vOUmjkDZQWPfumEwToseKPmQLy8n+eTBaqWCMS+njwJ6tjC8HMY87oXao0m4KFC nDfri4aeiTJsG+8fgdXcPzOibB3MsX6XwXuq2ANiKH8sXApNsgR9+SjVkY8UNAK0lOrOJwCkedTz DK8cBlysnI1yGaQTNc9N52+IsAxU7ONte1y8Zx/+mnDaji5PtwQ3vqF6eSoQ+tdgSWZRblmDdx/t uY8AYQo2O2i+6nuGEmsl5HD5r3ptoOOoRopbax7d3j/9wXuz2A0EnNZYYJpfAP0fQEYDfWJSLdUX 5mrrD7o0o6avGy8pL+at9l8Sxe2IrFMQ7tmVpuiYcNcZ+kBeEFJ7Ixy5aClZ5AWtbNPHF8Uxg0aI YmTiAPiEgstR84M+P27GDJMMloIGdjuvw82OzeyfpcAmPcRoun1yRR2/tl3LjEoHdd+bP0MQm8aG SY7g11irHR9Dl2OjGQJPT6Z+23E6J/XEWoikp5prZKJoiV0b7wATNhF0idWNFZ6Y1/ffW3hacaDP 0n4hSVP9uMwazCScrvxhKkYO8ODu5RGLGIxj0V03eNMA6+2Cn+fpIpP76wPG5n9u9l5ehIXFBgzd 4879qfki4RHkduOAZgvDTZuK0nLOFdtVt4VqTW/D+Fy8RhVjsIAztCcki0gEfFT/WaBW+KTTRbgU /fqgDyZOF+zskKrM1RlsoUcj7aHqX0V58tUXHkp4Pv2umVReEAIAQTRSiFxN0mp6AvGlx7eQ45wg DAnri49x8M3DqULRPRPLhXC7Zs5TBH8vSI320Fi+t1PqA9sP40yvfBlh4dqme1L3IRFj0cIS2FfN F4vOeY8Sa0VUwPUuzP223oqGklnKqQd0BHvRwJokIsNQB3cREwYsn1cHO/uOWousfWnsDlEoA2yZ UdssRRfdtMLPVkKXDkmGYo2ujf3Mk3BJ85jfPInEBYXgQ2o9QYD81WoXJ3hiDhDDZdZafsz3GglC CspG0PVhIpflY2MXW492jlqLFOZufRGA27AjcZVKPcxQiAGwyyz8fPgV3QmxinLgrqbDTvsGXu8/ lB6hVLbjDieTTxefZLSHD0zA9ieJ8wjTGICFpanN505r1plaj8SC3kBcuFHStd5oNKRb37cvnCSy ZDVxvtg2qAZQApvH5Md8T4dqf/a7hBZXSCXq/nD+aodJaMABNilT43+p5kuC88ZeTIM7jB/1qWm7 nBaZyc3Zr6K0oEDBS9rRnlkQD6XvGJMvnpNuqkDpZ6/oglA0TKXof22MTvgx5lnvrgGoSFjBQyWV 3gLJTgH+aXy7ws0ybOClS0Fg1fTSUYKKNQ6ihYb7pwQeBWGe5v/ksKtNyTUH12jbxqtK3zTkbeFc SxKxZq1H9GeeC66YVDQzuZTaJqlAmAFNuwmzuTzee5It/b5GUEgsu0WoW4h56FMCjdUIMnvb5UnL 2H/6AWI+JAx19KURvlxSaKeA+eQjZf1A9EBVoC4kR1Obf0tvwXH+cZh0mrvr8q8IQueRwkPELt5Y ZwLGs3CzgUE5nD1lJao9UhWHw0LHglIWJPurmjZ9rYYuAoMNfqpzvXNHKCAUM1TzR9fbFDaXrxVi BOsjoKoYFieUltYDYhxsZv0HoKFheVX9NJB/dQHWQTOOtPCWsc1lWzcpgfZZ6GAIs/w0rMIIiW5O jgZZg2uKYVXL7noHkrVu/XXi2C/Mc7ZbYCXvbhgVZ4ShRwXS+hc8jgFrsl2axmzUsdRaEK7zJZe0 LuZ5qAyBQg1Ic+AXGpESNDJoO5pay3nvE0NPzIXTp7RrlcFZfFRFtOZyr1VeyD6G5SV8uBXTwVYB H+ViTv8P83R8NdjF44RdfNDWGq1izhDuAXOmsOQfft6ndCqUUivSnm6B3x8BNLR4rByf7O7zPXB9 dn4vr9tkA0D37AsQ8EX94U8xMx4d9+0h0eUNB9j458IHId3as/cYaVciIm0+57uEKMiU7mgSLKlO qok2/w3G7O5xFXTneFecUPD5GYz9RcHcz9AXq1zzxFHH63nuUOqd0pYwSb5/Nw+I4W5xBxfJz//J uP+/Qn/zAZ8Rqx/FXGNmuROzVyIef/tDMsMSy9eqqtskBnsV1WSnZ0gfeRzO76HUj9vOmE5xBvz6 tQZSd3zF/01vd64ghdqKGcxNYCUhyT87+m8WcZoJ6EjArXFRhjs3Wj04twXoYZmdl9Dw9nprYw/f frIy0MD6XhCM5vtyjhMhtL9mFioGi6GF7pcZgCN0MOvgoNn7JoUldxgAiXHB+6o9OyIjTsSc0w32 I+4ufXRqX0jfChvMZoaN1IFcohcqpnCdDVRmQUrqPWSkjjmn5lQSYEYqfssP8CtZgwxk5afdlIpR wrDkF6IrnWirPT3J+S2G/t8uhSS1lwPc6nm5hOip7LK1jR1Zz4BYQ71sw3ikb/cd2aVsYam9WhBg I9NcXAgfXiGiwzaroD3fHaFAkmwp4nxhEAjf6M+nVPkE5W8aGQ5CGHY333AQEiwsmrdWZEzkcRSY ZbJb+fbz7Y7VByc6ekUUK+9vOfHYhex3Mzce1WnLBTEfqp4breBEjcpW9mtvOUBT5ZRSIFOmVeUy yZaI3ff0FkHSOZzVFpKdSLk4xLMQyQmtG4UVbBGYxQJhY3qOSPUQHaDdDjbaZg5BO5Je9BqyW8Zk 7yf5ugjz3xXVJ+U/ya+rIxN3Mua1pDltyHW/Y9jqQr1Zt0lDRNL0oWr/MEe3Umu0H4vArHtEEI74 UKVHc9yzl2u+b6AqNG3vZdtHJz8kI52rPE1b1RD4iUviKeioDdG0EytM/w4ciWiSl0Yn8XJ8IBtx SXN3n+UFeodqH5Qpj2l4EEOo+t2PeOakaj+H/5iAgRf+dovf+2NGo3No+QNvD9o+GA8hOrEk8xGL ybWGTKjIQfl6XA4+4XP8r3ZxC9/KNxH5dbs01U3uPIQRwJqdfl21/xg0GFjBTY7a7cYg8D/GFK/d vMrHW3HMMr9W1JMLFlICMTfk89bl8uQJfbQHlObmSIx9H5YqyOYeBuuSgZTpLOSz+Vv2UeylDhgY hzFEJwPpQP37vQpc7qkk5SRM+ISLbbf5/+jDqErQStWp2SrtEZIzST1FXWHSAPxV/Fd+yQ4BIPYq ZkWE5k+k6+o4NuZ/OkycqwWswCh3w+C9oIRF+qkDLKhaHKI4hb3GdOVJnIQThN24DkJDwlOSN/mB 0tI3AGgsWXoyb0BcU9lAoGQrZPyDZnYsxpali30DZZnyP0OJzssnFTuRUwnuK8eOCJ0EC8ApBVB6 W9s3BhbhGS//5w3+G0gaIm7Vd9vtdvjl6BcOikiSAh5VTg4uuNobv956ugbKqyO7Bo2tjyagzZPF mgvkLfTJjswj7WS4lP+B7ZV1jUzdpHlIe1VWrETOM0EYjyK1eZflocsr9BmArkQ9co5RqH9pLFlv KdHUaR3tW5ALzLJkBnE2dHMPsZ7XXQhsNdAM8gLCh3r3gb3vsEHtkDRvdx/wubg8ViCkEClFPBCZ lalExRGfAoGDISG+hpsjxWgdBIBzhdNc8eDqh14YLfRZZq+PcGP9N4GAhMf/vrRRFPvolgn3yLh9 HKouI6dYfBwpSUpLOaA3riJRL8+JwiREAB2UcUARjbDTGk9Cf5Cbz0X38k8UFP7WCSLbw6ofdQQL ytISA9N24xAuFI2WRcYXo9oj6bcbR7eAtWaoSzCJQolD5H8u0yB+g+HyDGQCjv9/uvJu1twtCa5E 8f0ys6VEoKvLZntjvJNwbyuCc/nJjgetAM1dDxzqqfy2UJE4kJJhcUOnPkZSnpkuxBEN5j7YIrTM UkrDLF6aOmvyAM58HUZk/9d3hZPelckdKHfTdBCKugT2Fi0NHmcPaEha0lvCouQGAvLicNIGIlwP MoOKvOAHHj91gDYlYA7QSutUyYcagGrl6lacHhV5BNwWYrnrDTcCOk+4vF1mVRNTP7fmvBE4/L1x F/Bd7skiFyvBrukpdLAJVL5jG6dKrmjp2vKKgbhJ90ozrECK4DztOkcdVO3xKrmw7cwjGRVl/9gw P8ZEYngLNqnvIVy1/XQ9Hkb7OLxiJOyFs6o1BQ2DcsxLFLZowDuzzUAeyzJtJwlh4WCFqrx6yzxT wKYTvAVegTHO9K6OAem9vIRLX8DnfYGLefsQICpCvyJSCTu4UmcvvQz88BkZIuNZOYmSYoX0VaQY vsDtQMvzOXe5c2tHtxENBMq50ionUxWpmPOpA522XAidzBs4IQ2Q0EqSthbUJabm4ekb99YfMBi8 pIseF1FSWsJWWMFmEDCFkLMsO9BlFPyqy4w9AiFMlNVXLO8Pct+S9kwzdfFsFRyoj+kiMJWbVc6N nw6Ucpm4ko8bRv1omBEAoG+Gtj9nKPEr4gT8Z10rNrj0OwjTQHppf8ar6wHA8X9TD7/Nbdzkkccd DhaQzn800fSUH4Av3N3nnx9XkdnddDn/PAewG51kEgPB9lWvPFaJpE7Qqajl94V8Mn30qPcJgP87 IPC6ImAxTXP+gRdHbO2Pndy8CT4iThDn4VRUn2tES9TllBvJqHHJilTJKRalIuKRJ1XF2vMk+Iim qkbdMU8fk4rZUQfudp4aqRxcUseB8HNjnmEzJFSMEgZpUkCmmgrhcqAWcQ1EWTcsntAl2nMFxF7i VgIcCjoOfOmAtrqlUb+CHZ6o88WfnlReysqfOVjSQPjdtzDc0mcqcHAFY0XAbRAGFYiI+2I90nED WaaXTRQxBDxh86lVjZB2kovLyVgfKUASJey+sEeA26w++6Nnz0GkAwow0pB4pCgRLYxwjL9m8z0G dSk4k5YVqKXQxPL64kqc1sg3/kjZEh0zlMfKJo/euPVenQ5PYcN5HaBvbrfiRb8Evk71AYeaSQdV EXS0m7hcS3dHbnQBE/ae917jyH2X4jYCFce7sHrlu5Bk04mQWB/ET2Iuw2AVdSQwjBbHyqK/9L04 FSFcVgE3KvclBvfh4bpCDwbPlv6uujbqMRzAh3MVqNIMqVKP+kRQ7l1A9BpB2oz4clPc+7V6QEIH nPZbFi4NZK4F3wDzsQaHDeMXglX0bGK6EVY72+HPBhG6EMvBIPkmxk6DUaHmjCz/cZSEp2pPUfvn VN8mW4QTWLx8XtrWTXONu418CFmN6J5Ltu5yQ/rG9UKCCx3LvpluLq5MXhQFbVtHlLDslx58dkJP qyI3IBVnAjp+pV7fMLoqZ52ZygWM++pnh8u8bFsQT3N8iGKSHTR/piTfXRGbEuIM6gyOeJsDfywj Il/8V0j4YnNK3eyDzHctrENapkUnqO5hFLKwAZ4mALRVL33KJTUnpcobEIaIEjyT4086LPia1SV9 RhyseN5T0EQLEIARXK5Ictc0rLqpgTkLSxyGYRNP7ReV `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo2.vhd
15
14428
------------------------------------------------------------------------------- -- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo2 - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo2.vhd -- -- Description: same as srl_fifo except the Addr port has the correct bit -- ordering, there is a true FIFO_Empty port, and the C_DEPTH -- generic actually controlls how many elements the fifo will -- hold (up to 16). includes an assertion statement to check -- that C_DEPTH is less than or equal to 16. changed -- C_DATA_BITS to C_DWIDTH and changed it from natural to -- positive (the width should be 1 or greater, zero width -- didn't make sense to me!). Changed C_DEPTH from natural -- to positive (zero elements doesn't make sense). -- The Addr port in srl_fifo has the bits reversed which -- made it more difficult to use. C_DEPTH was not used in -- srl_fifo. Data_Exists is delayed by one clock so it is -- not usefull for generating an empty flag. FIFO_Empty is -- generated directly from the address, the same way that -- FIFO_Full is generated. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo2.vhd -- ------------------------------------------------------------------------------- -- Author: jam -- -- History: -- jam 02/20/02 First Version - modified from original srl_fifo -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a -- clock delay, to the not of data_Exists_I, which doesn't -- have any delay -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; library unisim; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- conv_std_logic_vector use unisim.all; entity srl_fifo2 is generic ( C_DWIDTH : positive := 8; -- changed to positive C_DEPTH : positive := 16; -- changed to positive C_XON : boolean := false -- added for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; -- new port Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) ); end entity srl_fifo2; architecture imp of srl_fifo2 is -- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated -- based on the selected depth rather than fixed at 16 constant DEPTH : std_logic_vector(0 to 3) := conv_std_logic_vector(C_DEPTH-1,4); component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic; -- I1 : in std_logic; -- I2 : in std_logic; -- I3 : in std_logic); -- end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP -- C_DEPTH is positive so that ensures the fifo is at least 1 element deep -- make sure it is not greater than 16 locations deep -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on -- since srl16 address is 3 downto 0 need to compare individual bits -- didn't muck with addr_i since the basic addressing works - Addr output -- is generated correctly below buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and addr_i(1) = DEPTH(2) and addr_i(2) = DEPTH(1) and addr_i(3) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- -- modified the process to flip the bits since the address bits from the -- srl16 are 3 downto 0 and Addr needs to be 0 to 3 INT_ADDR_PROCESS:process (addr_i) begin -- process for i in Addr'range loop Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr end loop; end process; end architecture imp;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo2.vhd
15
14428
------------------------------------------------------------------------------- -- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo2 - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo2.vhd -- -- Description: same as srl_fifo except the Addr port has the correct bit -- ordering, there is a true FIFO_Empty port, and the C_DEPTH -- generic actually controlls how many elements the fifo will -- hold (up to 16). includes an assertion statement to check -- that C_DEPTH is less than or equal to 16. changed -- C_DATA_BITS to C_DWIDTH and changed it from natural to -- positive (the width should be 1 or greater, zero width -- didn't make sense to me!). Changed C_DEPTH from natural -- to positive (zero elements doesn't make sense). -- The Addr port in srl_fifo has the bits reversed which -- made it more difficult to use. C_DEPTH was not used in -- srl_fifo. Data_Exists is delayed by one clock so it is -- not usefull for generating an empty flag. FIFO_Empty is -- generated directly from the address, the same way that -- FIFO_Full is generated. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo2.vhd -- ------------------------------------------------------------------------------- -- Author: jam -- -- History: -- jam 02/20/02 First Version - modified from original srl_fifo -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a -- clock delay, to the not of data_Exists_I, which doesn't -- have any delay -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; library unisim; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- conv_std_logic_vector use unisim.all; entity srl_fifo2 is generic ( C_DWIDTH : positive := 8; -- changed to positive C_DEPTH : positive := 16; -- changed to positive C_XON : boolean := false -- added for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; -- new port Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) ); end entity srl_fifo2; architecture imp of srl_fifo2 is -- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated -- based on the selected depth rather than fixed at 16 constant DEPTH : std_logic_vector(0 to 3) := conv_std_logic_vector(C_DEPTH-1,4); component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic; -- I1 : in std_logic; -- I2 : in std_logic; -- I3 : in std_logic); -- end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP -- C_DEPTH is positive so that ensures the fifo is at least 1 element deep -- make sure it is not greater than 16 locations deep -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on -- since srl16 address is 3 downto 0 need to compare individual bits -- didn't muck with addr_i since the basic addressing works - Addr output -- is generated correctly below buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and addr_i(1) = DEPTH(2) and addr_i(2) = DEPTH(1) and addr_i(3) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- -- modified the process to flip the bits since the address bits from the -- srl16 are 3 downto 0 and Addr needs to be 0 to 3 INT_ADDR_PROCESS:process (addr_i) begin -- process for i in Addr'range loop Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr end loop; end process; end architecture imp;
mit
pdt/ttask
test/modelsim/lib/tbmsgs/src/tbmsgs.vhdl
2
1716
-------------------------------------------------------------------------------- -- -- tbmsgs.vhdl -- -- Testbench messages -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package tbmsgs is procedure testcase( constant desc : in string; constant count : in natural); procedure check( constant good : in boolean; constant desc : in string); procedure tested( constant desc : in string); procedure testcase_complete; end; package body tbmsgs is shared variable total_tests : natural := 0; shared variable total_errors : integer := 0; shared variable completed_tests : natural := 0; procedure testcase( constant desc : in string; constant count : in natural) is begin report "|tbmsgs| *** running test case: " & desc; total_tests := count; end procedure; procedure check( constant good : in boolean; constant desc : in string) is begin if not good then report "|tbmsgs| ERROR: " & desc; total_errors := total_errors + 1; end if; end procedure; procedure tested( constant desc : in string) is begin report "|tbmsgs| tested: " & desc; completed_tests := completed_tests + 1; end procedure; procedure testcase_complete is begin report "|tbmsgs| tests run: " & integer'image(completed_tests) & "/" & integer'image(total_tests) & ", errors: " & integer'image(total_errors); end procedure; end;
mit
IamVNIE/Hardware-Security
PUF Lab/Students_PUFS/puf_lab_mos283_ad3572/benes8.vhd
2
3296
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:34:19 04/24/2017 -- Design Name: -- Module Name: benes8 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity benes8 is Port ( a : in STD_LOGIC_VECTOR (7 downto 0); sel : in STD_LOGIC_VECTOR (19 downto 0); b : out STD_LOGIC_VECTOR (7 downto 0)); end benes8; architecture Behavioral of benes8 is signal a2, a1, ab, b1, b2 : STD_LOGIC_VECTOR (7 downto 0); component sw2x2 port ( in0: in std_logic; in1: in std_logic; out0: out std_logic; out1: out std_logic; sel: in std_logic); end component; begin st2a1 : sw2x2 port map(in0 => a(7), in1 => a(3), out0 => a2(7), out1 => a2(3), sel => sel(19) ); st2a2 : sw2x2 port map(in0 => a(6), in1 => a(2), out0 => a2(6), out1 => a2(2), sel => sel(18) ); st2a3 : sw2x2 port map(in0 => a(5), in1 => a(1), out0 => a2(5), out1 => a2(1), sel => sel(17) ); st2a4 : sw2x2 port map(in0 => a(4), in1 => a(0), out0 => a2(4), out1 => a2(0), sel => sel(16) ); st1a1 : sw2x2 port map(in0 => a2(7), in1 => a2(5), out0 => a1(7), out1 => a1(5), sel => sel(15) ); st1a2 : sw2x2 port map(in0 => a2(6), in1 => a2(4), out0 => a1(6), out1 => a1(4), sel => sel(14) ); st1a3 : sw2x2 port map(in0 => a2(3), in1 => a2(1), out0 => a1(3), out1 => a1(1), sel => sel(13) ); st1a4 : sw2x2 port map(in0 => a2(2), in1 => a2(0), out0 => a1(2), out1 => a1(0), sel => sel(12) ); st01 : sw2x2 port map(in0 => a1(7), in1 => a1(6), out0 => ab(7), out1 => ab(6), sel => sel(11) ); st02 : sw2x2 port map(in0 => a1(5), in1 => a1(4), out0 => ab(5), out1 => ab(4), sel => sel(10) ); st03 : sw2x2 port map(in0 => a1(3), in1 => a1(2), out0 => ab(3), out1 => ab(2), sel => sel(9) ); st04 : sw2x2 port map(in0 => a1(1), in1 => a1(0), out0 => ab(1), out1 => ab(0), sel => sel(8) ); st2b1 : sw2x2 port map(in0 => ab(7), in1 => ab(5), out0 => b1(7), out1 => b1(5), sel => sel(7) ); st2b2 : sw2x2 port map(in0 => ab(6), in1 => ab(4), out0 => b1(6), out1 => b1(4), sel => sel(6) ); st2b3 : sw2x2 port map(in0 => ab(3), in1 => ab(1), out0 => b1(3), out1 => b1(1), sel => sel(5) ); st2b4 : sw2x2 port map(in0 => ab(2), in1 => ab(0), out0 => b1(2), out1 => b1(0), sel => sel(4) ); st1b1 : sw2x2 port map(in0 => b1(7), in1 => b1(3), out0 => b(7), out1 => b(3), sel => sel(3) ); st1b2 : sw2x2 port map(in0 => b1(6), in1 => b1(2), out0 => b(6), out1 => b(2), sel => sel(2) ); st1b3 : sw2x2 port map(in0 => b1(5), in1 => b1(1), out0 => b(5), out1 => b(1), sel => sel(1) ); st1b4 : sw2x2 port map(in0 => b1(4), in1 => b1(0), out0 => b(4), out1 => b(0), sel => sel(0) ); end Behavioral;
mit
capitanov/Stupid_watch
src/rtl/game_cores/cl_check.vhd
1
4399
-------------------------------------------------------------------------------- -- -- Title : cl_check.vhd -- Design : Example -- Author : Kapitanov -- Company : InSys -- -- Version : 1.0 -------------------------------------------------------------------------------- -- -- Description : Game block for square 8x8 -- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity cl_check is generic( constant yend : std_logic_vector(4 downto 0); --! Y end area constant ystart : std_logic_vector(4 downto 0); --! Y start area constant xend : std_logic_vector(6 downto 0); --! X end area constant xstart : std_logic_vector(6 downto 0) --! X start area ); port( -- system signals: clk : in std_logic; --! clock reset : in std_logic; --! system reset -- vga XoY coordinates: cnt_yy : in std_logic_vector(2 downto 0); --! counter for Y data cnt_xx : in std_logic_vector(2 downto 0); --! counter for X data --data_hide : in std_logic; display : in std_logic; --! display enable x_char : in std_logic_vector(9 downto 0); --! X line: 0:79 y_char : in std_logic_vector(8 downto 0); --! Y line: 0:29 -- out color scheme: rgb : out std_logic_vector(2 downto 0) --! RGB Colour ); end cl_check; architecture cl_check of cl_check is signal data_rom : std_logic_vector(7 downto 0); signal x_in : std_logic_vector(6 downto 0); signal y_in : std_logic_vector(4 downto 0); signal data : std_logic; signal x_rev : std_logic_vector(2 downto 0); signal x_del : std_logic_vector(2 downto 0); signal y_charz : std_logic_vector(3 downto 0); constant color : std_logic_vector(2 downto 0):="111"; signal comp_yy : std_logic_vector(3 downto 0); signal comp_xx : std_logic_vector(3 downto 0); signal data_x, data_y : std_logic; begin ---------------- stage 1: Get XoY ---------------- y_charz <= y_char(3 downto 0) when rising_edge(clk); g_rev: for ii in 0 to 2 generate begin x_rev(ii) <= not x_char(ii) when rising_edge(clk); end generate; x_del <= x_rev when rising_edge(clk); comp_yy <= '0' & cnt_yy; comp_xx <= '0' & cnt_xx; x_in <= x_char(9 downto 3); y_in <= y_char(8 downto 4); ---------------- stage 2: Convert XY ---------------- pr_select: process(clk, reset) is begin if reset = '0' then data_x <= '0'; data_y <= '0'; elsif rising_edge(clk) then if display = '1' then if (x_in = (xstart + comp_xx)) then data_x <= '1'; else data_x <= '0'; end if; if (y_in = (ystart + comp_yy)) then data_y <= '1'; else data_y <= '0'; end if; else data_x <= '0'; data_y <= '0'; end if; end if; end process; ---------------- stage 3: Data ROM ---------------- pr_new_box: process(clk, reset) begin if reset = '0' then data_rom <= x"00"; elsif rising_edge(clk) then if (data_x = '1' and data_y = '1') then case y_charz(3 downto 0) is when x"0" => data_rom <= x"FF"; when x"1" => data_rom <= x"81"; when x"2" => data_rom <= x"81"; when x"3" => data_rom <= x"81"; when x"4" => data_rom <= x"81"; when x"5" => data_rom <= x"81"; when x"6" => data_rom <= x"81"; when x"7" => data_rom <= x"81"; when x"8" => data_rom <= x"81"; when x"9" => data_rom <= x"81"; when x"A" => data_rom <= x"81"; when x"B" => data_rom <= x"81"; when x"C" => data_rom <= x"81"; when x"D" => data_rom <= x"83"; when x"E" => data_rom <= x"87"; when others => data_rom <= x"FF"; end case; else data_rom <= x"00"; end if; end if; end process; ---------------- stage 4: RGB DATA ---------------- pr_sw_sel: process(clk, reset) is begin if reset = '0' then data <= '0'; elsif rising_edge(clk) then data <= data_rom(to_integer(unsigned(x_del))); end if; end process; g_rgb: for ii in 0 to 2 generate begin rgb(ii) <= data and color(ii); end generate; end cl_check;
mit
laurocruz/snakes_vhdl
demo_make_map/demo_make_map.vhd
1
610
LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY snake_lib; USE snake_lib.snake_pack.all; ENTITY demo_make_map IS GENERIC (N : INTEGER := 10; M : INTEGER := 10; INITIAL_SIZE : INTEGER := 2); PORT (clock : IN STD_LOGIC; reset : IN STD_LOGIC; eaten : STD_LOGIC; snake_size : IN INTEGER RANGE 0 TO N*M; dir : IN STD_LOGIC_VECTOR(1 DOWNTO 0)); END demo_make_map; ARCHITECTURE Behavior OF demo_make_map IS SIGNAL snake_body : int_array; BEGIN make_map1: make_map PORT MAP (clock, reset, eaten, snake_size, dir, snake_body); END Behavior;
mit
kucherenko/jscpd
fixtures/vhdl/file2.vhd
12226531
0
mit
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/GPR/src/DPATH.vhd
1
1698
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; library gpr; use gpr.OneHotGPR.all; entity DPATH is port( EN: in std_logic; -- operation type OT: in operation; -- operand 1 OP1: in operand; -- operand 2 OP2: in operand; -- result RES: out operand; -- zero flag ZF: out std_logic ); end DPATH; architecture Beh_GPR of DPATH is signal res_g: operand; signal res_add: operand; signal res_sub: operand; signal res_shift: operand; signal res_copy: operand; signal t_zf: std_logic; Begin res_add <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(OP1) + CONV_INTEGER(OP2), 16); res_sub <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(OP1) - CONV_INTEGER(OP2), 16); res_copy <= OP1; REGA: process (EN, OT, OP1, res_add, res_sub, res_shift, res_copy) begin if rising_edge(EN) then case OT is when ADD => res_g <= res_add; when SUBT => res_g <= res_sub; when SHIFT => res_g <= res_shift; when COPY => res_g <= res_copy; when others => null; end case; end if; end process; FLAGS: process(res_g) begin if res_g = (res_g'range => '0') then t_zf <= '1'; else t_zf <= '0'; end if; end process; GRAY: process(OP1) begin for i in 0 to 14 loop res_shift(i) <= OP1(i) xor OP1(i+1); end loop; res_shift(15) <= OP1(15); end process; RES <= res_g; ZF <= t_zf; End Beh_GPR;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ipshared/fe7d/hdl/vhdl/nco_AXILiteS_s_axi.vhd
2
9041
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity nco_AXILiteS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 5; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; -- user signals sine_sample_V :in STD_LOGIC_VECTOR(15 downto 0); sine_sample_V_ap_vld :in STD_LOGIC; step_size_V :out STD_LOGIC_VECTOR(15 downto 0)); end entity nco_AXILiteS_s_axi; --------------------------Address Info------------------- -- 0x00 : reserved -- 0x04 : reserved -- 0x08 : reserved -- 0x0c : reserved -- 0x10 : Data signal of sine_sample_V -- bit 15~0 - sine_sample_V[15:0] (Read) -- others - reserved -- 0x14 : Control signal of sine_sample_V -- bit 0 - sine_sample_V_ap_vld (Read/COR) -- others - reserved -- 0x18 : Data signal of step_size_V -- bit 15~0 - step_size_V[15:0] (Read/Write) -- others - reserved -- 0x1c : reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of nco_AXILiteS_s_axi is constant ADDR_BITS : INTEGER := 5; constant ADDR_SINE_SAMPLE_V_DATA_0 : INTEGER :=16#10#; constant ADDR_SINE_SAMPLE_V_CTRL : INTEGER :=16#14#; constant ADDR_STEP_SIZE_V_DATA_0 : INTEGER :=16#18#; constant ADDR_STEP_SIZE_V_CTRL : INTEGER :=16#1c#; type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write FSM states signal wstate, wnext, rstate, rnext: states; -- Local signal signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_sine_sample_V : UNSIGNED(15 downto 0); signal int_sine_sample_V_ap_vld : STD_LOGIC; signal int_step_size_V : UNSIGNED(15 downto 0); begin -- axi write AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wridle; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end process; -- axi read ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdidle; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_SINE_SAMPLE_V_DATA_0 => rdata_data <= RESIZE(int_sine_sample_V(15 downto 0), 32); when ADDR_SINE_SAMPLE_V_CTRL => rdata_data <= (0 => int_sine_sample_V_ap_vld, others => '0'); when ADDR_STEP_SIZE_V_DATA_0 => rdata_data <= RESIZE(int_step_size_V(15 downto 0), 32); when others => rdata_data <= (others => '0'); end case; end if; end if; end process; -- internal registers step_size_V <= STD_LOGIC_VECTOR(int_step_size_V); process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_sine_sample_V <= (others => '0'); elsif (ACLK_EN = '1') then if (sine_sample_V_ap_vld = '1') then int_sine_sample_V <= UNSIGNED(sine_sample_V); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_sine_sample_V_ap_vld <= '0'; elsif (ACLK_EN = '1') then if (sine_sample_V_ap_vld = '1') then int_sine_sample_V_ap_vld <= '1'; elsif (ar_hs = '1' and raddr = ADDR_SINE_SAMPLE_V_CTRL) then int_sine_sample_V_ap_vld <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_STEP_SIZE_V_DATA_0) then int_step_size_V(15 downto 0) <= (UNSIGNED(WDATA(15 downto 0)) and wmask(15 downto 0)) or ((not wmask(15 downto 0)) and int_step_size_V(15 downto 0)); end if; end if; end if; end process; end architecture behave;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/syn/vhdl/convolve_kernel.vhd
4
40699
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convolve_kernel is generic ( C_S_AXI_CONTROL_ADDR_WIDTH : INTEGER := 4; C_S_AXI_CONTROL_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; bufw_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_EN_A : OUT STD_LOGIC; bufw_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufw_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufw_Clk_A : OUT STD_LOGIC; bufw_Rst_A : OUT STD_LOGIC; bufi_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_EN_A : OUT STD_LOGIC; bufi_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufi_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufi_Clk_A : OUT STD_LOGIC; bufi_Rst_A : OUT STD_LOGIC; bufo_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_EN_A : OUT STD_LOGIC; bufo_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufo_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufo_Clk_A : OUT STD_LOGIC; bufo_Rst_A : OUT STD_LOGIC; s_axi_control_AWVALID : IN STD_LOGIC; s_axi_control_AWREADY : OUT STD_LOGIC; s_axi_control_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0); s_axi_control_WVALID : IN STD_LOGIC; s_axi_control_WREADY : OUT STD_LOGIC; s_axi_control_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0); s_axi_control_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH/8-1 downto 0); s_axi_control_ARVALID : IN STD_LOGIC; s_axi_control_ARREADY : OUT STD_LOGIC; s_axi_control_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0); s_axi_control_RVALID : OUT STD_LOGIC; s_axi_control_RREADY : IN STD_LOGIC; s_axi_control_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0); s_axi_control_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_control_BVALID : OUT STD_LOGIC; s_axi_control_BREADY : IN STD_LOGIC; s_axi_control_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of convolve_kernel is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "convolve_kernel,hls_ip_2017_3,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=5.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=4.353000,HLS_SYN_LAT=37942,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=5,HLS_SYN_FF=860,HLS_SYN_LUT=1412}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000100000000000000"; constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (25 downto 0) := "00000000001000000000000000"; constant ap_ST_fsm_state17 : STD_LOGIC_VECTOR (25 downto 0) := "00000000010000000000000000"; constant ap_ST_fsm_state18 : STD_LOGIC_VECTOR (25 downto 0) := "00000000100000000000000000"; constant ap_ST_fsm_state19 : STD_LOGIC_VECTOR (25 downto 0) := "00000001000000000000000000"; constant ap_ST_fsm_state20 : STD_LOGIC_VECTOR (25 downto 0) := "00000010000000000000000000"; constant ap_ST_fsm_state21 : STD_LOGIC_VECTOR (25 downto 0) := "00000100000000000000000000"; constant ap_ST_fsm_state22 : STD_LOGIC_VECTOR (25 downto 0) := "00001000000000000000000000"; constant ap_ST_fsm_state23 : STD_LOGIC_VECTOR (25 downto 0) := "00010000000000000000000000"; constant ap_ST_fsm_state24 : STD_LOGIC_VECTOR (25 downto 0) := "00100000000000000000000000"; constant ap_ST_fsm_state25 : STD_LOGIC_VECTOR (25 downto 0) := "01000000000000000000000000"; constant ap_ST_fsm_state26 : STD_LOGIC_VECTOR (25 downto 0) := "10000000000000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv6_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal row_b_cast6_cast_fu_164_p1 : STD_LOGIC_VECTOR (5 downto 0); signal row_b_cast6_cast_reg_454 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal row_b_cast_fu_168_p1 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_cast_reg_459 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_1_fu_178_p2 : STD_LOGIC_VECTOR (1 downto 0); signal row_b_1_reg_467 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_cast5_cast_fu_184_p1 : STD_LOGIC_VECTOR (5 downto 0); signal col_b_cast5_cast_reg_472 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal col_b_cast_fu_188_p1 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_cast_reg_477 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_1_fu_198_p2 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_1_reg_485 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_10_cast_fu_226_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_10_cast_reg_490 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal tmp_11_fu_230_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_11_reg_495 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_3_fu_235_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_3_reg_501 : STD_LOGIC_VECTOR (0 downto 0); signal to_b_1_fu_241_p2 : STD_LOGIC_VECTOR (1 downto 0); signal to_b_1_reg_505 : STD_LOGIC_VECTOR (1 downto 0); signal bufo_addr_reg_510 : STD_LOGIC_VECTOR (4 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_17_fu_292_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_17_reg_515 : STD_LOGIC_VECTOR (63 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal tmp_19_cast_fu_316_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_19_cast_reg_520 : STD_LOGIC_VECTOR (6 downto 0); signal ti_b_1_fu_326_p2 : STD_LOGIC_VECTOR (1 downto 0); signal ti_b_1_reg_528 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_22_fu_357_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_22_reg_533 : STD_LOGIC_VECTOR (8 downto 0); signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal i_1_fu_369_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_541 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_9_fu_375_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_9_reg_546 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_7_fu_363_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_25_fu_404_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_25_reg_551 : STD_LOGIC_VECTOR (8 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal bufw_addr_reg_556 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal j_1_fu_430_p2 : STD_LOGIC_VECTOR (2 downto 0); signal j_1_reg_564 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_27_fu_445_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_27_reg_569 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_s_fu_424_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal bufw_load_reg_579 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal bufi_load_reg_584 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_160_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_reg_589 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state16 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state16 : signal is "none"; signal bufo_load_reg_594 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_156_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_reg_599 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state25 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state25 : signal is "none"; signal row_b_reg_90 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_1_fu_192_p2 : STD_LOGIC_VECTOR (0 downto 0); signal col_b_reg_101 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_fu_172_p2 : STD_LOGIC_VECTOR (0 downto 0); signal to_b_reg_112 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_5_fu_320_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ti_b_reg_123 : STD_LOGIC_VECTOR (1 downto 0); signal i_reg_134 : STD_LOGIC_VECTOR (2 downto 0); signal j_reg_145 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state26 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state26 : signal is "none"; signal tmp_14_cast_fu_262_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_26_cast_fu_419_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_27_cast_fu_450_p1 : STD_LOGIC_VECTOR (63 downto 0); signal bufw_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal bufi_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal bufo_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state17 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state17 : signal is "none"; signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_8_fu_208_p3 : STD_LOGIC_VECTOR (3 downto 0); signal p_shl1_cast_fu_216_p1 : STD_LOGIC_VECTOR (4 downto 0); signal to_b_cast4_cast_fu_204_p1 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_10_fu_220_p2 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_12_fu_247_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_13_fu_252_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_14_fu_257_p2 : STD_LOGIC_VECTOR (5 downto 0); signal ti_b_cast3_cast_fu_267_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_15_fu_271_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_16_fu_280_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_15_cast_fu_276_p1 : STD_LOGIC_VECTOR (63 downto 0); signal p_shl3_fu_288_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_18_fu_298_p3 : STD_LOGIC_VECTOR (4 downto 0); signal p_shl2_cast_fu_306_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_19_fu_310_p2 : STD_LOGIC_VECTOR (5 downto 0); signal i_cast2_fu_332_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_20_fu_336_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_24_fu_345_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_21_fu_341_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl4_cast_fu_349_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_9_cast_cast_fu_380_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_23_fu_383_p2 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_28_fu_392_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl5_cast_fu_396_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_23_cast_fu_388_p1 : STD_LOGIC_VECTOR (8 downto 0); signal j_cast1_cast_fu_410_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_26_fu_414_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_2_fu_436_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_2_cast_cast_fu_441_p1 : STD_LOGIC_VECTOR (8 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (25 downto 0); component convolve_kernel_fbkb IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component convolve_kernel_fcud IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component convolve_kernel_control_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC ); end component; begin convolve_kernel_control_s_axi_U : component convolve_kernel_control_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_CONTROL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CONTROL_DATA_WIDTH) port map ( AWVALID => s_axi_control_AWVALID, AWREADY => s_axi_control_AWREADY, AWADDR => s_axi_control_AWADDR, WVALID => s_axi_control_WVALID, WREADY => s_axi_control_WREADY, WDATA => s_axi_control_WDATA, WSTRB => s_axi_control_WSTRB, ARVALID => s_axi_control_ARVALID, ARREADY => s_axi_control_ARREADY, ARADDR => s_axi_control_ARADDR, RVALID => s_axi_control_RVALID, RREADY => s_axi_control_RREADY, RDATA => s_axi_control_RDATA, RRESP => s_axi_control_RRESP, BVALID => s_axi_control_BVALID, BREADY => s_axi_control_BREADY, BRESP => s_axi_control_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle); convolve_kernel_fbkb_U1 : component convolve_kernel_fbkb generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => bufo_load_reg_594, din1 => tmp_4_reg_589, ce => ap_const_logic_1, dout => grp_fu_156_p2); convolve_kernel_fcud_U2 : component convolve_kernel_fcud generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => bufw_load_reg_579, din1 => bufi_load_reg_584, ce => ap_const_logic_1, dout => grp_fu_160_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; col_b_reg_101_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_0 = tmp_fu_172_p2))) then col_b_reg_101 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_1))) then col_b_reg_101 <= col_b_1_reg_485; end if; end if; end process; i_reg_134_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = tmp_5_fu_320_p2))) then i_reg_134 <= ap_const_lv3_0; elsif (((tmp_s_fu_424_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state9))) then i_reg_134 <= i_1_reg_541; end if; end if; end process; j_reg_145_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then j_reg_145 <= ap_const_lv3_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state26)) then j_reg_145 <= j_1_reg_564; end if; end if; end process; row_b_reg_90_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_1_fu_192_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then row_b_reg_90 <= row_b_1_reg_467; elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then row_b_reg_90 <= ap_const_lv2_0; end if; end if; end process; ti_b_reg_123_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_0))) then ti_b_reg_123 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_1))) then ti_b_reg_123 <= ti_b_1_reg_528; end if; end if; end process; to_b_reg_112_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state3) and (ap_const_lv1_0 = tmp_1_fu_192_p2))) then to_b_reg_112 <= ap_const_lv2_0; elsif (((ap_const_lv1_1 = tmp_5_fu_320_p2) and (ap_const_logic_1 = ap_CS_fsm_state6))) then to_b_reg_112 <= to_b_1_reg_505; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state11)) then bufi_load_reg_584 <= bufi_Dout_A; bufw_load_reg_579 <= bufw_Dout_A; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then bufo_addr_reg_510 <= tmp_14_cast_fu_262_p1(5 - 1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state16)) then bufo_load_reg_594 <= bufo_Dout_A; tmp_4_reg_589 <= grp_fu_160_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then bufw_addr_reg_556 <= tmp_26_cast_fu_419_p1(8 - 1 downto 0); j_1_reg_564 <= j_1_fu_430_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state3)) then col_b_1_reg_485 <= col_b_1_fu_198_p2; col_b_cast5_cast_reg_472(1 downto 0) <= col_b_cast5_cast_fu_184_p1(1 downto 0); col_b_cast_reg_477(1 downto 0) <= col_b_cast_fu_188_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then i_1_reg_541 <= i_1_fu_369_p2; tmp_22_reg_533 <= tmp_22_fu_357_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then row_b_1_reg_467 <= row_b_1_fu_178_p2; row_b_cast6_cast_reg_454(1 downto 0) <= row_b_cast6_cast_fu_164_p1(1 downto 0); row_b_cast_reg_459(1 downto 0) <= row_b_cast_fu_168_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then ti_b_1_reg_528 <= ti_b_1_fu_326_p2; tmp_17_reg_515 <= tmp_17_fu_292_p2; tmp_19_cast_reg_520 <= tmp_19_cast_fu_316_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_10_cast_reg_490 <= tmp_10_cast_fu_226_p1; tmp_11_reg_495 <= tmp_11_fu_230_p2; tmp_3_reg_501 <= tmp_3_fu_235_p2; to_b_1_reg_505 <= to_b_1_fu_241_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then tmp_25_reg_551 <= tmp_25_fu_404_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state9) and (ap_const_lv1_0 = tmp_s_fu_424_p2))) then tmp_27_reg_569 <= tmp_27_fu_445_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state25)) then tmp_6_reg_599 <= grp_fu_156_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_0))) then tmp_9_reg_546 <= tmp_9_fu_375_p2; end if; end if; end process; row_b_cast6_cast_reg_454(5 downto 2) <= "0000"; row_b_cast_reg_459(2) <= '0'; col_b_cast5_cast_reg_472(5 downto 2) <= "0000"; col_b_cast_reg_477(2) <= '0'; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, ap_CS_fsm_state3, tmp_3_reg_501, ap_CS_fsm_state5, ap_CS_fsm_state6, ap_CS_fsm_state7, tmp_7_fu_363_p2, ap_CS_fsm_state9, tmp_s_fu_424_p2, tmp_1_fu_192_p2, tmp_fu_172_p2, tmp_5_fu_320_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => if (((tmp_1_fu_192_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state6 => if (((ap_const_lv1_1 = tmp_5_fu_320_p2) and (ap_const_logic_1 = ap_CS_fsm_state6))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state8; end if; when ap_ST_fsm_state8 => ap_NS_fsm <= ap_ST_fsm_state9; when ap_ST_fsm_state9 => if (((tmp_s_fu_424_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state9))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state10 => ap_NS_fsm <= ap_ST_fsm_state11; when ap_ST_fsm_state11 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state12 => ap_NS_fsm <= ap_ST_fsm_state13; when ap_ST_fsm_state13 => ap_NS_fsm <= ap_ST_fsm_state14; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state15; when ap_ST_fsm_state15 => ap_NS_fsm <= ap_ST_fsm_state16; when ap_ST_fsm_state16 => ap_NS_fsm <= ap_ST_fsm_state17; when ap_ST_fsm_state17 => ap_NS_fsm <= ap_ST_fsm_state18; when ap_ST_fsm_state18 => ap_NS_fsm <= ap_ST_fsm_state19; when ap_ST_fsm_state19 => ap_NS_fsm <= ap_ST_fsm_state20; when ap_ST_fsm_state20 => ap_NS_fsm <= ap_ST_fsm_state21; when ap_ST_fsm_state21 => ap_NS_fsm <= ap_ST_fsm_state22; when ap_ST_fsm_state22 => ap_NS_fsm <= ap_ST_fsm_state23; when ap_ST_fsm_state23 => ap_NS_fsm <= ap_ST_fsm_state24; when ap_ST_fsm_state24 => ap_NS_fsm <= ap_ST_fsm_state25; when ap_ST_fsm_state25 => ap_NS_fsm <= ap_ST_fsm_state26; when ap_ST_fsm_state26 => ap_NS_fsm <= ap_ST_fsm_state9; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state16 <= ap_CS_fsm(15); ap_CS_fsm_state17 <= ap_CS_fsm(16); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state25 <= ap_CS_fsm(24); ap_CS_fsm_state26 <= ap_CS_fsm(25); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_done_assign_proc : process(ap_CS_fsm_state2, tmp_fu_172_p2) begin if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, tmp_fu_172_p2) begin if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; bufi_Addr_A <= std_logic_vector(shift_left(unsigned(bufi_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufi_Addr_A_orig <= tmp_27_cast_fu_450_p1(32 - 1 downto 0); bufi_Clk_A <= ap_clk; bufi_Din_A <= ap_const_lv32_0; bufi_EN_A_assign_proc : process(ap_CS_fsm_state10) begin if ((ap_const_logic_1 = ap_CS_fsm_state10)) then bufi_EN_A <= ap_const_logic_1; else bufi_EN_A <= ap_const_logic_0; end if; end process; bufi_Rst_A <= ap_rst_n_inv; bufi_WEN_A <= ap_const_lv4_0; bufo_Addr_A <= std_logic_vector(shift_left(unsigned(bufo_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufo_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufo_addr_reg_510),32)); bufo_Clk_A <= ap_clk; bufo_Din_A <= tmp_6_reg_599; bufo_EN_A_assign_proc : process(ap_CS_fsm_state26, ap_CS_fsm_state15) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or (ap_const_logic_1 = ap_CS_fsm_state26))) then bufo_EN_A <= ap_const_logic_1; else bufo_EN_A <= ap_const_logic_0; end if; end process; bufo_Rst_A <= ap_rst_n_inv; bufo_WEN_A_assign_proc : process(ap_CS_fsm_state26) begin if ((ap_const_logic_1 = ap_CS_fsm_state26)) then bufo_WEN_A <= ap_const_lv4_F; else bufo_WEN_A <= ap_const_lv4_0; end if; end process; bufw_Addr_A <= std_logic_vector(shift_left(unsigned(bufw_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufw_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufw_addr_reg_556),32)); bufw_Clk_A <= ap_clk; bufw_Din_A <= ap_const_lv32_0; bufw_EN_A_assign_proc : process(ap_CS_fsm_state10) begin if ((ap_const_logic_1 = ap_CS_fsm_state10)) then bufw_EN_A <= ap_const_logic_1; else bufw_EN_A <= ap_const_logic_0; end if; end process; bufw_Rst_A <= ap_rst_n_inv; bufw_WEN_A <= ap_const_lv4_0; col_b_1_fu_198_p2 <= std_logic_vector(unsigned(col_b_reg_101) + unsigned(ap_const_lv2_1)); col_b_cast5_cast_fu_184_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_101),6)); col_b_cast_fu_188_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_101),3)); i_1_fu_369_p2 <= std_logic_vector(unsigned(ap_const_lv3_1) + unsigned(i_reg_134)); i_cast2_fu_332_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_134),64)); j_1_fu_430_p2 <= std_logic_vector(unsigned(j_reg_145) + unsigned(ap_const_lv3_1)); j_cast1_cast_fu_410_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(j_reg_145),9)); p_shl1_cast_fu_216_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_fu_208_p3),5)); p_shl2_cast_fu_306_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_18_fu_298_p3),6)); p_shl3_fu_288_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_16_fu_280_p3),64)); p_shl4_cast_fu_349_p3 <= (tmp_24_fu_345_p1 & ap_const_lv2_0); p_shl5_cast_fu_396_p3 <= (tmp_28_fu_392_p1 & ap_const_lv3_0); row_b_1_fu_178_p2 <= std_logic_vector(unsigned(row_b_reg_90) + unsigned(ap_const_lv2_1)); row_b_cast6_cast_fu_164_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_90),6)); row_b_cast_fu_168_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_90),3)); ti_b_1_fu_326_p2 <= std_logic_vector(unsigned(ti_b_reg_123) + unsigned(ap_const_lv2_1)); ti_b_cast3_cast_fu_267_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(ti_b_reg_123),6)); tmp_10_cast_fu_226_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_10_fu_220_p2),6)); tmp_10_fu_220_p2 <= std_logic_vector(unsigned(p_shl1_cast_fu_216_p1) - unsigned(to_b_cast4_cast_fu_204_p1)); tmp_11_fu_230_p2 <= std_logic_vector(unsigned(row_b_cast6_cast_reg_454) + unsigned(tmp_10_cast_fu_226_p1)); tmp_12_fu_247_p2 <= std_logic_vector(shift_left(unsigned(tmp_11_reg_495),to_integer(unsigned('0' & ap_const_lv6_2(6-1 downto 0))))); tmp_13_fu_252_p2 <= std_logic_vector(unsigned(tmp_12_fu_247_p2) - unsigned(tmp_11_reg_495)); tmp_14_cast_fu_262_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_14_fu_257_p2),64)); tmp_14_fu_257_p2 <= std_logic_vector(unsigned(col_b_cast5_cast_reg_472) + unsigned(tmp_13_fu_252_p2)); tmp_15_cast_fu_276_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_15_fu_271_p2),64)); tmp_15_fu_271_p2 <= std_logic_vector(signed(tmp_10_cast_reg_490) + signed(ti_b_cast3_cast_fu_267_p1)); tmp_16_fu_280_p3 <= (tmp_15_fu_271_p2 & ap_const_lv2_0); tmp_17_fu_292_p2 <= std_logic_vector(signed(tmp_15_cast_fu_276_p1) + signed(p_shl3_fu_288_p1)); tmp_18_fu_298_p3 <= (ti_b_reg_123 & ap_const_lv3_0); tmp_19_cast_fu_316_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_19_fu_310_p2),7)); tmp_19_fu_310_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_306_p1) - unsigned(ti_b_cast3_cast_fu_267_p1)); tmp_1_fu_192_p2 <= "1" when (col_b_reg_101 = ap_const_lv2_3) else "0"; tmp_20_fu_336_p2 <= std_logic_vector(unsigned(tmp_17_reg_515) + unsigned(i_cast2_fu_332_p1)); tmp_21_fu_341_p1 <= tmp_20_fu_336_p2(9 - 1 downto 0); tmp_22_fu_357_p2 <= std_logic_vector(unsigned(tmp_21_fu_341_p1) + unsigned(p_shl4_cast_fu_349_p3)); tmp_23_cast_fu_388_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_23_fu_383_p2),9)); tmp_23_fu_383_p2 <= std_logic_vector(unsigned(tmp_9_cast_cast_fu_380_p1) + unsigned(tmp_19_cast_reg_520)); tmp_24_fu_345_p1 <= tmp_20_fu_336_p2(7 - 1 downto 0); tmp_25_fu_404_p2 <= std_logic_vector(unsigned(p_shl5_cast_fu_396_p3) - unsigned(tmp_23_cast_fu_388_p1)); tmp_26_cast_fu_419_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_26_fu_414_p2),64)); tmp_26_fu_414_p2 <= std_logic_vector(unsigned(tmp_22_reg_533) + unsigned(j_cast1_cast_fu_410_p1)); tmp_27_cast_fu_450_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_reg_569),64)); tmp_27_fu_445_p2 <= std_logic_vector(unsigned(tmp_25_reg_551) + unsigned(tmp_2_cast_cast_fu_441_p1)); tmp_28_fu_392_p1 <= tmp_23_fu_383_p2(6 - 1 downto 0); tmp_2_cast_cast_fu_441_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_2_fu_436_p2),9)); tmp_2_fu_436_p2 <= std_logic_vector(unsigned(col_b_cast_reg_477) + unsigned(j_reg_145)); tmp_3_fu_235_p2 <= "1" when (to_b_reg_112 = ap_const_lv2_3) else "0"; tmp_5_fu_320_p2 <= "1" when (ti_b_reg_123 = ap_const_lv2_3) else "0"; tmp_7_fu_363_p2 <= "1" when (i_reg_134 = ap_const_lv3_5) else "0"; tmp_8_fu_208_p3 <= (to_b_reg_112 & ap_const_lv2_0); tmp_9_cast_cast_fu_380_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_9_reg_546),7)); tmp_9_fu_375_p2 <= std_logic_vector(unsigned(i_reg_134) + unsigned(row_b_cast_reg_459)); tmp_fu_172_p2 <= "1" when (row_b_reg_90 = ap_const_lv2_3) else "0"; tmp_s_fu_424_p2 <= "1" when (j_reg_145 = ap_const_lv3_5) else "0"; to_b_1_fu_241_p2 <= std_logic_vector(unsigned(ap_const_lv2_1) + unsigned(to_b_reg_112)); to_b_cast4_cast_fu_204_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(to_b_reg_112),5)); end behav;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ipshared/f86a/hdl/proc_sys_reset_v5_0_vh_rfs.vhd
7
71590
------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- upcnt_n - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/07/01 -- First Release -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Number of bits in counter -- -- -- Definition of Ports: -- Data -- parallel data input -- Cnt_en -- count enable -- Load -- Load Data -- Clr -- reset -- Clk -- Clock -- Qout -- Count output -- ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : Integer ); port( Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); Cnt_en : in STD_LOGIC; Load : in STD_LOGIC; Clr : in STD_LOGIC; Clk : in STD_LOGIC; Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) ); end upcnt_n; architecture imp of upcnt_n is constant CLEAR : std_logic := '0'; signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1'); begin process(Clk) begin if (Clk'event) and Clk = '1' then -- Clear output register if (Clr = CLEAR) then q_int <= (others => '0'); -- Load in start value elsif (Load = '1') then q_int <= UNSIGNED(Data); -- If count enable is high elsif Cnt_en = '1' then q_int <= q_int + 1; end if; end if; end process; Qout <= STD_LOGIC_VECTOR(q_int); end imp; ------------------------------------------------------------------------------- -- sequence - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- -- upcnt_n.vhd -- -- lpf.vhd -- -- sequence.vhd ------------------------------------------------------------------------------- -- Filename: sequence.vhd -- -- Description: -- This file control the sequencing coming out of a reset. -- The sequencing is as follows: -- Bus_Struct_Reset comes out of reset first. Either when the -- external or auxiliary reset goes inactive or 16 clocks -- after a PPC Chip_Reset_Request, or 30 clocks after a PPC -- System_Reset_Request. -- Peripheral_Reset comes out of reset 16 clocks after -- Bus_Struct_Reset. -- The PPC resetcore, comes out of reset -- 16 clocks after Peripheral_Reset. -- The PPC resetchip and resetsystem come out of reset -- at the same time as Bus_Struct_Reset. ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/12/01 -- First Release -- LC Whittle 10/11/2004 -- Update for NCSim -- rolandp 04/16/2007 -- v2.00a -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_12; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- -- Definition of Ports: -- Lpf_reset -- Low Pass Filtered in -- System_Reset_Req -- System Reset Request -- Chip_Reset_Req -- Chip Reset Request -- Slowest_Sync_Clk -- Clock -- Bsr_out -- Bus Structure Reset out -- Pr_out -- Peripheral Reset out -- Core_out -- Core reset out -- Chip_out -- Chip reset out -- Sys_out -- System reset out -- MB_out -- MB reset out -- ------------------------------------------------------------------------------- entity sequence_psr is port( Lpf_reset : in std_logic; -- System_Reset_Req : in std_logic; -- Chip_Reset_Req : in std_logic; Slowest_Sync_Clk : in std_logic; Bsr_out : out std_logic; Pr_out : out std_logic; -- Core_out : out std_logic; -- Chip_out : out std_logic; -- Sys_out : out std_logic; MB_out : out std_logic ); end sequence_psr; architecture imp of sequence_psr is constant CLEAR : std_logic := '0'; constant BSR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "001100"; -- 12 constant BSR_END_SYS : std_logic_vector(5 downto 0) := "011001"; -- 25 constant PR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "011100"; -- 28 constant PR_END_SYS : std_logic_vector(5 downto 0) := "101001"; -- 41 constant CORE_END_LPF_CHIP : std_logic_vector(5 downto 0) := "101100"; -- 44 constant CORE_END_SYS : std_logic_vector(5 downto 0) := "111001"; -- 57 constant CHIP_END_LPF_CHIP : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant CHIP_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; constant SYS_END_LPF : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant SYS_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; signal bsr : std_logic := '1'; signal bsr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal pr : std_logic := '1'; signal pr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Core : std_logic := '1'; signal core_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Chip : std_logic := '0'; signal chip_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Sys : std_logic := '0'; signal sys_dec : std_logic_vector(2 downto 0) := (others => '0'); signal chip_Reset_Req_d1 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d2 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d3 : std_logic := '0'; -- delayed Chip_Reset_Req signal system_Reset_Req_d1 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d2 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d3 : std_logic := '0'; -- delayed System_Reset_Req signal seq_cnt : std_logic_vector(5 downto 0); signal seq_cnt_en : std_logic := '0'; signal seq_clr : std_logic := '0'; signal ris_edge : std_logic := '0'; signal sys_edge : std_logic := '0'; signal from_sys : std_logic; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- begin Pr_out <= pr; Bsr_out <= bsr; MB_out <= core; -- Core_out <= core; -- Chip_out <= chip or sys; -- Sys_out <= sys; ------------------------------------------------------------------------------- -- This process remembers that the reset was caused be -- System_Reset_Req ------------------------------------------------------------------------------- SYS_FROM_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if Lpf_reset='1' or system_reset_req_d3='1' then if (Lpf_reset = '1') then from_sys <= '1'; --elsif Chip_Reset_Req_d3='1' then -- from_sys <= '0'; elsif (Core = '0') then from_sys <='0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This instantiates a counter to control the sequencing ------------------------------------------------------------------------------- SEQ_COUNTER : entity proc_sys_reset_v5_0_12.UPCNT_N generic map (C_SIZE => 6) port map( Data => "000000", Cnt_en => seq_cnt_en, Load => '0', Clr => seq_clr, Clk => Slowest_sync_clk, Qout => seq_cnt ); ------------------------------------------------------------------------------- -- SEQ_CNT_EN_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- count until all outputs are inactive ------------------------------------------------------------------------------- SEQ_CNT_EN_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if (Lpf_reset='1' --or --System_Reset_Req_d3='1' or --Chip_Reset_Req_d3='1' or --ris_edge = '1' ) then seq_cnt_en <= '1'; elsif (Core='0') then -- Core always present and always last seq_cnt_en <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- SEQ_CLR_PROCESS ------------------------------------------------------------------------------- -- This generates the reset to the sequence counter -- Clear the counter on a rising edge of chip or system request or low pass -- filter output ------------------------------------------------------------------------------- SEQ_CLR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then seq_clr <= '0'; else seq_clr <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- PR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then pr <= '1'; elsif (pr_dec(2) = '1') then pr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- PR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = PR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = PR_END_SYS(5 downto 3) and from_sys = '1') ) then pr_dec(0) <= '1'; else pr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = PR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = PR_END_SYS(2 downto 0) and from_sys = '1') )then pr_dec(1) <= '1'; else pr_dec(1) <= '0'; end if; pr_dec(2) <= pr_dec(1) and pr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Bus_Struct_Reset output signal ------------------------------------------------------------------------------- BSR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then bsr <= '1'; elsif (bsr_dec(2) = '1') then bsr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for BSR to use ------------------------------------------------------------------------------- BSR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = BSR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = BSR_END_SYS(5 downto 3) and from_sys = '1') )then bsr_dec(0) <= '1'; else bsr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = BSR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = BSR_END_SYS(2 downto 0) and from_sys = '1') )then bsr_dec(1) <= '1'; else bsr_dec(1) <= '0'; end if; bsr_dec(2) <= bsr_dec(1) and bsr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- CORE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then core <= '1'; elsif (core_dec(2) = '1') then core <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- CORE_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = CORE_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = CORE_END_SYS(5 downto 3) and from_sys = '1') )then core_dec(0) <= '1'; else core_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = CORE_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = CORE_END_SYS(2 downto 0) and from_sys = '1') )then core_dec(1) <= '1'; else core_dec(1) <= '0'; end if; core_dec(2) <= core_dec(1) and core_dec(0); end if; end process; --------------------------------------------------------------------------------- ---- This process defines the Chip output signal --------------------------------------------------------------------------------- -- CHIP_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- -- if ris_edge = '1' or Lpf_reset = '1' then -- if Lpf_reset = '1' then -- chip <= '1'; -- elsif chip_dec(2) = '1' then -- chip <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Chip to use ---- sys is overlapping the chip reset and thus no need to decode this here --------------------------------------------------------------------------------- -- CHIP_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 2) = CHIP_END_LPF_CHIP(5 downto 2)) then -- chip_dec(0) <= '1'; -- else -- chip_dec(0) <= '0'; -- end if; -- if (seq_cnt(1 downto 0) = CHIP_END_LPF_CHIP(1 downto 0)) then -- chip_dec(1) <= '1'; -- else -- chip_dec(1) <= '0'; -- end if; -- chip_dec(2) <= chip_dec(1) and chip_dec(0); -- end if; -- end process; --------------------------------------------------------------------------------- ---- This process defines the Sys output signal --------------------------------------------------------------------------------- -- SYS_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if sys_edge = '1' or Lpf_reset = '1' then -- sys <= '1'; -- elsif sys_dec(2) = '1' then -- sys <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Sys to use --------------------------------------------------------------------------------- -- SYS_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 3) = SYS_END_LPF(5 downto 3) and from_sys = '0') or -- (seq_cnt(5 downto 3) = SYS_END_SYS(5 downto 3) and from_sys = '1') then -- sys_dec(0) <= '1'; -- else -- sys_dec(0) <= '0'; -- end if; -- if (seq_cnt(2 downto 0) = SYS_END_LPF(2 downto 0) and from_sys = '0') or -- (seq_cnt(2 downto 0) = SYS_END_SYS(2 downto 0) and from_sys = '1') then -- sys_dec(1) <= '1'; -- else -- sys_dec(1) <= '0'; -- end if; -- sys_dec(2) <= sys_dec(1) and sys_dec(0); -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used --------------------------------------------------------------------------------- -- DELAY_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- chip_reset_req_d1 <= Chip_Reset_Req ; -- chip_reset_req_d2 <= chip_Reset_Req_d1 ; -- chip_reset_req_d3 <= chip_Reset_Req_d2 ; -- system_reset_req_d1 <= System_Reset_Req; -- system_reset_req_d2 <= system_Reset_Req_d1; -- system_reset_req_d3 <= system_Reset_Req_d2; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of either -- Chip_Reset_Req or System_Reset_Req ------------------------------------------------------------------------------- -- RIS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (chip_reset_req_d3='0' and chip_Reset_Req_d2= '1') -- rising edge -- or (system_reset_req_d3='0' and system_Reset_Req_d2='1') then -- ris_edge <= '1'; -- else -- ris_edge <='0'; -- end if; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of -- System_Reset_Req ------------------------------------------------------------------------------- -- SYS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (system_reset_req_d3='0' and system_reset_req_d2='1') then -- sys_edge <= '1'; -- else -- sys_edge <='0'; -- end if; -- end if; -- end process; end architecture imp; ------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library lib_cdc_v1_0_2; --use lib_cdc_v1_0_2.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; ACT_HI_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; ------------------------------------- ACT_LO_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin asr_d1 <= Auxiliary_System_Reset; ------------------------------------- ACT_HI_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- asr_d1 <= not Auxiliary_System_Reset; ACT_LO_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp; ------------------------------------------------------------------------------- -- proc_sys_reset - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: rolandp -- History: -- kc 11/07/01 -- First version -- -- kc 02/25/2002 -- Changed generic names C_EXT_RST_ACTIVE to -- C_EXT_RESET_HIGH and C_AUX_RST_ACTIVE to -- C_AUX_RESET_HIGH to match generics used in -- MicroBlaze. Added the DCM Lock as an input -- to keep reset active until after the Lock -- is valid. -- lcw 10/11/2004 -- Updated for NCSim -- Ravi 09/14/2006 -- Added Attributes for synthesis -- rolandp 04/16/2007 -- version 2.00a -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ -- ~~~~~~~ -- SK 05/12/11 -- ^^^^^^^ -- 1. Updated the core so remove the support for PPC related functionality. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_12; use proc_sys_reset_v5_0_12.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- C_NUM_BUS_RST -- Number of Bus Structures reset to generate -- C_NUM_PERP_RST -- Number of Peripheral resets to generate -- -- C_NUM_INTERCONNECT_ARESETN -- No. of Active low reset to interconnect -- C_NUM_PERP_ARESETN -- No. of Active low reset to peripheral -- Definition of Ports: -- slowest_sync_clk -- Clock -- ext_reset_in -- External Reset Input -- aux_reset_in -- Auxiliary Reset Input -- mb_debug_sys_rst -- MDM Reset Input -- dcm_locked -- DCM Locked, hold system in reset until 1 -- mb_reset -- MB core reset out -- bus_struct_reset -- Bus structure reset out -- peripheral_reset -- Peripheral reset out -- interconnect_aresetn -- Interconnect Bus structure registered rst out -- peripheral_aresetn -- Active Low Peripheral registered reset out ------------------------------------------------------------------------------- entity proc_sys_reset is generic ( C_FAMILY : string := "virtex7"; C_EXT_RST_WIDTH : integer := 4; C_AUX_RST_WIDTH : integer := 4; C_EXT_RESET_HIGH : std_logic := '0'; -- High active input C_AUX_RESET_HIGH : std_logic := '1'; -- High active input C_NUM_BUS_RST : integer := 1; C_NUM_PERP_RST : integer := 1; C_NUM_INTERCONNECT_ARESETN : integer := 1; -- 3/15/2010 C_NUM_PERP_ARESETN : integer := 1 -- 3/15/2010 ); port ( slowest_sync_clk : in std_logic; ext_reset_in : in std_logic; aux_reset_in : in std_logic; -- from MDM mb_debug_sys_rst : in std_logic; -- DCM locked information dcm_locked : in std_logic := '1'; -- -- from PPC -- Core_Reset_Req_0 : in std_logic; -- Chip_Reset_Req_0 : in std_logic; -- System_Reset_Req_0 : in std_logic; -- Core_Reset_Req_1 : in std_logic; -- Chip_Reset_Req_1 : in std_logic; -- System_Reset_Req_1 : in std_logic; -- RstcPPCresetcore_0 : out std_logic := '0'; -- RstcPPCresetchip_0 : out std_logic := '0'; -- RstcPPCresetsys_0 : out std_logic := '0'; -- RstcPPCresetcore_1 : out std_logic := '0'; -- RstcPPCresetchip_1 : out std_logic := '0'; -- RstcPPCresetsys_1 : out std_logic := '0'; -- to Microblaze active high reset mb_reset : out std_logic; -- active high resets bus_struct_reset : out std_logic_vector(0 to C_NUM_BUS_RST - 1) := (others => '0'); peripheral_reset : out std_logic_vector(0 to C_NUM_PERP_RST - 1) := (others => '0'); -- active low resets interconnect_aresetn : out std_logic_vector(0 to (C_NUM_INTERCONNECT_ARESETN-1)) := (others => '1'); peripheral_aresetn : out std_logic_vector(0 to (C_NUM_PERP_ARESETN-1)) := (others => '1') ); end entity proc_sys_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of proc_sys_reset is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal and Type Declarations -- signal Core_Reset_Req_0_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d3 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d3 : std_logic := '0'; -- delayed Core_Reset_Req constant T : std_logic := C_EXT_RESET_HIGH; signal core_cnt_en_0 : std_logic := '0'; -- Core_Reset_Req_0 counter enable signal core_cnt_en_1 : std_logic := '0'; -- Core_Reset_Req_1 counter enable signal core_req_edge_0 : std_logic := '1'; -- Rising edge of Core_Reset_Req_0 signal core_req_edge_1 : std_logic := '1'; -- Rising edge of Core_Reset_Req_1 signal core_cnt_0 : std_logic_vector(3 downto 0); -- core counter output signal core_cnt_1 : std_logic_vector(3 downto 0); -- core counter output signal lpf_reset : std_logic; -- Low pass filtered ext or aux --signal Chip_Reset_Req : std_logic := '0'; --signal System_Reset_Req : std_logic := '0'; signal Bsr_out : std_logic; signal Pr_out : std_logic; -- signal Core_out : std_logic; -- signal Chip_out : std_logic; -- signal Sys_out : std_logic; signal MB_out : std_logic := C_EXT_RESET_HIGH; signal MB_out1 : std_logic := C_EXT_RESET_HIGH; signal pr_outn : std_logic; signal bsr_outn : std_logic; ------------------------------------------------------------------------------- -- Attributes to synthesis ------------------------------------------------------------------------------- attribute equivalent_register_removal: string; attribute equivalent_register_removal of bus_struct_reset : signal is "no"; attribute equivalent_register_removal of peripheral_reset : signal is "no"; attribute equivalent_register_removal of interconnect_aresetn : signal is "no"; attribute equivalent_register_removal of peripheral_aresetn : signal is "no"; begin ------------------------------------------------------------------------------- -- --------------------- -- -- MB_RESET_HIGH_GEN: Generate active high reset for Micro-Blaze -- --------------------- -- MB_RESET_HIGH_GEN: if C_INT_RESET_HIGH = 1 generate -- begin -- mb_reset <= MB_out1; -- MB_Reset_PROCESS1: process (slowest_sync_clk) -- begin -- if (slowest_sync_clk'event and slowest_sync_clk = '1') then -- MB_out1 <= MB_out; -- end if; -- end process; FDRE_inst : FDRE generic map ( INIT => '1') -- Initial value of register ('0' or '1') port map ( Q => mb_reset, -- Data output C => slowest_sync_clk, -- Clock input CE => '1', -- Clock enable input R => '0', -- Synchronous reset input D => MB_out -- Data input ); -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Bus_Struct_Reset output(s) -- ---------------------------------------------------------------------------- BSR_OUT_DFF: for i in 0 to (C_NUM_BUS_RST-1) generate FDRE_BSR : FDRE generic map ( INIT => '1') -- Initial value of register ('0' or '1') port map ( Q => bus_struct_reset(i), -- Data output C => slowest_sync_clk, -- Clock input CE => '1', -- Clock enable input R => '0', -- Synchronous reset input D => Bsr_out -- Data input ); -- BSR_DFF : process (slowest_sync_clk) -- begin -- if (slowest_sync_clk'event and slowest_sync_clk = '1') then -- bus_struct_reset(i) <= Bsr_out; -- end if; -- end process; end generate BSR_OUT_DFF; -- --------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Interconnect_aresetn op(s) -- --------------------------------------------------------------------------- bsr_outn <= not(Bsr_out); ACTIVE_LOW_BSR_OUT_DFF: for i in 0 to (C_NUM_INTERCONNECT_ARESETN-1) generate FDRE_BSR_N : FDRE generic map ( INIT => '0') -- Initial value of register ('0' or '1') port map ( Q => interconnect_aresetn(i), -- Data output C => slowest_sync_clk, -- Clock input CE => '1', -- Clock enable input R => '0', -- Synchronous reset input D => bsr_outn -- Data input ); -- BSR_DFF : process (slowest_sync_clk) -- begin -- if (slowest_sync_clk'event and slowest_sync_clk = '1') then -- interconnect_aresetn(i) <= not (Bsr_out); -- end if; -- end process; end generate ACTIVE_LOW_BSR_OUT_DFF; ------------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Peripheral_Reset output(s) -- ---------------------------------------------------------------------------- PR_OUT_DFF: for i in 0 to (C_NUM_PERP_RST-1) generate FDRE_PER : FDRE generic map ( INIT => '1') -- Initial value of register ('0' or '1') port map ( Q => peripheral_reset(i), -- Data output C => slowest_sync_clk, -- Clock input CE => '1', -- Clock enable input R => '0', -- Synchronous reset input D => Pr_out -- Data input ); -- PR_DFF : process (slowest_sync_clk) -- begin -- if (slowest_sync_clk'event and slowest_sync_clk = '1') then -- peripheral_reset(i) <= Pr_out; -- end if; -- end process; end generate PR_OUT_DFF; -- ---------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Peripheral_aresetn op(s) -- ---A------------------------------------------------------------------------- pr_outn <= not(Pr_out); ACTIVE_LOW_PR_OUT_DFF: for i in 0 to (C_NUM_PERP_ARESETN-1) generate FDRE_PER_N : FDRE generic map ( INIT => '0') -- Initial value of register ('0' or '1') port map ( Q => peripheral_aresetn(i), -- Data output C => slowest_sync_clk, -- Clock input CE => '1', -- Clock enable input R => '0', -- Synchronous reset input D => Pr_outn -- Data input ); -- ACTIVE_LOW_PR_DFF : process (slowest_sync_clk) -- begin -- if (slowest_sync_clk'event and slowest_sync_clk = '1') then -- peripheral_aresetn(i) <= not(Pr_out); -- end if; -- end process; end generate ACTIVE_LOW_PR_OUT_DFF; ------------------------------------------------------------------------------- -- This process defines the RstcPPCreset and MB_Reset outputs ------------------------------------------------------------------------------- -- Rstc_output_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_0 <= not (core_cnt_0(3) and core_cnt_0(2) and -- core_cnt_0(1) and core_cnt_0(0)) -- or Core_out; -- RstcPPCresetchip_0 <= Chip_out; -- RstcPPCresetsys_0 <= Sys_out; -- end if; -- end process; -- Rstc_output_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_1 <= not (core_cnt_1(3) and core_cnt_1(2) and -- core_cnt_1(1) and core_cnt_1(0)) -- or Core_out; -- RstcPPCresetchip_1 <= Chip_out; -- RstcPPCresetsys_1 <= Sys_out; -- end if; -- end process; ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used ---- Double register to sync up with slowest_sync_clk --------------------------------------------------------------------------------- -- DELAY_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_0_d1 <= Core_Reset_Req_0; -- core_reset_req_0_d2 <= core_reset_req_0_d1; -- core_reset_req_0_d3 <= core_reset_req_0_d2; -- end if; -- end process; -- -- DELAY_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_1_d1 <= Core_Reset_Req_1; -- core_reset_req_1_d2 <= core_reset_req_1_d1; -- core_reset_req_1_d3 <= core_reset_req_1_d2; -- end if; -- end process; -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This instantiates a counter to ensure the Core_Reset_Req_* will genereate a -- ** -- -- RstcPPCresetcore_* that is a mimimum of 15 clocks -- ** -- ------------------------------------------------------------------------------- -- ** -- CORE_RESET_0 : entity proc_sys_reset_v5_0_12.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_0, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_0, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_0 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- CORE_RESET_1 : entity proc_sys_reset_v5_0_12.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_1, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_1, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_1 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- ------------------------------------------------------------------------------- -- ** -- -- CORE_RESET_PROCESS -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This generates the reset pulse and the count enable to core reset counter -- ** -- -- -- ** -- CORE_RESET_PROCESS_0: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_0 <= not (core_cnt_0(3) and core_cnt_0(2) and core_cnt_0(1)); -- ** -- --or not core_req_edge_0; -- ** -- --core_req_edge_0 <= not(Core_Reset_Req_0_d2 and not Core_Reset_Req_0_d3); -- ** -- end if; -- ** -- end process; -- ** -- -- ** -- CORE_RESET_PROCESS_1: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_1 <= not (core_cnt_1(3) and core_cnt_1(2) and core_cnt_1(1)); -- ** -- --or not core_req_edge_1; -- ** -- --core_req_edge_1 <= not(Core_Reset_Req_1_d2 and not Core_Reset_Req_1_d3); -- ** -- end if; -- ** -- end process; ------------------------------------------------------------------------------- -- This instantiates a low pass filter to filter both External and Auxiliary -- Reset Inputs. ------------------------------------------------------------------------------- EXT_LPF : entity proc_sys_reset_v5_0_12.LPF generic map ( C_EXT_RST_WIDTH => C_EXT_RST_WIDTH, C_AUX_RST_WIDTH => C_AUX_RST_WIDTH, C_EXT_RESET_HIGH => C_EXT_RESET_HIGH, C_AUX_RESET_HIGH => C_AUX_RESET_HIGH ) port map( MB_Debug_Sys_Rst => mb_debug_sys_rst, -- in std_logic Dcm_locked => dcm_locked, -- in std_logic External_System_Reset => ext_reset_in, -- in std_logic Auxiliary_System_Reset => aux_reset_in, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Lpf_reset => lpf_reset -- out std_logic ); ------------------------------------------------------------------------------- -- This instantiates the sequencer -- This controls the time between resets becoming inactive ------------------------------------------------------------------------------- -- System_Reset_Req <= System_Reset_Req_0 or System_Reset_Req_1; -- Chip_Reset_Req <= Chip_Reset_Req_0 or Chip_Reset_Req_1; SEQ : entity proc_sys_reset_v5_0_12.SEQUENCE_PSR --generic map ( -- C_EXT_RESET_HIGH_1 => C_EXT_RESET_HIGH --) port map( Lpf_reset => lpf_reset, -- in std_logic --System_Reset_Req => '0', -- System_Reset_Req, -- in std_logic --Chip_Reset_Req => '0', -- Chip_Reset_Req, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Bsr_out => Bsr_out, -- out std_logic Pr_out => Pr_out, -- out std_logic --Core_out => open, -- Core_out, -- out std_logic --Chip_out => open, -- Chip_out, -- out std_logic --Sys_out => open, -- Sys_out, -- out std_logic MB_out => MB_out); -- out std_logic end imp; --END_SINGLE_FILE_TAG
mit
VerkhovtsovPavel/BSUIR_Labs
Labs/POCP/POCP-6/src/TB/RegFile_T.vhd
1
1694
library ieee; use ieee.std_logic_1164.all; entity RegFile_T is end RegFile_T; architecture Beh of RegFile_T is component RegFile generic ( -- èíèöèàëèçàöèÿ ðåãèñòðà ïëþñ ðàçðÿäíîé øèíû äàííûõ INITREG: std_logic_vector := "0000"; -- ðàçðÿäíîñòü øèíû àäðåñà a: integer := 2); port ( -- ñèãíàë èíèöèàëèçàöèè ðåãèñòðîâ INIT: in std_logic; -- øèíà äàííûõ äëÿ çàïèñè WDP: in std_logic_vector(INITREG'range); -- øèíà àäðåñà äëÿ çàïèñè WA: in std_logic_vector(a-1 downto 0); -- øèíà àäðåñà äëÿ ÷òåíèÿ RA: in std_logic_vector(a-1 downto 0); -- ñèãíàë ðàçðåøåíèÿ çàïèñè WE: in std_logic; -- ïðî÷èòàííûå äàííûå RDP: out std_logic_vector(INITREG'range)); end component; signal init: std_logic := '0'; signal wdp: std_logic_vector(3 downto 0):= "0000"; signal wa: std_logic_vector(1 downto 0) := "00"; signal ra: std_logic_vector(1 downto 0) := "00"; signal we: std_logic := '0'; signal rdp: std_logic_vector(3 downto 0) := "0000"; constant WAIT_Period: time := 10 ns; begin ufile: RegFile port map ( init => init, wdp => wdp, wa => wa, ra => ra, we => we, rdp => rdp ); main: process begin wait for wait_period; init <= '1'; wait for wait_period / 2; init <= '0'; wdp <= "1100"; wa <= "00"; we <= '1'; wait for wait_period / 2; we <= '0'; wdp <= "1010"; wa <= "01"; wait for wait_period / 2; we <= '1'; wait for wait_period / 2; we <= '0'; wait for wait_period / 2; ra <= "00"; wait for wait_period; ra <= "01"; wait; end process; end Beh; configuration config of RegFile_T is for Beh for ufile : RegFile use entity work.regfile(Beh); end for; end for; end config;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a - Copy/hdl/vhdl/hash_array_pkg.vhd
3
434
library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; package hash_array_pkg is type hash_array is array(integer range <>) of unsigned(127 downto 0); type md5_indata_t is record data_0 : unsigned(31 downto 0); data_1 : unsigned(31 downto 0); start : std_logic; len : std_logic_vector(7 downto 0); end record; type md5_indata_t_array is array(integer range <>) of md5_indata_t; end hash_array_pkg;
mit
Nooxet/embedded_bruteforce
vhdl/tb_brutus.vhd
2
2254
-------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Create Date: 17:00:22 09/23/2014 -- Module Name: C:/Users/ael10jso/Xilinx/embedded_bruteforce/vhdl/tb_brutus.vhd -- Project Name: controller_sg_pp_md_comp -- Description: -- -- VHDL Test Bench Created by ISE for module: brutus_top -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY tb_brutus IS END tb_brutus; ARCHITECTURE behavior OF tb_brutus IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT brutus_top PORT( clk : IN std_logic; rstn : IN std_logic; i_fsl_data_recv : IN std_logic; i_fsl_hash : IN std_logic_vector(127 downto 0); o_pw_found : OUT std_logic; o_passwd : OUT std_logic_vector(47 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rstn : std_logic := '0'; signal i_fsl_data_recv : std_logic := '0'; signal i_fsl_hash : std_logic_vector(127 downto 0) := (others => '0'); --Outputs signal o_pw_found : std_logic; signal o_passwd : std_logic_vector(47 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: brutus_top PORT MAP ( clk => clk, rstn => rstn, i_fsl_data_recv => i_fsl_data_recv, i_fsl_hash => i_fsl_hash, o_pw_found => o_pw_found, o_passwd => o_passwd ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 10 ns; rstn <= '0'; wait for clk_period*10; rstn <= '1'; i_fsl_hash <= x"4124bc0a9335c27f086f24ba207a4912"; -- "aa" i_fsl_data_recv <= '1'; wait for clk_period; i_fsl_data_recv <= '0'; wait; end process; END;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/counter_up_down_4bit.vhd
1
1036
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions USE IEEE.std_logic_signed.all; --math operations for signed std_logic ENTITY counter_up_down_4bit IS PORT(up, clk, reset: IN STD_LOGIC; out1: OUT STD_LOGIC; out2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END counter_up_down_4bit; ARCHITECTURE behave OF counter_up_down_4bit IS SIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (clk, reset) BEGIN IF reset='0' THEN --asynchronous active low reset count<=(OTHERS=>'0'); ELSIF rising_edge(clk) THEN CASE up IS WHEN '1'=> count<=count+1; WHEN OTHERS=> count<=count-1; END CASE; IF ((count=15 AND up='1') OR (count=0 AND up='0')) THEN out1<='1'; ELSE out1<='0'; END IF; out2<=count; END IF; END PROCESS; END behave; -- Arch_counter_sig
mit
RickvanLoo/Synthesizer
spi_async.vhd
1
2411
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY spi_async IS PORT ( SCLK : IN std_logic; RESET : IN std_logic; SDATA : IN std_logic; CS : IN std_logic; BYTE0, BYTE1 : OUT std_logic_vector(7 downto 0); dig0, dig1, dig2, dig3 : OUT std_logic_vector(6 DOWNTO 0) -- show key pressed on display dig2 en dig3 (resp high & low). ); END spi_async; ARCHITECTURE behav of spi_async is FUNCTION hex2display (n:std_logic_vector(3 DOWNTO 0)) RETURN std_logic_vector IS VARIABLE res : std_logic_vector(6 DOWNTO 0); BEGIN CASE n IS -- gfedcba; low active WHEN "0000" => RETURN NOT "0111111"; WHEN "0001" => RETURN NOT "0000110"; WHEN "0010" => RETURN NOT "1011011"; WHEN "0011" => RETURN NOT "1001111"; WHEN "0100" => RETURN NOT "1100110"; WHEN "0101" => RETURN NOT "1101101"; WHEN "0110" => RETURN NOT "1111101"; WHEN "0111" => RETURN NOT "0000111"; WHEN "1000" => RETURN NOT "1111111"; WHEN "1001" => RETURN NOT "1101111"; WHEN "1010" => RETURN NOT "1110111"; WHEN "1011" => RETURN NOT "1111100"; WHEN "1100" => RETURN NOT "0111001"; WHEN "1101" => RETURN NOT "1011110"; WHEN "1110" => RETURN NOT "1111001"; WHEN OTHERS => RETURN NOT "1110001"; END CASE; END hex2display; signal SDATA_register : std_logic_vector(15 downto 0); BEGIN PROCESS(RESET, SCLK, CS) variable byte0_reg, byte1_reg : std_logic_vector(7 downto 0); BEGIN if reset = '0' then SDATA_register <= (others => '0'); BYTE0 <= (others => '0'); BYTE1 <= (others => '0'); byte0_reg := (others => '0'); byte1_reg := (others => '0'); dig0 <= hex2display("0000"); dig1 <= hex2display("0000"); dig2 <= hex2display("0000"); dig3 <= hex2display("0000"); elsif CS = '1' then byte0_reg := SDATA_register(15 downto 8); dig0 <= hex2display(byte0_reg(3 downto 0)); dig1 <= hex2display(byte0_reg(7 downto 4)); BYTE0 <= byte0_reg; byte1_reg := SDATA_register(7 downto 0); dig2 <= hex2display(byte1_reg(3 downto 0)); dig3 <= hex2display(byte1_reg(7 downto 4)); BYTE1 <= byte1_reg; elsif rising_edge(SCLK) then if CS = '0' then --Only get SDATA when slave is selected (Active low) SDATA_register <= SDATA_register(14 downto 0) & SDATA; --Shift register 16 bytes end if; end if; END PROCESS; END behav;
mit
Nooxet/embedded_bruteforce
brutus_system/ISE/fsl_test/tb_fsl_test.vhd
1
3758
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:07:54 09/29/2014 -- Design Name: -- Module Name: C:/Users/ael10jso/Xilinx/embedded_bruteforce/brutus_system/ISE/fsl_test/tb_fsl_test.vhd -- Project Name: fsl_test -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: test -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_fsl_test IS END tb_fsl_test; ARCHITECTURE behavior OF tb_fsl_test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT test PORT( FSL_Clk : IN std_logic; FSL_Rst : IN std_logic; FSL_S_Clk : IN std_logic; FSL_S_Read : OUT std_logic; FSL_S_Data : IN std_logic_vector(0 to 31); FSL_S_Control : IN std_logic; FSL_S_Exists : IN std_logic; FSL_M_Clk : IN std_logic; FSL_M_Write : OUT std_logic; FSL_M_Data : OUT std_logic_vector(0 to 31); FSL_M_Control : OUT std_logic; FSL_M_Full : IN std_logic ); END COMPONENT; --Inputs signal FSL_Clk : std_logic := '0'; signal FSL_Rst : std_logic := '0'; signal FSL_S_Clk : std_logic := '0'; signal FSL_S_Data : std_logic_vector(0 to 31) := (others => '0'); signal FSL_S_Control : std_logic := '0'; signal FSL_S_Exists : std_logic := '0'; signal FSL_M_Clk : std_logic := '0'; signal FSL_M_Full : std_logic := '0'; --Outputs signal FSL_S_Read : std_logic; signal FSL_M_Write : std_logic; signal FSL_M_Data : std_logic_vector(0 to 31); signal FSL_M_Control : std_logic; -- Clock period definitions constant FSL_Clk_period : time := 10 ns; constant FSL_S_Clk_period : time := 10 ns; constant FSL_M_Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: test PORT MAP ( FSL_Clk => FSL_Clk, FSL_Rst => FSL_Rst, FSL_S_Clk => FSL_S_Clk, FSL_S_Read => FSL_S_Read, FSL_S_Data => FSL_S_Data, FSL_S_Control => FSL_S_Control, FSL_S_Exists => FSL_S_Exists, FSL_M_Clk => FSL_M_Clk, FSL_M_Write => FSL_M_Write, FSL_M_Data => FSL_M_Data, FSL_M_Control => FSL_M_Control, FSL_M_Full => FSL_M_Full ); -- Clock process definitions FSL_Clk_process :process begin FSL_Clk <= '0'; wait for FSL_Clk_period/2; FSL_Clk <= '1'; wait for FSL_Clk_period/2; end process; FSL_S_Clk_process :process begin FSL_S_Clk <= '0'; wait for FSL_S_Clk_period/2; FSL_S_Clk <= '1'; wait for FSL_S_Clk_period/2; end process; FSL_M_Clk_process :process begin FSL_M_Clk <= '0'; wait for FSL_M_Clk_period/2; FSL_M_Clk <= '1'; wait for FSL_M_Clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for FSL_Clk_period*10; -- insert stimulus here wait; end process; END;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/adau1761_audio_v1_00_a/hdl/vhdl/i3c2.vhd
6
14346
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Create Date: 21:30:20 05/25/2013 -- Design Name: i3c2 - Intelligent I2C Controller -- Module Name: i3c2 - Behavioral -- Description: The main CPU/logic -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity i3c2 is Generic( clk_divide : STD_LOGIC_VECTOR (7 downto 0)); Port ( clk : in STD_LOGIC; inst_address : out STD_LOGIC_VECTOR (9 downto 0); inst_data : in STD_LOGIC_VECTOR (8 downto 0); i2c_scl : out STD_LOGIC := '1'; i2c_sda_i : in STD_LOGIC; i2c_sda_o : out STD_LOGIC := '0'; i2c_sda_t : out STD_LOGIC := '1'; inputs : in STD_LOGIC_VECTOR (15 downto 0); outputs : out STD_LOGIC_VECTOR (15 downto 0) := (others => '0'); reg_addr : out STD_LOGIC_VECTOR (4 downto 0); reg_data : out STD_LOGIC_VECTOR (7 downto 0); reg_write : out STD_LOGIC; debug_scl : out STD_LOGIC := '1'; debug_sda : out STD_LOGIC; error : out STD_LOGIC); end i3c2; architecture Behavioral of i3c2 is constant STATE_RUN : std_logic_vector(3 downto 0) := "0000"; constant STATE_DELAY : std_logic_vector(3 downto 0) := "0001"; constant STATE_I2C_START : std_logic_vector(3 downto 0) := "0010"; constant STATE_I2C_BITS : std_logic_vector(3 downto 0) := "0011"; constant STATE_I2C_STOP : std_logic_vector(3 downto 0) := "0100"; signal state : std_logic_vector(3 downto 0) := STATE_RUN; constant OPCODE_JUMP : std_logic_vector( 3 downto 0) := "0000"; constant OPCODE_SKIPSET : std_logic_vector( 3 downto 0) := "0001"; constant OPCODE_SKIPCLEAR : std_logic_vector( 3 downto 0) := "0010"; constant OPCODE_SET : std_logic_vector( 3 downto 0) := "0011"; constant OPCODE_CLEAR : std_logic_vector( 3 downto 0) := "0100"; constant OPCODE_I2C_READ : std_logic_vector( 3 downto 0) := "0101"; constant OPCODE_DELAY : std_logic_vector( 3 downto 0) := "0110"; constant OPCODE_SKIPACK : std_logic_vector( 3 downto 0) := "0111"; constant OPCODE_SKIPNACK : std_logic_vector( 3 downto 0) := "1000"; constant OPCODE_NOP : std_logic_vector( 3 downto 0) := "1001"; constant OPCODE_I2C_STOP : std_logic_vector( 3 downto 0) := "1010"; constant OPCODE_I2C_WRITE : std_logic_vector( 3 downto 0) := "1011"; constant OPCODE_WRITELOW : std_logic_vector( 3 downto 0) := "1100"; constant OPCODE_WRITEHI : std_logic_vector( 3 downto 0) := "1101"; constant OPCODE_UNKNOWN : std_logic_vector( 3 downto 0) := "1110"; signal opcode : std_logic_vector( 3 downto 0); signal ack_flag : std_logic := '0'; signal skip : std_logic := '1'; -- IGNORE THE FIRST INSTRUCTION -- I2C status signal i2c_doing_read : std_logic := '0'; signal i2c_started : std_logic := '0'; signal i2c_bits_left : unsigned(3 downto 0); -- counters signal pcnext : unsigned(9 downto 0) := (others => '0'); signal delay : unsigned(15 downto 0); signal bitcount : unsigned( 7 downto 0); -- Input/output data signal i2c_data : std_logic_vector( 8 downto 0); begin -- |Opcode | Instruction | Action -- +---------+-------------+---------------------------------------- -- |00nnnnnnn| JUMP m | Set PC to m (n = m/8) -- |01000nnnn| SKIPCLEAR n | Skip if input n clear -- |01001nnnn| SKIPSET n | skip if input n set -- |01010nnnn| CLEAR n | Clear output n -- |01011nnnn| SET n | Set output n -- |0110nnnnn| READ n | Read to register n -- |01110nnnn| DELAY m | Delay m clock cycles (n = log2(m)) -- |011110000| SKIPNACK | Skip if NACK is set -- |011110001| SKIPACK | Skip if ACK is set -- |011110010| WRITELOW | Write inputs 7 downto 0 to the I2C bus -- |011110011| WRITEHI | Write inputs 15 downto 8 to the I2C bus -- |011110100| USER0 | User defined -- |.........| | -- |011111110| USER9 | User defined -- |011111111| STOP | Send Stop on i2C bus -- |1nnnnnnnn| WRITE n | Output n on I2C bus opcode <= OPCODE_JUMP when inst_data(8 downto 7) = "00" else OPCODE_SKIPCLEAR when inst_data(8 downto 4) = "01000" else OPCODE_SKIPSET when inst_data(8 downto 4) = "01001" else OPCODE_CLEAR when inst_data(8 downto 4) = "01010" else OPCODE_SET when inst_data(8 downto 4) = "01011" else OPCODE_I2C_READ when inst_data(8 downto 5) = "0110" else OPCODE_DELAY when inst_data(8 downto 4) = "01110" else OPCODE_SKIPACK when inst_data(8 downto 0) = "011110000" else OPCODE_SKIPNACK when inst_data(8 downto 0) = "011110001" else OPCODE_WRITELOW when inst_data(8 downto 0) = "011110010" else OPCODE_WRITEHI when inst_data(8 downto 0) = "011110011" else -- user codes can go here OPCODE_NOP when inst_data(8 downto 0) = "011111110" else OPCODE_I2C_STOP when inst_data(8 downto 0) = "011111111" else OPCODE_I2C_WRITE when inst_data(8 downto 8) = "1" else OPCODE_UNKNOWN; inst_address <= std_logic_vector(pcnext); debug_sda <= i2c_sda_i; i2c_sda_o <= '0'; cpu: process(clk) begin if rising_edge(clk) then case state is when STATE_I2C_START => i2c_started <= '1'; i2c_scl <= '1'; debug_scl <= '1'; if bitcount = unsigned("0" & clk_divide(clk_divide'high downto 1)) then i2c_sda_t <= '0'; end if; if bitcount = 0 then state <= STATE_I2C_BITS; i2c_scl <= '0'; debug_scl <= '0'; bitcount <= unsigned(clk_divide); else bitcount <= bitcount-1; end if; when STATE_I2C_BITS => -- scl has always just lowered '0' on entry -- set the data half way through clock low half of the cycle if bitcount = unsigned(clk_divide) - unsigned("00" & clk_divide(clk_divide'high downto 2)) then if i2c_data(8) = '0' then i2c_sda_t <= '0'; else i2c_sda_t <= '1'; end if; end if; -- raise the clock half way through if bitcount = unsigned("0" & clk_divide(clk_divide'high downto 1)) then i2c_scl <= '1'; debug_scl <= '1'; -- Input bits halfway through the cycle i2c_data <= i2c_data(7 downto 0) & i2c_sda_i; end if; -- lower the clock at the end of the cycle if bitcount = 0 then i2c_scl <= '0'; debug_scl <= '0'; if i2c_bits_left = "000" then i2c_scl <= '0'; debug_scl <= '0'; if i2c_doing_read = '1' then reg_data <= i2c_data(8 downto 1); reg_write <= '1'; end if; ack_flag <= NOT i2c_data(0); state <= STATE_RUN; pcnext <= pcnext+1; else i2c_bits_left <= i2c_bits_left -1; end if; bitcount <= unsigned(clk_divide); else bitcount <= bitcount-1; end if; when STATE_I2C_STOP => -- clock stays high, and data goes high half way through a bit i2c_started <= '0'; if bitcount = unsigned(clk_divide) - unsigned("00" & clk_divide(clk_divide'high downto 2)) then i2c_sda_t <= '0'; end if; if bitcount = unsigned("0" & clk_divide(clk_divide'high downto 1)) then i2c_scl <= '1'; debug_scl <= '1'; end if; if bitcount = unsigned("00" & clk_divide(clk_divide'high downto 2)) then i2c_sda_t <= '1'; end if; if bitcount = 0 then state <= STATE_RUN; pcnext <= pcnext+1; else bitcount <= bitcount-1; end if; when STATE_DELAY => if bitcount /= 0 then bitcount <= bitcount -1; else if delay = 0 then pcnext <= pcnext+1; state <= STATE_RUN; else delay <= delay-1; bitcount <= unsigned(clk_divide) - 1; end if; end if; when STATE_RUN => reg_data <= "XXXXXXXX"; if skip = '1'then -- Do nothing for a cycle other than unset 'skip'; skip <= '0'; pcnext <= pcnext+1; else case opcode is when OPCODE_JUMP => -- Ignore the next instruciton while fetching the jump destination skip <= '1'; pcnext <= unsigned(inst_data(6 downto 0)) & "000"; when OPCODE_I2C_WRITE => i2c_data <= inst_data(7 downto 0) & "1"; bitcount <= unsigned(clk_divide); i2c_doing_read <= '0'; i2c_bits_left <= "1000"; if i2c_started = '0' then state <= STATE_I2C_START; else state <= STATE_I2C_BITS; end if; when OPCODE_I2C_READ => reg_addr <= inst_data(4 downto 0); i2c_data <= x"FF" & "1"; -- keep the SDA pulled up while clocking in data & ACK bitcount <= unsigned(clk_divide); i2c_bits_left <= "1000"; i2c_doing_read <= '1'; if i2c_started = '0' then state <= STATE_I2C_START; else state <= STATE_I2C_BITS; end if; when OPCODE_SKIPCLEAR => skip <= inputs(to_integer(unsigned(inst_data(3 downto 0)))) xnor inst_data(4); pcnext <= pcnext+1; when OPCODE_SKIPSET => skip <= inputs(to_integer(unsigned(inst_data(3 downto 0)))) xnor inst_data(4); pcnext <= pcnext+1; when OPCODE_CLEAR => outputs(to_integer(unsigned(inst_data(3 downto 0)))) <= inst_data(4); pcnext <= pcnext+1; when OPCODE_SET => outputs(to_integer(unsigned(inst_data(3 downto 0)))) <= inst_data(4); pcnext <= pcnext+1; when OPCODE_SKIPACK => skip <= ack_flag; pcnext <= pcnext+1; when OPCODE_SKIPNACK => skip <= not ack_flag; pcnext <= pcnext+1; when OPCODE_DELAY => state <= STATE_DELAY; bitcount <= unsigned(clk_divide); case inst_data(3 downto 0) is when "0000" => delay <= x"0001"; when "0001" => delay <= x"0002"; when "0010" => delay <= x"0004"; when "0011" => delay <= x"0008"; when "0100" => delay <= x"0010"; when "0101" => delay <= x"0020"; when "0110" => delay <= x"0040"; when "0111" => delay <= x"0080"; when "1000" => delay <= x"0100"; when "1001" => delay <= x"0200"; when "1010" => delay <= x"0400"; when "1011" => delay <= x"0800"; when "1100" => delay <= x"1000"; when "1101" => delay <= x"2000"; when "1110" => delay <= x"4000"; when others => delay <= x"8000"; end case; when OPCODE_I2C_STOP => bitcount <= unsigned(clk_divide); state <= STATE_I2C_STOP; when OPCODE_NOP => pcnext <= pcnext+1; when others => error <= '1'; end case; end if; when others => state <= STATE_RUN; pcnext <= (others => '0'); skip <= '1'; end case; end if; end process; end Behavioral;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/adau1761_audio_v1_00_a/hdl/vhdl/ADAU1761_interface.vhd
6
895
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: ADAU1761_interface - Behavioral -- Description: Was originally to do a lot more, but just creates a clock at 1/2 -- the projects 48MHz to send to the codec. ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ADAU1761_interface is Port ( clk_48 : in STD_LOGIC; codec_master_clk : out STD_LOGIC); end ADAU1761_interface; architecture Behavioral of ADAU1761_interface is signal master_clk : std_logic := '0'; begin codec_master_clk <= master_clk; process(clk_48) begin if rising_edge(clk_48) then master_clk <= not master_clk; end if; end process; end Behavioral;
mit
Nooxet/embedded_bruteforce
vhdl/md5_mux.vhd
3
1870
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: md5_mux - Behavioral -- Description: -- A mux to select which hash to compare ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- include the hash_array type -- use work.hash_array_pkg.all; entity md5_mux is generic ( N : integer ); port ( clk : in std_logic; rstn : in std_logic; i_hash_0 : in unsigned(127 downto 0); --hash_array(N-1 downto 0); i_hash_1 : in unsigned(127 downto 0); --hash_array(N-1 downto 0); i_select : in unsigned(N-1 downto 0); -- should be ceil(log2(N-1)) o_hash_0 : out unsigned(31 downto 0); o_hash_1 : out unsigned(31 downto 0); o_hash_2 : out unsigned(31 downto 0); o_hash_3 : out unsigned(31 downto 0) ); end md5_mux; architecture Behavioral of md5_mux is begin clk_proc : process(clk) begin if rising_edge(clk) then if rstn = '0' then o_hash_0 <= (others => '0'); o_hash_1 <= (others => '0'); o_hash_2 <= (others => '0'); o_hash_3 <= (others => '0'); else o_hash_0 <= (others => '0'); o_hash_1 <= (others => '0'); o_hash_2 <= (others => '0'); o_hash_3 <= (others => '0'); --o_hash <= i_hash(to_integer(unsigned(i_select))); if i_select = "00" then o_hash_0 <= i_hash_0(127 downto 96); o_hash_1 <= i_hash_0(95 downto 64); o_hash_2 <= i_hash_0(63 downto 32); o_hash_3 <= i_hash_0(31 downto 0); elsif i_select = "01" then o_hash_0 <= i_hash_1(127 downto 96); o_hash_1 <= i_hash_1(95 downto 64); o_hash_2 <= i_hash_1(63 downto 32); o_hash_3 <= i_hash_1(31 downto 0); end if; end if; end if; end process; end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a/hdl/vhdl/md5_mux.vhd
3
1870
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: md5_mux - Behavioral -- Description: -- A mux to select which hash to compare ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- include the hash_array type -- use work.hash_array_pkg.all; entity md5_mux is generic ( N : integer ); port ( clk : in std_logic; rstn : in std_logic; i_hash_0 : in unsigned(127 downto 0); --hash_array(N-1 downto 0); i_hash_1 : in unsigned(127 downto 0); --hash_array(N-1 downto 0); i_select : in unsigned(N-1 downto 0); -- should be ceil(log2(N-1)) o_hash_0 : out unsigned(31 downto 0); o_hash_1 : out unsigned(31 downto 0); o_hash_2 : out unsigned(31 downto 0); o_hash_3 : out unsigned(31 downto 0) ); end md5_mux; architecture Behavioral of md5_mux is begin clk_proc : process(clk) begin if rising_edge(clk) then if rstn = '0' then o_hash_0 <= (others => '0'); o_hash_1 <= (others => '0'); o_hash_2 <= (others => '0'); o_hash_3 <= (others => '0'); else o_hash_0 <= (others => '0'); o_hash_1 <= (others => '0'); o_hash_2 <= (others => '0'); o_hash_3 <= (others => '0'); --o_hash <= i_hash(to_integer(unsigned(i_select))); if i_select = "00" then o_hash_0 <= i_hash_0(127 downto 96); o_hash_1 <= i_hash_0(95 downto 64); o_hash_2 <= i_hash_0(63 downto 32); o_hash_3 <= i_hash_0(31 downto 0); elsif i_select = "01" then o_hash_0 <= i_hash_1(127 downto 96); o_hash_1 <= i_hash_1(95 downto 64); o_hash_2 <= i_hash_1(63 downto 32); o_hash_3 <= i_hash_1(31 downto 0); end if; end if; end if; end process; end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/hdl/system_microblaze_0_wrapper.vhd
1
88130
------------------------------------------------------------------------------- -- system_microblaze_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library microblaze_v8_40_a; use microblaze_v8_40_a.all; entity system_microblaze_0_wrapper is port ( CLK : in std_logic; RESET : in std_logic; MB_RESET : in std_logic; INTERRUPT : in std_logic; INTERRUPT_ADDRESS : in std_logic_vector(0 to 31); INTERRUPT_ACK : out std_logic_vector(0 to 1); EXT_BRK : in std_logic; EXT_NM_BRK : in std_logic; DBG_STOP : in std_logic; MB_Halted : out std_logic; MB_Error : out std_logic; WAKEUP : in std_logic_vector(0 to 1); SLEEP : out std_logic; DBG_WAKEUP : out std_logic; LOCKSTEP_MASTER_OUT : out std_logic_vector(0 to 4095); LOCKSTEP_SLAVE_IN : in std_logic_vector(0 to 4095); LOCKSTEP_OUT : out std_logic_vector(0 to 4095); INSTR : in std_logic_vector(0 to 31); IREADY : in std_logic; IWAIT : in std_logic; ICE : in std_logic; IUE : in std_logic; INSTR_ADDR : out std_logic_vector(0 to 31); IFETCH : out std_logic; I_AS : out std_logic; IPLB_M_ABort : out std_logic; IPLB_M_ABus : out std_logic_vector(0 to 31); IPLB_M_UABus : out std_logic_vector(0 to 31); IPLB_M_BE : out std_logic_vector(0 to 3); IPLB_M_busLock : out std_logic; IPLB_M_lockErr : out std_logic; IPLB_M_MSize : out std_logic_vector(0 to 1); IPLB_M_priority : out std_logic_vector(0 to 1); IPLB_M_rdBurst : out std_logic; IPLB_M_request : out std_logic; IPLB_M_RNW : out std_logic; IPLB_M_size : out std_logic_vector(0 to 3); IPLB_M_TAttribute : out std_logic_vector(0 to 15); IPLB_M_type : out std_logic_vector(0 to 2); IPLB_M_wrBurst : out std_logic; IPLB_M_wrDBus : out std_logic_vector(0 to 31); IPLB_MBusy : in std_logic; IPLB_MRdErr : in std_logic; IPLB_MWrErr : in std_logic; IPLB_MIRQ : in std_logic; IPLB_MWrBTerm : in std_logic; IPLB_MWrDAck : in std_logic; IPLB_MAddrAck : in std_logic; IPLB_MRdBTerm : in std_logic; IPLB_MRdDAck : in std_logic; IPLB_MRdDBus : in std_logic_vector(0 to 31); IPLB_MRdWdAddr : in std_logic_vector(0 to 3); IPLB_MRearbitrate : in std_logic; IPLB_MSSize : in std_logic_vector(0 to 1); IPLB_MTimeout : in std_logic; DATA_READ : in std_logic_vector(0 to 31); DREADY : in std_logic; DWAIT : in std_logic; DCE : in std_logic; DUE : in std_logic; DATA_WRITE : out std_logic_vector(0 to 31); DATA_ADDR : out std_logic_vector(0 to 31); D_AS : out std_logic; READ_STROBE : out std_logic; WRITE_STROBE : out std_logic; BYTE_ENABLE : out std_logic_vector(0 to 3); DPLB_M_ABort : out std_logic; DPLB_M_ABus : out std_logic_vector(0 to 31); DPLB_M_UABus : out std_logic_vector(0 to 31); DPLB_M_BE : out std_logic_vector(0 to 3); DPLB_M_busLock : out std_logic; DPLB_M_lockErr : out std_logic; DPLB_M_MSize : out std_logic_vector(0 to 1); DPLB_M_priority : out std_logic_vector(0 to 1); DPLB_M_rdBurst : out std_logic; DPLB_M_request : out std_logic; DPLB_M_RNW : out std_logic; DPLB_M_size : out std_logic_vector(0 to 3); DPLB_M_TAttribute : out std_logic_vector(0 to 15); DPLB_M_type : out std_logic_vector(0 to 2); DPLB_M_wrBurst : out std_logic; DPLB_M_wrDBus : out std_logic_vector(0 to 31); DPLB_MBusy : in std_logic; DPLB_MRdErr : in std_logic; DPLB_MWrErr : in std_logic; DPLB_MIRQ : in std_logic; DPLB_MWrBTerm : in std_logic; DPLB_MWrDAck : in std_logic; DPLB_MAddrAck : in std_logic; DPLB_MRdBTerm : in std_logic; DPLB_MRdDAck : in std_logic; DPLB_MRdDBus : in std_logic_vector(0 to 31); DPLB_MRdWdAddr : in std_logic_vector(0 to 3); DPLB_MRearbitrate : in std_logic; DPLB_MSSize : in std_logic_vector(0 to 1); DPLB_MTimeout : in std_logic; M_AXI_IP_AWID : out std_logic_vector(0 downto 0); M_AXI_IP_AWADDR : out std_logic_vector(31 downto 0); M_AXI_IP_AWLEN : out std_logic_vector(7 downto 0); M_AXI_IP_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_IP_AWBURST : out std_logic_vector(1 downto 0); M_AXI_IP_AWLOCK : out std_logic; M_AXI_IP_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_IP_AWPROT : out std_logic_vector(2 downto 0); M_AXI_IP_AWQOS : out std_logic_vector(3 downto 0); M_AXI_IP_AWVALID : out std_logic; M_AXI_IP_AWREADY : in std_logic; M_AXI_IP_WDATA : out std_logic_vector(31 downto 0); M_AXI_IP_WSTRB : out std_logic_vector(3 downto 0); M_AXI_IP_WLAST : out std_logic; M_AXI_IP_WVALID : out std_logic; M_AXI_IP_WREADY : in std_logic; M_AXI_IP_BID : in std_logic_vector(0 downto 0); M_AXI_IP_BRESP : in std_logic_vector(1 downto 0); M_AXI_IP_BVALID : in std_logic; M_AXI_IP_BREADY : out std_logic; M_AXI_IP_ARID : out std_logic_vector(0 downto 0); M_AXI_IP_ARADDR : out std_logic_vector(31 downto 0); M_AXI_IP_ARLEN : out std_logic_vector(7 downto 0); M_AXI_IP_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_IP_ARBURST : out std_logic_vector(1 downto 0); M_AXI_IP_ARLOCK : out std_logic; M_AXI_IP_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_IP_ARPROT : out std_logic_vector(2 downto 0); M_AXI_IP_ARQOS : out std_logic_vector(3 downto 0); M_AXI_IP_ARVALID : out std_logic; M_AXI_IP_ARREADY : in std_logic; M_AXI_IP_RID : in std_logic_vector(0 downto 0); M_AXI_IP_RDATA : in std_logic_vector(31 downto 0); M_AXI_IP_RRESP : in std_logic_vector(1 downto 0); M_AXI_IP_RLAST : in std_logic; M_AXI_IP_RVALID : in std_logic; M_AXI_IP_RREADY : out std_logic; M_AXI_DP_AWID : out std_logic_vector(0 downto 0); M_AXI_DP_AWADDR : out std_logic_vector(31 downto 0); M_AXI_DP_AWLEN : out std_logic_vector(7 downto 0); M_AXI_DP_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_DP_AWBURST : out std_logic_vector(1 downto 0); M_AXI_DP_AWLOCK : out std_logic; M_AXI_DP_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_DP_AWPROT : out std_logic_vector(2 downto 0); M_AXI_DP_AWQOS : out std_logic_vector(3 downto 0); M_AXI_DP_AWVALID : out std_logic; M_AXI_DP_AWREADY : in std_logic; M_AXI_DP_WDATA : out std_logic_vector(31 downto 0); M_AXI_DP_WSTRB : out std_logic_vector(3 downto 0); M_AXI_DP_WLAST : out std_logic; M_AXI_DP_WVALID : out std_logic; M_AXI_DP_WREADY : in std_logic; M_AXI_DP_BID : in std_logic_vector(0 downto 0); M_AXI_DP_BRESP : in std_logic_vector(1 downto 0); M_AXI_DP_BVALID : in std_logic; M_AXI_DP_BREADY : out std_logic; M_AXI_DP_ARID : out std_logic_vector(0 downto 0); M_AXI_DP_ARADDR : out std_logic_vector(31 downto 0); M_AXI_DP_ARLEN : out std_logic_vector(7 downto 0); M_AXI_DP_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_DP_ARBURST : out std_logic_vector(1 downto 0); M_AXI_DP_ARLOCK : out std_logic; M_AXI_DP_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_DP_ARPROT : out std_logic_vector(2 downto 0); M_AXI_DP_ARQOS : out std_logic_vector(3 downto 0); M_AXI_DP_ARVALID : out std_logic; M_AXI_DP_ARREADY : in std_logic; M_AXI_DP_RID : in std_logic_vector(0 downto 0); M_AXI_DP_RDATA : in std_logic_vector(31 downto 0); M_AXI_DP_RRESP : in std_logic_vector(1 downto 0); M_AXI_DP_RLAST : in std_logic; M_AXI_DP_RVALID : in std_logic; M_AXI_DP_RREADY : out std_logic; M_AXI_IC_AWID : out std_logic_vector(0 downto 0); M_AXI_IC_AWADDR : out std_logic_vector(31 downto 0); M_AXI_IC_AWLEN : out std_logic_vector(7 downto 0); M_AXI_IC_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_IC_AWBURST : out std_logic_vector(1 downto 0); M_AXI_IC_AWLOCK : out std_logic; M_AXI_IC_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_IC_AWPROT : out std_logic_vector(2 downto 0); M_AXI_IC_AWQOS : out std_logic_vector(3 downto 0); M_AXI_IC_AWVALID : out std_logic; M_AXI_IC_AWREADY : in std_logic; M_AXI_IC_AWUSER : out std_logic_vector(4 downto 0); M_AXI_IC_WDATA : out std_logic_vector(31 downto 0); M_AXI_IC_WSTRB : out std_logic_vector(3 downto 0); M_AXI_IC_WLAST : out std_logic; M_AXI_IC_WVALID : out std_logic; M_AXI_IC_WREADY : in std_logic; M_AXI_IC_WUSER : out std_logic_vector(0 downto 0); M_AXI_IC_BID : in std_logic_vector(0 downto 0); M_AXI_IC_BRESP : in std_logic_vector(1 downto 0); M_AXI_IC_BVALID : in std_logic; M_AXI_IC_BREADY : out std_logic; M_AXI_IC_BUSER : in std_logic_vector(0 downto 0); M_AXI_IC_ARID : out std_logic_vector(0 downto 0); M_AXI_IC_ARADDR : out std_logic_vector(31 downto 0); M_AXI_IC_ARLEN : out std_logic_vector(7 downto 0); M_AXI_IC_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_IC_ARBURST : out std_logic_vector(1 downto 0); M_AXI_IC_ARLOCK : out std_logic; M_AXI_IC_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_IC_ARPROT : out std_logic_vector(2 downto 0); M_AXI_IC_ARQOS : out std_logic_vector(3 downto 0); M_AXI_IC_ARVALID : out std_logic; M_AXI_IC_ARREADY : in std_logic; M_AXI_IC_ARUSER : out std_logic_vector(4 downto 0); M_AXI_IC_RID : in std_logic_vector(0 downto 0); M_AXI_IC_RDATA : in std_logic_vector(31 downto 0); M_AXI_IC_RRESP : in std_logic_vector(1 downto 0); M_AXI_IC_RLAST : in std_logic; M_AXI_IC_RVALID : in std_logic; M_AXI_IC_RREADY : out std_logic; M_AXI_IC_RUSER : in std_logic_vector(0 downto 0); M_AXI_DC_AWID : out std_logic_vector(0 downto 0); M_AXI_DC_AWADDR : out std_logic_vector(31 downto 0); M_AXI_DC_AWLEN : out std_logic_vector(7 downto 0); M_AXI_DC_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_DC_AWBURST : out std_logic_vector(1 downto 0); M_AXI_DC_AWLOCK : out std_logic; M_AXI_DC_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_DC_AWPROT : out std_logic_vector(2 downto 0); M_AXI_DC_AWQOS : out std_logic_vector(3 downto 0); M_AXI_DC_AWVALID : out std_logic; M_AXI_DC_AWREADY : in std_logic; M_AXI_DC_AWUSER : out std_logic_vector(4 downto 0); M_AXI_DC_WDATA : out std_logic_vector(31 downto 0); M_AXI_DC_WSTRB : out std_logic_vector(3 downto 0); M_AXI_DC_WLAST : out std_logic; M_AXI_DC_WVALID : out std_logic; M_AXI_DC_WREADY : in std_logic; M_AXI_DC_WUSER : out std_logic_vector(0 downto 0); M_AXI_DC_BID : in std_logic_vector(0 downto 0); M_AXI_DC_BRESP : in std_logic_vector(1 downto 0); M_AXI_DC_BVALID : in std_logic; M_AXI_DC_BREADY : out std_logic; M_AXI_DC_BUSER : in std_logic_vector(0 downto 0); M_AXI_DC_ARID : out std_logic_vector(0 downto 0); M_AXI_DC_ARADDR : out std_logic_vector(31 downto 0); M_AXI_DC_ARLEN : out std_logic_vector(7 downto 0); M_AXI_DC_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_DC_ARBURST : out std_logic_vector(1 downto 0); M_AXI_DC_ARLOCK : out std_logic; M_AXI_DC_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_DC_ARPROT : out std_logic_vector(2 downto 0); M_AXI_DC_ARQOS : out std_logic_vector(3 downto 0); M_AXI_DC_ARVALID : out std_logic; M_AXI_DC_ARREADY : in std_logic; M_AXI_DC_ARUSER : out std_logic_vector(4 downto 0); M_AXI_DC_RID : in std_logic_vector(0 downto 0); M_AXI_DC_RDATA : in std_logic_vector(31 downto 0); M_AXI_DC_RRESP : in std_logic_vector(1 downto 0); M_AXI_DC_RLAST : in std_logic; M_AXI_DC_RVALID : in std_logic; M_AXI_DC_RREADY : out std_logic; M_AXI_DC_RUSER : in std_logic_vector(0 downto 0); DBG_CLK : in std_logic; DBG_TDI : in std_logic; DBG_TDO : out std_logic; DBG_REG_EN : in std_logic_vector(0 to 7); DBG_SHIFT : in std_logic; DBG_CAPTURE : in std_logic; DBG_UPDATE : in std_logic; DEBUG_RST : in std_logic; Trace_Instruction : out std_logic_vector(0 to 31); Trace_Valid_Instr : out std_logic; Trace_PC : out std_logic_vector(0 to 31); Trace_Reg_Write : out std_logic; Trace_Reg_Addr : out std_logic_vector(0 to 4); Trace_MSR_Reg : out std_logic_vector(0 to 14); Trace_PID_Reg : out std_logic_vector(0 to 7); Trace_New_Reg_Value : out std_logic_vector(0 to 31); Trace_Exception_Taken : out std_logic; Trace_Exception_Kind : out std_logic_vector(0 to 4); Trace_Jump_Taken : out std_logic; Trace_Delay_Slot : out std_logic; Trace_Data_Address : out std_logic_vector(0 to 31); Trace_Data_Access : out std_logic; Trace_Data_Read : out std_logic; Trace_Data_Write : out std_logic; Trace_Data_Write_Value : out std_logic_vector(0 to 31); Trace_Data_Byte_Enable : out std_logic_vector(0 to 3); Trace_DCache_Req : out std_logic; Trace_DCache_Hit : out std_logic; Trace_DCache_Rdy : out std_logic; Trace_DCache_Read : out std_logic; Trace_ICache_Req : out std_logic; Trace_ICache_Hit : out std_logic; Trace_ICache_Rdy : out std_logic; Trace_OF_PipeRun : out std_logic; Trace_EX_PipeRun : out std_logic; Trace_MEM_PipeRun : out std_logic; Trace_MB_Halted : out std_logic; Trace_Jump_Hit : out std_logic; FSL0_S_CLK : out std_logic; FSL0_S_READ : out std_logic; FSL0_S_DATA : in std_logic_vector(0 to 31); FSL0_S_CONTROL : in std_logic; FSL0_S_EXISTS : in std_logic; FSL0_M_CLK : out std_logic; FSL0_M_WRITE : out std_logic; FSL0_M_DATA : out std_logic_vector(0 to 31); FSL0_M_CONTROL : out std_logic; FSL0_M_FULL : in std_logic; FSL1_S_CLK : out std_logic; FSL1_S_READ : out std_logic; FSL1_S_DATA : in std_logic_vector(0 to 31); FSL1_S_CONTROL : in std_logic; FSL1_S_EXISTS : in std_logic; FSL1_M_CLK : out std_logic; FSL1_M_WRITE : out std_logic; FSL1_M_DATA : out std_logic_vector(0 to 31); FSL1_M_CONTROL : out std_logic; FSL1_M_FULL : in std_logic; FSL2_S_CLK : out std_logic; FSL2_S_READ : out std_logic; FSL2_S_DATA : in std_logic_vector(0 to 31); FSL2_S_CONTROL : in std_logic; FSL2_S_EXISTS : in std_logic; FSL2_M_CLK : out std_logic; FSL2_M_WRITE : out std_logic; FSL2_M_DATA : out std_logic_vector(0 to 31); FSL2_M_CONTROL : out std_logic; FSL2_M_FULL : in std_logic; FSL3_S_CLK : out std_logic; FSL3_S_READ : out std_logic; FSL3_S_DATA : in std_logic_vector(0 to 31); FSL3_S_CONTROL : in std_logic; FSL3_S_EXISTS : in std_logic; FSL3_M_CLK : out std_logic; FSL3_M_WRITE : out std_logic; FSL3_M_DATA : out std_logic_vector(0 to 31); FSL3_M_CONTROL : out std_logic; FSL3_M_FULL : in std_logic; FSL4_S_CLK : out std_logic; FSL4_S_READ : out std_logic; FSL4_S_DATA : in std_logic_vector(0 to 31); FSL4_S_CONTROL : in std_logic; FSL4_S_EXISTS : in std_logic; FSL4_M_CLK : out std_logic; FSL4_M_WRITE : out std_logic; FSL4_M_DATA : out std_logic_vector(0 to 31); FSL4_M_CONTROL : out std_logic; FSL4_M_FULL : in std_logic; FSL5_S_CLK : out std_logic; FSL5_S_READ : out std_logic; FSL5_S_DATA : in std_logic_vector(0 to 31); FSL5_S_CONTROL : in std_logic; FSL5_S_EXISTS : in std_logic; FSL5_M_CLK : out std_logic; FSL5_M_WRITE : out std_logic; FSL5_M_DATA : out std_logic_vector(0 to 31); FSL5_M_CONTROL : out std_logic; FSL5_M_FULL : in std_logic; FSL6_S_CLK : out std_logic; FSL6_S_READ : out std_logic; FSL6_S_DATA : in std_logic_vector(0 to 31); FSL6_S_CONTROL : in std_logic; FSL6_S_EXISTS : in std_logic; FSL6_M_CLK : out std_logic; FSL6_M_WRITE : out std_logic; FSL6_M_DATA : out std_logic_vector(0 to 31); FSL6_M_CONTROL : out std_logic; FSL6_M_FULL : in std_logic; FSL7_S_CLK : out std_logic; FSL7_S_READ : out std_logic; FSL7_S_DATA : in std_logic_vector(0 to 31); FSL7_S_CONTROL : in std_logic; FSL7_S_EXISTS : in std_logic; FSL7_M_CLK : out std_logic; FSL7_M_WRITE : out std_logic; FSL7_M_DATA : out std_logic_vector(0 to 31); FSL7_M_CONTROL : out std_logic; FSL7_M_FULL : in std_logic; FSL8_S_CLK : out std_logic; FSL8_S_READ : out std_logic; FSL8_S_DATA : in std_logic_vector(0 to 31); FSL8_S_CONTROL : in std_logic; FSL8_S_EXISTS : in std_logic; FSL8_M_CLK : out std_logic; FSL8_M_WRITE : out std_logic; FSL8_M_DATA : out std_logic_vector(0 to 31); FSL8_M_CONTROL : out std_logic; FSL8_M_FULL : in std_logic; FSL9_S_CLK : out std_logic; FSL9_S_READ : out std_logic; FSL9_S_DATA : in std_logic_vector(0 to 31); FSL9_S_CONTROL : in std_logic; FSL9_S_EXISTS : in std_logic; FSL9_M_CLK : out std_logic; FSL9_M_WRITE : out std_logic; FSL9_M_DATA : out std_logic_vector(0 to 31); FSL9_M_CONTROL : out std_logic; FSL9_M_FULL : in std_logic; FSL10_S_CLK : out std_logic; FSL10_S_READ : out std_logic; FSL10_S_DATA : in std_logic_vector(0 to 31); FSL10_S_CONTROL : in std_logic; FSL10_S_EXISTS : in std_logic; FSL10_M_CLK : out std_logic; FSL10_M_WRITE : out std_logic; FSL10_M_DATA : out std_logic_vector(0 to 31); FSL10_M_CONTROL : out std_logic; FSL10_M_FULL : in std_logic; FSL11_S_CLK : out std_logic; FSL11_S_READ : out std_logic; FSL11_S_DATA : in std_logic_vector(0 to 31); FSL11_S_CONTROL : in std_logic; FSL11_S_EXISTS : in std_logic; FSL11_M_CLK : out std_logic; FSL11_M_WRITE : out std_logic; FSL11_M_DATA : out std_logic_vector(0 to 31); FSL11_M_CONTROL : out std_logic; FSL11_M_FULL : in std_logic; FSL12_S_CLK : out std_logic; FSL12_S_READ : out std_logic; FSL12_S_DATA : in std_logic_vector(0 to 31); FSL12_S_CONTROL : in std_logic; FSL12_S_EXISTS : in std_logic; FSL12_M_CLK : out std_logic; FSL12_M_WRITE : out std_logic; FSL12_M_DATA : out std_logic_vector(0 to 31); FSL12_M_CONTROL : out std_logic; FSL12_M_FULL : in std_logic; FSL13_S_CLK : out std_logic; FSL13_S_READ : out std_logic; FSL13_S_DATA : in std_logic_vector(0 to 31); FSL13_S_CONTROL : in std_logic; FSL13_S_EXISTS : in std_logic; FSL13_M_CLK : out std_logic; FSL13_M_WRITE : out std_logic; FSL13_M_DATA : out std_logic_vector(0 to 31); FSL13_M_CONTROL : out std_logic; FSL13_M_FULL : in std_logic; FSL14_S_CLK : out std_logic; FSL14_S_READ : out std_logic; FSL14_S_DATA : in std_logic_vector(0 to 31); FSL14_S_CONTROL : in std_logic; FSL14_S_EXISTS : in std_logic; FSL14_M_CLK : out std_logic; FSL14_M_WRITE : out std_logic; FSL14_M_DATA : out std_logic_vector(0 to 31); FSL14_M_CONTROL : out std_logic; FSL14_M_FULL : in std_logic; FSL15_S_CLK : out std_logic; FSL15_S_READ : out std_logic; FSL15_S_DATA : in std_logic_vector(0 to 31); FSL15_S_CONTROL : in std_logic; FSL15_S_EXISTS : in std_logic; FSL15_M_CLK : out std_logic; FSL15_M_WRITE : out std_logic; FSL15_M_DATA : out std_logic_vector(0 to 31); FSL15_M_CONTROL : out std_logic; FSL15_M_FULL : in std_logic; M0_AXIS_TLAST : out std_logic; M0_AXIS_TDATA : out std_logic_vector(31 downto 0); M0_AXIS_TVALID : out std_logic; M0_AXIS_TREADY : in std_logic; S0_AXIS_TLAST : in std_logic; S0_AXIS_TDATA : in std_logic_vector(31 downto 0); S0_AXIS_TVALID : in std_logic; S0_AXIS_TREADY : out std_logic; M1_AXIS_TLAST : out std_logic; M1_AXIS_TDATA : out std_logic_vector(31 downto 0); M1_AXIS_TVALID : out std_logic; M1_AXIS_TREADY : in std_logic; S1_AXIS_TLAST : in std_logic; S1_AXIS_TDATA : in std_logic_vector(31 downto 0); S1_AXIS_TVALID : in std_logic; S1_AXIS_TREADY : out std_logic; M2_AXIS_TLAST : out std_logic; M2_AXIS_TDATA : out std_logic_vector(31 downto 0); M2_AXIS_TVALID : out std_logic; M2_AXIS_TREADY : in std_logic; S2_AXIS_TLAST : in std_logic; S2_AXIS_TDATA : in std_logic_vector(31 downto 0); S2_AXIS_TVALID : in std_logic; S2_AXIS_TREADY : out std_logic; M3_AXIS_TLAST : out std_logic; M3_AXIS_TDATA : out std_logic_vector(31 downto 0); M3_AXIS_TVALID : out std_logic; M3_AXIS_TREADY : in std_logic; S3_AXIS_TLAST : in std_logic; S3_AXIS_TDATA : in std_logic_vector(31 downto 0); S3_AXIS_TVALID : in std_logic; S3_AXIS_TREADY : out std_logic; M4_AXIS_TLAST : out std_logic; M4_AXIS_TDATA : out std_logic_vector(31 downto 0); M4_AXIS_TVALID : out std_logic; M4_AXIS_TREADY : in std_logic; S4_AXIS_TLAST : in std_logic; S4_AXIS_TDATA : in std_logic_vector(31 downto 0); S4_AXIS_TVALID : in std_logic; S4_AXIS_TREADY : out std_logic; M5_AXIS_TLAST : out std_logic; M5_AXIS_TDATA : out std_logic_vector(31 downto 0); M5_AXIS_TVALID : out std_logic; M5_AXIS_TREADY : in std_logic; S5_AXIS_TLAST : in std_logic; S5_AXIS_TDATA : in std_logic_vector(31 downto 0); S5_AXIS_TVALID : in std_logic; S5_AXIS_TREADY : out std_logic; M6_AXIS_TLAST : out std_logic; M6_AXIS_TDATA : out std_logic_vector(31 downto 0); M6_AXIS_TVALID : out std_logic; M6_AXIS_TREADY : in std_logic; S6_AXIS_TLAST : in std_logic; S6_AXIS_TDATA : in std_logic_vector(31 downto 0); S6_AXIS_TVALID : in std_logic; S6_AXIS_TREADY : out std_logic; M7_AXIS_TLAST : out std_logic; M7_AXIS_TDATA : out std_logic_vector(31 downto 0); M7_AXIS_TVALID : out std_logic; M7_AXIS_TREADY : in std_logic; S7_AXIS_TLAST : in std_logic; S7_AXIS_TDATA : in std_logic_vector(31 downto 0); S7_AXIS_TVALID : in std_logic; S7_AXIS_TREADY : out std_logic; M8_AXIS_TLAST : out std_logic; M8_AXIS_TDATA : out std_logic_vector(31 downto 0); M8_AXIS_TVALID : out std_logic; M8_AXIS_TREADY : in std_logic; S8_AXIS_TLAST : in std_logic; S8_AXIS_TDATA : in std_logic_vector(31 downto 0); S8_AXIS_TVALID : in std_logic; S8_AXIS_TREADY : out std_logic; M9_AXIS_TLAST : out std_logic; M9_AXIS_TDATA : out std_logic_vector(31 downto 0); M9_AXIS_TVALID : out std_logic; M9_AXIS_TREADY : in std_logic; S9_AXIS_TLAST : in std_logic; S9_AXIS_TDATA : in std_logic_vector(31 downto 0); S9_AXIS_TVALID : in std_logic; S9_AXIS_TREADY : out std_logic; M10_AXIS_TLAST : out std_logic; M10_AXIS_TDATA : out std_logic_vector(31 downto 0); M10_AXIS_TVALID : out std_logic; M10_AXIS_TREADY : in std_logic; S10_AXIS_TLAST : in std_logic; S10_AXIS_TDATA : in std_logic_vector(31 downto 0); S10_AXIS_TVALID : in std_logic; S10_AXIS_TREADY : out std_logic; M11_AXIS_TLAST : out std_logic; M11_AXIS_TDATA : out std_logic_vector(31 downto 0); M11_AXIS_TVALID : out std_logic; M11_AXIS_TREADY : in std_logic; S11_AXIS_TLAST : in std_logic; S11_AXIS_TDATA : in std_logic_vector(31 downto 0); S11_AXIS_TVALID : in std_logic; S11_AXIS_TREADY : out std_logic; M12_AXIS_TLAST : out std_logic; M12_AXIS_TDATA : out std_logic_vector(31 downto 0); M12_AXIS_TVALID : out std_logic; M12_AXIS_TREADY : in std_logic; S12_AXIS_TLAST : in std_logic; S12_AXIS_TDATA : in std_logic_vector(31 downto 0); S12_AXIS_TVALID : in std_logic; S12_AXIS_TREADY : out std_logic; M13_AXIS_TLAST : out std_logic; M13_AXIS_TDATA : out std_logic_vector(31 downto 0); M13_AXIS_TVALID : out std_logic; M13_AXIS_TREADY : in std_logic; S13_AXIS_TLAST : in std_logic; S13_AXIS_TDATA : in std_logic_vector(31 downto 0); S13_AXIS_TVALID : in std_logic; S13_AXIS_TREADY : out std_logic; M14_AXIS_TLAST : out std_logic; M14_AXIS_TDATA : out std_logic_vector(31 downto 0); M14_AXIS_TVALID : out std_logic; M14_AXIS_TREADY : in std_logic; S14_AXIS_TLAST : in std_logic; S14_AXIS_TDATA : in std_logic_vector(31 downto 0); S14_AXIS_TVALID : in std_logic; S14_AXIS_TREADY : out std_logic; M15_AXIS_TLAST : out std_logic; M15_AXIS_TDATA : out std_logic_vector(31 downto 0); M15_AXIS_TVALID : out std_logic; M15_AXIS_TREADY : in std_logic; S15_AXIS_TLAST : in std_logic; S15_AXIS_TDATA : in std_logic_vector(31 downto 0); S15_AXIS_TVALID : in std_logic; S15_AXIS_TREADY : out std_logic; ICACHE_FSL_IN_CLK : out std_logic; ICACHE_FSL_IN_READ : out std_logic; ICACHE_FSL_IN_DATA : in std_logic_vector(0 to 31); ICACHE_FSL_IN_CONTROL : in std_logic; ICACHE_FSL_IN_EXISTS : in std_logic; ICACHE_FSL_OUT_CLK : out std_logic; ICACHE_FSL_OUT_WRITE : out std_logic; ICACHE_FSL_OUT_DATA : out std_logic_vector(0 to 31); ICACHE_FSL_OUT_CONTROL : out std_logic; ICACHE_FSL_OUT_FULL : in std_logic; DCACHE_FSL_IN_CLK : out std_logic; DCACHE_FSL_IN_READ : out std_logic; DCACHE_FSL_IN_DATA : in std_logic_vector(0 to 31); DCACHE_FSL_IN_CONTROL : in std_logic; DCACHE_FSL_IN_EXISTS : in std_logic; DCACHE_FSL_OUT_CLK : out std_logic; DCACHE_FSL_OUT_WRITE : out std_logic; DCACHE_FSL_OUT_DATA : out std_logic_vector(0 to 31); DCACHE_FSL_OUT_CONTROL : out std_logic; DCACHE_FSL_OUT_FULL : in std_logic ); attribute x_core_info : STRING; attribute x_core_info of system_microblaze_0_wrapper : entity is "microblaze_v8_40_a"; end system_microblaze_0_wrapper; architecture STRUCTURE of system_microblaze_0_wrapper is component microblaze is generic ( C_SCO : integer; C_FREQ : integer; C_DATA_SIZE : integer; C_DYNAMIC_BUS_SIZING : integer; C_FAMILY : string; C_INSTANCE : string; C_AVOID_PRIMITIVES : integer; C_FAULT_TOLERANT : integer; C_ECC_USE_CE_EXCEPTION : integer; C_LOCKSTEP_SLAVE : integer; C_ENDIANNESS : integer; C_AREA_OPTIMIZED : integer; C_OPTIMIZATION : integer; C_INTERCONNECT : integer; C_STREAM_INTERCONNECT : integer; C_DPLB_DWIDTH : integer; C_DPLB_NATIVE_DWIDTH : integer; C_DPLB_BURST_EN : integer; C_DPLB_P2P : integer; C_IPLB_DWIDTH : integer; C_IPLB_NATIVE_DWIDTH : integer; C_IPLB_BURST_EN : integer; C_IPLB_P2P : integer; C_M_AXI_DP_THREAD_ID_WIDTH : integer; C_M_AXI_DP_DATA_WIDTH : integer; C_M_AXI_DP_ADDR_WIDTH : integer; C_M_AXI_DP_EXCLUSIVE_ACCESS : integer; C_M_AXI_IP_THREAD_ID_WIDTH : integer; C_M_AXI_IP_DATA_WIDTH : integer; C_M_AXI_IP_ADDR_WIDTH : integer; C_D_AXI : integer; C_D_PLB : integer; C_D_LMB : integer; C_I_AXI : integer; C_I_PLB : integer; C_I_LMB : integer; C_USE_MSR_INSTR : integer; C_USE_PCMP_INSTR : integer; C_USE_BARREL : integer; C_USE_DIV : integer; C_USE_HW_MUL : integer; C_USE_FPU : integer; C_USE_REORDER_INSTR : integer; C_UNALIGNED_EXCEPTIONS : integer; C_ILL_OPCODE_EXCEPTION : integer; C_M_AXI_I_BUS_EXCEPTION : integer; C_M_AXI_D_BUS_EXCEPTION : integer; C_IPLB_BUS_EXCEPTION : integer; C_DPLB_BUS_EXCEPTION : integer; C_DIV_ZERO_EXCEPTION : integer; C_FPU_EXCEPTION : integer; C_FSL_EXCEPTION : integer; C_USE_STACK_PROTECTION : integer; C_PVR : integer; C_PVR_USER1 : std_logic_vector(0 to 7); C_PVR_USER2 : std_logic_vector(0 to 31); C_DEBUG_ENABLED : integer; C_NUMBER_OF_PC_BRK : integer; C_NUMBER_OF_RD_ADDR_BRK : integer; C_NUMBER_OF_WR_ADDR_BRK : integer; C_INTERRUPT_IS_EDGE : integer; C_EDGE_IS_POSITIVE : integer; C_RESET_MSR : std_logic_vector; C_OPCODE_0x0_ILLEGAL : integer; C_FSL_LINKS : integer; C_FSL_DATA_SIZE : integer; C_USE_EXTENDED_FSL_INSTR : integer; C_M0_AXIS_DATA_WIDTH : integer; C_S0_AXIS_DATA_WIDTH : integer; C_M1_AXIS_DATA_WIDTH : integer; C_S1_AXIS_DATA_WIDTH : integer; C_M2_AXIS_DATA_WIDTH : integer; C_S2_AXIS_DATA_WIDTH : integer; C_M3_AXIS_DATA_WIDTH : integer; C_S3_AXIS_DATA_WIDTH : integer; C_M4_AXIS_DATA_WIDTH : integer; C_S4_AXIS_DATA_WIDTH : integer; C_M5_AXIS_DATA_WIDTH : integer; C_S5_AXIS_DATA_WIDTH : integer; C_M6_AXIS_DATA_WIDTH : integer; C_S6_AXIS_DATA_WIDTH : integer; C_M7_AXIS_DATA_WIDTH : integer; C_S7_AXIS_DATA_WIDTH : integer; C_M8_AXIS_DATA_WIDTH : integer; C_S8_AXIS_DATA_WIDTH : integer; C_M9_AXIS_DATA_WIDTH : integer; C_S9_AXIS_DATA_WIDTH : integer; C_M10_AXIS_DATA_WIDTH : integer; C_S10_AXIS_DATA_WIDTH : integer; C_M11_AXIS_DATA_WIDTH : integer; C_S11_AXIS_DATA_WIDTH : integer; C_M12_AXIS_DATA_WIDTH : integer; C_S12_AXIS_DATA_WIDTH : integer; C_M13_AXIS_DATA_WIDTH : integer; C_S13_AXIS_DATA_WIDTH : integer; C_M14_AXIS_DATA_WIDTH : integer; C_S14_AXIS_DATA_WIDTH : integer; C_M15_AXIS_DATA_WIDTH : integer; C_S15_AXIS_DATA_WIDTH : integer; C_ICACHE_BASEADDR : std_logic_vector; C_ICACHE_HIGHADDR : std_logic_vector; C_USE_ICACHE : integer; C_ALLOW_ICACHE_WR : integer; C_ADDR_TAG_BITS : integer; C_CACHE_BYTE_SIZE : integer; C_ICACHE_USE_FSL : integer; C_ICACHE_LINE_LEN : integer; C_ICACHE_ALWAYS_USED : integer; C_ICACHE_INTERFACE : integer; C_ICACHE_VICTIMS : integer; C_ICACHE_STREAMS : integer; C_ICACHE_FORCE_TAG_LUTRAM : integer; C_ICACHE_DATA_WIDTH : integer; C_M_AXI_IC_THREAD_ID_WIDTH : integer; C_M_AXI_IC_DATA_WIDTH : integer; C_M_AXI_IC_ADDR_WIDTH : integer; C_M_AXI_IC_USER_VALUE : integer; C_M_AXI_IC_AWUSER_WIDTH : integer; C_M_AXI_IC_ARUSER_WIDTH : integer; C_M_AXI_IC_WUSER_WIDTH : integer; C_M_AXI_IC_RUSER_WIDTH : integer; C_M_AXI_IC_BUSER_WIDTH : integer; C_DCACHE_BASEADDR : std_logic_vector; C_DCACHE_HIGHADDR : std_logic_vector; C_USE_DCACHE : integer; C_ALLOW_DCACHE_WR : integer; C_DCACHE_ADDR_TAG : integer; C_DCACHE_BYTE_SIZE : integer; C_DCACHE_USE_FSL : integer; C_DCACHE_LINE_LEN : integer; C_DCACHE_ALWAYS_USED : integer; C_DCACHE_INTERFACE : integer; C_DCACHE_USE_WRITEBACK : integer; C_DCACHE_VICTIMS : integer; C_DCACHE_FORCE_TAG_LUTRAM : integer; C_DCACHE_DATA_WIDTH : integer; C_M_AXI_DC_THREAD_ID_WIDTH : integer; C_M_AXI_DC_DATA_WIDTH : integer; C_M_AXI_DC_ADDR_WIDTH : integer; C_M_AXI_DC_EXCLUSIVE_ACCESS : integer; C_M_AXI_DC_USER_VALUE : integer; C_M_AXI_DC_AWUSER_WIDTH : integer; C_M_AXI_DC_ARUSER_WIDTH : integer; C_M_AXI_DC_WUSER_WIDTH : integer; C_M_AXI_DC_RUSER_WIDTH : integer; C_M_AXI_DC_BUSER_WIDTH : integer; C_USE_MMU : integer; C_MMU_DTLB_SIZE : integer; C_MMU_ITLB_SIZE : integer; C_MMU_TLB_ACCESS : integer; C_MMU_ZONES : integer; C_MMU_PRIVILEGED_INSTR : integer; C_USE_INTERRUPT : integer; C_USE_EXT_BRK : integer; C_USE_EXT_NM_BRK : integer; C_USE_BRANCH_TARGET_CACHE : integer; C_BRANCH_TARGET_CACHE_SIZE : integer; C_PC_WIDTH : integer ); port ( CLK : in std_logic; RESET : in std_logic; MB_RESET : in std_logic; INTERRUPT : in std_logic; INTERRUPT_ADDRESS : in std_logic_vector(0 to 31); INTERRUPT_ACK : out std_logic_vector(0 to 1); EXT_BRK : in std_logic; EXT_NM_BRK : in std_logic; DBG_STOP : in std_logic; MB_Halted : out std_logic; MB_Error : out std_logic; WAKEUP : in std_logic_vector(0 to 1); SLEEP : out std_logic; DBG_WAKEUP : out std_logic; LOCKSTEP_MASTER_OUT : out std_logic_vector(0 to 4095); LOCKSTEP_SLAVE_IN : in std_logic_vector(0 to 4095); LOCKSTEP_OUT : out std_logic_vector(0 to 4095); INSTR : in std_logic_vector(0 to 31); IREADY : in std_logic; IWAIT : in std_logic; ICE : in std_logic; IUE : in std_logic; INSTR_ADDR : out std_logic_vector(0 to 31); IFETCH : out std_logic; I_AS : out std_logic; IPLB_M_ABort : out std_logic; IPLB_M_ABus : out std_logic_vector(0 to 31); IPLB_M_UABus : out std_logic_vector(0 to 31); IPLB_M_BE : out std_logic_vector(0 to (C_IPLB_DWIDTH-1)/8); IPLB_M_busLock : out std_logic; IPLB_M_lockErr : out std_logic; IPLB_M_MSize : out std_logic_vector(0 to 1); IPLB_M_priority : out std_logic_vector(0 to 1); IPLB_M_rdBurst : out std_logic; IPLB_M_request : out std_logic; IPLB_M_RNW : out std_logic; IPLB_M_size : out std_logic_vector(0 to 3); IPLB_M_TAttribute : out std_logic_vector(0 to 15); IPLB_M_type : out std_logic_vector(0 to 2); IPLB_M_wrBurst : out std_logic; IPLB_M_wrDBus : out std_logic_vector(0 to C_IPLB_DWIDTH-1); IPLB_MBusy : in std_logic; IPLB_MRdErr : in std_logic; IPLB_MWrErr : in std_logic; IPLB_MIRQ : in std_logic; IPLB_MWrBTerm : in std_logic; IPLB_MWrDAck : in std_logic; IPLB_MAddrAck : in std_logic; IPLB_MRdBTerm : in std_logic; IPLB_MRdDAck : in std_logic; IPLB_MRdDBus : in std_logic_vector(0 to C_IPLB_DWIDTH-1); IPLB_MRdWdAddr : in std_logic_vector(0 to 3); IPLB_MRearbitrate : in std_logic; IPLB_MSSize : in std_logic_vector(0 to 1); IPLB_MTimeout : in std_logic; DATA_READ : in std_logic_vector(0 to 31); DREADY : in std_logic; DWAIT : in std_logic; DCE : in std_logic; DUE : in std_logic; DATA_WRITE : out std_logic_vector(0 to 31); DATA_ADDR : out std_logic_vector(0 to 31); D_AS : out std_logic; READ_STROBE : out std_logic; WRITE_STROBE : out std_logic; BYTE_ENABLE : out std_logic_vector(0 to 3); DPLB_M_ABort : out std_logic; DPLB_M_ABus : out std_logic_vector(0 to 31); DPLB_M_UABus : out std_logic_vector(0 to 31); DPLB_M_BE : out std_logic_vector(0 to (C_DPLB_DWIDTH-1)/8); DPLB_M_busLock : out std_logic; DPLB_M_lockErr : out std_logic; DPLB_M_MSize : out std_logic_vector(0 to 1); DPLB_M_priority : out std_logic_vector(0 to 1); DPLB_M_rdBurst : out std_logic; DPLB_M_request : out std_logic; DPLB_M_RNW : out std_logic; DPLB_M_size : out std_logic_vector(0 to 3); DPLB_M_TAttribute : out std_logic_vector(0 to 15); DPLB_M_type : out std_logic_vector(0 to 2); DPLB_M_wrBurst : out std_logic; DPLB_M_wrDBus : out std_logic_vector(0 to C_DPLB_DWIDTH-1); DPLB_MBusy : in std_logic; DPLB_MRdErr : in std_logic; DPLB_MWrErr : in std_logic; DPLB_MIRQ : in std_logic; DPLB_MWrBTerm : in std_logic; DPLB_MWrDAck : in std_logic; DPLB_MAddrAck : in std_logic; DPLB_MRdBTerm : in std_logic; DPLB_MRdDAck : in std_logic; DPLB_MRdDBus : in std_logic_vector(0 to C_DPLB_DWIDTH-1); DPLB_MRdWdAddr : in std_logic_vector(0 to 3); DPLB_MRearbitrate : in std_logic; DPLB_MSSize : in std_logic_vector(0 to 1); DPLB_MTimeout : in std_logic; M_AXI_IP_AWID : out std_logic_vector((C_M_AXI_IP_THREAD_ID_WIDTH-1) downto 0); M_AXI_IP_AWADDR : out std_logic_vector((C_M_AXI_IP_ADDR_WIDTH-1) downto 0); M_AXI_IP_AWLEN : out std_logic_vector(7 downto 0); M_AXI_IP_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_IP_AWBURST : out std_logic_vector(1 downto 0); M_AXI_IP_AWLOCK : out std_logic; M_AXI_IP_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_IP_AWPROT : out std_logic_vector(2 downto 0); M_AXI_IP_AWQOS : out std_logic_vector(3 downto 0); M_AXI_IP_AWVALID : out std_logic; M_AXI_IP_AWREADY : in std_logic; M_AXI_IP_WDATA : out std_logic_vector((C_M_AXI_IP_DATA_WIDTH-1) downto 0); M_AXI_IP_WSTRB : out std_logic_vector(((C_M_AXI_IP_DATA_WIDTH/8)-1) downto 0); M_AXI_IP_WLAST : out std_logic; M_AXI_IP_WVALID : out std_logic; M_AXI_IP_WREADY : in std_logic; M_AXI_IP_BID : in std_logic_vector((C_M_AXI_IP_THREAD_ID_WIDTH-1) downto 0); M_AXI_IP_BRESP : in std_logic_vector(1 downto 0); M_AXI_IP_BVALID : in std_logic; M_AXI_IP_BREADY : out std_logic; M_AXI_IP_ARID : out std_logic_vector((C_M_AXI_IP_THREAD_ID_WIDTH-1) downto 0); M_AXI_IP_ARADDR : out std_logic_vector((C_M_AXI_IP_ADDR_WIDTH-1) downto 0); M_AXI_IP_ARLEN : out std_logic_vector(7 downto 0); M_AXI_IP_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_IP_ARBURST : out std_logic_vector(1 downto 0); M_AXI_IP_ARLOCK : out std_logic; M_AXI_IP_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_IP_ARPROT : out std_logic_vector(2 downto 0); M_AXI_IP_ARQOS : out std_logic_vector(3 downto 0); M_AXI_IP_ARVALID : out std_logic; M_AXI_IP_ARREADY : in std_logic; M_AXI_IP_RID : in std_logic_vector((C_M_AXI_IP_THREAD_ID_WIDTH-1) downto 0); M_AXI_IP_RDATA : in std_logic_vector((C_M_AXI_IP_DATA_WIDTH-1) downto 0); M_AXI_IP_RRESP : in std_logic_vector(1 downto 0); M_AXI_IP_RLAST : in std_logic; M_AXI_IP_RVALID : in std_logic; M_AXI_IP_RREADY : out std_logic; M_AXI_DP_AWID : out std_logic_vector((C_M_AXI_DP_THREAD_ID_WIDTH-1) downto 0); M_AXI_DP_AWADDR : out std_logic_vector((C_M_AXI_DP_ADDR_WIDTH-1) downto 0); M_AXI_DP_AWLEN : out std_logic_vector(7 downto 0); M_AXI_DP_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_DP_AWBURST : out std_logic_vector(1 downto 0); M_AXI_DP_AWLOCK : out std_logic; M_AXI_DP_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_DP_AWPROT : out std_logic_vector(2 downto 0); M_AXI_DP_AWQOS : out std_logic_vector(3 downto 0); M_AXI_DP_AWVALID : out std_logic; M_AXI_DP_AWREADY : in std_logic; M_AXI_DP_WDATA : out std_logic_vector((C_M_AXI_DP_DATA_WIDTH-1) downto 0); M_AXI_DP_WSTRB : out std_logic_vector(((C_M_AXI_DP_DATA_WIDTH/8)-1) downto 0); M_AXI_DP_WLAST : out std_logic; M_AXI_DP_WVALID : out std_logic; M_AXI_DP_WREADY : in std_logic; M_AXI_DP_BID : in std_logic_vector((C_M_AXI_DP_THREAD_ID_WIDTH-1) downto 0); M_AXI_DP_BRESP : in std_logic_vector(1 downto 0); M_AXI_DP_BVALID : in std_logic; M_AXI_DP_BREADY : out std_logic; M_AXI_DP_ARID : out std_logic_vector((C_M_AXI_DP_THREAD_ID_WIDTH-1) downto 0); M_AXI_DP_ARADDR : out std_logic_vector((C_M_AXI_DP_ADDR_WIDTH-1) downto 0); M_AXI_DP_ARLEN : out std_logic_vector(7 downto 0); M_AXI_DP_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_DP_ARBURST : out std_logic_vector(1 downto 0); M_AXI_DP_ARLOCK : out std_logic; M_AXI_DP_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_DP_ARPROT : out std_logic_vector(2 downto 0); M_AXI_DP_ARQOS : out std_logic_vector(3 downto 0); M_AXI_DP_ARVALID : out std_logic; M_AXI_DP_ARREADY : in std_logic; M_AXI_DP_RID : in std_logic_vector((C_M_AXI_DP_THREAD_ID_WIDTH-1) downto 0); M_AXI_DP_RDATA : in std_logic_vector((C_M_AXI_DP_DATA_WIDTH-1) downto 0); M_AXI_DP_RRESP : in std_logic_vector(1 downto 0); M_AXI_DP_RLAST : in std_logic; M_AXI_DP_RVALID : in std_logic; M_AXI_DP_RREADY : out std_logic; M_AXI_IC_AWID : out std_logic_vector((C_M_AXI_IC_THREAD_ID_WIDTH-1) downto 0); M_AXI_IC_AWADDR : out std_logic_vector((C_M_AXI_IC_ADDR_WIDTH-1) downto 0); M_AXI_IC_AWLEN : out std_logic_vector(7 downto 0); M_AXI_IC_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_IC_AWBURST : out std_logic_vector(1 downto 0); M_AXI_IC_AWLOCK : out std_logic; M_AXI_IC_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_IC_AWPROT : out std_logic_vector(2 downto 0); M_AXI_IC_AWQOS : out std_logic_vector(3 downto 0); M_AXI_IC_AWVALID : out std_logic; M_AXI_IC_AWREADY : in std_logic; M_AXI_IC_AWUSER : out std_logic_vector((C_M_AXI_IC_AWUSER_WIDTH-1) downto 0); M_AXI_IC_WDATA : out std_logic_vector((C_M_AXI_IC_DATA_WIDTH-1) downto 0); M_AXI_IC_WSTRB : out std_logic_vector(((C_M_AXI_IC_DATA_WIDTH/8)-1) downto 0); M_AXI_IC_WLAST : out std_logic; M_AXI_IC_WVALID : out std_logic; M_AXI_IC_WREADY : in std_logic; M_AXI_IC_WUSER : out std_logic_vector((C_M_AXI_IC_WUSER_WIDTH-1) downto 0); M_AXI_IC_BID : in std_logic_vector((C_M_AXI_IC_THREAD_ID_WIDTH-1) downto 0); M_AXI_IC_BRESP : in std_logic_vector(1 downto 0); M_AXI_IC_BVALID : in std_logic; M_AXI_IC_BREADY : out std_logic; M_AXI_IC_BUSER : in std_logic_vector((C_M_AXI_IC_BUSER_WIDTH-1) downto 0); M_AXI_IC_ARID : out std_logic_vector((C_M_AXI_IC_THREAD_ID_WIDTH-1) downto 0); M_AXI_IC_ARADDR : out std_logic_vector((C_M_AXI_IC_ADDR_WIDTH-1) downto 0); M_AXI_IC_ARLEN : out std_logic_vector(7 downto 0); M_AXI_IC_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_IC_ARBURST : out std_logic_vector(1 downto 0); M_AXI_IC_ARLOCK : out std_logic; M_AXI_IC_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_IC_ARPROT : out std_logic_vector(2 downto 0); M_AXI_IC_ARQOS : out std_logic_vector(3 downto 0); M_AXI_IC_ARVALID : out std_logic; M_AXI_IC_ARREADY : in std_logic; M_AXI_IC_ARUSER : out std_logic_vector((C_M_AXI_IC_ARUSER_WIDTH-1) downto 0); M_AXI_IC_RID : in std_logic_vector((C_M_AXI_IC_THREAD_ID_WIDTH-1) downto 0); M_AXI_IC_RDATA : in std_logic_vector((C_M_AXI_IC_DATA_WIDTH-1) downto 0); M_AXI_IC_RRESP : in std_logic_vector(1 downto 0); M_AXI_IC_RLAST : in std_logic; M_AXI_IC_RVALID : in std_logic; M_AXI_IC_RREADY : out std_logic; M_AXI_IC_RUSER : in std_logic_vector((C_M_AXI_IC_RUSER_WIDTH-1) downto 0); M_AXI_DC_AWID : out std_logic_vector((C_M_AXI_DC_THREAD_ID_WIDTH-1) downto 0); M_AXI_DC_AWADDR : out std_logic_vector((C_M_AXI_DC_ADDR_WIDTH-1) downto 0); M_AXI_DC_AWLEN : out std_logic_vector(7 downto 0); M_AXI_DC_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_DC_AWBURST : out std_logic_vector(1 downto 0); M_AXI_DC_AWLOCK : out std_logic; M_AXI_DC_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_DC_AWPROT : out std_logic_vector(2 downto 0); M_AXI_DC_AWQOS : out std_logic_vector(3 downto 0); M_AXI_DC_AWVALID : out std_logic; M_AXI_DC_AWREADY : in std_logic; M_AXI_DC_AWUSER : out std_logic_vector((C_M_AXI_DC_AWUSER_WIDTH-1) downto 0); M_AXI_DC_WDATA : out std_logic_vector((C_M_AXI_DC_DATA_WIDTH-1) downto 0); M_AXI_DC_WSTRB : out std_logic_vector(((C_M_AXI_DC_DATA_WIDTH/8)-1) downto 0); M_AXI_DC_WLAST : out std_logic; M_AXI_DC_WVALID : out std_logic; M_AXI_DC_WREADY : in std_logic; M_AXI_DC_WUSER : out std_logic_vector((C_M_AXI_DC_WUSER_WIDTH-1) downto 0); M_AXI_DC_BID : in std_logic_vector((C_M_AXI_DC_THREAD_ID_WIDTH-1) downto 0); M_AXI_DC_BRESP : in std_logic_vector(1 downto 0); M_AXI_DC_BVALID : in std_logic; M_AXI_DC_BREADY : out std_logic; M_AXI_DC_BUSER : in std_logic_vector((C_M_AXI_DC_BUSER_WIDTH-1) downto 0); M_AXI_DC_ARID : out std_logic_vector((C_M_AXI_DC_THREAD_ID_WIDTH-1) downto 0); M_AXI_DC_ARADDR : out std_logic_vector((C_M_AXI_DC_ADDR_WIDTH-1) downto 0); M_AXI_DC_ARLEN : out std_logic_vector(7 downto 0); M_AXI_DC_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_DC_ARBURST : out std_logic_vector(1 downto 0); M_AXI_DC_ARLOCK : out std_logic; M_AXI_DC_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_DC_ARPROT : out std_logic_vector(2 downto 0); M_AXI_DC_ARQOS : out std_logic_vector(3 downto 0); M_AXI_DC_ARVALID : out std_logic; M_AXI_DC_ARREADY : in std_logic; M_AXI_DC_ARUSER : out std_logic_vector((C_M_AXI_DC_ARUSER_WIDTH-1) downto 0); M_AXI_DC_RID : in std_logic_vector((C_M_AXI_DC_THREAD_ID_WIDTH-1) downto 0); M_AXI_DC_RDATA : in std_logic_vector((C_M_AXI_DC_DATA_WIDTH-1) downto 0); M_AXI_DC_RRESP : in std_logic_vector(1 downto 0); M_AXI_DC_RLAST : in std_logic; M_AXI_DC_RVALID : in std_logic; M_AXI_DC_RREADY : out std_logic; M_AXI_DC_RUSER : in std_logic_vector((C_M_AXI_DC_RUSER_WIDTH-1) downto 0); DBG_CLK : in std_logic; DBG_TDI : in std_logic; DBG_TDO : out std_logic; DBG_REG_EN : in std_logic_vector(0 to 7); DBG_SHIFT : in std_logic; DBG_CAPTURE : in std_logic; DBG_UPDATE : in std_logic; DEBUG_RST : in std_logic; Trace_Instruction : out std_logic_vector(0 to 31); Trace_Valid_Instr : out std_logic; Trace_PC : out std_logic_vector(0 to 31); Trace_Reg_Write : out std_logic; Trace_Reg_Addr : out std_logic_vector(0 to 4); Trace_MSR_Reg : out std_logic_vector(0 to 14); Trace_PID_Reg : out std_logic_vector(0 to 7); Trace_New_Reg_Value : out std_logic_vector(0 to 31); Trace_Exception_Taken : out std_logic; Trace_Exception_Kind : out std_logic_vector(0 to 4); Trace_Jump_Taken : out std_logic; Trace_Delay_Slot : out std_logic; Trace_Data_Address : out std_logic_vector(0 to 31); Trace_Data_Access : out std_logic; Trace_Data_Read : out std_logic; Trace_Data_Write : out std_logic; Trace_Data_Write_Value : out std_logic_vector(0 to 31); Trace_Data_Byte_Enable : out std_logic_vector(0 to 3); Trace_DCache_Req : out std_logic; Trace_DCache_Hit : out std_logic; Trace_DCache_Rdy : out std_logic; Trace_DCache_Read : out std_logic; Trace_ICache_Req : out std_logic; Trace_ICache_Hit : out std_logic; Trace_ICache_Rdy : out std_logic; Trace_OF_PipeRun : out std_logic; Trace_EX_PipeRun : out std_logic; Trace_MEM_PipeRun : out std_logic; Trace_MB_Halted : out std_logic; Trace_Jump_Hit : out std_logic; FSL0_S_CLK : out std_logic; FSL0_S_READ : out std_logic; FSL0_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL0_S_CONTROL : in std_logic; FSL0_S_EXISTS : in std_logic; FSL0_M_CLK : out std_logic; FSL0_M_WRITE : out std_logic; FSL0_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL0_M_CONTROL : out std_logic; FSL0_M_FULL : in std_logic; FSL1_S_CLK : out std_logic; FSL1_S_READ : out std_logic; FSL1_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL1_S_CONTROL : in std_logic; FSL1_S_EXISTS : in std_logic; FSL1_M_CLK : out std_logic; FSL1_M_WRITE : out std_logic; FSL1_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL1_M_CONTROL : out std_logic; FSL1_M_FULL : in std_logic; FSL2_S_CLK : out std_logic; FSL2_S_READ : out std_logic; FSL2_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL2_S_CONTROL : in std_logic; FSL2_S_EXISTS : in std_logic; FSL2_M_CLK : out std_logic; FSL2_M_WRITE : out std_logic; FSL2_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL2_M_CONTROL : out std_logic; FSL2_M_FULL : in std_logic; FSL3_S_CLK : out std_logic; FSL3_S_READ : out std_logic; FSL3_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL3_S_CONTROL : in std_logic; FSL3_S_EXISTS : in std_logic; FSL3_M_CLK : out std_logic; FSL3_M_WRITE : out std_logic; FSL3_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL3_M_CONTROL : out std_logic; FSL3_M_FULL : in std_logic; FSL4_S_CLK : out std_logic; FSL4_S_READ : out std_logic; FSL4_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL4_S_CONTROL : in std_logic; FSL4_S_EXISTS : in std_logic; FSL4_M_CLK : out std_logic; FSL4_M_WRITE : out std_logic; FSL4_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL4_M_CONTROL : out std_logic; FSL4_M_FULL : in std_logic; FSL5_S_CLK : out std_logic; FSL5_S_READ : out std_logic; FSL5_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL5_S_CONTROL : in std_logic; FSL5_S_EXISTS : in std_logic; FSL5_M_CLK : out std_logic; FSL5_M_WRITE : out std_logic; FSL5_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL5_M_CONTROL : out std_logic; FSL5_M_FULL : in std_logic; FSL6_S_CLK : out std_logic; FSL6_S_READ : out std_logic; FSL6_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL6_S_CONTROL : in std_logic; FSL6_S_EXISTS : in std_logic; FSL6_M_CLK : out std_logic; FSL6_M_WRITE : out std_logic; FSL6_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL6_M_CONTROL : out std_logic; FSL6_M_FULL : in std_logic; FSL7_S_CLK : out std_logic; FSL7_S_READ : out std_logic; FSL7_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL7_S_CONTROL : in std_logic; FSL7_S_EXISTS : in std_logic; FSL7_M_CLK : out std_logic; FSL7_M_WRITE : out std_logic; FSL7_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL7_M_CONTROL : out std_logic; FSL7_M_FULL : in std_logic; FSL8_S_CLK : out std_logic; FSL8_S_READ : out std_logic; FSL8_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL8_S_CONTROL : in std_logic; FSL8_S_EXISTS : in std_logic; FSL8_M_CLK : out std_logic; FSL8_M_WRITE : out std_logic; FSL8_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL8_M_CONTROL : out std_logic; FSL8_M_FULL : in std_logic; FSL9_S_CLK : out std_logic; FSL9_S_READ : out std_logic; FSL9_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL9_S_CONTROL : in std_logic; FSL9_S_EXISTS : in std_logic; FSL9_M_CLK : out std_logic; FSL9_M_WRITE : out std_logic; FSL9_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL9_M_CONTROL : out std_logic; FSL9_M_FULL : in std_logic; FSL10_S_CLK : out std_logic; FSL10_S_READ : out std_logic; FSL10_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL10_S_CONTROL : in std_logic; FSL10_S_EXISTS : in std_logic; FSL10_M_CLK : out std_logic; FSL10_M_WRITE : out std_logic; FSL10_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL10_M_CONTROL : out std_logic; FSL10_M_FULL : in std_logic; FSL11_S_CLK : out std_logic; FSL11_S_READ : out std_logic; FSL11_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL11_S_CONTROL : in std_logic; FSL11_S_EXISTS : in std_logic; FSL11_M_CLK : out std_logic; FSL11_M_WRITE : out std_logic; FSL11_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL11_M_CONTROL : out std_logic; FSL11_M_FULL : in std_logic; FSL12_S_CLK : out std_logic; FSL12_S_READ : out std_logic; FSL12_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL12_S_CONTROL : in std_logic; FSL12_S_EXISTS : in std_logic; FSL12_M_CLK : out std_logic; FSL12_M_WRITE : out std_logic; FSL12_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL12_M_CONTROL : out std_logic; FSL12_M_FULL : in std_logic; FSL13_S_CLK : out std_logic; FSL13_S_READ : out std_logic; FSL13_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL13_S_CONTROL : in std_logic; FSL13_S_EXISTS : in std_logic; FSL13_M_CLK : out std_logic; FSL13_M_WRITE : out std_logic; FSL13_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL13_M_CONTROL : out std_logic; FSL13_M_FULL : in std_logic; FSL14_S_CLK : out std_logic; FSL14_S_READ : out std_logic; FSL14_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL14_S_CONTROL : in std_logic; FSL14_S_EXISTS : in std_logic; FSL14_M_CLK : out std_logic; FSL14_M_WRITE : out std_logic; FSL14_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL14_M_CONTROL : out std_logic; FSL14_M_FULL : in std_logic; FSL15_S_CLK : out std_logic; FSL15_S_READ : out std_logic; FSL15_S_DATA : in std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL15_S_CONTROL : in std_logic; FSL15_S_EXISTS : in std_logic; FSL15_M_CLK : out std_logic; FSL15_M_WRITE : out std_logic; FSL15_M_DATA : out std_logic_vector(0 to C_FSL_DATA_SIZE-1); FSL15_M_CONTROL : out std_logic; FSL15_M_FULL : in std_logic; M0_AXIS_TLAST : out std_logic; M0_AXIS_TDATA : out std_logic_vector(C_M0_AXIS_DATA_WIDTH-1 downto 0); M0_AXIS_TVALID : out std_logic; M0_AXIS_TREADY : in std_logic; S0_AXIS_TLAST : in std_logic; S0_AXIS_TDATA : in std_logic_vector(C_S0_AXIS_DATA_WIDTH-1 downto 0); S0_AXIS_TVALID : in std_logic; S0_AXIS_TREADY : out std_logic; M1_AXIS_TLAST : out std_logic; M1_AXIS_TDATA : out std_logic_vector(C_M1_AXIS_DATA_WIDTH-1 downto 0); M1_AXIS_TVALID : out std_logic; M1_AXIS_TREADY : in std_logic; S1_AXIS_TLAST : in std_logic; S1_AXIS_TDATA : in std_logic_vector(C_S1_AXIS_DATA_WIDTH-1 downto 0); S1_AXIS_TVALID : in std_logic; S1_AXIS_TREADY : out std_logic; M2_AXIS_TLAST : out std_logic; M2_AXIS_TDATA : out std_logic_vector(C_M2_AXIS_DATA_WIDTH-1 downto 0); M2_AXIS_TVALID : out std_logic; M2_AXIS_TREADY : in std_logic; S2_AXIS_TLAST : in std_logic; S2_AXIS_TDATA : in std_logic_vector(C_S2_AXIS_DATA_WIDTH-1 downto 0); S2_AXIS_TVALID : in std_logic; S2_AXIS_TREADY : out std_logic; M3_AXIS_TLAST : out std_logic; M3_AXIS_TDATA : out std_logic_vector(C_M3_AXIS_DATA_WIDTH-1 downto 0); M3_AXIS_TVALID : out std_logic; M3_AXIS_TREADY : in std_logic; S3_AXIS_TLAST : in std_logic; S3_AXIS_TDATA : in std_logic_vector(C_S3_AXIS_DATA_WIDTH-1 downto 0); S3_AXIS_TVALID : in std_logic; S3_AXIS_TREADY : out std_logic; M4_AXIS_TLAST : out std_logic; M4_AXIS_TDATA : out std_logic_vector(C_M4_AXIS_DATA_WIDTH-1 downto 0); M4_AXIS_TVALID : out std_logic; M4_AXIS_TREADY : in std_logic; S4_AXIS_TLAST : in std_logic; S4_AXIS_TDATA : in std_logic_vector(C_S4_AXIS_DATA_WIDTH-1 downto 0); S4_AXIS_TVALID : in std_logic; S4_AXIS_TREADY : out std_logic; M5_AXIS_TLAST : out std_logic; M5_AXIS_TDATA : out std_logic_vector(C_M5_AXIS_DATA_WIDTH-1 downto 0); M5_AXIS_TVALID : out std_logic; M5_AXIS_TREADY : in std_logic; S5_AXIS_TLAST : in std_logic; S5_AXIS_TDATA : in std_logic_vector(C_S5_AXIS_DATA_WIDTH-1 downto 0); S5_AXIS_TVALID : in std_logic; S5_AXIS_TREADY : out std_logic; M6_AXIS_TLAST : out std_logic; M6_AXIS_TDATA : out std_logic_vector(C_M6_AXIS_DATA_WIDTH-1 downto 0); M6_AXIS_TVALID : out std_logic; M6_AXIS_TREADY : in std_logic; S6_AXIS_TLAST : in std_logic; S6_AXIS_TDATA : in std_logic_vector(C_S6_AXIS_DATA_WIDTH-1 downto 0); S6_AXIS_TVALID : in std_logic; S6_AXIS_TREADY : out std_logic; M7_AXIS_TLAST : out std_logic; M7_AXIS_TDATA : out std_logic_vector(C_M7_AXIS_DATA_WIDTH-1 downto 0); M7_AXIS_TVALID : out std_logic; M7_AXIS_TREADY : in std_logic; S7_AXIS_TLAST : in std_logic; S7_AXIS_TDATA : in std_logic_vector(C_S7_AXIS_DATA_WIDTH-1 downto 0); S7_AXIS_TVALID : in std_logic; S7_AXIS_TREADY : out std_logic; M8_AXIS_TLAST : out std_logic; M8_AXIS_TDATA : out std_logic_vector(C_M8_AXIS_DATA_WIDTH-1 downto 0); M8_AXIS_TVALID : out std_logic; M8_AXIS_TREADY : in std_logic; S8_AXIS_TLAST : in std_logic; S8_AXIS_TDATA : in std_logic_vector(C_S8_AXIS_DATA_WIDTH-1 downto 0); S8_AXIS_TVALID : in std_logic; S8_AXIS_TREADY : out std_logic; M9_AXIS_TLAST : out std_logic; M9_AXIS_TDATA : out std_logic_vector(C_M9_AXIS_DATA_WIDTH-1 downto 0); M9_AXIS_TVALID : out std_logic; M9_AXIS_TREADY : in std_logic; S9_AXIS_TLAST : in std_logic; S9_AXIS_TDATA : in std_logic_vector(C_S9_AXIS_DATA_WIDTH-1 downto 0); S9_AXIS_TVALID : in std_logic; S9_AXIS_TREADY : out std_logic; M10_AXIS_TLAST : out std_logic; M10_AXIS_TDATA : out std_logic_vector(C_M10_AXIS_DATA_WIDTH-1 downto 0); M10_AXIS_TVALID : out std_logic; M10_AXIS_TREADY : in std_logic; S10_AXIS_TLAST : in std_logic; S10_AXIS_TDATA : in std_logic_vector(C_S10_AXIS_DATA_WIDTH-1 downto 0); S10_AXIS_TVALID : in std_logic; S10_AXIS_TREADY : out std_logic; M11_AXIS_TLAST : out std_logic; M11_AXIS_TDATA : out std_logic_vector(C_M11_AXIS_DATA_WIDTH-1 downto 0); M11_AXIS_TVALID : out std_logic; M11_AXIS_TREADY : in std_logic; S11_AXIS_TLAST : in std_logic; S11_AXIS_TDATA : in std_logic_vector(C_S11_AXIS_DATA_WIDTH-1 downto 0); S11_AXIS_TVALID : in std_logic; S11_AXIS_TREADY : out std_logic; M12_AXIS_TLAST : out std_logic; M12_AXIS_TDATA : out std_logic_vector(C_M12_AXIS_DATA_WIDTH-1 downto 0); M12_AXIS_TVALID : out std_logic; M12_AXIS_TREADY : in std_logic; S12_AXIS_TLAST : in std_logic; S12_AXIS_TDATA : in std_logic_vector(C_S12_AXIS_DATA_WIDTH-1 downto 0); S12_AXIS_TVALID : in std_logic; S12_AXIS_TREADY : out std_logic; M13_AXIS_TLAST : out std_logic; M13_AXIS_TDATA : out std_logic_vector(C_M13_AXIS_DATA_WIDTH-1 downto 0); M13_AXIS_TVALID : out std_logic; M13_AXIS_TREADY : in std_logic; S13_AXIS_TLAST : in std_logic; S13_AXIS_TDATA : in std_logic_vector(C_S13_AXIS_DATA_WIDTH-1 downto 0); S13_AXIS_TVALID : in std_logic; S13_AXIS_TREADY : out std_logic; M14_AXIS_TLAST : out std_logic; M14_AXIS_TDATA : out std_logic_vector(C_M14_AXIS_DATA_WIDTH-1 downto 0); M14_AXIS_TVALID : out std_logic; M14_AXIS_TREADY : in std_logic; S14_AXIS_TLAST : in std_logic; S14_AXIS_TDATA : in std_logic_vector(C_S14_AXIS_DATA_WIDTH-1 downto 0); S14_AXIS_TVALID : in std_logic; S14_AXIS_TREADY : out std_logic; M15_AXIS_TLAST : out std_logic; M15_AXIS_TDATA : out std_logic_vector(C_M15_AXIS_DATA_WIDTH-1 downto 0); M15_AXIS_TVALID : out std_logic; M15_AXIS_TREADY : in std_logic; S15_AXIS_TLAST : in std_logic; S15_AXIS_TDATA : in std_logic_vector(C_S15_AXIS_DATA_WIDTH-1 downto 0); S15_AXIS_TVALID : in std_logic; S15_AXIS_TREADY : out std_logic; ICACHE_FSL_IN_CLK : out std_logic; ICACHE_FSL_IN_READ : out std_logic; ICACHE_FSL_IN_DATA : in std_logic_vector(0 to 31); ICACHE_FSL_IN_CONTROL : in std_logic; ICACHE_FSL_IN_EXISTS : in std_logic; ICACHE_FSL_OUT_CLK : out std_logic; ICACHE_FSL_OUT_WRITE : out std_logic; ICACHE_FSL_OUT_DATA : out std_logic_vector(0 to 31); ICACHE_FSL_OUT_CONTROL : out std_logic; ICACHE_FSL_OUT_FULL : in std_logic; DCACHE_FSL_IN_CLK : out std_logic; DCACHE_FSL_IN_READ : out std_logic; DCACHE_FSL_IN_DATA : in std_logic_vector(0 to 31); DCACHE_FSL_IN_CONTROL : in std_logic; DCACHE_FSL_IN_EXISTS : in std_logic; DCACHE_FSL_OUT_CLK : out std_logic; DCACHE_FSL_OUT_WRITE : out std_logic; DCACHE_FSL_OUT_DATA : out std_logic_vector(0 to 31); DCACHE_FSL_OUT_CONTROL : out std_logic; DCACHE_FSL_OUT_FULL : in std_logic ); end component; begin microblaze_0 : microblaze generic map ( C_SCO => 0, C_FREQ => 50000000, C_DATA_SIZE => 32, C_DYNAMIC_BUS_SIZING => 1, C_FAMILY => "spartan6", C_INSTANCE => "microblaze_0", C_AVOID_PRIMITIVES => 0, C_FAULT_TOLERANT => 0, C_ECC_USE_CE_EXCEPTION => 0, C_LOCKSTEP_SLAVE => 0, C_ENDIANNESS => 1, C_AREA_OPTIMIZED => 0, C_OPTIMIZATION => 0, C_INTERCONNECT => 2, C_STREAM_INTERCONNECT => 0, C_DPLB_DWIDTH => 32, C_DPLB_NATIVE_DWIDTH => 32, C_DPLB_BURST_EN => 0, C_DPLB_P2P => 0, C_IPLB_DWIDTH => 32, C_IPLB_NATIVE_DWIDTH => 32, C_IPLB_BURST_EN => 0, C_IPLB_P2P => 0, C_M_AXI_DP_THREAD_ID_WIDTH => 1, C_M_AXI_DP_DATA_WIDTH => 32, C_M_AXI_DP_ADDR_WIDTH => 32, C_M_AXI_DP_EXCLUSIVE_ACCESS => 0, C_M_AXI_IP_THREAD_ID_WIDTH => 1, C_M_AXI_IP_DATA_WIDTH => 32, C_M_AXI_IP_ADDR_WIDTH => 32, C_D_AXI => 1, C_D_PLB => 0, C_D_LMB => 1, C_I_AXI => 0, C_I_PLB => 0, C_I_LMB => 1, C_USE_MSR_INSTR => 1, C_USE_PCMP_INSTR => 1, C_USE_BARREL => 1, C_USE_DIV => 0, C_USE_HW_MUL => 1, C_USE_FPU => 0, C_USE_REORDER_INSTR => 1, C_UNALIGNED_EXCEPTIONS => 0, C_ILL_OPCODE_EXCEPTION => 0, C_M_AXI_I_BUS_EXCEPTION => 0, C_M_AXI_D_BUS_EXCEPTION => 0, C_IPLB_BUS_EXCEPTION => 0, C_DPLB_BUS_EXCEPTION => 0, C_DIV_ZERO_EXCEPTION => 0, C_FPU_EXCEPTION => 0, C_FSL_EXCEPTION => 0, C_USE_STACK_PROTECTION => 0, C_PVR => 0, C_PVR_USER1 => X"00", C_PVR_USER2 => X"00000000", C_DEBUG_ENABLED => 1, C_NUMBER_OF_PC_BRK => 1, C_NUMBER_OF_RD_ADDR_BRK => 0, C_NUMBER_OF_WR_ADDR_BRK => 0, C_INTERRUPT_IS_EDGE => 0, C_EDGE_IS_POSITIVE => 1, C_RESET_MSR => X"00000000", C_OPCODE_0x0_ILLEGAL => 0, C_FSL_LINKS => 1, C_FSL_DATA_SIZE => 32, C_USE_EXTENDED_FSL_INSTR => 0, C_M0_AXIS_DATA_WIDTH => 32, C_S0_AXIS_DATA_WIDTH => 32, C_M1_AXIS_DATA_WIDTH => 32, C_S1_AXIS_DATA_WIDTH => 32, C_M2_AXIS_DATA_WIDTH => 32, C_S2_AXIS_DATA_WIDTH => 32, C_M3_AXIS_DATA_WIDTH => 32, C_S3_AXIS_DATA_WIDTH => 32, C_M4_AXIS_DATA_WIDTH => 32, C_S4_AXIS_DATA_WIDTH => 32, C_M5_AXIS_DATA_WIDTH => 32, C_S5_AXIS_DATA_WIDTH => 32, C_M6_AXIS_DATA_WIDTH => 32, C_S6_AXIS_DATA_WIDTH => 32, C_M7_AXIS_DATA_WIDTH => 32, C_S7_AXIS_DATA_WIDTH => 32, C_M8_AXIS_DATA_WIDTH => 32, C_S8_AXIS_DATA_WIDTH => 32, C_M9_AXIS_DATA_WIDTH => 32, C_S9_AXIS_DATA_WIDTH => 32, C_M10_AXIS_DATA_WIDTH => 32, C_S10_AXIS_DATA_WIDTH => 32, C_M11_AXIS_DATA_WIDTH => 32, C_S11_AXIS_DATA_WIDTH => 32, C_M12_AXIS_DATA_WIDTH => 32, C_S12_AXIS_DATA_WIDTH => 32, C_M13_AXIS_DATA_WIDTH => 32, C_S13_AXIS_DATA_WIDTH => 32, C_M14_AXIS_DATA_WIDTH => 32, C_S14_AXIS_DATA_WIDTH => 32, C_M15_AXIS_DATA_WIDTH => 32, C_S15_AXIS_DATA_WIDTH => 32, C_ICACHE_BASEADDR => X"00000000", C_ICACHE_HIGHADDR => X"3FFFFFFF", C_USE_ICACHE => 0, C_ALLOW_ICACHE_WR => 1, C_ADDR_TAG_BITS => 0, C_CACHE_BYTE_SIZE => 8192, C_ICACHE_USE_FSL => 0, C_ICACHE_LINE_LEN => 4, C_ICACHE_ALWAYS_USED => 0, C_ICACHE_INTERFACE => 0, C_ICACHE_VICTIMS => 0, C_ICACHE_STREAMS => 0, C_ICACHE_FORCE_TAG_LUTRAM => 0, C_ICACHE_DATA_WIDTH => 0, C_M_AXI_IC_THREAD_ID_WIDTH => 1, C_M_AXI_IC_DATA_WIDTH => 32, C_M_AXI_IC_ADDR_WIDTH => 32, C_M_AXI_IC_USER_VALUE => 2#11111#, C_M_AXI_IC_AWUSER_WIDTH => 5, C_M_AXI_IC_ARUSER_WIDTH => 5, C_M_AXI_IC_WUSER_WIDTH => 1, C_M_AXI_IC_RUSER_WIDTH => 1, C_M_AXI_IC_BUSER_WIDTH => 1, C_DCACHE_BASEADDR => X"00000000", C_DCACHE_HIGHADDR => X"3FFFFFFF", C_USE_DCACHE => 0, C_ALLOW_DCACHE_WR => 1, C_DCACHE_ADDR_TAG => 0, C_DCACHE_BYTE_SIZE => 8192, C_DCACHE_USE_FSL => 0, C_DCACHE_LINE_LEN => 4, C_DCACHE_ALWAYS_USED => 0, C_DCACHE_INTERFACE => 0, C_DCACHE_USE_WRITEBACK => 0, C_DCACHE_VICTIMS => 0, C_DCACHE_FORCE_TAG_LUTRAM => 0, C_DCACHE_DATA_WIDTH => 0, C_M_AXI_DC_THREAD_ID_WIDTH => 1, C_M_AXI_DC_DATA_WIDTH => 32, C_M_AXI_DC_ADDR_WIDTH => 32, C_M_AXI_DC_EXCLUSIVE_ACCESS => 0, C_M_AXI_DC_USER_VALUE => 2#11111#, C_M_AXI_DC_AWUSER_WIDTH => 5, C_M_AXI_DC_ARUSER_WIDTH => 5, C_M_AXI_DC_WUSER_WIDTH => 1, C_M_AXI_DC_RUSER_WIDTH => 1, C_M_AXI_DC_BUSER_WIDTH => 1, C_USE_MMU => 0, C_MMU_DTLB_SIZE => 4, C_MMU_ITLB_SIZE => 2, C_MMU_TLB_ACCESS => 3, C_MMU_ZONES => 16, C_MMU_PRIVILEGED_INSTR => 0, C_USE_INTERRUPT => 0, C_USE_EXT_BRK => 1, C_USE_EXT_NM_BRK => 1, C_USE_BRANCH_TARGET_CACHE => 0, C_BRANCH_TARGET_CACHE_SIZE => 0, C_PC_WIDTH => 32 ) port map ( CLK => CLK, RESET => RESET, MB_RESET => MB_RESET, INTERRUPT => INTERRUPT, INTERRUPT_ADDRESS => INTERRUPT_ADDRESS, INTERRUPT_ACK => INTERRUPT_ACK, EXT_BRK => EXT_BRK, EXT_NM_BRK => EXT_NM_BRK, DBG_STOP => DBG_STOP, MB_Halted => MB_Halted, MB_Error => MB_Error, WAKEUP => WAKEUP, SLEEP => SLEEP, DBG_WAKEUP => DBG_WAKEUP, LOCKSTEP_MASTER_OUT => LOCKSTEP_MASTER_OUT, LOCKSTEP_SLAVE_IN => LOCKSTEP_SLAVE_IN, LOCKSTEP_OUT => LOCKSTEP_OUT, INSTR => INSTR, IREADY => IREADY, IWAIT => IWAIT, ICE => ICE, IUE => IUE, INSTR_ADDR => INSTR_ADDR, IFETCH => IFETCH, I_AS => I_AS, IPLB_M_ABort => IPLB_M_ABort, IPLB_M_ABus => IPLB_M_ABus, IPLB_M_UABus => IPLB_M_UABus, IPLB_M_BE => IPLB_M_BE, IPLB_M_busLock => IPLB_M_busLock, IPLB_M_lockErr => IPLB_M_lockErr, IPLB_M_MSize => IPLB_M_MSize, IPLB_M_priority => IPLB_M_priority, IPLB_M_rdBurst => IPLB_M_rdBurst, IPLB_M_request => IPLB_M_request, IPLB_M_RNW => IPLB_M_RNW, IPLB_M_size => IPLB_M_size, IPLB_M_TAttribute => IPLB_M_TAttribute, IPLB_M_type => IPLB_M_type, IPLB_M_wrBurst => IPLB_M_wrBurst, IPLB_M_wrDBus => IPLB_M_wrDBus, IPLB_MBusy => IPLB_MBusy, IPLB_MRdErr => IPLB_MRdErr, IPLB_MWrErr => IPLB_MWrErr, IPLB_MIRQ => IPLB_MIRQ, IPLB_MWrBTerm => IPLB_MWrBTerm, IPLB_MWrDAck => IPLB_MWrDAck, IPLB_MAddrAck => IPLB_MAddrAck, IPLB_MRdBTerm => IPLB_MRdBTerm, IPLB_MRdDAck => IPLB_MRdDAck, IPLB_MRdDBus => IPLB_MRdDBus, IPLB_MRdWdAddr => IPLB_MRdWdAddr, IPLB_MRearbitrate => IPLB_MRearbitrate, IPLB_MSSize => IPLB_MSSize, IPLB_MTimeout => IPLB_MTimeout, DATA_READ => DATA_READ, DREADY => DREADY, DWAIT => DWAIT, DCE => DCE, DUE => DUE, DATA_WRITE => DATA_WRITE, DATA_ADDR => DATA_ADDR, D_AS => D_AS, READ_STROBE => READ_STROBE, WRITE_STROBE => WRITE_STROBE, BYTE_ENABLE => BYTE_ENABLE, DPLB_M_ABort => DPLB_M_ABort, DPLB_M_ABus => DPLB_M_ABus, DPLB_M_UABus => DPLB_M_UABus, DPLB_M_BE => DPLB_M_BE, DPLB_M_busLock => DPLB_M_busLock, DPLB_M_lockErr => DPLB_M_lockErr, DPLB_M_MSize => DPLB_M_MSize, DPLB_M_priority => DPLB_M_priority, DPLB_M_rdBurst => DPLB_M_rdBurst, DPLB_M_request => DPLB_M_request, DPLB_M_RNW => DPLB_M_RNW, DPLB_M_size => DPLB_M_size, DPLB_M_TAttribute => DPLB_M_TAttribute, DPLB_M_type => DPLB_M_type, DPLB_M_wrBurst => DPLB_M_wrBurst, DPLB_M_wrDBus => DPLB_M_wrDBus, DPLB_MBusy => DPLB_MBusy, DPLB_MRdErr => DPLB_MRdErr, DPLB_MWrErr => DPLB_MWrErr, DPLB_MIRQ => DPLB_MIRQ, DPLB_MWrBTerm => DPLB_MWrBTerm, DPLB_MWrDAck => DPLB_MWrDAck, DPLB_MAddrAck => DPLB_MAddrAck, DPLB_MRdBTerm => DPLB_MRdBTerm, DPLB_MRdDAck => DPLB_MRdDAck, DPLB_MRdDBus => DPLB_MRdDBus, DPLB_MRdWdAddr => DPLB_MRdWdAddr, DPLB_MRearbitrate => DPLB_MRearbitrate, DPLB_MSSize => DPLB_MSSize, DPLB_MTimeout => DPLB_MTimeout, M_AXI_IP_AWID => M_AXI_IP_AWID, M_AXI_IP_AWADDR => M_AXI_IP_AWADDR, M_AXI_IP_AWLEN => M_AXI_IP_AWLEN, M_AXI_IP_AWSIZE => M_AXI_IP_AWSIZE, M_AXI_IP_AWBURST => M_AXI_IP_AWBURST, M_AXI_IP_AWLOCK => M_AXI_IP_AWLOCK, M_AXI_IP_AWCACHE => M_AXI_IP_AWCACHE, M_AXI_IP_AWPROT => M_AXI_IP_AWPROT, M_AXI_IP_AWQOS => M_AXI_IP_AWQOS, M_AXI_IP_AWVALID => M_AXI_IP_AWVALID, M_AXI_IP_AWREADY => M_AXI_IP_AWREADY, M_AXI_IP_WDATA => M_AXI_IP_WDATA, M_AXI_IP_WSTRB => M_AXI_IP_WSTRB, M_AXI_IP_WLAST => M_AXI_IP_WLAST, M_AXI_IP_WVALID => M_AXI_IP_WVALID, M_AXI_IP_WREADY => M_AXI_IP_WREADY, M_AXI_IP_BID => M_AXI_IP_BID, M_AXI_IP_BRESP => M_AXI_IP_BRESP, M_AXI_IP_BVALID => M_AXI_IP_BVALID, M_AXI_IP_BREADY => M_AXI_IP_BREADY, M_AXI_IP_ARID => M_AXI_IP_ARID, M_AXI_IP_ARADDR => M_AXI_IP_ARADDR, M_AXI_IP_ARLEN => M_AXI_IP_ARLEN, M_AXI_IP_ARSIZE => M_AXI_IP_ARSIZE, M_AXI_IP_ARBURST => M_AXI_IP_ARBURST, M_AXI_IP_ARLOCK => M_AXI_IP_ARLOCK, M_AXI_IP_ARCACHE => M_AXI_IP_ARCACHE, M_AXI_IP_ARPROT => M_AXI_IP_ARPROT, M_AXI_IP_ARQOS => M_AXI_IP_ARQOS, M_AXI_IP_ARVALID => M_AXI_IP_ARVALID, M_AXI_IP_ARREADY => M_AXI_IP_ARREADY, M_AXI_IP_RID => M_AXI_IP_RID, M_AXI_IP_RDATA => M_AXI_IP_RDATA, M_AXI_IP_RRESP => M_AXI_IP_RRESP, M_AXI_IP_RLAST => M_AXI_IP_RLAST, M_AXI_IP_RVALID => M_AXI_IP_RVALID, M_AXI_IP_RREADY => M_AXI_IP_RREADY, M_AXI_DP_AWID => M_AXI_DP_AWID, M_AXI_DP_AWADDR => M_AXI_DP_AWADDR, M_AXI_DP_AWLEN => M_AXI_DP_AWLEN, M_AXI_DP_AWSIZE => M_AXI_DP_AWSIZE, M_AXI_DP_AWBURST => M_AXI_DP_AWBURST, M_AXI_DP_AWLOCK => M_AXI_DP_AWLOCK, M_AXI_DP_AWCACHE => M_AXI_DP_AWCACHE, M_AXI_DP_AWPROT => M_AXI_DP_AWPROT, M_AXI_DP_AWQOS => M_AXI_DP_AWQOS, M_AXI_DP_AWVALID => M_AXI_DP_AWVALID, M_AXI_DP_AWREADY => M_AXI_DP_AWREADY, M_AXI_DP_WDATA => M_AXI_DP_WDATA, M_AXI_DP_WSTRB => M_AXI_DP_WSTRB, M_AXI_DP_WLAST => M_AXI_DP_WLAST, M_AXI_DP_WVALID => M_AXI_DP_WVALID, M_AXI_DP_WREADY => M_AXI_DP_WREADY, M_AXI_DP_BID => M_AXI_DP_BID, M_AXI_DP_BRESP => M_AXI_DP_BRESP, M_AXI_DP_BVALID => M_AXI_DP_BVALID, M_AXI_DP_BREADY => M_AXI_DP_BREADY, M_AXI_DP_ARID => M_AXI_DP_ARID, M_AXI_DP_ARADDR => M_AXI_DP_ARADDR, M_AXI_DP_ARLEN => M_AXI_DP_ARLEN, M_AXI_DP_ARSIZE => M_AXI_DP_ARSIZE, M_AXI_DP_ARBURST => M_AXI_DP_ARBURST, M_AXI_DP_ARLOCK => M_AXI_DP_ARLOCK, M_AXI_DP_ARCACHE => M_AXI_DP_ARCACHE, M_AXI_DP_ARPROT => M_AXI_DP_ARPROT, M_AXI_DP_ARQOS => M_AXI_DP_ARQOS, M_AXI_DP_ARVALID => M_AXI_DP_ARVALID, M_AXI_DP_ARREADY => M_AXI_DP_ARREADY, M_AXI_DP_RID => M_AXI_DP_RID, M_AXI_DP_RDATA => M_AXI_DP_RDATA, M_AXI_DP_RRESP => M_AXI_DP_RRESP, M_AXI_DP_RLAST => M_AXI_DP_RLAST, M_AXI_DP_RVALID => M_AXI_DP_RVALID, M_AXI_DP_RREADY => M_AXI_DP_RREADY, M_AXI_IC_AWID => M_AXI_IC_AWID, M_AXI_IC_AWADDR => M_AXI_IC_AWADDR, M_AXI_IC_AWLEN => M_AXI_IC_AWLEN, M_AXI_IC_AWSIZE => M_AXI_IC_AWSIZE, M_AXI_IC_AWBURST => M_AXI_IC_AWBURST, M_AXI_IC_AWLOCK => M_AXI_IC_AWLOCK, M_AXI_IC_AWCACHE => M_AXI_IC_AWCACHE, M_AXI_IC_AWPROT => M_AXI_IC_AWPROT, M_AXI_IC_AWQOS => M_AXI_IC_AWQOS, M_AXI_IC_AWVALID => M_AXI_IC_AWVALID, M_AXI_IC_AWREADY => M_AXI_IC_AWREADY, M_AXI_IC_AWUSER => M_AXI_IC_AWUSER, M_AXI_IC_WDATA => M_AXI_IC_WDATA, M_AXI_IC_WSTRB => M_AXI_IC_WSTRB, M_AXI_IC_WLAST => M_AXI_IC_WLAST, M_AXI_IC_WVALID => M_AXI_IC_WVALID, M_AXI_IC_WREADY => M_AXI_IC_WREADY, M_AXI_IC_WUSER => M_AXI_IC_WUSER, M_AXI_IC_BID => M_AXI_IC_BID, M_AXI_IC_BRESP => M_AXI_IC_BRESP, M_AXI_IC_BVALID => M_AXI_IC_BVALID, M_AXI_IC_BREADY => M_AXI_IC_BREADY, M_AXI_IC_BUSER => M_AXI_IC_BUSER, M_AXI_IC_ARID => M_AXI_IC_ARID, M_AXI_IC_ARADDR => M_AXI_IC_ARADDR, M_AXI_IC_ARLEN => M_AXI_IC_ARLEN, M_AXI_IC_ARSIZE => M_AXI_IC_ARSIZE, M_AXI_IC_ARBURST => M_AXI_IC_ARBURST, M_AXI_IC_ARLOCK => M_AXI_IC_ARLOCK, M_AXI_IC_ARCACHE => M_AXI_IC_ARCACHE, M_AXI_IC_ARPROT => M_AXI_IC_ARPROT, M_AXI_IC_ARQOS => M_AXI_IC_ARQOS, M_AXI_IC_ARVALID => M_AXI_IC_ARVALID, M_AXI_IC_ARREADY => M_AXI_IC_ARREADY, M_AXI_IC_ARUSER => M_AXI_IC_ARUSER, M_AXI_IC_RID => M_AXI_IC_RID, M_AXI_IC_RDATA => M_AXI_IC_RDATA, M_AXI_IC_RRESP => M_AXI_IC_RRESP, M_AXI_IC_RLAST => M_AXI_IC_RLAST, M_AXI_IC_RVALID => M_AXI_IC_RVALID, M_AXI_IC_RREADY => M_AXI_IC_RREADY, M_AXI_IC_RUSER => M_AXI_IC_RUSER, M_AXI_DC_AWID => M_AXI_DC_AWID, M_AXI_DC_AWADDR => M_AXI_DC_AWADDR, M_AXI_DC_AWLEN => M_AXI_DC_AWLEN, M_AXI_DC_AWSIZE => M_AXI_DC_AWSIZE, M_AXI_DC_AWBURST => M_AXI_DC_AWBURST, M_AXI_DC_AWLOCK => M_AXI_DC_AWLOCK, M_AXI_DC_AWCACHE => M_AXI_DC_AWCACHE, M_AXI_DC_AWPROT => M_AXI_DC_AWPROT, M_AXI_DC_AWQOS => M_AXI_DC_AWQOS, M_AXI_DC_AWVALID => M_AXI_DC_AWVALID, M_AXI_DC_AWREADY => M_AXI_DC_AWREADY, M_AXI_DC_AWUSER => M_AXI_DC_AWUSER, M_AXI_DC_WDATA => M_AXI_DC_WDATA, M_AXI_DC_WSTRB => M_AXI_DC_WSTRB, M_AXI_DC_WLAST => M_AXI_DC_WLAST, M_AXI_DC_WVALID => M_AXI_DC_WVALID, M_AXI_DC_WREADY => M_AXI_DC_WREADY, M_AXI_DC_WUSER => M_AXI_DC_WUSER, M_AXI_DC_BID => M_AXI_DC_BID, M_AXI_DC_BRESP => M_AXI_DC_BRESP, M_AXI_DC_BVALID => M_AXI_DC_BVALID, M_AXI_DC_BREADY => M_AXI_DC_BREADY, M_AXI_DC_BUSER => M_AXI_DC_BUSER, M_AXI_DC_ARID => M_AXI_DC_ARID, M_AXI_DC_ARADDR => M_AXI_DC_ARADDR, M_AXI_DC_ARLEN => M_AXI_DC_ARLEN, M_AXI_DC_ARSIZE => M_AXI_DC_ARSIZE, M_AXI_DC_ARBURST => M_AXI_DC_ARBURST, M_AXI_DC_ARLOCK => M_AXI_DC_ARLOCK, M_AXI_DC_ARCACHE => M_AXI_DC_ARCACHE, M_AXI_DC_ARPROT => M_AXI_DC_ARPROT, M_AXI_DC_ARQOS => M_AXI_DC_ARQOS, M_AXI_DC_ARVALID => M_AXI_DC_ARVALID, M_AXI_DC_ARREADY => M_AXI_DC_ARREADY, M_AXI_DC_ARUSER => M_AXI_DC_ARUSER, M_AXI_DC_RID => M_AXI_DC_RID, M_AXI_DC_RDATA => M_AXI_DC_RDATA, M_AXI_DC_RRESP => M_AXI_DC_RRESP, M_AXI_DC_RLAST => M_AXI_DC_RLAST, M_AXI_DC_RVALID => M_AXI_DC_RVALID, M_AXI_DC_RREADY => M_AXI_DC_RREADY, M_AXI_DC_RUSER => M_AXI_DC_RUSER, DBG_CLK => DBG_CLK, DBG_TDI => DBG_TDI, DBG_TDO => DBG_TDO, DBG_REG_EN => DBG_REG_EN, DBG_SHIFT => DBG_SHIFT, DBG_CAPTURE => DBG_CAPTURE, DBG_UPDATE => DBG_UPDATE, DEBUG_RST => DEBUG_RST, Trace_Instruction => Trace_Instruction, Trace_Valid_Instr => Trace_Valid_Instr, Trace_PC => Trace_PC, Trace_Reg_Write => Trace_Reg_Write, Trace_Reg_Addr => Trace_Reg_Addr, Trace_MSR_Reg => Trace_MSR_Reg, Trace_PID_Reg => Trace_PID_Reg, Trace_New_Reg_Value => Trace_New_Reg_Value, Trace_Exception_Taken => Trace_Exception_Taken, Trace_Exception_Kind => Trace_Exception_Kind, Trace_Jump_Taken => Trace_Jump_Taken, Trace_Delay_Slot => Trace_Delay_Slot, Trace_Data_Address => Trace_Data_Address, Trace_Data_Access => Trace_Data_Access, Trace_Data_Read => Trace_Data_Read, Trace_Data_Write => Trace_Data_Write, Trace_Data_Write_Value => Trace_Data_Write_Value, Trace_Data_Byte_Enable => Trace_Data_Byte_Enable, Trace_DCache_Req => Trace_DCache_Req, Trace_DCache_Hit => Trace_DCache_Hit, Trace_DCache_Rdy => Trace_DCache_Rdy, Trace_DCache_Read => Trace_DCache_Read, Trace_ICache_Req => Trace_ICache_Req, Trace_ICache_Hit => Trace_ICache_Hit, Trace_ICache_Rdy => Trace_ICache_Rdy, Trace_OF_PipeRun => Trace_OF_PipeRun, Trace_EX_PipeRun => Trace_EX_PipeRun, Trace_MEM_PipeRun => Trace_MEM_PipeRun, Trace_MB_Halted => Trace_MB_Halted, Trace_Jump_Hit => Trace_Jump_Hit, FSL0_S_CLK => FSL0_S_CLK, FSL0_S_READ => FSL0_S_READ, FSL0_S_DATA => FSL0_S_DATA, FSL0_S_CONTROL => FSL0_S_CONTROL, FSL0_S_EXISTS => FSL0_S_EXISTS, FSL0_M_CLK => FSL0_M_CLK, FSL0_M_WRITE => FSL0_M_WRITE, FSL0_M_DATA => FSL0_M_DATA, FSL0_M_CONTROL => FSL0_M_CONTROL, FSL0_M_FULL => FSL0_M_FULL, FSL1_S_CLK => FSL1_S_CLK, FSL1_S_READ => FSL1_S_READ, FSL1_S_DATA => FSL1_S_DATA, FSL1_S_CONTROL => FSL1_S_CONTROL, FSL1_S_EXISTS => FSL1_S_EXISTS, FSL1_M_CLK => FSL1_M_CLK, FSL1_M_WRITE => FSL1_M_WRITE, FSL1_M_DATA => FSL1_M_DATA, FSL1_M_CONTROL => FSL1_M_CONTROL, FSL1_M_FULL => FSL1_M_FULL, FSL2_S_CLK => FSL2_S_CLK, FSL2_S_READ => FSL2_S_READ, FSL2_S_DATA => FSL2_S_DATA, FSL2_S_CONTROL => FSL2_S_CONTROL, FSL2_S_EXISTS => FSL2_S_EXISTS, FSL2_M_CLK => FSL2_M_CLK, FSL2_M_WRITE => FSL2_M_WRITE, FSL2_M_DATA => FSL2_M_DATA, FSL2_M_CONTROL => FSL2_M_CONTROL, FSL2_M_FULL => FSL2_M_FULL, FSL3_S_CLK => FSL3_S_CLK, FSL3_S_READ => FSL3_S_READ, FSL3_S_DATA => FSL3_S_DATA, FSL3_S_CONTROL => FSL3_S_CONTROL, FSL3_S_EXISTS => FSL3_S_EXISTS, FSL3_M_CLK => FSL3_M_CLK, FSL3_M_WRITE => FSL3_M_WRITE, FSL3_M_DATA => FSL3_M_DATA, FSL3_M_CONTROL => FSL3_M_CONTROL, FSL3_M_FULL => FSL3_M_FULL, FSL4_S_CLK => FSL4_S_CLK, FSL4_S_READ => FSL4_S_READ, FSL4_S_DATA => FSL4_S_DATA, FSL4_S_CONTROL => FSL4_S_CONTROL, FSL4_S_EXISTS => FSL4_S_EXISTS, FSL4_M_CLK => FSL4_M_CLK, FSL4_M_WRITE => FSL4_M_WRITE, FSL4_M_DATA => FSL4_M_DATA, FSL4_M_CONTROL => FSL4_M_CONTROL, FSL4_M_FULL => FSL4_M_FULL, FSL5_S_CLK => FSL5_S_CLK, FSL5_S_READ => FSL5_S_READ, FSL5_S_DATA => FSL5_S_DATA, FSL5_S_CONTROL => FSL5_S_CONTROL, FSL5_S_EXISTS => FSL5_S_EXISTS, FSL5_M_CLK => FSL5_M_CLK, FSL5_M_WRITE => FSL5_M_WRITE, FSL5_M_DATA => FSL5_M_DATA, FSL5_M_CONTROL => FSL5_M_CONTROL, FSL5_M_FULL => FSL5_M_FULL, FSL6_S_CLK => FSL6_S_CLK, FSL6_S_READ => FSL6_S_READ, FSL6_S_DATA => FSL6_S_DATA, FSL6_S_CONTROL => FSL6_S_CONTROL, FSL6_S_EXISTS => FSL6_S_EXISTS, FSL6_M_CLK => FSL6_M_CLK, FSL6_M_WRITE => FSL6_M_WRITE, FSL6_M_DATA => FSL6_M_DATA, FSL6_M_CONTROL => FSL6_M_CONTROL, FSL6_M_FULL => FSL6_M_FULL, FSL7_S_CLK => FSL7_S_CLK, FSL7_S_READ => FSL7_S_READ, FSL7_S_DATA => FSL7_S_DATA, FSL7_S_CONTROL => FSL7_S_CONTROL, FSL7_S_EXISTS => FSL7_S_EXISTS, FSL7_M_CLK => FSL7_M_CLK, FSL7_M_WRITE => FSL7_M_WRITE, FSL7_M_DATA => FSL7_M_DATA, FSL7_M_CONTROL => FSL7_M_CONTROL, FSL7_M_FULL => FSL7_M_FULL, FSL8_S_CLK => FSL8_S_CLK, FSL8_S_READ => FSL8_S_READ, FSL8_S_DATA => FSL8_S_DATA, FSL8_S_CONTROL => FSL8_S_CONTROL, FSL8_S_EXISTS => FSL8_S_EXISTS, FSL8_M_CLK => FSL8_M_CLK, FSL8_M_WRITE => FSL8_M_WRITE, FSL8_M_DATA => FSL8_M_DATA, FSL8_M_CONTROL => FSL8_M_CONTROL, FSL8_M_FULL => FSL8_M_FULL, FSL9_S_CLK => FSL9_S_CLK, FSL9_S_READ => FSL9_S_READ, FSL9_S_DATA => FSL9_S_DATA, FSL9_S_CONTROL => FSL9_S_CONTROL, FSL9_S_EXISTS => FSL9_S_EXISTS, FSL9_M_CLK => FSL9_M_CLK, FSL9_M_WRITE => FSL9_M_WRITE, FSL9_M_DATA => FSL9_M_DATA, FSL9_M_CONTROL => FSL9_M_CONTROL, FSL9_M_FULL => FSL9_M_FULL, FSL10_S_CLK => FSL10_S_CLK, FSL10_S_READ => FSL10_S_READ, FSL10_S_DATA => FSL10_S_DATA, FSL10_S_CONTROL => FSL10_S_CONTROL, FSL10_S_EXISTS => FSL10_S_EXISTS, FSL10_M_CLK => FSL10_M_CLK, FSL10_M_WRITE => FSL10_M_WRITE, FSL10_M_DATA => FSL10_M_DATA, FSL10_M_CONTROL => FSL10_M_CONTROL, FSL10_M_FULL => FSL10_M_FULL, FSL11_S_CLK => FSL11_S_CLK, FSL11_S_READ => FSL11_S_READ, FSL11_S_DATA => FSL11_S_DATA, FSL11_S_CONTROL => FSL11_S_CONTROL, FSL11_S_EXISTS => FSL11_S_EXISTS, FSL11_M_CLK => FSL11_M_CLK, FSL11_M_WRITE => FSL11_M_WRITE, FSL11_M_DATA => FSL11_M_DATA, FSL11_M_CONTROL => FSL11_M_CONTROL, FSL11_M_FULL => FSL11_M_FULL, FSL12_S_CLK => FSL12_S_CLK, FSL12_S_READ => FSL12_S_READ, FSL12_S_DATA => FSL12_S_DATA, FSL12_S_CONTROL => FSL12_S_CONTROL, FSL12_S_EXISTS => FSL12_S_EXISTS, FSL12_M_CLK => FSL12_M_CLK, FSL12_M_WRITE => FSL12_M_WRITE, FSL12_M_DATA => FSL12_M_DATA, FSL12_M_CONTROL => FSL12_M_CONTROL, FSL12_M_FULL => FSL12_M_FULL, FSL13_S_CLK => FSL13_S_CLK, FSL13_S_READ => FSL13_S_READ, FSL13_S_DATA => FSL13_S_DATA, FSL13_S_CONTROL => FSL13_S_CONTROL, FSL13_S_EXISTS => FSL13_S_EXISTS, FSL13_M_CLK => FSL13_M_CLK, FSL13_M_WRITE => FSL13_M_WRITE, FSL13_M_DATA => FSL13_M_DATA, FSL13_M_CONTROL => FSL13_M_CONTROL, FSL13_M_FULL => FSL13_M_FULL, FSL14_S_CLK => FSL14_S_CLK, FSL14_S_READ => FSL14_S_READ, FSL14_S_DATA => FSL14_S_DATA, FSL14_S_CONTROL => FSL14_S_CONTROL, FSL14_S_EXISTS => FSL14_S_EXISTS, FSL14_M_CLK => FSL14_M_CLK, FSL14_M_WRITE => FSL14_M_WRITE, FSL14_M_DATA => FSL14_M_DATA, FSL14_M_CONTROL => FSL14_M_CONTROL, FSL14_M_FULL => FSL14_M_FULL, FSL15_S_CLK => FSL15_S_CLK, FSL15_S_READ => FSL15_S_READ, FSL15_S_DATA => FSL15_S_DATA, FSL15_S_CONTROL => FSL15_S_CONTROL, FSL15_S_EXISTS => FSL15_S_EXISTS, FSL15_M_CLK => FSL15_M_CLK, FSL15_M_WRITE => FSL15_M_WRITE, FSL15_M_DATA => FSL15_M_DATA, FSL15_M_CONTROL => FSL15_M_CONTROL, FSL15_M_FULL => FSL15_M_FULL, M0_AXIS_TLAST => M0_AXIS_TLAST, M0_AXIS_TDATA => M0_AXIS_TDATA, M0_AXIS_TVALID => M0_AXIS_TVALID, M0_AXIS_TREADY => M0_AXIS_TREADY, S0_AXIS_TLAST => S0_AXIS_TLAST, S0_AXIS_TDATA => S0_AXIS_TDATA, S0_AXIS_TVALID => S0_AXIS_TVALID, S0_AXIS_TREADY => S0_AXIS_TREADY, M1_AXIS_TLAST => M1_AXIS_TLAST, M1_AXIS_TDATA => M1_AXIS_TDATA, M1_AXIS_TVALID => M1_AXIS_TVALID, M1_AXIS_TREADY => M1_AXIS_TREADY, S1_AXIS_TLAST => S1_AXIS_TLAST, S1_AXIS_TDATA => S1_AXIS_TDATA, S1_AXIS_TVALID => S1_AXIS_TVALID, S1_AXIS_TREADY => S1_AXIS_TREADY, M2_AXIS_TLAST => M2_AXIS_TLAST, M2_AXIS_TDATA => M2_AXIS_TDATA, M2_AXIS_TVALID => M2_AXIS_TVALID, M2_AXIS_TREADY => M2_AXIS_TREADY, S2_AXIS_TLAST => S2_AXIS_TLAST, S2_AXIS_TDATA => S2_AXIS_TDATA, S2_AXIS_TVALID => S2_AXIS_TVALID, S2_AXIS_TREADY => S2_AXIS_TREADY, M3_AXIS_TLAST => M3_AXIS_TLAST, M3_AXIS_TDATA => M3_AXIS_TDATA, M3_AXIS_TVALID => M3_AXIS_TVALID, M3_AXIS_TREADY => M3_AXIS_TREADY, S3_AXIS_TLAST => S3_AXIS_TLAST, S3_AXIS_TDATA => S3_AXIS_TDATA, S3_AXIS_TVALID => S3_AXIS_TVALID, S3_AXIS_TREADY => S3_AXIS_TREADY, M4_AXIS_TLAST => M4_AXIS_TLAST, M4_AXIS_TDATA => M4_AXIS_TDATA, M4_AXIS_TVALID => M4_AXIS_TVALID, M4_AXIS_TREADY => M4_AXIS_TREADY, S4_AXIS_TLAST => S4_AXIS_TLAST, S4_AXIS_TDATA => S4_AXIS_TDATA, S4_AXIS_TVALID => S4_AXIS_TVALID, S4_AXIS_TREADY => S4_AXIS_TREADY, M5_AXIS_TLAST => M5_AXIS_TLAST, M5_AXIS_TDATA => M5_AXIS_TDATA, M5_AXIS_TVALID => M5_AXIS_TVALID, M5_AXIS_TREADY => M5_AXIS_TREADY, S5_AXIS_TLAST => S5_AXIS_TLAST, S5_AXIS_TDATA => S5_AXIS_TDATA, S5_AXIS_TVALID => S5_AXIS_TVALID, S5_AXIS_TREADY => S5_AXIS_TREADY, M6_AXIS_TLAST => M6_AXIS_TLAST, M6_AXIS_TDATA => M6_AXIS_TDATA, M6_AXIS_TVALID => M6_AXIS_TVALID, M6_AXIS_TREADY => M6_AXIS_TREADY, S6_AXIS_TLAST => S6_AXIS_TLAST, S6_AXIS_TDATA => S6_AXIS_TDATA, S6_AXIS_TVALID => S6_AXIS_TVALID, S6_AXIS_TREADY => S6_AXIS_TREADY, M7_AXIS_TLAST => M7_AXIS_TLAST, M7_AXIS_TDATA => M7_AXIS_TDATA, M7_AXIS_TVALID => M7_AXIS_TVALID, M7_AXIS_TREADY => M7_AXIS_TREADY, S7_AXIS_TLAST => S7_AXIS_TLAST, S7_AXIS_TDATA => S7_AXIS_TDATA, S7_AXIS_TVALID => S7_AXIS_TVALID, S7_AXIS_TREADY => S7_AXIS_TREADY, M8_AXIS_TLAST => M8_AXIS_TLAST, M8_AXIS_TDATA => M8_AXIS_TDATA, M8_AXIS_TVALID => M8_AXIS_TVALID, M8_AXIS_TREADY => M8_AXIS_TREADY, S8_AXIS_TLAST => S8_AXIS_TLAST, S8_AXIS_TDATA => S8_AXIS_TDATA, S8_AXIS_TVALID => S8_AXIS_TVALID, S8_AXIS_TREADY => S8_AXIS_TREADY, M9_AXIS_TLAST => M9_AXIS_TLAST, M9_AXIS_TDATA => M9_AXIS_TDATA, M9_AXIS_TVALID => M9_AXIS_TVALID, M9_AXIS_TREADY => M9_AXIS_TREADY, S9_AXIS_TLAST => S9_AXIS_TLAST, S9_AXIS_TDATA => S9_AXIS_TDATA, S9_AXIS_TVALID => S9_AXIS_TVALID, S9_AXIS_TREADY => S9_AXIS_TREADY, M10_AXIS_TLAST => M10_AXIS_TLAST, M10_AXIS_TDATA => M10_AXIS_TDATA, M10_AXIS_TVALID => M10_AXIS_TVALID, M10_AXIS_TREADY => M10_AXIS_TREADY, S10_AXIS_TLAST => S10_AXIS_TLAST, S10_AXIS_TDATA => S10_AXIS_TDATA, S10_AXIS_TVALID => S10_AXIS_TVALID, S10_AXIS_TREADY => S10_AXIS_TREADY, M11_AXIS_TLAST => M11_AXIS_TLAST, M11_AXIS_TDATA => M11_AXIS_TDATA, M11_AXIS_TVALID => M11_AXIS_TVALID, M11_AXIS_TREADY => M11_AXIS_TREADY, S11_AXIS_TLAST => S11_AXIS_TLAST, S11_AXIS_TDATA => S11_AXIS_TDATA, S11_AXIS_TVALID => S11_AXIS_TVALID, S11_AXIS_TREADY => S11_AXIS_TREADY, M12_AXIS_TLAST => M12_AXIS_TLAST, M12_AXIS_TDATA => M12_AXIS_TDATA, M12_AXIS_TVALID => M12_AXIS_TVALID, M12_AXIS_TREADY => M12_AXIS_TREADY, S12_AXIS_TLAST => S12_AXIS_TLAST, S12_AXIS_TDATA => S12_AXIS_TDATA, S12_AXIS_TVALID => S12_AXIS_TVALID, S12_AXIS_TREADY => S12_AXIS_TREADY, M13_AXIS_TLAST => M13_AXIS_TLAST, M13_AXIS_TDATA => M13_AXIS_TDATA, M13_AXIS_TVALID => M13_AXIS_TVALID, M13_AXIS_TREADY => M13_AXIS_TREADY, S13_AXIS_TLAST => S13_AXIS_TLAST, S13_AXIS_TDATA => S13_AXIS_TDATA, S13_AXIS_TVALID => S13_AXIS_TVALID, S13_AXIS_TREADY => S13_AXIS_TREADY, M14_AXIS_TLAST => M14_AXIS_TLAST, M14_AXIS_TDATA => M14_AXIS_TDATA, M14_AXIS_TVALID => M14_AXIS_TVALID, M14_AXIS_TREADY => M14_AXIS_TREADY, S14_AXIS_TLAST => S14_AXIS_TLAST, S14_AXIS_TDATA => S14_AXIS_TDATA, S14_AXIS_TVALID => S14_AXIS_TVALID, S14_AXIS_TREADY => S14_AXIS_TREADY, M15_AXIS_TLAST => M15_AXIS_TLAST, M15_AXIS_TDATA => M15_AXIS_TDATA, M15_AXIS_TVALID => M15_AXIS_TVALID, M15_AXIS_TREADY => M15_AXIS_TREADY, S15_AXIS_TLAST => S15_AXIS_TLAST, S15_AXIS_TDATA => S15_AXIS_TDATA, S15_AXIS_TVALID => S15_AXIS_TVALID, S15_AXIS_TREADY => S15_AXIS_TREADY, ICACHE_FSL_IN_CLK => ICACHE_FSL_IN_CLK, ICACHE_FSL_IN_READ => ICACHE_FSL_IN_READ, ICACHE_FSL_IN_DATA => ICACHE_FSL_IN_DATA, ICACHE_FSL_IN_CONTROL => ICACHE_FSL_IN_CONTROL, ICACHE_FSL_IN_EXISTS => ICACHE_FSL_IN_EXISTS, ICACHE_FSL_OUT_CLK => ICACHE_FSL_OUT_CLK, ICACHE_FSL_OUT_WRITE => ICACHE_FSL_OUT_WRITE, ICACHE_FSL_OUT_DATA => ICACHE_FSL_OUT_DATA, ICACHE_FSL_OUT_CONTROL => ICACHE_FSL_OUT_CONTROL, ICACHE_FSL_OUT_FULL => ICACHE_FSL_OUT_FULL, DCACHE_FSL_IN_CLK => DCACHE_FSL_IN_CLK, DCACHE_FSL_IN_READ => DCACHE_FSL_IN_READ, DCACHE_FSL_IN_DATA => DCACHE_FSL_IN_DATA, DCACHE_FSL_IN_CONTROL => DCACHE_FSL_IN_CONTROL, DCACHE_FSL_IN_EXISTS => DCACHE_FSL_IN_EXISTS, DCACHE_FSL_OUT_CLK => DCACHE_FSL_OUT_CLK, DCACHE_FSL_OUT_WRITE => DCACHE_FSL_OUT_WRITE, DCACHE_FSL_OUT_DATA => DCACHE_FSL_OUT_DATA, DCACHE_FSL_OUT_CONTROL => DCACHE_FSL_OUT_CONTROL, DCACHE_FSL_OUT_FULL => DCACHE_FSL_OUT_FULL ); end architecture STRUCTURE;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/ready4hood_v1_00_a/hdl/vhdl/ready4hood.vhd
1
3091
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ready4hood is port ( -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add or delete. FSL_Clk : in std_logic; FSL_Rst : in std_logic; FSL_S_Clk : in std_logic; FSL_S_Read : out std_logic; FSL_S_Data : in std_logic_vector(0 to 31); FSL_S_Control : in std_logic; FSL_S_Exists : in std_logic; FSL_M_Clk : in std_logic; FSL_M_Write : out std_logic; FSL_M_Data : out std_logic_vector(0 to 31); FSL_M_Control : out std_logic; FSL_M_Full : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of FSL_Clk : signal is "Clk"; attribute SIGIS of FSL_S_Clk : signal is "Clk"; attribute SIGIS of FSL_M_Clk : signal is "Clk"; end ready4hood; architecture EXAMPLE of ready4hood is -- Total number of input data. constant NUMBER_OF_INPUT_WORDS : natural := 4; -- Total number of output data constant NUMBER_OF_OUTPUT_WORDS : natural := 1; type STATE_TYPE is (Idle, Read_Inputs, Write_Outputs); signal state : STATE_TYPE; -- Accumulator to hold sum of inputs read at any point in time signal sum : std_logic_vector(0 to 31); -- Counters to store the number inputs read & outputs written signal nr_of_reads : natural range 0 to NUMBER_OF_INPUT_WORDS - 1; signal nr_of_writes : natural range 0 to NUMBER_OF_OUTPUT_WORDS - 1; begin FSL_S_Read <= FSL_S_Exists when state = Read_Inputs else '0'; FSL_M_Write <= not FSL_M_Full when state = Write_Outputs else '0'; FSL_M_Data <= sum; The_SW_accelerator : process (FSL_Clk) is begin -- process The_SW_accelerator if FSL_Clk'event and FSL_Clk = '1' then -- Rising clock edge if FSL_Rst = '1' then -- Synchronous reset (active high) state <= Idle; nr_of_reads <= 0; nr_of_writes <= 0; sum <= (others => '0'); else case state is when Idle => if (FSL_S_Exists = '1') then state <= Read_Inputs; nr_of_reads <= NUMBER_OF_INPUT_WORDS - 1; sum <= (others => '0'); end if; when Read_Inputs => if (FSL_S_Exists = '1') then -- Coprocessor function (Adding) happens here sum <= std_logic_vector(unsigned(sum) + unsigned(FSL_S_Data)); if (nr_of_reads = 0) then state <= Write_Outputs; nr_of_writes <= NUMBER_OF_OUTPUT_WORDS - 1; else nr_of_reads <= nr_of_reads - 1; end if; end if; when Write_Outputs => if (nr_of_writes = 0) then state <= Idle; else if (FSL_M_Full = '0') then nr_of_writes <= nr_of_writes - 1; end if; end if; end case; end if; end if; end process The_SW_accelerator; end architecture EXAMPLE;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/nor_gate.vhd
1
376
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY nor_gate IS PORT (a:IN STD_LOGIC; b:IN STD_LOGIC; q:OUT STD_LOGIC); END nor_gate; ARCHITECTURE behave OF nor_gate IS BEGIN q<=a NOR b; END behave;
mit
Nooxet/embedded_bruteforce
vhdl/tb_comp.vhd
1
3514
-------------------------------------------------------------------------------- -- Company: -- Engineer: Gabbe -- -- Create Date: 12:04:52 09/17/2014 -- Design Name: -- Module Name: H:/embedded_labs/comp/tb_comp.vhd -- Project Name: comp -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: comp -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY tb_comp IS END tb_comp; ARCHITECTURE behavior OF tb_comp IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT comp PORT( clk : IN std_logic; rstn : IN std_logic; i_hash_0 : IN unsigned(31 downto 0); i_hash_1 : IN unsigned(31 downto 0); i_hash_2 : IN unsigned(31 downto 0); i_hash_3 : IN unsigned(31 downto 0); i_cmp_hash : IN std_logic_vector(127 downto 0); i_start : IN std_logic; o_equal : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rstn : std_logic := '1'; signal i_hash_0 : unsigned(31 downto 0) := (others => '0'); signal i_hash_1 : unsigned(31 downto 0) := (others => '0'); signal i_hash_2 : unsigned(31 downto 0) := (others => '0'); signal i_hash_3 : unsigned(31 downto 0) := (others => '0'); signal i_cmp_hash : std_logic_vector(127 downto 0) := (others => '0'); signal i_start : std_logic := '0'; --Outputs signal o_equal : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: comp PORT MAP ( clk => clk, rstn => rstn, i_hash_0 => i_hash_0, i_hash_1 => i_hash_1, i_hash_2 => i_hash_2, i_hash_3 => i_hash_3, i_cmp_hash => i_cmp_hash, i_start => i_start, o_equal => o_res ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2; rstn <= '0'; wait for clk_period; rstn <= '1'; i_cmp_hash <= x"13121110232221203332313043424140"; i_start <= '1'; wait for clk_period; i_start <= '0'; i_hash_0 <= x"10111213"; i_hash_1 <= x"20212223"; i_hash_2 <= x"30313233"; i_hash_3 <= x"40414243"; assert o_equal = '1' report "correct hash compared wrong"; wait for clk_period*4; i_hash_0 <= x"11111111"; i_hash_1 <= x"11111111"; i_hash_2 <= x"11111111"; i_hash_3 <= x"11111111"; wait for clk_period; assert o_equal = '0' report "false hash compared wrong"; wait; end process; END;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/adau1761_audio_v1_00_a/hdl/vhdl/i2c.vhd
3
2734
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Description: A controller to send I2C commands to the ADAU1761 codec ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity i2c is Port ( clk : in STD_LOGIC; i2c_sda_i : IN std_logic; i2c_sda_o : OUT std_logic; i2c_sda_t : OUT std_logic; i2c_scl : out STD_LOGIC; sw : in std_logic_vector(1 downto 0); active : out std_logic_vector(1 downto 0)); end i2c; architecture Behavioral of i2c is COMPONENT i3c2 Generic( clk_divide : STD_LOGIC_VECTOR (7 downto 0)); PORT( clk : IN std_logic; i2c_sda_i : IN std_logic; i2c_sda_o : OUT std_logic; i2c_sda_t : OUT std_logic; i2c_scl : OUT std_logic; inst_data : IN std_logic_vector(8 downto 0); inputs : IN std_logic_vector(15 downto 0); inst_address : OUT std_logic_vector(9 downto 0); debug_sda : OUT std_logic; debug_scl : OUT std_logic; outputs : OUT std_logic_vector(15 downto 0); reg_addr : OUT std_logic_vector(4 downto 0); reg_data : OUT std_logic_vector(7 downto 0); reg_write : OUT std_logic; error : OUT std_logic ); END COMPONENT; COMPONENT adau1761_configuraiton_data PORT( clk : IN std_logic; address : IN std_logic_vector(9 downto 0); data : OUT std_logic_vector(8 downto 0) ); END COMPONENT; signal inst_address : std_logic_vector(9 downto 0); signal inst_data : std_logic_vector(8 downto 0); signal sw_full :std_logic_vector(15 downto 0) := (others => '0'); signal active_full : std_logic_vector(15 downto 0) := (others => '0'); begin sw_full(1 downto 0) <= sw; active <= active_full(1 downto 0); Inst_adau1761_configuraiton_data: adau1761_configuraiton_data PORT MAP( clk => clk, address => inst_address, data => inst_data ); Inst_i3c2: i3c2 GENERIC MAP ( clk_divide => "01111000" -- 120 (48,000/120 = 400kHz I2C clock) ) PORT MAP( clk => clk, inst_address => inst_address, inst_data => inst_data, i2c_scl => i2c_scl, i2c_sda_i => i2c_sda_i, i2c_sda_o => i2c_sda_o, i2c_sda_t => i2c_sda_t, inputs => sw_full, outputs => active_full, reg_addr => open, reg_data => open, reg_write => open, debug_scl => open, debug_sda => open, error => open ); end Behavioral;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/Balance.vhd
2
5209
---------------------------------------------------------------------------------- -- Company: TTU_SoCDesign -- Engineer: Mohamed Behery -- -- Create Date: 16:51:21 04/29/2015 -- Design Name: Panning unit -- Module Name: Balance - Behavioral -- Project Name: Audio mixer -- Target Devices: ZedBoard (Zynq7000) -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity Balance is generic(INTBIT_WIDTH : positive ; FRACBIT_WIDTH : positive ; N : positive ; Attenuation_Const : positive ); -- This constant is for attenuating the input signals so that the signal is not chopped if amplified Port(CLK_BAL : in std_logic; RESET_BAL : in std_logic; POINTER : in integer; CH_L_IN, CH_R_IN : in signed(INTBIT_WIDTH - 1 downto 0); CH_L_OUT : out signed(INTBIT_WIDTH - 1 downto 0) := x"000000"; CH_R_OUT : out signed(INTBIT_WIDTH - 1 downto 0) := x"000000"; READY_BAL : out std_logic := '0' ); end Balance; Architecture Behavioral of Balance is type Coeff_Array is array (0 to N / 2) of signed((INTBIT_WIDTH + FRACBIT_WIDTH) - 1 downto 0); -- Coeffecients calculated via the Matlab m-file (check the Matlab code in the last code section) -- constant Amp_Coeff : Coeff_Array := (500,667,767,867,909,923,937,951,962,967,972,977,982,986,991,995,1000); --The second half of the balance graph has it's amplification values placed in Amp_Coeff array -- constant Att_Coeff : Coeff_Array := (500,333,233,133,91,77,63,49,38,33,28,23,18,14,9,5,0); --The second half of the balance graph has it's attenuation values placed in Att_Coeff array constant Amp_Coeff : Coeff_Array := (x"0001F400", x"00029B00", x"0002FF00", x"00036300", x"00038D00", x"00039B00", x"0003A900", x"0003B700", x"0003C200", x"0003C700", x"0003CC00", x"0003D100", x"0003D600", x"0003DA00", x"0003DF00", x"0003E300", x"0003E800"); constant Att_Coeff : Coeff_Array := (x"0001F400", x"00014D00", x"0000E900", x"00008500", x"00005B00", x"00004D00", x"00003F00", x"00003100", x"00002600", x"00002100", x"00001C00", x"00001700", x"00001200", x"00000E00", x"00000900", x"00000500", x"00000000"); signal Coeff_Left : signed((INTBIT_WIDTH + FRACBIT_WIDTH) - 1 downto 0); signal Coeff_Right : signed((INTBIT_WIDTH + FRACBIT_WIDTH) - 1 downto 0); signal ready_signal_right : STD_LOGIC; signal ready_signal_left : STD_LOGIC; signal CH_R_IN_signal : signed(INTBIT_WIDTH - 1 downto 0); signal CH_L_IN_signal : signed(INTBIT_WIDTH - 1 downto 0); signal CH_L_OUT_signal : signed(INTBIT_WIDTH - 1 downto 0); signal CH_R_OUT_signal : signed(INTBIT_WIDTH - 1 downto 0); component AmplifierFP Port( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal IN_COEF : in signed((INTBIT_WIDTH + FRACBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifying coefficient OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); end component; begin Mult_Left : AmplifierFP port map( CLK => CLK_BAL, RESET => RESET_BAL, IN_SIG => CH_L_IN_signal, IN_COEF => Coeff_Left, OUT_AMP => CH_L_OUT_signal, OUT_RDY => ready_signal_left ); Mult_Right : AmplifierFP port map( CLK => CLK_BAL, RESET => RESET_BAL, IN_SIG => CH_R_IN_signal, IN_COEF => Coeff_right, OUT_AMP => CH_R_OUT_signal, OUT_RDY => ready_signal_right ); READY_BAL <= (ready_signal_right and ready_signal_left); CH_L_IN_signal <= shift_right(CH_L_IN, Attenuation_Const); -- Attenuating the incoming data from the outside by 6dB CH_R_IN_signal <= shift_right(CH_R_IN, Attenuation_Const); -- Attenuating the incoming data from the outside by 6dB Combinational : process(POINTER) -- Here according to the value of the POINTER the coefficient graph "half" is either kept as it is or it's inverted begin if (POINTER > N / 2) then -- Case 1: Amplify Right and Attenuate Left Coeff_Right <= Amp_Coeff(POINTER - N / 2); -- If the POINTER is above 50% the graph is kept as it is Coeff_Left <= Att_Coeff(POINTER - N / 2); elsif (POINTER < N / 2) then -- Case 2: Amplify Left and Attenuate Right Coeff_Right <= Att_Coeff(N / 2 - POINTER); -- If the POINTER is below 50% the graph is inverted Coeff_Left <= Amp_Coeff(N / 2 - POINTER); else Coeff_Right <= Att_Coeff(0); -- else: the POINTER = 50%, give the coefficients the 0th value in the array Coeff_Left <= Amp_Coeff(0); end if; end process Combinational; Sequential : process(CLK_BAL) begin if (CLK_BAL'event and CLK_BAL = '1') then CH_L_OUT <= CH_L_OUT_signal; CH_R_OUT <= CH_R_OUT_signal; end if; end process Sequential; end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a/hdl/vhdl/md5_demux.vhd
3
1412
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: md5_demux - Behavioral -- Description: -- A demux to select which md5 to use for hashing ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- include the hash_array type -- use work.hash_array_pkg.all; entity md5_demux is generic ( N : integer ); port ( i_md5_indata : in md5_indata_t; i_select : in unsigned(N-1 downto 0); -- should be ceil(log2(N-1)) o_md5_indata_0 : out md5_indata_t; --_array(N-1 downto 0) o_md5_indata_1 : out md5_indata_t --_array(N-1 downto 0) ); end md5_demux; architecture Behavioral of md5_demux is begin comb_proc : process(i_select, i_md5_indata) begin o_md5_indata_0.data_0 <= (others => '0'); o_md5_indata_0.data_1 <= (others => '0'); o_md5_indata_0.start <= '0'; o_md5_indata_0.len <= (others => '0'); o_md5_indata_1.data_0 <= (others => '0'); o_md5_indata_1.data_1 <= (others => '0'); o_md5_indata_1.start <= '0'; o_md5_indata_1.len <= (others => '0'); --o_md5_indata(to_integer(unsigned(i_select))) <= i_md5_indata; if i_select = 0 then o_md5_indata_0 <= i_md5_indata; elsif i_select = 1 then o_md5_indata_1 <= i_md5_indata; end if; end process; end Behavioral;
mit
RickvanLoo/Synthesizer
sample_clk_gen_entity.vhd
1
730
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; entity sample_clk_gen_entity is GENERIC(divider : integer := 512 ); PORT (clk : IN std_logic; reset : IN std_logic; a_clk, a_clk_main : OUT std_logic ); END sample_clk_gen_entity; architecture behav of sample_clk_gen_entity is signal local_clk : std_logic := '0'; begin process(clk, reset) variable count : integer := 0; begin if reset = '0' then count := 0; local_clk <= '0'; elsif falling_edge(clk) then if count = divider then local_clk <= not local_clk; count := 0; end if; count := count + 1; end if; a_clk <= local_clk; a_clk_main <= local_clk; end process; end behav;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/audio_buffer_v1_00_a/hdl/vhdl/user_logic.vhd
3
10518
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Mon Apr 13 19:59:47 2015 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 2; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ clk_48_i : in std_logic; sample_data_L_in : in std_logic_vector(23 downto 0); sample_data_R_in : in std_logic_vector(23 downto 0); sample_data_L_out : out std_logic_vector(23 downto 0); sample_data_R_out : out std_logic_vector(23 downto 0); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg_write_sel : std_logic_vector(1 downto 0); signal slv_reg_read_sel : std_logic_vector(1 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(1 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(1 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1); slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); else case slv_reg_write_sel is when "10" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "01" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1 ) is begin case slv_reg_read_sel is when "10" => slv_ip2bus_data <= slv_reg0; when "01" => slv_ip2bus_data <= slv_reg1; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/ready4hood_v1_00_a/hdl/vhdl/controller.vhd
2
5222
---------------------------------------------------------------------------------- -- Engineer: Noxet && Niklas -- -- Create Date: 14:56:58 09/22/2014 -- Module Name: controller - Behavioral -- Description: -- The Brutus system controller ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity controller is generic ( N : integer := 1 ); port ( clk : in std_logic; rstn : in std_logic; i_fsl_data_recv : in std_logic; i_fsl_hash : in std_logic_vector(127 downto 0); i_comp_eq : in std_logic; -- check if password was found i_sg_done : in std_logic; -- string generator done signal i_sg_string : in std_logic_vector(47 downto 0); -- current potential password i_md5_done : in std_logic; -- done signal from the main MD5 core o_passwd_hash : out std_logic_vector(127 downto 0); -- hash from FSL o_pw_found : out std_logic; -- flag to indicate password found -- o_pw_nfound : out --- o_passwd : out std_logic_vector(47 downto 0); -- password string, send to user o_start_sg_comp : out std_logic; -- start signals to sg and comp o_start_md5 : out std_logic; -- start signal to MD5 cores o_halt_sg : out std_logic; -- halt signal to sg o_demux_sel : out std_logic_vector(N-1 downto 0); -- o_mux_sel : out std_logic_vector(N-1 downto 0) -- select signals to DEMUX/MUX ); end controller; architecture Behavioral of controller is type states is (wait_fsl, calc_md5, wait_md5, comp_md5, send_fsl); signal state_c, state_n : states; signal dm_count_c, dm_count_n : unsigned(N-1 downto 0); -- DEMUX selector counter signal m_count_c, m_count_n : unsigned(N-1 downto 0); -- MUX selector counter type pw_buff_array is array(N-1 downto 0) of std_logic_vector(47 downto 0); signal pw_buff_c, pw_buff_n : pw_buff_array; begin clk_proc: process(clk) begin if rising_edge(clk) then if rstn = '0' then state_c <= wait_fsl; dm_count_c <= (others => '0'); m_count_c <= (others => '0'); pw_buff_c <= (others => (others => '0')); else state_c <= state_n; dm_count_c <= dm_count_n; m_count_c <= m_count_n; pw_buff_c <= pw_buff_n; end if; end if; end process; fsm_proc: process(state_c, i_fsl_data_recv, i_comp_eq, i_sg_done, i_md5_done, i_sg_string, pw_buff_c, m_count_c, dm_count_c) begin -- defaults -- o_start_sg_comp <= '0'; o_start_md5 <= '0'; o_halt_sg <= '0'; dm_count_n <= dm_count_c; m_count_n <= m_count_c; o_passwd <= (others => '0'); o_pw_found <= '0'; pw_buff_n <= pw_buff_c; state_n <= state_c; case state_c is -- KOLLA IFALL SG ÄR FÄRDIG, ISÅFALL HOPPA TILL /DEV/NULL -- when wait_fsl => dm_count_n <= (others => '0'); m_count_n <= (others => '0'); if i_fsl_data_recv = '1' then state_n <= calc_md5; o_start_sg_comp <= '1'; end if; when calc_md5 => o_start_md5 <= '1'; -- start MD5 cores dm_count_n <= dm_count_c + 1; pw_buff_n(to_integer(dm_count_c)) <= i_sg_string; -- buffer the sg passwords if dm_count_c = N-1 then -- should be N-1? CHECK THIS, we now -- halt everything... dm_count_n <= (others => '0'); o_halt_sg <= '1'; -- halt the sg while crunching MD5 hashes state_n <= wait_md5; end if; -- wait for the main MD5 core to be finished when wait_md5 => o_halt_sg <= '1'; -- halt until done if i_md5_done = '1' then state_n <= comp_md5; end if; when comp_md5 => -- rename to a better name -- o_halt_sg <= '1'; -- TEST m_count_n <= m_count_c + 1; if i_comp_eq = '1' then o_passwd <= pw_buff_c(to_integer(m_count_c)); o_pw_found <= '1'; state_n <= wait_fsl; -- back to init state elsif m_count_c = N-1 then m_count_n <= (others => '0'); state_n <= calc_md5; -- if pwd not found, calculate next hash end if; when others => null; end case; end process; -- pass through signal -- o_passwd_hash <= i_fsl_hash; o_demux_sel <= std_logic_vector(dm_count_c); o_mux_sel <= std_logic_vector(m_count_c); end Behavioral;
mit
Madh93/scpu
work/mux2/_primary.vhd
1
445
library verilog; use verilog.vl_types.all; entity mux2 is generic( WIDTH : integer := 8 ); port( d0 : in vl_logic_vector; d1 : in vl_logic_vector; s : in vl_logic; y : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of WIDTH : constant is 1; end mux2;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/d_latch.vhd
1
426
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY d_latch IS PORT(d, clk: IN STD_LOGIC; q: OUT STD_LOGIC); END d_latch; ARCHITECTURE behave OF d_latch IS BEGIN PROCESS(clk, d) BEGIN IF (clk='1') THEN q<=d; END IF; END PROCESS; END behave;
mit
Nooxet/embedded_bruteforce
brutus_system/hdl/system_microblaze_0_ilmb_wrapper.vhd
1
3913
------------------------------------------------------------------------------- -- system_microblaze_0_ilmb_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library lmb_v10_v2_00_b; use lmb_v10_v2_00_b.all; entity system_microblaze_0_ilmb_wrapper is port ( LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; M_ABus : in std_logic_vector(0 to 31); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to 31); M_BE : in std_logic_vector(0 to 3); Sl_DBus : in std_logic_vector(0 to 31); Sl_Ready : in std_logic_vector(0 to 0); Sl_Wait : in std_logic_vector(0 to 0); Sl_UE : in std_logic_vector(0 to 0); Sl_CE : in std_logic_vector(0 to 0); LMB_ABus : out std_logic_vector(0 to 31); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to 31); LMB_WriteDBus : out std_logic_vector(0 to 31); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to 3) ); attribute x_core_info : STRING; attribute x_core_info of system_microblaze_0_ilmb_wrapper : entity is "lmb_v10_v2_00_b"; end system_microblaze_0_ilmb_wrapper; architecture STRUCTURE of system_microblaze_0_ilmb_wrapper is component lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer; C_LMB_AWIDTH : integer; C_LMB_DWIDTH : integer; C_EXT_RESET_HIGH : integer ); port ( LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end component; begin microblaze_0_ilmb : lmb_v10 generic map ( C_LMB_NUM_SLAVES => 1, C_LMB_AWIDTH => 32, C_LMB_DWIDTH => 32, C_EXT_RESET_HIGH => 1 ) port map ( LMB_Clk => LMB_Clk, SYS_Rst => SYS_Rst, LMB_Rst => LMB_Rst, M_ABus => M_ABus, M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, M_AddrStrobe => M_AddrStrobe, M_DBus => M_DBus, M_BE => M_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB_ABus => LMB_ABus, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadDBus => LMB_ReadDBus, LMB_WriteDBus => LMB_WriteDBus, LMB_Ready => LMB_Ready, LMB_Wait => LMB_Wait, LMB_UE => LMB_UE, LMB_CE => LMB_CE, LMB_BE => LMB_BE ); end architecture STRUCTURE;
mit
jhladky/ratload
RAT_CPU/vgaDriverBuffer.vhd
1
2844
-- -- The interface to the VGA driver module. Extended to both read and write -- to the framebuffer (to check the color values of a particular pixel). -- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgaDriverBuffer is Port( CLK, we : in std_logic; wa : in std_logic_vector(10 downto 0); wd : in std_logic_vector(7 downto 0); rout, gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); HS, vs : out std_logic; pixelData : out std_logic_vector(7 downto 0)); end vgaDriverBuffer; architecture Behavioral of vgaDriverBuffer is -- vga driver signals signal ra : std_logic_vector(10 downto 0); signal vgaData : std_logic_vector(7 downto 0); signal fb_wr, vgaclk : std_logic; signal red, green : std_logic_vector(2 downto 0); signal blue : std_logic_vector(1 downto 0); signal row, column : std_logic_vector(9 downto 0); -- Added to read the pixel data at address 'wa' -- pfh, 3/1/2012 signal pixelVal : std_logic_vector(7 downto 0); -- Declare VGA driver components component VGAdrive is Port( clock : in std_logic; -- 25.175 Mhz clock red, green : in std_logic_vector(2 downto 0); blue : in std_logic_vector(1 downto 0); row, column : out std_logic_vector(9 downto 0); -- for current pixel Rout, Gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); H, V : out std_logic); -- VGA drive signals end component; component ram2k_8 is Port( clk, we : in STD_LOGIC; ra, wa : in STD_LOGIC_VECTOR(10 downto 0); wd : in STD_LOGIC_VECTOR(7 downto 0); rd, pixelval: out STD_LOGIC_VECTOR(7 downto 0)); end component; component vga_clk_div is Port( clk : in std_logic; clkout : out std_logic); end component; begin frameBuffer : ram2k_8 port map( clk => clk, --CLK we => we, ra => ra, wa => wa, wd => wd, rd => vgaData, pixelVal => pixelVal); vga_out : VGAdrive port map( clock => vgaclk, red => red, green => green, blue => blue, row => row, column => column, Rout => Rout, Gout => Gout, Bout => Bout, H => HS, V => VS); vga_clk : vga_clk_div port map( clk => CLK, clkout => vgaclk); -- read signals from fb ra <= row (8 downto 4) & column(9 downto 4); red <= vgaData(7 downto 5); green <= vgaData(4 downto 2); blue <= vgaData(1 downto 0); pixelData <= pixelVal; -- returns the pixel data in the framebuffer at address 'wa' end Behavioral;
mit
jhladky/ratload
RAT_CPU/ascii_to_int.vhd
1
810
---------------------------------------------------------------------------------- -- Company: CPE233 -- Engineer: Jacob Hladky ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ascii_to_int is Port( ascii_in : in STD_LOGIC_VECTOR (7 downto 0); int_out : out STD_LOGIC_VECTOR (7 downto 0)); end ascii_to_int; architecture ascii_to_int_a of ascii_to_int is begin process(ascii_in) begin if(ascii_in >= x"30" and ascii_in <= x"39") then int_out <= ascii_in - x"30"; elsif(ascii_in >= x"41" and ascii_in <= x"46") then int_out <= ascii_in - x"41" + 10; else int_out <= ascii_in; end if; end process; end ascii_to_int_a;
mit
jhladky/ratload
RAT_CPU/register_file.vhd
1
1468
---------------------------------------------------------------------------------- -- Company: CPE 233 -- Engineer: Jacob Hladky and Curtis Jonaitis --------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity register_file is Port( FROM_IN_PORT : in STD_LOGIC_VECTOR(7 downto 0); FROM_TRI_STATE : in STD_LOGIC_VECTOR(7 downto 0); FROM_ALU : in STD_LOGIC_VECTOR(7 downto 0); RF_MUX_SEL : in STD_LOGIC_VECTOR(1 downto 0); ADRX, ADRY : in STD_LOGIC_VECTOR(4 downto 0); WE, CLK, DX_OE : in STD_LOGIC; DX_OUT, DY_OUT : out STD_LOGIC_VECTOR(7 downto 0)); end register_file; architecture register_file_a of register_file is TYPE memory is array (0 to 31) of std_logic_vector(7 downto 0); SIGNAL REG: memory := (others=>(others=>'0')); SIGNAL D_IN : STD_LOGIC_VECTOR(7 downto 0); begin with RF_MUX_SEL select D_IN <= FROM_IN_PORT when "00", FROM_TRI_STATE when "01", FROM_ALU when "10", (others => 'X') when others; process(clk, we, d_in) begin if (rising_edge(clk)) then if (WE = '1') then REG(conv_integer(ADRX)) <= D_IN; end if; end if; end process; DX_OUT <= REG(conv_integer(ADRX)) when DX_OE='1' else (others=>'Z'); DY_OUT <= REG(conv_integer(ADRY)); end register_file_a;
mit
Hyvok/HardHeat
sim/resonant_pfd/resonant_pfd_tb.vhd
1
1207
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity resonant_pfd_tb is end entity; architecture rtl of resonant_pfd_tb is -- Clock frequency 100 MHz constant CLK_PERIOD : time := 1 sec / 10e7; -- Reference signal frequency 40 kHz constant REF_PERIOD : time := 1 sec / 40e3; -- Output signal frequency 50 kHz constant SIG_PERIOD : time := 1 sec / 50e3; signal clk : std_logic := '0'; signal reset : std_logic; signal ref : std_logic := '0'; signal sig : std_logic := '0'; begin DUT_inst: entity work.resonant_pfd(rtl) port map ( clk => clk, reset => reset, ref_in => ref, sig_in => sig ); reset <= '1' , '0' after 500 ns; clk_gen: process(clk) begin clk <= not clk after CLK_PERIOD / 2; end process; ref_gen: process(ref) begin ref <= not ref after REF_PERIOD / 2; end process; sig_gen: process(sig) begin sig <= not sig after SIG_PERIOD / 2; end process; end;
mit
Hyvok/HardHeat
src/hardheat_top.vhd
1
7904
library ieee; library work; library altera; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.altera_pll_top_pkg.all; use altera.altera_syn_attributes.all; entity hardheat_top is generic ( -- Number of bits in time-to-digital converter TDC_N : positive := 12; -- Number of bitshifts to left for the filter proportional coefficient FILT_P_SHIFT_N : integer := 0; -- Number of bitshifts to right for the filter integral coefficient FILT_I_SHIFT_N : integer := -5; -- Initial output value from the filter FILT_INIT_OUT_VAL : positive := 2**11 - 1; -- Filter output offset FILT_OUT_OFFSET : natural := 2**21; -- Filter output value clamping limit FILT_OUT_LIM : positive := 2**22; -- Number of bits in the phase accumulator ACCUM_BITS_N : positive := 32; -- Number of bits in the tuning word for the phase accumulator ACCUM_WORD_N : positive := 23; -- Number of bits in the deadtime counter DT_N : positive := 16; -- Amount of deadtime in clock cycles DT_VAL : natural := 100; -- Number of bits in the lock detector "locked" counter LD_LOCK_N : positive := 20; -- Number of bits in the lock detector "unlocked" counter LD_ULOCK_N : positive := 16; -- Phase difference value under which we are considered to be locked LD_LOCK_LIMIT : natural := 100; -- Temperature conversion interval in clock cycles TEMP_CONV_D : natural := 100000000; -- Delay between conversion command and reading in clock cycles TEMP_CONV_CMD_D : natural := 75000000; -- Number of clock cycles for 1us delay for the 1-wire module TEMP_OW_US_D : positive := 100; -- Number of bits in the temperature PWM controller TEMP_PWM_N : positive := 12; -- Minimum PWM level (duty cycle) TEMP_PWM_MIN_LVL : natural := 2**12 / 5; -- Output maximum duty cycle on enable, measured in PWM cycles! TEMP_PWM_EN_ON_D : natural := 100000; -- Number of bitshifts to left for the PID-filter proportional coeff TEMP_P_SHIFT_N : integer := 4; -- Number of bitshifts to right for the PID-filter integral coeff TEMP_I_SHIFT_N : integer := -11; -- PID input offset applied to the temperature sensor output TEMP_SETPOINT : integer := 320; DEBOUNCE_D : natural := 1000000; DEBOUNCE_FF_N : natural := 5 ); port ( clk_in : in std_logic; reset_in : in std_logic; ref_in : in std_logic; sig_in : in std_logic; ow_in : in std_logic; mod_lvl_in : in std_logic_vector(2 downto 0); ow_out : out std_logic; ow_pullup_out : out std_logic; sig_lh_out : out std_logic; sig_ll_out : out std_logic; sig_rh_out : out std_logic; sig_rl_out : out std_logic; lock_out : out std_logic; pwm_out : out std_logic; temp_err_out : out std_logic ); end entity; architecture rtl_top of hardheat_top is attribute noprune : boolean; attribute preserve : boolean; attribute keep : boolean; signal clk : std_logic; attribute noprune of clk : signal is true; attribute keep of clk : signal is true; signal temp : signed(16 - 1 downto 0); signal temp_f : std_logic; attribute keep of temp : signal is true; attribute keep of temp_f : signal is true; attribute noprune of temp : signal is true; attribute noprune of temp_f : signal is true; attribute preserve of temp : signal is true; signal pll_clk : std_logic; signal pll_locked : std_logic; signal reset : std_logic; signal mod_lvl : std_logic_vector(mod_lvl_in'range); signal mod_lvl_f : std_logic; signal debounced_sws : std_logic_vector(mod_lvl_in'range); begin -- Main clock from PLL on the SoCkit board pll_p: altera_pll_top port map ( refclk => clk_in, rst => not reset_in, outclk_0 => pll_clk, locked => pll_locked ); clk <= pll_clk; reset <= not pll_locked; -- Read modulation level state from switches, debounce debouncing_p: for i in 0 to mod_lvl_in'high generate debouncer_p: entity work.debounce(rtl) generic map ( DEBOUNCE_D => DEBOUNCE_D, FLIPFLOPS_N => DEBOUNCE_FF_N ) port map ( clk => clk, reset => reset, sig_in => mod_lvl_in(i), sig_out => debounced_sws(i) ); end generate; -- Change modulation level when debounced modulation level changes mod_lvl_p: process(clk, reset) variable state : std_logic_vector(mod_lvl_in'high downto 0); begin if reset = '1' then state := (others => '1'); mod_lvl <= state; mod_lvl_f <= '0'; elsif rising_edge(clk) then mod_lvl_f <= '0'; if not debounced_sws = state then state := debounced_sws; mod_lvl <= state; mod_lvl_f <= '1'; end if; end if; end process; -- TODO: Sig is internally connected! hardheat_p: entity work.hardheat(rtl) generic map ( TDC_N => TDC_N, FILT_P_SHIFT_N => FILT_P_SHIFT_N, FILT_I_SHIFT_N => FILT_I_SHIFT_N, FILT_INIT_OUT_VAL => FILT_INIT_OUT_VAL, FILT_OUT_OFFSET => FILT_OUT_OFFSET, FILT_OUT_LIM => FILT_OUT_LIM, ACCUM_BITS_N => ACCUM_BITS_N, ACCUM_WORD_N => ACCUM_WORD_N, LD_LOCK_N => LD_LOCK_N, LD_ULOCK_N => LD_ULOCK_N, LD_LOCK_LIMIT => LD_LOCK_LIMIT, DT_N => DT_N, DT_VAL => DT_VAL, TEMP_CONV_D => TEMP_CONV_D, TEMP_CONV_CMD_D => TEMP_CONV_CMD_D, TEMP_OW_US_D => TEMP_OW_US_D, TEMP_PWM_N => TEMP_PWM_N, TEMP_PWM_MIN_LVL => TEMP_PWM_MIN_LVL, TEMP_PWM_EN_ON_D => TEMP_PWM_EN_ON_D, TEMP_P_SHIFT_N => TEMP_P_SHIFT_N, TEMP_I_SHIFT_N => TEMP_I_SHIFT_N, TEMP_SETPOINT => TEMP_SETPOINT ) port map ( clk => clk, reset => reset, ref_in => ref_in, sig_in => sig_in, mod_lvl_in => unsigned(mod_lvl), mod_lvl_in_f => mod_lvl_f, sig_lh_out => sig_lh_out, sig_ll_out => sig_ll_out, sig_rh_out => sig_rh_out, sig_rl_out => sig_rl_out, lock_out => lock_out, ow_in => ow_in, ow_out => ow_out, ow_pullup_out => ow_pullup_out, temp_out => temp, temp_out_f => temp_f, temp_err_out => temp_err_out, pwm_out => pwm_out ); end;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/main_sm.vhd
2
9486
---------------------------------------------------------------------------------- --This is the main state machine of the serdes FPGA --it generates the appropriate command ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity main_sm is port( clk_spi : in std_logic; reset : in std_logic; miso_reg : in std_logic_vector(15 downto 0); data_lclkin : in std_logic; --this the signal that signal's end of a SPI command. spi_start_o : out std_logic; command_o : out std_logic_vector(15 downto 0); hsync_o : out std_logic ); end main_sm; architecture Behavioral of main_sm is --state machine type master_sm_type is (IDLE, REGCONF, ADCCONF, ACQ); signal master_sm, master_sm_next : master_sm_type; type hsync_sm_type is (IDLE, CH0); signal hsync_state, hsync_state_next : hsync_sm_type; --signals signal sm_cnt, sm_cnt_next : unsigned(5 downto 0); signal cmd, cmd_next : std_logic_vector(15 downto 0); signal cmd_d1, cmd_d2 : std_logic_vector(7 downto 0); --this is the delay version of command. currently only use for checking the configurations signal spi_start, spi_start_next : std_logic; signal verify_cnt, verify_cnt_next : unsigned(5 downto 0); signal hsync_cnt, hsync_cnt_next : unsigned(4 downto 0); signal hsync, hsync_next : std_logic; --a bank of all the configuration values type rom_type is array ( 0 to 21) of std_logic_vector(7 downto 0); type dummyrom_type is array (0 to 3) of std_logic_vector(15 downto 0); constant CONVERT: std_logic_vector(1 downto 0) := "00"; constant CALIB: std_logic_vector(15 downto 0) := "0101010100000000"; constant CLEAR: std_logic_vector(15 downto 0) := "0110101000000000"; constant WRITEREG: std_logic_vector(1 downto 0) := "10"; constant READREG: std_logic_vector(1 downto 0) := "11"; constant NO_CONF_REG : integer := 21; --17 for 32 channel constant DUMMY_ROM : dummyrom_type := ( "11" & std_logic_vector(to_unsigned(40,6)) & "00000000", "11" & std_logic_vector(to_unsigned(41,6)) & "00000000", "11" & std_logic_vector(to_unsigned(42,6)) & "00000000", "11" & std_logic_vector(to_unsigned(43,6)) & "00000000" ); --generate command --command <= "11" & std_logic_vector(to_unsigned(41,6)) & "00000000"; --read from 40 to 44 registers --configuration sequence -- 7654 3210 --R0 0x80DE "1101 1110" --R1 0x8102 "0000 0010" -ADC buffer bias, 2 for >700 KS/s sampling rate. --R2 0x8204 "0000 0100" -MUX bias 4 for >700 KS/s sampling rate --R3 0x8302 "0000 0010" -digital out HiZ --R4 0x845F "0101 1111" -MISO pull to highZ when CS is pulled high. twocomp. no absmode, DSP offset remove, k_freq = 0.000004857Hz --R5 0x8500 "0000 0000" -disable impedance check --R6 0x8600 "0000 0000" -disable impedance check DAC --R7 0x8700 "0000 0000" -disable impedance check amplifier --R8 0x8811 "0001 0001" -RH1 DAC1: 17 upper cutoff 10KHz --R9 0x8980 "1000 0000" -RH1 DAC2: 0 --R10 0x8A10 "0001 0000" -RH2 DAC1: 16 --R11 0x8B80 "1000 0000" -RH2 DAC2: 0 --R12 0x8C10 "0001 0000" -RL DAC1 --R13 0x8DDC "1101 1100" -RL DAC2:28 DAC3:1 cutoff: 0.1HZ??????????????????????? confirm --R14 0x8EFF "1111 1111" --R15 0x8FFF "1111 1111" --R16 0x90FF "1111 1111" --R17 0x91FF "1111 1111" --for 64 channels --R18 0x8EFF "1111 1111" --R19 0x8FFF "1111 1111" --R20 0x90FF "1111 1111" --R21 0x91FF "1111 1111" constant CONFIG_ROM : rom_type := ( -- 76543210 "11011110", --0x80DE "00000010", --0x8102 "00000100", --0x8204 "00000010", --0x8302 "00011111", --0x845F "00000000", --0x8500 "00000000", --0x8600 "00000000", --0x8700 "00010001", --0x8811 "10000000", --0x8980 "00010000", --0x8A10 "10000000", --0x8B80 "00010000", --0x8C10 "11011100", --0x8DDC "11111111", --0x8EFF "11111111", --0x8FFF "11111111", --0x90FF "11111111", "11111111", --0x8EFF "11111111", --0x8FFF "11111111", --0x90FF "11111111"); --0x91FF begin --signal mapping command_o <= cmd; spi_start_o <= spi_start; hsync_o <= hsync; --delay the cmd output with data_lclk delay_cmd_prc : process(data_lclkin, clk_spi, reset, cmd_d1) begin if (reset = '1') then cmd_d1 <= (others=>'0'); cmd_d2 <= (others=>'0'); elsif (rising_edge(clk_spi)) then if data_lclkin = '1' then cmd_d1 <= cmd(7 downto 0); cmd_d2 <= cmd_d1; else cmd_d1 <= cmd_d1; cmd_d2 <= cmd_d2; end if; end if; end process; --Main state machine main_proc: process(clk_spi, reset) begin if (reset = '1') then master_sm <= IDLE; sm_cnt <= (others=>'0'); cmd <= (others=>'0'); verify_cnt <= (others=>'0'); spi_start <= '0'; elsif (rising_edge(clk_spi)) then --next state logic master_sm <= master_sm_next; sm_cnt <= sm_cnt_next; cmd <= cmd_next; verify_cnt <= verify_cnt_next; spi_start <= spi_start_next; end if; end process; --next state logic main_proc_next: process(data_lclkin, sm_cnt, master_sm, cmd, cmd_d2, miso_reg, verify_cnt) begin case master_sm is when IDLE => master_sm_next <= REGCONF; spi_start_next <= '1'; sm_cnt_next <= sm_cnt + 1; cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt)); verify_cnt_next <= (others=>'0'); when REGCONF => --go through all the configuration registers (generate command, and spi_start signal, look for data_lclkin before moving to the next state) if data_lclkin = '1' then if sm_cnt <= 2 then sm_cnt_next <= sm_cnt + 1; master_sm_next <= REGCONF; cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt)); verify_cnt_next <= verify_cnt; spi_start_next <= '1'; elsif sm_cnt <= NO_CONF_REG and sm_cnt > 2 then sm_cnt_next <= sm_cnt + 1; master_sm_next <= REGCONF; cmd_next <= WRITEREG & std_logic_vector(sm_cnt) & CONFIG_ROM(to_integer(sm_cnt)); if miso_reg(7 downto 0) = cmd_d2(7 downto 0) then verify_cnt_next <= verify_cnt + 1; else verify_cnt_next <= verify_cnt; end if; spi_start_next <= '1'; elsif sm_cnt > NO_CONF_REG and sm_cnt <= (NO_CONF_REG + 3) then --this is the last of the verification period sm_cnt_next <= sm_cnt + 1; master_sm_next <= REGCONF; cmd_next <= (others=>'0'); if miso_reg(7 downto 0) = cmd_d2(7 downto 0) then verify_cnt_next <= verify_cnt + 1; else verify_cnt_next <= verify_cnt; end if; spi_start_next <= '1'; else --when sm_cnt > 20 if verify_cnt = 22 then master_sm_next <= ADCCONF; sm_cnt_next <= (others=>'0'); spi_start_next <= '1'; cmd_next <= CALIB; --initiate the calibration command else --otherwise stuck in REGCONF master_sm_next <= REGCONF; --debug change sm_cnt_next <= sm_cnt; spi_start_next <= '0'; cmd_next <= (others=>'0'); end if; verify_cnt_next <= verify_cnt; end if; else sm_cnt_next <= sm_cnt; spi_start_next <= '0'; master_sm_next <= master_sm; cmd_next <= cmd; verify_cnt_next <= verify_cnt; end if; when ADCCONF => if data_lclkin = '1' then if sm_cnt <= 50 then --9 sm_cnt_next <= sm_cnt + 1; master_sm_next <= ADCCONF; cmd_next <= DUMMY_ROM(0); else sm_cnt_next <= (others=>'0'); master_sm_next <= ACQ; cmd_next <= cmd; end if; spi_start_next <= '1'; else sm_cnt_next <= sm_cnt; spi_start_next <= '0'; --debug master_sm_next <= master_sm; cmd_next <= cmd; end if; verify_cnt_next <= verify_cnt; when ACQ => if data_lclkin = '1' then if sm_cnt >= 34 then --reset channel count back to 0 sm_cnt_next <= (others=>'0'); else sm_cnt_next <= sm_cnt + 1; end if; cmd_next <= "00" & std_logic_vector(sm_cnt) & "00000000"; --cmd_next <= "11" & std_logic_vector(to_unsigned(59,6)) & "00000000"; --read from 40 to 44 registers --read for INTAN spi_start_next <= '1'; else sm_cnt_next <= sm_cnt; spi_start_next <= '0'; cmd_next <= cmd; end if; master_sm_next <= ACQ; verify_cnt_next <= verify_cnt; end case; end process; --one shot hsync for channel 0 one_shot_hsync : process(clk_spi, reset) begin if (reset = '1') then hsync_state <= IDLE; hsync_cnt <= (others=>'0'); hsync <= '0'; elsif (rising_edge(clk_spi)) then hsync_state <= hsync_state_next; hsync_cnt <= hsync_cnt_next; hsync <= hsync_next; end if; end process; --hsync one_shot_next_proc : process(master_sm, hsync_state, sm_cnt, hsync_cnt, data_lclkin) begin case hsync_state is when IDLE => if master_sm = ACQ and sm_cnt = 1 and data_lclkin = '1' then --go to the CH0 state hsync_state_next <= CH0; hsync_next <= '1'; else hsync_state_next <= IDLE ; hsync_next <= '0'; end if; hsync_cnt_next <= (others=>'0'); when CH0 => if hsync_cnt >= 10 then hsync_state_next <= IDLE; hsync_cnt_next <= (others=>'0'); hsync_next <= '0'; else hsync_state_next <= CH0; hsync_cnt_next <= hsync_cnt + 1; hsync_next <= '1'; end if; end case; end process; end Behavioral;
mit
Hyvok/HardHeat
quartus/altera_pll_top.vhd
1
17629
-- megafunction wizard: %Altera PLL v15.0% -- GENERATION: XML -- altera_pll_top.vhd -- Generated using ACDS version 15.0 145 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity altera_pll_top is port ( refclk : in std_logic := '0'; -- refclk.clk rst : in std_logic := '0'; -- reset.reset outclk_0 : out std_logic; -- outclk0.clk locked : out std_logic -- locked.export ); end entity altera_pll_top; architecture rtl of altera_pll_top is component altera_pll_top_0002 is port ( refclk : in std_logic := 'X'; -- clk rst : in std_logic := 'X'; -- reset outclk_0 : out std_logic; -- clk locked : out std_logic -- export ); end component altera_pll_top_0002; begin altera_pll_top_inst : component altera_pll_top_0002 port map ( refclk => refclk, -- refclk.clk rst => rst, -- reset.reset outclk_0 => outclk_0, -- outclk0.clk locked => locked -- locked.export ); end architecture rtl; -- of altera_pll_top -- Retrieval info: <?xml version="1.0"?> --<!-- -- Generated by Altera MegaWizard Launcher Utility version 1.0 -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2015 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. ----> -- Retrieval info: <instance entity-name="altera_pll" version="15.0" > -- Retrieval info: <generic name="debug_print_output" value="false" /> -- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" /> -- Retrieval info: <generic name="device_family" value="Cyclone V" /> -- Retrieval info: <generic name="device" value="Unknown" /> -- Retrieval info: <generic name="gui_device_speed_grade" value="1" /> -- Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" /> -- Retrieval info: <generic name="gui_reference_clock_frequency" value="50.0" /> -- Retrieval info: <generic name="gui_channel_spacing" value="0.0" /> -- Retrieval info: <generic name="gui_operation_mode" value="direct" /> -- Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" /> -- Retrieval info: <generic name="gui_fractional_cout" value="32" /> -- Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" /> -- Retrieval info: <generic name="gui_use_locked" value="true" /> -- Retrieval info: <generic name="gui_en_adv_params" value="false" /> -- Retrieval info: <generic name="gui_number_of_clocks" value="1" /> -- Retrieval info: <generic name="gui_multiply_factor" value="1" /> -- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" /> -- Retrieval info: <generic name="gui_divide_factor_n" value="1" /> -- Retrieval info: <generic name="gui_cascade_counter0" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency0" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c0" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units0" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift0" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift0" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle0" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter1" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency1" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c1" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units1" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift1" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg1" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift1" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle1" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter2" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency2" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c2" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units2" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift2" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg2" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift2" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle2" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter3" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency3" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c3" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units3" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift3" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift3" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle3" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter4" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency4" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c4" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units4" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift4" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift4" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle4" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter5" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c5" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units5" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift5" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift5" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle5" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter6" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c6" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units6" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift6" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift6" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle6" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter7" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c7" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units7" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift7" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift7" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle7" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter8" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c8" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units8" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift8" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift8" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle8" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter9" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c9" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units9" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift9" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift9" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle9" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter10" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c10" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units10" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift10" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift10" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle10" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter11" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c11" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units11" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift11" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift11" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle11" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter12" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c12" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units12" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift12" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift12" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle12" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter13" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c13" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units13" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift13" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift13" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle13" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter14" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c14" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units14" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift14" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift14" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle14" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter15" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c15" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units15" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift15" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift15" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle15" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter16" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c16" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units16" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift16" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift16" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle16" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter17" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c17" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units17" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift17" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift17" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle17" value="50" /> -- Retrieval info: <generic name="gui_pll_auto_reset" value="Off" /> -- Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" /> -- Retrieval info: <generic name="gui_en_reconf" value="false" /> -- Retrieval info: <generic name="gui_en_dps_ports" value="false" /> -- Retrieval info: <generic name="gui_en_phout_ports" value="false" /> -- Retrieval info: <generic name="gui_phout_division" value="1" /> -- Retrieval info: <generic name="gui_mif_generate" value="false" /> -- Retrieval info: <generic name="gui_enable_mif_dps" value="false" /> -- Retrieval info: <generic name="gui_dps_cntr" value="C0" /> -- Retrieval info: <generic name="gui_dps_num" value="1" /> -- Retrieval info: <generic name="gui_dps_dir" value="Positive" /> -- Retrieval info: <generic name="gui_refclk_switch" value="false" /> -- Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" /> -- Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" /> -- Retrieval info: <generic name="gui_switchover_delay" value="0" /> -- Retrieval info: <generic name="gui_active_clk" value="false" /> -- Retrieval info: <generic name="gui_clk_bad" value="false" /> -- Retrieval info: <generic name="gui_enable_cascade_out" value="false" /> -- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" /> -- Retrieval info: <generic name="gui_enable_cascade_in" value="false" /> -- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" /> -- Retrieval info: </instance> -- IPFS_FILES : altera_pll_top.vho -- RELATED_FILES: altera_pll_top.vhd, altera_pll_top_0002.v
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/ipcore_dir/pll/simulation/timing/pll_tb.vhd
2
7391
-- file: pll_tb.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard demonstration testbench ------------------------------------------------------------------------------ -- This demonstration testbench instantiates the example design for the -- clocking wizard. Input clocks are toggled, which cause the clocking -- network to lock and the counters to increment. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; library std; use std.textio.all; library work; use work.all; entity pll_tb is end pll_tb; architecture test of pll_tb is -- Clock to Q delay of 100 ps constant TCQ : time := 100 ps; -- timescale is 1ps constant ONE_NS : time := 1 ns; -- how many cycles to run constant COUNT_PHASE : integer := 1024 + 1; -- we'll be using the period in many locations constant PER1 : time := 10.0 ns; -- Declare the input clock signals signal CLK_IN1 : std_logic := '1'; -- The high bit of the sampling counter signal COUNT : std_logic; -- Status and control signals signal RESET : std_logic := '0'; signal LOCKED : std_logic; signal COUNTER_RESET : std_logic := '0'; signal timeout_counter : std_logic_vector (13 downto 0) := (others => '0'); -- signal defined to stop mti simulation without severity failure in the report signal end_of_sim : std_logic := '0'; signal CLK_OUT : std_logic_vector(1 downto 1); --Freq Check using the M & D values setting and actual Frequency generated component pll_exdes port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(1 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end component; begin -- Input clock generation -------------------------------------- process begin CLK_IN1 <= not CLK_IN1; wait for (PER1/2); end process; -- Test sequence process procedure simtimeprint is variable outline : line; begin write(outline, string'("## SYSTEM_CYCLE_COUNTER ")); write(outline, NOW/PER1); write(outline, string'(" ns")); writeline(output,outline); end simtimeprint; procedure simfreqprint (period : time; clk_num : integer) is variable outputline : LINE; variable str1 : string(1 to 16); variable str2 : integer; variable str3 : string(1 to 2); variable str4 : integer; variable str5 : string(1 to 4); begin str1 := "Freq of CLK_OUT("; str2 := clk_num; str3 := ") "; str4 := 1000000 ps/period ; str5 := " MHz" ; write(outputline, str1 ); write(outputline, str2); write(outputline, str3); write(outputline, str4); write(outputline, str5); writeline(output, outputline); end simfreqprint; begin report "Timing checks are not valid" severity note; RESET <= '1'; wait for (PER1*6); RESET <= '0'; wait until LOCKED = '1'; wait for (PER1*20); COUNTER_RESET <= '1'; wait for (PER1*19.5); COUNTER_RESET <= '0'; wait for (PER1*1); report "Timing checks are valid" severity note; wait for (PER1*COUNT_PHASE); simtimeprint; end_of_sim <= '1'; wait for 1 ps; report "Simulation Stopped." severity failure; wait; end process; process (CLK_IN1) procedure simtimeprint is variable outline : line; begin write(outline, string'("## SYSTEM_CYCLE_COUNTER ")); write(outline, NOW/PER1); write(outline, string'(" ns")); writeline(output,outline); end simtimeprint; begin if (CLK_IN1'event and CLK_IN1='1') then timeout_counter <= timeout_counter + '1'; if (timeout_counter = "10000000000000") then if (LOCKED /= '1') then simtimeprint; report "NO LOCK signal" severity failure; end if; end if; end if; end process; -- Instantiation of the example design containing the clock -- network and sampling counters ----------------------------------------------------------- dut : pll_exdes port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Reset for logic in example design COUNTER_RESET => COUNTER_RESET, CLK_OUT => CLK_OUT, -- High bits of the counters COUNT => COUNT, -- Status and control signals RESET => RESET, LOCKED => LOCKED); -- Freq Check end test;
mit
Hyvok/HardHeat
src/ds18b20.vhd
1
6113
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.utils_pkg.all; entity ds18b20 is generic ( -- Conversion delay in clock cycles CONV_DELAY_VAL : natural ); port ( clk : in std_logic; reset : in std_logic; -- Request temperature conv_in_f : in std_logic; -- Connections to 1-wire module data_in : in std_logic_vector(8 - 1 downto 0); data_in_f : in std_logic; busy_in : in std_logic; error_in : in std_logic; error_id_in : in unsigned(1 downto 0); crc_in : in std_logic_vector(8 - 1 downto 0); reset_ow_out : out std_logic; data_out : out std_logic_vector(8 - 1 downto 0); data_out_f : out std_logic; receive_data_out_f : out std_logic; -- Temperature output and associated strobe temp_out : out signed(16 - 1 downto 0); temp_out_f : out std_logic; temp_error_out : out std_logic; pullup_out : out std_logic ); end entity; architecture rtl of ds18b20 is constant DS18B20_ROM_CMD : std_logic_vector(8 - 1 downto 0) := x"CC"; constant DS18B20_CONV_CMD : std_logic_vector(8 - 1 downto 0) := x"44"; constant DS18B20_READ_CMD : std_logic_vector(8 - 1 downto 0) := x"BE"; begin handler_p: process(clk, reset) type ds18b20_state is (idle, wait_busy, reset_ow, reset_error, rom_cmd, conv_cmd, conv_delay, read_cmd, start_read, read_byte); type data_array is array (9 - 1 downto 0) of std_logic_vector(8 - 1 downto 0); variable state : ds18b20_state; variable next_state : ds18b20_state; variable next_cmd : ds18b20_state; variable data : data_array; variable bytes_left : unsigned(ceil_log2(data_in'length) downto 0); variable busy_state : std_logic; variable timer : unsigned(ceil_log2(CONV_DELAY_VAL) downto 0); begin if reset = '1' then state := idle; next_state := idle; next_cmd := conv_cmd; reset_ow_out <= '0'; busy_state := '0'; data := (others => (others => '0')); bytes_left := (others => '0'); timer := (others => '0'); receive_data_out_f <= '0'; data_out <= (others => '0'); data_out_f <= '0'; temp_out <= (others => '0'); temp_out_f <= '0'; temp_error_out <= '0'; pullup_out <= '1'; elsif rising_edge(clk) then if state = idle then temp_out_f <= '0'; if conv_in_f = '1' then reset_ow_out <= '1'; state := reset_ow; end if; elsif state = wait_busy then data_out_f <= '0'; if not busy_state = busy_in and busy_in = '0' then state := next_state; end if; busy_state := busy_in; elsif state = reset_ow then bytes_left := to_unsigned(data'length, bytes_left'length); reset_ow_out <= '0'; -- Reset error flag temp_error_out <= '0'; pullup_out <= '1'; state := wait_busy; next_state := reset_error; elsif state = reset_error then -- No device present on the bus, stop and go back to idle if error_in = '1' and error_id_in = 1 then temp_error_out <= '1'; state := idle; else state := rom_cmd; end if; elsif state = rom_cmd then data_out <= DS18B20_ROM_CMD; data_out_f <= '1'; state := wait_busy; next_state := next_cmd; elsif state = conv_cmd then data_out <= DS18B20_CONV_CMD; data_out_f <= '1'; state := wait_busy; next_state := conv_delay; elsif state = conv_delay then data_out_f <= '0'; pullup_out <= '0'; if timer < CONV_DELAY_VAL then timer := timer + 1; else timer := (others => '0'); next_cmd := read_cmd; reset_ow_out <= '1'; state := reset_ow; end if; elsif state = read_cmd then data_out <= DS18B20_READ_CMD; data_out_f <= '1'; state := wait_busy; next_cmd := conv_cmd; next_state := start_read; elsif state = start_read then receive_data_out_f <= '1'; state := read_byte; elsif state = read_byte then receive_data_out_f <= '0'; if data_in_f = '1' then data(data'length - to_integer(bytes_left)) := data_in; bytes_left := bytes_left - 1; if bytes_left = 0 then -- If CRC is valid if crc_in = x"00" then state := idle; temp_out <= signed(std_logic_vector'( data(1) & data(0))); temp_out_f <= '1'; else state := idle; temp_error_out <= '1'; end if; else state := start_read; end if; end if; end if; end if; end process; end;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/data_split.vhd
3
6099
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:56:40 05/16/2017 -- Design Name: -- Module Name: data_split - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity data_split is port( pclk : in std_logic; reset : in std_logic; vsync : in std_logic; din : in std_logic_vector(7 downto 0); stream1_o : out std_logic_vector(15 downto 0); stream2_o : out std_logic_vector(15 downto 0); stream3_o : out std_logic_vector(15 downto 0); stream4_o : out std_logic_vector(15 downto 0); vsync_pcie_o : out std_logic ); end data_split; architecture Behavioral of data_split is type split_state_type is (IDLE, S1MSB, S1LSB, S2MSB, S2LSB, S3MSB, S3LSB, S4MSB, S4LSB, LATCHDATA, WAITLOW); --state machine definition signal split_state, split_state_next : split_state_type; signal stream1, stream1_next: std_logic_vector(15 downto 0); signal stream2, stream2_next: std_logic_vector(15 downto 0); signal stream3, stream3_next: std_logic_vector(15 downto 0); signal stream4, stream4_next: std_logic_vector(15 downto 0); signal stream1_masked : std_logic_vector(15 downto 0); signal stream2_masked : std_logic_vector(15 downto 0); signal stream3_masked : std_logic_vector(15 downto 0); signal stream4_masked : std_logic_vector(15 downto 0); signal vsync_pcie : std_logic; begin --signal mapping vsync_pcie_o <= vsync_pcie; stream1_o <= stream1_masked; stream2_o <= stream2_masked; stream3_o <= stream3_masked; stream4_o <= stream4_masked; --vsync triggers the data spliting process process(reset, split_state, pclk, stream1, stream2, stream3, stream4, stream1_masked, stream2_masked, stream3_masked, stream3_masked) begin if (reset='1') then split_state <= IDLE; stream1 <= (others=>'0'); stream2 <= (others=>'0'); stream3 <= (others=>'0'); stream4 <= (others=>'0'); stream1_masked <= (others=>'0'); stream2_masked <= (others=>'0'); stream3_masked <= (others=>'0'); stream4_masked <= (others=>'0'); vsync_pcie <= '0'; elsif (rising_edge(pclk)) then split_state <= split_state_next; stream1 <= stream1_next; stream2 <= stream2_next; stream3 <= stream3_next; stream4 <= stream4_next; if split_state = WAITLOW then vsync_pcie <= '1'; else vsync_pcie <= '0'; end if; if split_state = LATCHDATA then stream1_masked <= stream1; stream2_masked <= stream2; stream3_masked <= stream3; stream4_masked <= stream4; else stream1_masked <= stream1_masked; stream2_masked <= stream2_masked; stream3_masked <= stream3_masked; stream4_masked <= stream4_masked; end if; end if; end process; --next process process(reset, split_state, vsync, pclk, stream1, stream2, stream3, stream4, din) begin case split_state is when IDLE => if (vsync = '1') then split_state_next <= S1MSB; stream1_next(15 downto 8) <= din; stream1_next(7 downto 0) <= stream1(7 downto 0); else split_state_next <= IDLE; stream1_next <= stream1; end if; --2,3,4 unchanged stream2_next <= stream2; stream3_next <= stream3; stream4_next <= stream4; when S1MSB => stream1_next(15 downto 8) <= stream1(15 downto 8); stream1_next(7 downto 0) <= din; split_state_next <= S1LSB; --2,3,4 unchanged stream2_next <= stream2; stream3_next <= stream3; stream4_next <= stream4; when S1LSB => split_state_next <= S2MSB; --2 MSB stream2_next(15 downto 8) <= din; stream2_next(7 downto 0) <= stream2(7 downto 0); --1,3,4 unchanged stream1_next <= stream1; stream3_next <= stream3; stream4_next <= stream4; when S2MSB => split_state_next <= S2LSB; --2 LSB stream2_next(15 downto 8) <= stream2(15 downto 8); stream2_next(7 downto 0) <= din; --1,3,4 unchanged stream1_next <= stream1; stream3_next <= stream3; stream4_next <= stream4; when S2LSB => split_state_next <= S3MSB; --3 MSB stream3_next(15 downto 8) <= din; stream3_next(7 downto 0) <= stream3(7 downto 0); --1,2,4 unchanged stream1_next <= stream1; stream2_next <= stream2; stream4_next <= stream4; when S3MSB => split_state_next <= S3LSB; --3 LSB stream3_next(15 downto 8) <= stream3(15 downto 8); stream3_next(7 downto 0) <= din; --1,2,4 unchanged stream1_next <= stream1; stream2_next <= stream2; stream4_next <= stream4; when S3LSB => split_state_next <= S4MSB; --4 MSB stream4_next(15 downto 8) <= din; stream4_next(7 downto 0) <= stream4(7 downto 0); --1,2,3 unchanged stream1_next <= stream1; stream2_next <= stream2; stream3_next <= stream3; when S4MSB => split_state_next <= S4LSB; --4 LSB stream4_next(15 downto 8) <= stream4(15 downto 8); stream4_next(7 downto 0) <= din; --1,2,3 unchanged stream1_next <= stream1; stream2_next <= stream2; stream3_next <= stream3; when S4LSB => split_state_next <= LATCHDATA; stream1_next <= stream1; stream2_next <= stream2; stream3_next <= stream3; stream4_next <= stream4; when LATCHDATA => stream1_next <= stream1; split_state_next <= WAITLOW; stream1_next <= stream1; stream2_next <= stream2; stream3_next <= stream3; stream4_next <= stream4; when WAITLOW => if (vsync = '0') then split_state_next <= IDLE; else split_state_next <= WAITLOW; end if; stream1_next <= stream1; stream2_next <= stream2; stream3_next <= stream3; stream4_next <= stream4; end case; end process; end Behavioral;
mit
Hyvok/HardHeat
sim/adpll/adpll_tb.vhd
1
1381
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adpll_tb is end entity; architecture rtl of adpll_tb is -- Clock frequency 100 MHz constant CLK_PERIOD : time := 1 sec / 10e7; -- Reference signal frequency 50 kHz constant REF_PERIOD : time := 1 sec / 90e3; signal clk : std_logic := '0'; signal reset : std_logic; signal ref : std_logic := '0'; begin DUT_inst: entity work.adpll(rtl) generic map ( TDC_N => 13, FILT_P_SHIFT_N => 0, FILT_I_SHIFT_N => -5, ACCUM_BITS_N => 32, ACCUM_WORD_N => 23, FILT_INIT_OUT_VAL => 2**11, FILT_OUT_OFFSET => 2**21, FILT_OUT_LIMIT => 2**22, LD_LOCK_N => 20, LD_ULOCK_N => 16, LD_LOCK_LIMIT => 100 ) port map ( clk => clk, reset => reset, ref_in => ref ); reset <= '1', '0' after 500 ns; clk_gen: process(clk) begin clk <= not clk after CLK_PERIOD / 2; end process; ref_gen: process(ref) begin ref <= not ref after REF_PERIOD / 2; end process; end;
mit
jz0229/open-ephys-pcie
oepcie_host_firmware/HDLs/TB_hs_com_control.vhd
1
2083
-------------------------------------------------------------------------------- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; use work.myDeclare.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TB_hs_com_control IS END TB_hs_com_control; ARCHITECTURE behavior OF TB_hs_com_control IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT hs_com_control PORT( bus_clk : IN std_logic; global_reset : IN std_logic; hs_com_fifo_data : OUT std_logic_vector(31 downto 0); dev_reset_in : in std_logic; hs_com_fifo_enb : OUT std_logic ); END COMPONENT; --Inputs signal bus_clk : std_logic := '0'; signal global_reset : std_logic := '0'; signal dev_reset_in : std_logic := '0'; --Outputs signal hs_com_fifo_data : std_logic_vector(31 downto 0); signal hs_com_fifo_enb : std_logic; -- Clock period definitions constant bus_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: hs_com_control PORT MAP ( bus_clk => bus_clk, global_reset => global_reset, dev_reset_in => dev_reset_in, hs_com_fifo_data => hs_com_fifo_data, hs_com_fifo_enb => hs_com_fifo_enb ); -- Clock process definitions bus_clk_process :process begin bus_clk <= '0'; wait for bus_clk_period/2; bus_clk <= '1'; wait for bus_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. global_reset <= '1'; dev_reset_in <= '1'; wait for 100 ns; global_reset <= '0'; dev_reset_in <= '0'; wait for 5 ms; global_reset <= '1'; wait for 100 ns; global_reset <= '0'; wait; end process; END;
mit
Hyvok/HardHeat
sim/pwr_sequencer/pwr_sequencer_tb.vhd
1
3166
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pwr_sequencer_tb is generic ( LEVELS_N : natural := 3; TEST_D : natural := 10000 ); end entity; architecture rtl of pwr_sequencer_tb is -- Main clock frequency 100 MHz constant CLK_PERIOD : time := 1 sec / 10e7; signal clk : std_logic := '0'; signal reset : std_logic; signal main_pwr_en : std_logic; signal main_pwr_fail : std_logic; signal start : std_logic; signal fail : std_logic_vector(LEVELS_N - 1 downto 0); signal enable : std_logic_vector(LEVELS_N - 1 downto 0); begin reset <= '1', '0' after 500 ns; clk_gen: process(clk) begin clk <= not clk after CLK_PERIOD / 2; end process; DUT_inst: entity work.pwr_sequencer(rtl) generic map ( LEVELS_N => LEVELS_N ) port map ( clk => clk, reset => reset, start_in => start, fail_in => fail, en_out => enable, main_pwr_en_out => main_pwr_en, main_pwr_fail_out => main_pwr_fail ); fail_gen: process(clk, reset) type state_t is (idle, delay, power_on, cause_fail); variable state : state_t; variable timer : natural; variable cur_level : natural; begin if reset = '1' then state := idle; timer := 0; fail <= (others => '1'); cur_level := 0; start <= '0'; elsif rising_edge(clk) then if state = idle then start <= '1'; for i in 0 to enable'high loop if enable(i) = '1' then cur_level := i; state := delay; end if; end loop; elsif state = delay then timer := timer + 1; if timer > TEST_D then fail(cur_level) <= '0'; timer := 0; if cur_level = enable'high then state := power_on; else state := idle; end if; end if; elsif state = power_on then timer := timer + 1; -- After succesfull sequencing cause a failure if timer > TEST_D then fail(0) <= '1'; timer := 0; state := cause_fail; end if; elsif state = cause_fail then timer := timer + 1; start <= '0'; -- After succesfull power failure, restart if timer > TEST_D then start <= '1'; fail <= (others => '1'); timer := 0; state := idle; end if; end if; end if; end process; end;
mit
zerokill/vhdl-course
exercise_2/alu_tb.vhd
1
55763
-- Test Bench voor opdracht 3 -- Datum : 18 Mei 2007 -- E.G. van den Bor -- Hogeschool Utrecht -- -- In deze testbench worden een aantal constanten aan ingang A en B -- gegeven. -- Bij alle combinaties van de Code (deel van een ALU instructie) -- wordt het resultaat van de aangeboden constanten gecontroleerd. -- Deze testbench bestaat uit vijf processen -- Dit zijn : -- Stim_A en Stim_B : hierin worden de constanten gekoppeld aan ingang A en B -- Stim_code : Hierin wordt de Code voor iedere bewerking bepaald -- Expected : Hierin wordt de verwachtw waarde berekend -- Controle : controleert of de uitgang overeen komt met de verwachte waarde -- de vijf processen lopen parallel (concurrent). -- Na iedere 10 ns is er een nieuw resultaat bekend. -- De controle vindt plaats net voordat een nieuwe waarde wordt aangboden -- Namelijk na 9 ns Zodat het uitgangssignaal stabiel is. entity ALU_TB is end; library IEEE; use IEEE.Std_logic_1164.all; use IEEE.Numeric_Std.all; architecture Bench of ALU_TB is constant OP_SIZE : POSITIVE := 4; -- breedte van de opcode -- Signalen die aan de ALU file worden verbonden -- ingangen van de ALU signal A, B: std_logic_vector(7 downto 0) := (others => '0'); signal Code: std_logic_vector(OP_SIZE-1 downto 0); -- uitgangen van de ALU signal Cout, Equal : Std_logic; signal F: std_logic_vector(7 downto 0); -- De onderstaande constanten worden als testwaarden -- gebruikt van zowel ingang A als B bij iedere mogelijke -- bewerking constant Value0: Std_logic_vector := "00000000"; constant Value1: Std_logic_vector := "00000001"; constant Value2: Std_logic_vector := "00000011"; constant Value3: Std_logic_vector := "00001000"; constant Value4: Std_logic_vector := "00001111"; constant Value5: Std_logic_vector := "10000000"; constant Value6: Std_logic_vector := "11111000"; constant Value7: Std_logic_vector := "11111111"; constant NUM_TESTCONST : POSITIVE := 8; -- aantal testconstanten constant NUM_OPCODES : POSITIVE := 2 ** OP_SIZE; -- aantal opcodes constant NUM_TOT_VECTORS : POSITIVE := NUM_TESTCONST * NUM_TESTCONST * NUM_OPCODES; -- totaal aantal testvectoren -- Vertragingstijden constant OP_DELAY : TIME := 10 ns; constant A_DELAY : TIME := NUM_OPCODES * OP_DELAY; constant B_DELAY : TIME := NUM_TESTCONST * A_DELAY; -- Verwachte resultaten en fouttellers signal Verwacht : Std_logic_vector(1 to 10); -- hierin komen de -- verwachte resultaten constant DONT_CARE : Std_logic_vector(7 downto 0) := "--------"; signal F_fout_teller : NATURAL := 0; signal Cout_fout_teller : NATURAL := 0; signal Equal_fout_teller : NATURAL := 0; signal F_OK : BOOLEAN := TRUE; signal Cout_OK : BOOLEAN := TRUE; signal Equal_OK : BOOLEAN := TRUE; begin -- Koppel eerste de testbench file aan de ALU file UUT: entity work.ALU port map ( A => A, B => B, Code => Code, F => F, Cout => Cout, Equal => Equal); -- Koppel aan ingang B steeds een testconstante Stim_B: process begin B <= Value0; wait for B_DELAY; B <= Value1; wait for B_DELAY; B <= Value2; wait for B_DELAY; B <= Value3; wait for B_DELAY; B <= Value4; wait for B_DELAY; B <= Value5; wait for B_DELAY; B <= Value6; wait for B_DELAY; B <= Value7; wait for B_DELAY; wait; end process Stim_B; -- Koppel aan ingang A steeds een testconstante -- terwijl ingang B tijdelijk gelijk blijft Stim_A: process begin for I in 1 to NUM_TESTCONST loop A <= Value0; wait for A_DELAY; A <= Value1; wait for A_DELAY; A <= Value2; wait for A_DELAY; A <= Value3; wait for A_DELAY; A <= Value4; wait for A_DELAY; A <= Value5; wait for A_DELAY; A <= Value6; wait for A_DELAY; A <= Value7; wait for A_DELAY; end loop; wait; end process Stim_A; -- Geef de Opcode bij alle mogelijke combinaties van A en B -- inclusief de niet gebruikte opcodes Stim_Code: process begin for I in 1 to NUM_TESTCONST * NUM_TESTCONST loop for J in 0 to NUM_OPCODES-1 loop Code <= Std_logic_vector(To_unsigned(J,4)); wait for OP_DELAY; end loop; end loop; wait; end process Stim_Code; -- Geef de verwachte waarden en plaats die in het signal "Verwacht". Expected: process begin Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000101"; wait for OP_DELAY; Verwacht <= "0000000101"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0000001001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000001001"; wait for OP_DELAY; Verwacht <= "1000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000111000"; wait for OP_DELAY; Verwacht <= "1111001010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000110"; wait for OP_DELAY; Verwacht <= "0111111110"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000001101"; wait for OP_DELAY; Verwacht <= "0000001101"; wait for OP_DELAY; Verwacht <= "1111110111"; wait for OP_DELAY; Verwacht <= "1111110111"; wait for OP_DELAY; Verwacht <= "0000011001"; wait for OP_DELAY; Verwacht <= "0000000101"; wait for OP_DELAY; Verwacht <= "0000011001"; wait for OP_DELAY; Verwacht <= "1000000101"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0000101100"; wait for OP_DELAY; Verwacht <= "0000010100"; wait for OP_DELAY; Verwacht <= "1111101110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001001000"; wait for OP_DELAY; Verwacht <= "0000110000"; wait for OP_DELAY; Verwacht <= "1111010010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000001110"; wait for OP_DELAY; Verwacht <= "0111110110"; wait for OP_DELAY; Verwacht <= "1000001100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111101110"; wait for OP_DELAY; Verwacht <= "1111010110"; wait for OP_DELAY; Verwacht <= "0000101100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1111110010"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000101100"; wait for OP_DELAY; Verwacht <= "1111101110"; wait for OP_DELAY; Verwacht <= "0000010100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000100001"; wait for OP_DELAY; Verwacht <= "0000100001"; wait for OP_DELAY; Verwacht <= "1111100011"; wait for OP_DELAY; Verwacht <= "1111100011"; wait for OP_DELAY; Verwacht <= "0001000001"; wait for OP_DELAY; Verwacht <= "0000010001"; wait for OP_DELAY; Verwacht <= "0001000001"; wait for OP_DELAY; Verwacht <= "0000010001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0001011100"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000100010"; wait for OP_DELAY; Verwacht <= "0111100010"; wait for OP_DELAY; Verwacht <= "1000100000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "1111001010"; wait for OP_DELAY; Verwacht <= "0000111000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001001000"; wait for OP_DELAY; Verwacht <= "1111010010"; wait for OP_DELAY; Verwacht <= "0000110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001011100"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0001111001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000111101"; wait for OP_DELAY; Verwacht <= "0000111101"; wait for OP_DELAY; Verwacht <= "1111000111"; wait for OP_DELAY; Verwacht <= "1111000111"; wait for OP_DELAY; Verwacht <= "0001111001"; wait for OP_DELAY; Verwacht <= "0000011101"; wait for OP_DELAY; Verwacht <= "0001111001"; wait for OP_DELAY; Verwacht <= "1000011101"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "1000111110"; wait for OP_DELAY; Verwacht <= "0111000110"; wait for OP_DELAY; Verwacht <= "1000111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "1110100110"; wait for OP_DELAY; Verwacht <= "0001011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000111000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000110"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "0111111110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000001110"; wait for OP_DELAY; Verwacht <= "1000001100"; wait for OP_DELAY; Verwacht <= "0111110110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000100010"; wait for OP_DELAY; Verwacht <= "1000100000"; wait for OP_DELAY; Verwacht <= "0111100010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000111110"; wait for OP_DELAY; Verwacht <= "1000111100"; wait for OP_DELAY; Verwacht <= "0111000110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000011"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "1000000011"; wait for OP_DELAY; Verwacht <= "1000000011"; wait for OP_DELAY; Verwacht <= "1000000001"; wait for OP_DELAY; Verwacht <= "1000000001"; wait for OP_DELAY; Verwacht <= "0000000011"; wait for OP_DELAY; Verwacht <= "0100000001"; wait for OP_DELAY; Verwacht <= "0000000111"; wait for OP_DELAY; Verwacht <= "0100000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0111100010"; wait for OP_DELAY; Verwacht <= "0111100000"; wait for OP_DELAY; Verwacht <= "1000100010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0111111110"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1000000110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111101110"; wait for OP_DELAY; Verwacht <= "0000101100"; wait for OP_DELAY; Verwacht <= "1111010110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001011100"; wait for OP_DELAY; Verwacht <= "1110100110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0111100010"; wait for OP_DELAY; Verwacht <= "1000100010"; wait for OP_DELAY; Verwacht <= "0111100000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111000011"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "1111100011"; wait for OP_DELAY; Verwacht <= "1111100011"; wait for OP_DELAY; Verwacht <= "0000100001"; wait for OP_DELAY; Verwacht <= "0000100001"; wait for OP_DELAY; Verwacht <= "1111000011"; wait for OP_DELAY; Verwacht <= "0111110001"; wait for OP_DELAY; Verwacht <= "1111000111"; wait for OP_DELAY; Verwacht <= "0111110001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1111111010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000001000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "1111110010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000001100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111110110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000011000"; wait for OP_DELAY; Verwacht <= "1000000100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0000100100"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "0000010000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000111000"; wait for OP_DELAY; Verwacht <= "0001000000"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000111100"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "0001111000"; wait for OP_DELAY; Verwacht <= "1000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0111111110"; wait for OP_DELAY; Verwacht <= "1000000110"; wait for OP_DELAY; Verwacht <= "0111111100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1000000010"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1000000000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "0000000010"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "0000000110"; wait for OP_DELAY; Verwacht <= "0100000000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111011110"; wait for OP_DELAY; Verwacht <= "1111100110"; wait for OP_DELAY; Verwacht <= "0000011100"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "1111100010"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "0000100000"; wait for OP_DELAY; Verwacht <= "0000000100"; wait for OP_DELAY; Verwacht <= "1111000010"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "1111000110"; wait for OP_DELAY; Verwacht <= "0111110000"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "---------0"; wait for OP_DELAY; Verwacht <= "0000000000"; wait for OP_DELAY; Verwacht <= "1111111110"; wait for OP_DELAY; Verwacht <= "1111111011"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "0000000101"; wait for OP_DELAY; Verwacht <= "0000000101"; wait for OP_DELAY; Verwacht <= "1111111011"; wait for OP_DELAY; Verwacht <= "0111111101"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; Verwacht <= "1111111101"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "---------1"; wait for OP_DELAY; Verwacht <= "0000000001"; wait for OP_DELAY; Verwacht <= "1111111111"; wait for OP_DELAY; wait; end process Expected; -- Dit process controleert of de verwachte resultaten -- overeenstemmen met die uit de VHDL file. -- Bij verwachte don't care waarde ('_') wordt de uitgang van de -- VHDL file gegegeerd. Controle : process begin wait for OP_DELAY - 1 NS; for I in 1 to NUM_TOT_VECTORS loop -- Hieronder staat de controle van uitgang F if ( Verwacht(1 to 8) /= DONT_CARE ) and ( F /= Verwacht(1 to 8) ) then F_OK <= FALSE; F_fout_teller <= F_fout_teller + 1; end if; -- Hieronder staat de controle Carry out if ( Verwacht(9) /= '-' ) and ( Cout /= Verwacht(9) ) then Cout_OK <= FALSE; Cout_fout_teller <= Cout_fout_teller + 1; end if; -- Hieronder staat de controle equal uitgang if ( Verwacht(10) /= '-' ) and ( Equal /= Verwacht(10) ) then Equal_OK <= FALSE; Equal_fout_teller <= Equal_fout_teller + 1; end if; wait for OP_DELAY; end loop; wait; end process Controle; end architecture Bench;
mit
chebykinn/university
circuitry/lab4/shift_engine.vhd
2
5523
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shift_engine is generic ( -- Width of parallel data width : natural := 8; -- Delay after NSEL is pulled low, in ticks of clk_i delay : natural := 2 ); port ( -- Clocking clk_i : in std_logic; rst_i : in std_logic; -- Data dat_i : in std_logic_vector((width - 1) downto 0); dat_o : out std_logic_vector((width - 1) downto 0); -- Control Signals cpol_i : in std_logic; -- SPI Clock Polarity cpha_i : in std_logic; -- SPI Clock Phase div_i : in natural range 2 to width; -- SPI Clock Divider, relative to clk_i cnt_i : in integer range 1 to (width - 1); -- Number of Bits to Shift start_i : in std_logic; done_o : out std_logic; -- Shift Signals sclk_o : out std_logic; mosi_o : out std_logic; miso_i : in std_logic ); end shift_engine; architecture Behavioral of shift_engine is type shift_state_t is ( idle, enable, shift, hold, disable ); signal state : shift_state_t; signal miso : std_logic; signal mosi : std_logic; signal reg_rx : std_logic_vector((width - 1) downto 0); signal reg_tx : std_logic_vector((width - 1) downto 0); signal tx_load : std_logic; signal shl : std_logic; signal delay_cnt : integer range 0 to (delay - 1); signal shift_cnt : integer range 0 to ((2 * width) - 1); signal spi_delay : integer range 0 to ((2 ** width) - 1); signal spi_cnt : integer range 0 to ((delay / 2) - 1); signal spi_cnt_ld : std_logic; signal spi_clk : std_logic; signal spi_edge : std_logic; signal spi_nedge : std_logic; signal spi_clk_en : std_logic; begin spi_delay <= div_i / 2; rx_sr : process (clk_i) begin if (rising_edge(clk_i)) then miso <= miso_i; if (shl = '1') then reg_rx <= reg_rx((reg_rx'high - 1) downto 0) & miso; end if; end if; end process rx_sr; dat_o <= reg_rx; tx_sr : process (clk_i) begin if (rising_edge(clk_i)) then if (tx_load = '1') then reg_tx <= dat_i; end if; if (shl = '1') then reg_tx <= reg_tx((reg_rx'high - 1) downto 0) & '-'; end if; mosi <= reg_tx(reg_tx'high); end if; end process tx_sr; mosi_o <= mosi; counter : process (clk_i) begin if (rising_edge(clk_i)) then if (spi_cnt_ld = '1') then spi_cnt <= spi_delay; elsif (spi_clk_en = '1') then spi_cnt <= spi_cnt - 1; end if; end if; end process; clkgen : process (clk_i) begin if (rising_edge(clk_i)) then spi_edge <= '0'; spi_nedge <= '0'; shl <= '0'; spi_cnt_ld <= '0'; if (spi_clk_en = '1') then if (spi_cnt = 0) then spi_cnt_ld <= '1'; if (spi_clk = cpol_i) then spi_edge <= '1'; if (cpha_i = '1') then shl <= '1'; end if; else spi_nedge <= '1'; if (cpha_i = '0') then shl <= '1'; end if; end if; spi_clk <= not spi_clk; end if; else spi_clk <= cpol_i; end if; end if; end process; sclk_o <= spi_clk; fsm : process begin wait until rising_edge(clk_i); case state is when idle => if (start_i = '1') then done_o <= '0'; tx_load <= '1'; delay_cnt <= delay - 1; state <= enable; else spi_clk_en <= '0'; done_o <= '1'; end if; when enable => tx_load <= '0'; if (delay_cnt = 0) then shift_cnt <= cnt_i; spi_clk_en <= '1'; state <= shift; else delay_cnt <= delay_cnt - 1; end if; when shift => if (spi_edge = '1') then if (shift_cnt = 0) then state <= hold; else shift_cnt <= shift_cnt - 1; end if; end if; when hold => if (spi_nedge = '1') then spi_clk_en <= '0'; delay_cnt <= delay - 1; state <= disable; end if; when disable => if (delay_cnt = 0) then state <= idle; else delay_cnt <= delay_cnt - 1; end if; end case; if (rst_i = '1') then done_o <= '0'; spi_clk_en <= '0'; state <= idle; end if; end process fsm; end Behavioral;
mit
chebykinn/university
circuitry/lab4/src/hdl/wb/wb.vhd
2
5373
-- Generated by PERL program wishbone.pl. Do not edit this file. -- -- For defines see wishbone.defines -- -- Generated Sun Oct 18 18:30:29 2015 -- -- Wishbone masters: -- mips_wbm -- -- Wishbone slaves: -- ram_wbs -- baseadr 0x00000000 - size 0x00000400 -- wbs -- baseadr 0x00000400 - size 0x00000400 ----------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package intercon_package is function "and" ( l : std_logic_vector; r : std_logic) return std_logic_vector; end intercon_package; package body intercon_package is function "and" ( l : std_logic_vector; r : std_logic) return std_logic_vector is variable result : std_logic_vector(l'range); begin -- "and" for i in l'range loop result(i) := l(i) and r; end loop; -- i return result; end "and"; end intercon_package; library IEEE; use IEEE.std_logic_1164.all; use work.intercon_package.all; entity intercon is port ( -- wishbone master port(s) -- mips_wbm mips_wbm_dat_i : out std_logic_vector(31 downto 0); mips_wbm_ack_i : out std_logic; mips_wbm_dat_o : in std_logic_vector(31 downto 0); mips_wbm_we_o : in std_logic; mips_wbm_sel_o : in std_logic_vector(3 downto 0); mips_wbm_adr_o : in std_logic_vector(31 downto 0); mips_wbm_cyc_o : in std_logic; mips_wbm_stb_o : in std_logic; -- wishbone slave port(s) -- ram_wbs ram_wbs_dat_o : in std_logic_vector(31 downto 0); ram_wbs_ack_o : in std_logic; ram_wbs_dat_i : out std_logic_vector(31 downto 0); ram_wbs_we_i : out std_logic; ram_wbs_sel_i : out std_logic_vector(3 downto 0); ram_wbs_adr_i : out std_logic_vector(31 downto 0); ram_wbs_cyc_i : out std_logic; ram_wbs_stb_i : out std_logic; -- wbs1 wbs1_dat_o : in std_logic_vector(31 downto 0); wbs1_ack_o : in std_logic; wbs1_dat_i : out std_logic_vector(31 downto 0); wbs1_we_i : out std_logic; wbs1_sel_i : out std_logic_vector(3 downto 0); wbs1_adr_i : out std_logic_vector(31 downto 0); wbs1_cyc_i : out std_logic; wbs1_stb_i : out std_logic; -- wbs2 wbs2_dat_o : in std_logic_vector(31 downto 0); wbs2_ack_o : in std_logic; wbs2_dat_i : out std_logic_vector(31 downto 0); wbs2_we_i : out std_logic; wbs2_sel_i : out std_logic_vector(3 downto 0); wbs2_adr_i : out std_logic_vector(31 downto 0); wbs2_cyc_i : out std_logic; wbs2_stb_i : out std_logic; -- clock and reset clk : in std_logic; reset : in std_logic); end intercon; architecture rtl of intercon is signal ram_wbs_ss : std_logic; -- slave select signal wbs1_ss : std_logic; -- slave select signal wbs2_ss : std_logic; -- slave select begin -- rtl decoder:block signal adr : std_logic_vector(31 downto 0); begin adr <= (mips_wbm_adr_o); ram_wbs_ss <= '1' when adr(31 downto 10)="0000000000000000000000" else '0'; wbs1_ss <= '1' when adr(31 downto 10)="0000000000000000000001" else '0'; wbs2_ss <= '1' when adr(31 downto 10)="0000000000000000000010" else '0'; ram_wbs_adr_i <= adr(31 downto 0); wbs1_adr_i <= adr(31 downto 0); wbs2_adr_i <= adr(31 downto 0); end block decoder; mux: block signal cyc, stb, we, ack : std_logic; signal sel : std_logic_vector(3 downto 0); signal dat_m2s, dat_s2m : std_logic_vector(31 downto 0); begin cyc <= (mips_wbm_cyc_o); ram_wbs_cyc_i <= ram_wbs_ss and cyc; wbs1_cyc_i <= wbs1_ss and cyc; wbs2_cyc_i <= wbs2_ss and cyc; stb <= (mips_wbm_stb_o); ram_wbs_stb_i <= stb; wbs1_stb_i <= stb; wbs2_stb_i <= stb; we <= (mips_wbm_we_o); ram_wbs_we_i <= we; wbs1_we_i <= we; wbs2_we_i <= we; ack <= ram_wbs_ack_o or wbs1_ack_o or wbs2_ack_o; mips_wbm_ack_i <= ack; sel <= (mips_wbm_sel_o); ram_wbs_sel_i <= sel; wbs1_sel_i <= sel; wbs2_sel_i <= sel; dat_m2s <= (mips_wbm_dat_o); ram_wbs_dat_i <= dat_m2s; wbs1_dat_i <= dat_m2s; wbs2_dat_i <= dat_m2s; dat_s2m <= (ram_wbs_dat_o and ram_wbs_ss) or (wbs1_dat_o and wbs1_ss) or (wbs2_dat_o and wbs2_ss); mips_wbm_dat_i <= dat_s2m; end block mux; end rtl;
mit
chebykinn/university
circuitry/lab3/src/hdl/wb/wb.vhd
2
5373
-- Generated by PERL program wishbone.pl. Do not edit this file. -- -- For defines see wishbone.defines -- -- Generated Sun Oct 18 18:30:29 2015 -- -- Wishbone masters: -- mips_wbm -- -- Wishbone slaves: -- ram_wbs -- baseadr 0x00000000 - size 0x00000400 -- wbs -- baseadr 0x00000400 - size 0x00000400 ----------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package intercon_package is function "and" ( l : std_logic_vector; r : std_logic) return std_logic_vector; end intercon_package; package body intercon_package is function "and" ( l : std_logic_vector; r : std_logic) return std_logic_vector is variable result : std_logic_vector(l'range); begin -- "and" for i in l'range loop result(i) := l(i) and r; end loop; -- i return result; end "and"; end intercon_package; library IEEE; use IEEE.std_logic_1164.all; use work.intercon_package.all; entity intercon is port ( -- wishbone master port(s) -- mips_wbm mips_wbm_dat_i : out std_logic_vector(31 downto 0); mips_wbm_ack_i : out std_logic; mips_wbm_dat_o : in std_logic_vector(31 downto 0); mips_wbm_we_o : in std_logic; mips_wbm_sel_o : in std_logic_vector(3 downto 0); mips_wbm_adr_o : in std_logic_vector(31 downto 0); mips_wbm_cyc_o : in std_logic; mips_wbm_stb_o : in std_logic; -- wishbone slave port(s) -- ram_wbs ram_wbs_dat_o : in std_logic_vector(31 downto 0); ram_wbs_ack_o : in std_logic; ram_wbs_dat_i : out std_logic_vector(31 downto 0); ram_wbs_we_i : out std_logic; ram_wbs_sel_i : out std_logic_vector(3 downto 0); ram_wbs_adr_i : out std_logic_vector(31 downto 0); ram_wbs_cyc_i : out std_logic; ram_wbs_stb_i : out std_logic; -- wbs1 wbs1_dat_o : in std_logic_vector(31 downto 0); wbs1_ack_o : in std_logic; wbs1_dat_i : out std_logic_vector(31 downto 0); wbs1_we_i : out std_logic; wbs1_sel_i : out std_logic_vector(3 downto 0); wbs1_adr_i : out std_logic_vector(31 downto 0); wbs1_cyc_i : out std_logic; wbs1_stb_i : out std_logic; -- wbs2 wbs2_dat_o : in std_logic_vector(31 downto 0); wbs2_ack_o : in std_logic; wbs2_dat_i : out std_logic_vector(31 downto 0); wbs2_we_i : out std_logic; wbs2_sel_i : out std_logic_vector(3 downto 0); wbs2_adr_i : out std_logic_vector(31 downto 0); wbs2_cyc_i : out std_logic; wbs2_stb_i : out std_logic; -- clock and reset clk : in std_logic; reset : in std_logic); end intercon; architecture rtl of intercon is signal ram_wbs_ss : std_logic; -- slave select signal wbs1_ss : std_logic; -- slave select signal wbs2_ss : std_logic; -- slave select begin -- rtl decoder:block signal adr : std_logic_vector(31 downto 0); begin adr <= (mips_wbm_adr_o); ram_wbs_ss <= '1' when adr(31 downto 10)="0000000000000000000000" else '0'; wbs1_ss <= '1' when adr(31 downto 10)="0000000000000000000001" else '0'; wbs2_ss <= '1' when adr(31 downto 10)="0000000000000000000010" else '0'; ram_wbs_adr_i <= adr(31 downto 0); wbs1_adr_i <= adr(31 downto 0); wbs2_adr_i <= adr(31 downto 0); end block decoder; mux: block signal cyc, stb, we, ack : std_logic; signal sel : std_logic_vector(3 downto 0); signal dat_m2s, dat_s2m : std_logic_vector(31 downto 0); begin cyc <= (mips_wbm_cyc_o); ram_wbs_cyc_i <= ram_wbs_ss and cyc; wbs1_cyc_i <= wbs1_ss and cyc; wbs2_cyc_i <= wbs2_ss and cyc; stb <= (mips_wbm_stb_o); ram_wbs_stb_i <= stb; wbs1_stb_i <= stb; wbs2_stb_i <= stb; we <= (mips_wbm_we_o); ram_wbs_we_i <= we; wbs1_we_i <= we; wbs2_we_i <= we; ack <= ram_wbs_ack_o or wbs1_ack_o or wbs2_ack_o; mips_wbm_ack_i <= ack; sel <= (mips_wbm_sel_o); ram_wbs_sel_i <= sel; wbs1_sel_i <= sel; wbs2_sel_i <= sel; dat_m2s <= (mips_wbm_dat_o); ram_wbs_dat_i <= dat_m2s; wbs1_dat_i <= dat_m2s; wbs2_dat_i <= dat_m2s; dat_s2m <= (ram_wbs_dat_o and ram_wbs_ss) or (wbs1_dat_o and wbs1_ss) or (wbs2_dat_o and wbs2_ss); mips_wbm_dat_i <= dat_s2m; end block mux; end rtl;
mit
zerokill/vhdl-course
exercise_2/opdr4.vhd
1
1228
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ALU is port( A, B : in std_logic_vector(7 downto 0); Code : in std_logic_vector(3 downto 0); F : out std_logic_vector(7 downto 0); Cout : out Std_logic; Equal : out Std_logic); end ALU; architecture RTL of ALU is signal INT : signed(8 downto 0); signal sA, sB: signed(8 downto 0); begin sA <= resize(signed(A),sA'length); sB <= resize(signed(B),sB'length); process(sA,sB,code) begin case code is when "0000" => INT <= sA + sB; when "0001" => INT <= sA - sB; when "0010" => INT <= sB - sA; when "0100" => INT <= sA; when "0101" => INT <= sB; when "0110" => INT <= -sA; when "0111" => INT <= -sB; when "1000" => INT <= sA(7 downto 0) & '0'; when "1001" => INT <= "00" & sA(7 downto 1); when "1010" => INT <= sA(7 downto 0) & sA(7); when "1011" => INT <= '0' & sA(0) & sA(7 downto 1); when "1110" => INT <= (others => '0'); when "1111" => INT <= (others => '1'); when others => INT <= INT; end case; end process; process(sA,sB) begin if (sA = sB) then equal <= '1'; else equal <= '0'; end if; end process; F <= std_logic_vector(INT(7 downto 0)); Cout <= INT(8); end RTL;
mit
bargei/NoC264
NoC264_3x3/noc_interface.vhd
1
6989
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity noc_interface is generic( data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8; use_vc : integer := 0 ); port( --clk, reset clk : in std_logic; rst : in std_logic; --user sending interface send_data : in std_logic_vector(data_width-1 downto 0); dest_addr : in std_logic_vector(addr_width-1 downto 0); set_tail_flit : in std_logic; send_flit : in std_logic; ready_to_send : out std_logic; --user receiving interface recv_data : out std_logic_vector(data_width-1 downto 0); src_addr : out std_logic_vector(addr_width-1 downto 0); is_tail_flit : out std_logic; data_in_buffer : out std_logic_vector(num_vc-1 downto 0); dequeue : in std_logic_vector(num_vc-1 downto 0); select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0); --interface to network send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); EN_send_putFlit : out std_logic; EN_send_getNonFullVCs : out std_logic; send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0); EN_recv_getFlit : out std_logic; recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0); EN_recv_putNonFullVCs : out std_logic; recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0) ); end entity noc_interface; architecture structural of noc_interface is --fifo buffer for reciving component fifo_buffer is generic( word_len : integer := 64; buff_len : integer := 8 ); port( write_data : in std_logic_vector(word_len-1 downto 0); read_data : out std_logic_vector(word_len-1 downto 0); buffer_full : out std_logic; buffer_empty : out std_logic; enqueue : in std_logic; dequeue : in std_logic; clk : in std_logic; rst : in std_logic ); end component fifo_buffer; type fifo_io is array(num_vc-1 downto 0) of std_logic_vector(vc_sel_width+data_width+addr_width+1 downto 0); signal write_vc, read_vc: fifo_io; signal buffer_full_vc, buffer_empty_vc, enqueue_vc, dequeue_vc: std_logic_vector(num_vc-1 downto 0); signal receive_vc: std_logic_vector(vc_sel_width-1 downto 0); -- priority encoder component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; signal selected_vc : std_logic_vector(vc_sel_width-1 downto 0); --constants to parse flits constant data_msb : integer := data_width-1; constant data_lsb : integer := 0; constant vc_msb : integer := vc_sel_width+data_width-1; constant vc_lsb : integer := data_width; constant addr_msb : integer := vc_sel_width+data_width+addr_width-1; constant addr_lsb : integer := vc_sel_width+data_width; constant is_tail_index : integer := vc_sel_width+data_width+addr_width; constant is_valid_index : integer := vc_sel_width+data_width+addr_width+1; constant flit_size : integer := vc_sel_width+data_width+addr_width+2; begin --------------------------------------------------------------------------- --RECEIVE SIDE ------------------------------------------------------------ --------------------------------------------------------------------------- -- create and map 1 buffer for each VC receive_buffer: for i in num_vc-1 downto 0 generate signal vc_select : integer; signal flit_valid : std_logic; begin ur_i: fifo_buffer generic map(data_width+addr_width+vc_sel_width+2, flit_buff_depth) port map(write_vc(i), read_vc(i), buffer_full_vc(i), buffer_empty_vc(i), enqueue_vc(i), dequeue_vc(i), clk, rst); vc_select <= to_integer(unsigned(recv_getFlit(vc_msb downto vc_lsb))); flit_valid <= recv_getFlit(is_valid_index); write_vc(i) <= recv_getFlit when i = vc_select else std_logic_vector(to_unsigned(0,flit_size)); enqueue_vc(i) <= flit_valid when i = vc_select else '0'; end generate; -- IO for receive side of controller EN_recv_getFlit <= '1'; -- always read to receive flits as long as buffers aren't full recv_putNonFullVCs_nonFullVCs <= not buffer_full_vc; data_in_buffer <= not buffer_empty_vc; recv_data <= read_vc(to_integer(unsigned(select_vc_read)))(data_msb downto data_lsb); dequeue_vc <= dequeue; is_tail_flit <= read_vc(to_integer(unsigned(select_vc_read)))(is_tail_index); src_addr <= read_vc(to_integer(unsigned(select_vc_read)))(addr_msb downto addr_lsb); EN_recv_putNonFullVCs <= '1'; -- readme is not clear about what this does, assuming it is not need for peek flow control --------------------------------------------------------------------------- --SEND SIDE --------------------------------------------------------------- --------------------------------------------------------------------------- -------- priority encoder to determine which vc to use ------us_0: priority_encoder generic map(vc_sel_width) ------ port map(send_getNonFullVCs, selected_vc); ------ ------ -------- IO for sending side of controller ------send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data; --------ready_to_send <= '0' when to_integer(unsigned(send_getNonFullVCs)) = 0 else '1'; --------ready_to_send <= or_reduce(send_getNonFullVCs); ------EN_send_putFlit <= send_flit; ------EN_send_getNonFullVCs <= '1'; --always read to recieve credits ------ ------ -- temp version which only sends on a selected vc -- priority encoder to determine which vc to use selected_vc <= std_logic_vector(to_unsigned(use_vc, vc_sel_width)); -- IO for sending side of controller send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data; ready_to_send <= send_getNonFullVCs(use_vc); EN_send_putFlit <= send_flit; EN_send_getNonFullVCs <= '1'; --always read to recieve credits end architecture structural;
mit
boztalay/OldProjects
FPGA/LCD_Control/TestCPU1_RegFile_TB.vhd
1
4262
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 01:08:51 10/04/2009 -- Design Name: -- Module Name: C:/Users/Ben/Desktop/Folders/FPGA/Projects/Current Projects/Systems/TestCPU1/TestCPU1_RegFile_TB.vhd -- Project Name: TestCPU1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: TestCPU1_RegFile -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY TestCPU1_RegFile_TB IS END TestCPU1_RegFile_TB; ARCHITECTURE behavior OF TestCPU1_RegFile_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT TestCPU1_RegFile PORT( clock : IN std_logic; reset : IN std_logic; ld_val : IN std_logic; ALUB_out : IN std_logic; src1_addr : IN std_logic_vector(2 downto 0); src2_addr : IN std_logic_vector(2 downto 0); dest_addr : IN std_logic_vector(2 downto 0); data_to_load : IN std_logic_vector(15 downto 0); to_ALUA_out : OUT std_logic_vector(15 downto 0); to_ALUB_out : OUT std_logic_vector(15 downto 0); data_collection_1 : out STD_LOGIC_VECTOR(15 downto 0); --for simulation purposes only data_collection_2 : out STD_LOGIC_VECTOR(15 downto 0); -- data_collection_3 : out STD_LOGIC_VECTOR(15 downto 0)); -- END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal ld_val : std_logic := '0'; signal ALUB_out : std_logic := '0'; signal src1_addr : std_logic_vector(2 downto 0) := (others => '0'); signal src2_addr : std_logic_vector(2 downto 0) := (others => '0'); signal dest_addr : std_logic_vector(2 downto 0) := (others => '0'); signal data_to_load : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal to_ALUA_out : std_logic_vector(15 downto 0); signal to_ALUB_out : std_logic_vector(15 downto 0); signal data_collection_1 : STD_LOGIC_VECTOR(15 downto 0); --for simulation purposes only signal data_collection_2 : STD_LOGIC_VECTOR(15 downto 0); -- signal data_collection_3 : STD_LOGIC_VECTOR(15 downto 0); -- -- Clock period definitions constant clock_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: TestCPU1_RegFile PORT MAP ( clock => clock, reset => reset, ld_val => ld_val, ALUB_out => ALUB_out, src1_addr => src1_addr, src2_addr => src2_addr, dest_addr => dest_addr, data_to_load => data_to_load, to_ALUA_out => to_ALUA_out, to_ALUB_out => to_ALUB_out, data_collection_1 => data_collection_1, data_collection_2 => data_collection_2, data_collection_3 => data_collection_3 ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin wait for 15 ns; ld_val <= '1'; dest_addr <= b"001"; data_to_load <= x"0001"; wait for 10 ns; dest_addr <= b"010"; data_to_load <= x"0002"; wait for 10 ns; dest_addr <= b"011"; data_to_load <= x"0003"; wait for 10 ns; ld_val <= '0'; ALUB_out <= '1'; src1_addr <= b"001"; wait for 10 ns; src1_addr <= b"010"; src2_addr <= b"011"; wait for 10 ns; ALUB_out <= '0'; wait for 10 ns; reset <= '1'; wait; end process; END;
mit
bargei/NoC264
NoC264_3x3/network_interface_latched_vc.vhd
1
7360
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity network_interface is generic( data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8 ); port( --clk, reset clk : in std_logic; rst : in std_logic; --user sending interface send_data : in std_logic_vector(data_width-1 downto 0); dest_addr : in std_logic_vector(addr_width-1 downto 0); set_tail_flit : in std_logic; send_flit : in std_logic; ready_to_send : out std_logic; --user receiving interface recv_data : out std_logic_vector(data_width-1 downto 0); src_addr : out std_logic_vector(addr_width-1 downto 0); is_tail_flit : out std_logic; data_in_buffer : out std_logic_vector(num_vc-1 downto 0); dequeue : in std_logic_vector(num_vc-1 downto 0); select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0); --interface to network send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); EN_send_putFlit : out std_logic; EN_send_getNonFullVCs : out std_logic; send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0); EN_recv_getFlit : out std_logic; recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0); EN_recv_putNonFullVCs : out std_logic; recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0) ); end entity network_interface; architecture structural of network_interface is --fifo buffer for reciving component fifo_buffer is generic( word_len : integer := 64; buff_len : integer := 8 ); port( write_data : in std_logic_vector(word_len-1 downto 0); read_data : out std_logic_vector(word_len-1 downto 0); buffer_full : out std_logic; buffer_empty : out std_logic; enqueue : in std_logic; dequeue : in std_logic; clk : in std_logic; rst : in std_logic ); end component fifo_buffer; type fifo_io is array(num_vc-1 downto 0) of std_logic_vector(vc_sel_width+data_width+addr_width+1 downto 0); signal write_vc, read_vc: fifo_io; signal buffer_full_vc, buffer_empty_vc, enqueue_vc, dequeue_vc: std_logic_vector(num_vc-1 downto 0); signal receive_vc: std_logic_vector(vc_sel_width-1 downto 0); -- priority encoder component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; signal selected_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_enc : std_logic_vector(vc_sel_width-1 downto 0); type ni_states is (idle, sending); signal state, next_state : ni_states; --constants to parse flits constant data_msb : integer := data_width-1; constant data_lsb : integer := 0; constant vc_msb : integer := vc_sel_width+data_width-1; constant vc_lsb : integer := data_width; constant addr_msb : integer := vc_sel_width+data_width+addr_width-1; constant addr_lsb : integer := vc_sel_width+data_width; constant is_tail_index : integer := vc_sel_width+data_width+addr_width; constant is_valid_index : integer := vc_sel_width+data_width+addr_width+1; constant flit_size : integer := vc_sel_width+data_width+addr_width+2; begin --------------------------------------------------------------------------- --RECEIVE SIDE ------------------------------------------------------------ --------------------------------------------------------------------------- -- create and map 1 buffer for each VC receive_buffer: for i in num_vc-1 downto 0 generate signal vc_select : integer; signal flit_valid : std_logic; begin ur_i: fifo_buffer generic map(data_width+addr_width+vc_sel_width+2, flit_buff_depth) port map(write_vc(i), read_vc(i), buffer_full_vc(i), buffer_empty_vc(i), enqueue_vc(i), dequeue_vc(i), clk, rst); vc_select <= to_integer(unsigned(recv_getFlit(vc_msb downto vc_lsb))); flit_valid <= recv_getFlit(is_valid_index); write_vc(i) <= recv_getFlit when i = vc_select else std_logic_vector(to_unsigned(0,flit_size)); enqueue_vc(i) <= flit_valid when i = vc_select else '0'; end generate; -- IO for receive side of controller EN_recv_getFlit <= '1'; -- always read to receive flits as long as buffers aren't full recv_putNonFullVCs_nonFullVCs <= not buffer_full_vc; data_in_buffer <= not buffer_empty_vc; recv_data <= read_vc(to_integer(unsigned(select_vc_read)))(data_msb downto data_lsb); dequeue_vc <= dequeue; is_tail_flit <= read_vc(to_integer(unsigned(select_vc_read)))(is_tail_index); src_addr <= read_vc(to_integer(unsigned(select_vc_read)))(addr_msb downto addr_lsb); EN_recv_putNonFullVCs <= '1'; -- readme is not clear about what this does, assuming it is not need for peek flow control --------------------------------------------------------------------------- --SEND SIDE --------------------------------------------------------------- --------------------------------------------------------------------------- -- priority encoder to determine which vc to use us_0: priority_encoder generic map(vc_sel_width) port map(send_getNonFullVCs, selected_vc_enc); process(clk, rst) begin if rst = '1' then selected_vc_q <= (others => '0'); state <= idle; elsif rising_edge(clk) then selected_vc_q <= selected_vc_d; state <= next_state; end if; end process; selected_vc_d <= selected_vc_enc when state = idle else selected_vc_q; process(state, send_flit, set_tail_flit) begin next_state <= state; if state = idle and send_flit = '1' then next_state <= sending; end if; if state = sending and set_tail_flit = '1' then next_state <= idle; end if; end process; -- IO for sending side of controller send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc_q & send_data; ready_to_send <= or_reduce(send_getNonFullVCs) when state = idle else send_getNonFullVCs(0) when state = sending and selected_vc_q = "01" else send_getNonFullVCs(1) when state = sending and selected_vc_q = "10"; EN_send_putFlit <= send_flit; EN_send_getNonFullVCs <= '1'; --always read to recieve credits end architecture structural;
mit
boztalay/OldProjects
FPGA/Current Projects/Subsystems/OZ-3/OZ-3.vhd
2
853
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:52:50 10/26/2009 -- Design Name: -- Module Name: OZ-3 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity OZ-3 is end OZ-3; architecture Behavioral of OZ-3 is begin end Behavioral;
mit
boztalay/OldProjects
FPGA/Components/Comp_7segDecoder/Comp_7segDecoder.vhd
1
1704
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 02:59:14 04/10/2009 -- Design Name: -- Module Name: Comp_7segDecoder - Behavioral -- Project Name: Seven segment display decoder -- Target Devices: -- Tool versions: -- Description: Takes in a 4-bit binary number and outputs it to a seven-segment display in hexadecimal -- -- Dependencies: None -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Comp_7segDecoder is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (6 downto 0)); end Comp_7segDecoder; architecture Behavioral of Comp_7segDecoder is begin with A select seg <= "0000001" when "0000", "1001111" when "0001", "0010010" when "0010", "0000110" when "0011", "1001100" when "0100", "0100100" when "0101", "0100000" when "0110", "0001111" when "0111", "0000000" when "1000", "0000100" when "1001", "0001000" when "1010", "1100000" when "1011", "0110001" when "1100", "1000010" when "1101", "0110000" when "1110", "0111000" when "1111", "0000001" when others; end Behavioral;
mit
boztalay/OldProjects
FPGA/Sys_SecondTimer/Comp_DataMUX4x4.vhd
1
1733
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 15:51:47 07/30/2009 -- Design Name: -- Module Name: Comp_DataMUX - Behavioral -- Project Name: Data Multiplexer -- Target Devices: -- Tool versions: -- Description: A multiplexer that multiplexes inputs with two or more bits each. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.10 - First draft written -- Revision 0.15 - Syntax errors fixed -- Revision 0.30 - UCF file written -- Revision 1.00 - Generated programming file with successul hardware test -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Comp_DataMUX4x4 is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); C : in STD_LOGIC_VECTOR (3 downto 0); D : in STD_LOGIC_VECTOR (3 downto 0); sel : in STD_LOGIC_VECTOR (1 downto 0); output : out STD_LOGIC_VECTOR (3 downto 0)); end Comp_DataMUX4x4; architecture Behavioral of Comp_DataMUX4x4 is begin main : process(A, B, C, D, sel) is begin case sel is when b"00" => output <= A; when b"01" => output <= B; when b"10" => output <= C; when b"11" => output <= D; when others => output <= b"0000"; end case; end process main; end Behavioral;
mit
bargei/NoC264
NoC264_3x3/fifo_buffer.vhd
2
1830
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fifo_buffer is generic( word_len : integer := 64; buff_len : integer := 8 ); port( write_data : in std_logic_vector(word_len-1 downto 0); read_data : out std_logic_vector(word_len-1 downto 0); buffer_full : out std_logic; buffer_empty : out std_logic; enqueue : in std_logic; dequeue : in std_logic; clk : in std_logic; rst : in std_logic ); end entity fifo_buffer; architecture behavioral of fifo_buffer is signal enqueue_pointer : integer; type buffer_type is array(buff_len-1 downto 0) of std_logic_vector(word_len-1 downto 0); signal the_buffer : buffer_type; signal buffer_full_sig : std_logic; signal buffer_empty_sig : std_logic; begin --read/write to buffer process(clk, rst) begin if rst = '1' then enqueue_pointer <= 0; the_buffer <= (others => (others => '0')); elsif rising_edge(clk) then if enqueue = '1' and buffer_full_sig = '0' then the_buffer(enqueue_pointer) <= write_data; enqueue_pointer <= enqueue_pointer + 1; end if; if dequeue = '1' and buffer_empty_sig = '0' then enqueue_pointer <= enqueue_pointer - 1; the_buffer(buff_len-2 downto 0) <= the_buffer(buff_len-1 downto 1); end if; end if; end process; --output logic read_data <= the_buffer(0); buffer_full_sig <= '0' when enqueue_pointer < buff_len else '1'; buffer_empty_sig <= '0' when enqueue_pointer > 0 else '1'; buffer_full <= buffer_full_sig; buffer_empty <= buffer_empty_sig; end architecture behavioral;
mit
boztalay/OldProjects
FPGA/LCD_Control/TestCPU1_dRAM.vhd
1
1776
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 01:27:41 10/03/2009 -- Design Name: -- Module Name: TestCPU1_dRAM - Behavioral -- Project Name: Test CPU 1 -- Target Devices: -- Tool versions: -- Description: The data RAM for Test CPU 1 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity TestCPU1_dRAM is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; write_e : in STD_LOGIC; read_e : in STD_LOGIC; addr : in STD_LOGIC_VECTOR(7 downto 0); data_in : in STD_LOGIC_VECTOR(15 downto 0); data_out : out STD_LOGIC_VECTOR(15 downto 0)); end TestCPU1_dRAM; architecture Behavioral of TestCPU1_dRAM is begin dRAM: process (clock, reset, read_e) is type dRAM_array is array (255 downto 0) of STD_LOGIC_VECTOR(15 downto 0); variable dRAM: dRAM_array := (others => b"0000000000000000"); begin if falling_edge(clock) then if reset = '1' then dRAM := (others => b"0000000000000000"); elsif write_e = '1' then dRAM(conv_integer(unsigned(addr))) := data_in; end if; end if; if read_e = '0' then data_out <= x"0000"; else data_out <= dRAM(conv_integer(unsigned(addr))); end if; end process; end Behavioral;
mit
boztalay/OldProjects
FPGA/Components/Comp_16bitShiftReg/Comp_16bitShiftReg/Comp_16bitShiftReg.vhd
1
2295
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 15:07:41 06/08/2009 -- Design Name: -- Module Name: Comp_16bitShiftReg - Behavioral -- Project Name: 16-Bit Shift Register -- Target Devices: -- Tool versions: -- Description: A 16-bit shift register, no reset, activates on the falling edge -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity Comp_16bitShiftReg is Port ( CLK : in STD_LOGIC; Data : in STD_LOGIC; Parallel : out STD_LOGIC_VECTOR (15 downto 0); Carry : out STD_LOGIC); end Comp_16bitShiftReg; architecture Behavioral of Comp_16bitShiftReg is --\Signals/-- signal Buf_CLK : STD_LOGIC; signal Buf_Data : STD_LOGIC; --/Signals\-- begin --\BUFG Instantiations/-- BUFG_BufCLK : BUFG port map ( O => Buf_CLK, I => CLK ); BUFG_BufData : BUFG port map ( O => Buf_Data, I => Data ); --/BUFG Instantiations\-- main : process(Buf_CLK, Buf_Data) variable storage : STD_LOGIC_VECTOR(15 downto 0) := "0000000000000000"; variable storage2 : STD_LOGIC_VECTOR(15 downto 0) := "0000000000000000"; begin if (Buf_CLK'event and Buf_CLK = '0') then storage2(0) := Buf_Data; storage2(1) := storage(0); storage2(2) := storage(1); storage2(3) := storage(2); storage2(4) := storage(3); storage2(5) := storage(4); storage2(6) := storage(5); storage2(7) := storage(6); storage2(8) := storage(7); storage2(9) := storage(8); storage2(10) := storage(9); storage2(11) := storage(10); storage2(12) := storage(11); storage2(13) := storage(12); storage2(14) := storage(13); storage2(15) := storage(14); Carry <= storage(15); storage := storage2; Parallel <= storage; end if; end process; end Behavioral;
mit
bargei/NoC264
NoC264_2x2/iqit_node.vhd
1
16706
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.math_real.all; entity iqit_node is generic( sample_width : integer := 8; qp_width : integer := 8; wo_dc_width : integer := 8; data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8 ); port( clk : in std_logic; rst : in std_logic; -- recv interface to network recv_data : in std_logic_vector(data_width-1 downto 0); src_addr : in std_logic_vector(addr_width-1 downto 0); is_tail_flit : in std_logic; data_in_buffer : in std_logic_vector(num_vc-1 downto 0); dequeue : out std_logic_vector(num_vc-1 downto 0); select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0); -- send interface to network send_data : out std_logic_vector(data_width-1 downto 0); dest_addr : out std_logic_vector(addr_width-1 downto 0); set_tail_flit : out std_logic; send_flit : out std_logic; ready_to_send : in std_logic--; ----debug -- state_out : out std_logic_vector(7 downto 0); -- input_sample_0 : out std_logic_vector(7 downto 0); -- input_sample_1 : out std_logic_vector(7 downto 0); -- input_sample_2 : out std_logic_vector(7 downto 0); -- input_sample_3 : out std_logic_vector(7 downto 0); -- input_sample_4 : out std_logic_vector(7 downto 0); -- input_sample_5 : out std_logic_vector(7 downto 0); -- input_sample_6 : out std_logic_vector(7 downto 0); -- input_sample_7 : out std_logic_vector(7 downto 0); -- input_sample_8 : out std_logic_vector(7 downto 0); -- input_sample_9 : out std_logic_vector(7 downto 0); -- input_sample_A : out std_logic_vector(7 downto 0); -- input_sample_B : out std_logic_vector(7 downto 0); -- input_sample_C : out std_logic_vector(7 downto 0); -- input_sample_D : out std_logic_vector(7 downto 0); -- input_sample_E : out std_logic_vector(7 downto 0); -- input_sample_F : out std_logic_vector(7 downto 0); -- qp_out : out std_logic_vector(7 downto 0); -- zigzag_0 : out std_logic_vector(7 downto 0); -- zigzag_1 : out std_logic_vector(7 downto 0); -- zigzag_2 : out std_logic_vector(7 downto 0); -- zigzag_3 : out std_logic_vector(7 downto 0); -- zigzag_4 : out std_logic_vector(7 downto 0); -- zigzag_5 : out std_logic_vector(7 downto 0); -- zigzag_6 : out std_logic_vector(7 downto 0); -- zigzag_7 : out std_logic_vector(7 downto 0); -- zigzag_8 : out std_logic_vector(7 downto 0); -- zigzag_9 : out std_logic_vector(7 downto 0); -- zigzag_A : out std_logic_vector(7 downto 0); -- zigzag_B : out std_logic_vector(7 downto 0); -- zigzag_C : out std_logic_vector(7 downto 0); -- zigzag_D : out std_logic_vector(7 downto 0); -- zigzag_E : out std_logic_vector(7 downto 0); -- zigzag_F : out std_logic_vector(7 downto 0); -- dequant_0 : out std_logic_vector(15 downto 0); -- dequant_1 : out std_logic_vector(15 downto 0); -- dequant_2 : out std_logic_vector(15 downto 0); -- dequant_3 : out std_logic_vector(15 downto 0); -- dequant_4 : out std_logic_vector(15 downto 0); -- dequant_5 : out std_logic_vector(15 downto 0); -- dequant_6 : out std_logic_vector(15 downto 0); -- dequant_7 : out std_logic_vector(15 downto 0); -- dequant_8 : out std_logic_vector(15 downto 0); -- dequant_9 : out std_logic_vector(15 downto 0); -- dequant_A : out std_logic_vector(15 downto 0); -- dequant_B : out std_logic_vector(15 downto 0); -- dequant_C : out std_logic_vector(15 downto 0); -- dequant_D : out std_logic_vector(15 downto 0); -- dequant_E : out std_logic_vector(15 downto 0); -- dequant_F : out std_logic_vector(15 downto 0); -- result_0 : out std_logic_vector(7 downto 0); -- result_1 : out std_logic_vector(7 downto 0); -- result_2 : out std_logic_vector(7 downto 0); -- result_3 : out std_logic_vector(7 downto 0); -- result_4 : out std_logic_vector(7 downto 0); -- result_5 : out std_logic_vector(7 downto 0); -- result_6 : out std_logic_vector(7 downto 0); -- result_7 : out std_logic_vector(7 downto 0); -- result_8 : out std_logic_vector(7 downto 0); -- result_9 : out std_logic_vector(7 downto 0); -- result_A : out std_logic_vector(7 downto 0); -- result_B : out std_logic_vector(7 downto 0); -- result_C : out std_logic_vector(7 downto 0); -- result_D : out std_logic_vector(7 downto 0); -- result_E : out std_logic_vector(7 downto 0); -- result_F : out std_logic_vector(7 downto 0) ); end entity iqit_node; architecture fsmd of iqit_node is --- COMPONENTS ------------------------------------------------------------ component zigzag is generic( sample_width : integer := 8 ); port( x : in std_logic_vector((16*sample_width)-1 downto 0); y : out std_logic_vector((16*sample_width)-1 downto 0) ); end component zigzag; component inverse_quant is generic( in_sample_width : integer := 8; out_sample_width : integer := 16; qp_width : integer := 8; wo_dc_width : integer := 8 ); port( quantized_samples : in std_logic_vector((16*in_sample_width)-1 downto 0); quant_param : in std_logic_vector(qp_width-1 downto 0); without_dc : in std_logic_vector(wo_dc_width-1 downto 0); dequant_samples : out std_logic_vector((16*out_sample_width)-1 downto 0) ); end component inverse_quant; component inverse_transform is generic( in_sample_width : integer := 16; out_sample_width : integer := 8 ); port( transform_block : in std_logic_vector((16*in_sample_width)-1 downto 0); inv_transform_block : out std_logic_vector((16*out_sample_width)-1 downto 0); sign_mask : out std_logic_vector(15 downto 0) ); end component inverse_transform; component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; --- TYPES ----------------------------------------------------------------- type iqit_states is (idle, sel_vc, rx_header, dequeue_header, wait_row_4_3, rx_row_4_3, dequeue_row_4_3, wait_row_2_1, rx_row_2_1, dequeue_row_2_1, wait_tx_header, tx_header, wait_tx_row_4_3, tx_row_4_3, wait_tx_row_2_1, tx_row_2_1 ); --- SIGNALS --------------------------------------------------------------- signal state : iqit_states; signal next_state : iqit_states; signal quant_param_d : std_logic_vector(qp_width-1 downto 0); signal quant_param_q : std_logic_vector(qp_width-1 downto 0); signal without_dc_d : std_logic_vector(wo_dc_width-1 downto 0); signal without_dc_q : std_logic_vector(wo_dc_width-1 downto 0); signal identifier_d : std_logic_vector(7 downto 0); signal identifier_q : std_logic_vector(7 downto 0); signal input_samples_d : std_logic_vector((16*sample_width)-1 downto 0); signal input_samples_q : std_logic_vector((16*sample_width)-1 downto 0); signal samples_after_zigzag : std_logic_vector((16*sample_width)-1 downto 0); signal samples_after_inv_q : std_logic_vector((16*2*sample_width)-1 downto 0); signal inv_t_input : std_logic_vector((16*2*sample_width)-1 downto 0); signal result_samples : std_logic_vector((16*sample_width)-1 downto 0); signal tx_header_data : std_logic_vector(data_width-1 downto 0); signal tx_row_4_3_data : std_logic_vector(data_width-1 downto 0); signal tx_row_2_1_data : std_logic_vector(data_width-1 downto 0); signal sel_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_enc : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_one_hot : std_logic_vector(num_vc-1 downto 0); signal dc_high_byte_q : std_logic_vector(7 downto 0); signal dc_high_byte_d : std_logic_vector(7 downto 0); signal sign_mask : std_logic_vector(15 downto 0); signal x_pass_thru_d : std_logic_vector(10 downto 0); signal y_pass_thru_d : std_logic_vector(10 downto 0); signal LCbCr_pass_thru_d : std_logic_vector(1 downto 0); signal x_pass_thru_q : std_logic_vector(10 downto 0); signal y_pass_thru_q : std_logic_vector(10 downto 0); signal LCbCr_pass_thru_q : std_logic_vector(1 downto 0); constant do_iqit_cmd : std_logic_vector(7 downto 0) := x"03"; begin --- DATAPATH -------------------------------------------------------------- u0: component zigzag generic map( sample_width => sample_width ) port map( x => input_samples_q, y => samples_after_zigzag ); u1: component inverse_quant generic map( in_sample_width => sample_width, out_sample_width => 2*sample_width, qp_width => qp_width, wo_dc_width => wo_dc_width ) port map( quantized_samples => samples_after_zigzag, quant_param => quant_param_q, without_dc => without_dc_q, dequant_samples => samples_after_inv_q ); u2: component inverse_transform generic map( in_sample_width => 2*sample_width, out_sample_width => sample_width ) port map( transform_block => inv_t_input, inv_transform_block => result_samples, sign_mask => sign_mask ); u3: component priority_encoder generic map( encoded_word_size => vc_sel_width ) Port map( input => data_in_buffer, output => sel_vc_enc ); --register process process(clk, rst) begin if rst = '1' then state <= idle; quant_param_q <= (others => '0'); without_dc_q <= (others => '0'); identifier_q <= (others => '0'); input_samples_q <= (others => '0'); sel_vc_q <= (others => '0'); dc_high_byte_q <= (others => '0'); x_pass_thru_q <= (others => '0'); y_pass_thru_q <= (others => '0'); LCbCr_pass_thru_q <= (others => '0'); elsif rising_edge(clk) then state <= next_state; quant_param_q <= quant_param_d; without_dc_q <= without_dc_d; identifier_q <= identifier_d; input_samples_q <= input_samples_d; sel_vc_q <= sel_vc_d; dc_high_byte_q <= dc_high_byte_d; x_pass_thru_q <= x_pass_thru_d; y_pass_thru_q <= y_pass_thru_d; LCbCr_pass_thru_q <= LCbCr_pass_thru_d; end if; end process; --insert high byte of dc into signal if non-zero inv_t_input <= samples_after_inv_q(16*2*sample_width-1 downto sample_width*2) & dc_high_byte_q & samples_after_inv_q(sample_width-1 downto 0) when or_reduce(dc_high_byte_q) = '1' else samples_after_inv_q; --parse packet quant_param_d <= recv_data(47 downto 40) when state = rx_header else quant_param_q; without_dc_d <= recv_data(39 downto 32) when state = rx_header else without_dc_q; identifier_d <= recv_data(7 downto 0) when state = rx_header else identifier_q; dc_high_byte_d <= recv_data(55 downto 48) when state = rx_header else dc_high_byte_q; x_pass_thru_d <= recv_data(18 downto 8) when state = rx_header else x_pass_thru_q; y_pass_thru_d <= recv_data(29 downto 19) when state = rx_header else y_pass_thru_q; LCbCr_pass_thru_d <= recv_data(31 downto 30) when state = rx_header else LCbCr_pass_thru_q; input_samples_d((16*sample_width)-1 downto (8*sample_width)) <= recv_data when state = rx_row_4_3 else input_samples_q((16*sample_width)-1 downto (8*sample_width)); input_samples_d((8*sample_width)-1 downto 0) <= recv_data when state = rx_row_2_1 else input_samples_q((8*sample_width)-1 downto 0); -- format repsonse packet tx_header_data <= x_pass_thru_q & "00000" &sign_mask& "000" & LCbCr_pass_thru_q & y_pass_thru_q &do_iqit_cmd&identifier_q; tx_row_4_3_data <= result_samples((16*sample_width)-1 downto (8*sample_width)); tx_row_2_1_data <= result_samples((8*sample_width)-1 downto 0) ; -- channel selection logic sel_vc_d <= sel_vc_enc when state = sel_vc else sel_vc_q; --rx controls dequeue <= sel_vc_one_hot when state = dequeue_header or state = dequeue_row_4_3 or state = dequeue_row_2_1 else "00"; select_vc_read <= sel_vc_q; sel_vc_one_hot <= "01" when sel_vc_q = "0" else "10"; --packet generation send_data <= tx_header_data when state = wait_tx_header or state = tx_header else tx_row_4_3_data when state = wait_tx_row_4_3 or state = tx_row_4_3 else tx_row_2_1_data when state = wait_tx_row_2_1 or state = tx_row_2_1 else std_logic_vector(to_unsigned(0, data_width)); dest_addr <= std_logic_vector(to_unsigned(7, addr_width)); set_tail_flit <= '1' when state = wait_row_2_1 or state = tx_row_2_1 else '0'; send_flit <= '1' when state = tx_header or state = tx_row_4_3 or state = tx_row_2_1 else '0'; -- STATE MACHINE ---------------------------------------------------------- process(state, data_in_buffer, is_tail_flit, sel_vc_one_hot, ready_to_send) begin next_state <= state; --default behaviour if state = idle and or_reduce(data_in_buffer) = '1' then next_state <= sel_vc; end if; if state = sel_vc then next_state <= rx_header; end if; if state = rx_header then next_state <= dequeue_header; end if; if state = dequeue_header then next_state <= wait_row_4_3; end if; if state = wait_row_4_3 and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_row_4_3; end if; if state = rx_row_4_3 then next_state <= dequeue_row_4_3; end if; if state = dequeue_row_4_3 then next_state <= wait_row_2_1; end if; if state = wait_row_2_1 and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_row_2_1; end if; if state = rx_row_2_1 then next_state <= dequeue_row_2_1; end if; if state = dequeue_row_2_1 then next_state <= wait_tx_header; end if; if state = wait_tx_header and ready_to_send = '1' then next_state <= tx_header; end if; if state = tx_header then next_state <= wait_tx_row_4_3; end if; if state = wait_tx_row_4_3 and ready_to_send = '1' then next_state <= tx_row_4_3; end if; if state = tx_row_4_3 then next_state <= wait_tx_row_2_1; end if; if state = wait_tx_row_2_1 and ready_to_send = '1' then next_state <= tx_row_2_1; end if; if state = tx_row_2_1 then next_state <= idle; end if; end process; end architecture fsmd;
mit
boztalay/OldProjects
FPGA/Current Projects/Subsystems/OZ-3/IpinReg.vhd
3
865
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:02:28 10/26/2009 -- Design Name: -- Module Name: IpinReg - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IpinReg is end IpinReg; architecture Behavioral of IpinReg is begin end Behavioral;
mit
boztalay/OldProjects
FPGA/Components/Comp_FrequencyDivider/Comp_FrequencyDivider.vhd
1
1772
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 22:15:19 04/10/2009 -- Design Name: -- Module Name: Comp_DivideBy50Mil - Behavioral -- Project Name: Frequency Divider -- Target Devices: -- Tool versions: -- Description: A frequency divider for use by a digital clock. Takes the Nexys 2 50Mhz clock -- and produces a 1Hz clock and 500Hz clock. -- Dependencies: None -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Comp_FrequencyDivider is Port ( SysCLK : in STD_LOGIC; out1 : out STD_LOGIC; out2 : out STD_LOGIC); end Comp_FrequencyDivider; architecture Behavioral of Comp_FrequencyDivider is signal cntr : STD_LOGIC_VECTOR (25 downto 0); signal cntr2 : STD_LOGIC_VECTOR (16 downto 0); begin process (SysCLK) begin if SysCLK'event and SysCLK = '0' then cntr <= cntr + 1; if cntr = "01011111010111100001000000" then out1 <= '1'; end if; if cntr = "10111110101111000010000000" then out1 <= '0'; cntr <= "00000000000000000000000000"; end if; end if; if SysCLK'event and SysCLK = '0' then cntr2 <= cntr2 + 1; if cntr2 = "01100001101010000" then out2 <= '1'; end if; if cntr2 = "11000011010100000" then out2 <= '0'; cntr2 <= "00000000000000000"; end if; end if; end process; end Behavioral;
mit
bargei/NoC264
NoC264_2x2/h264_deblock_filter_core.vhd
2
9247
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity h264_deblock_filter_core is port( clk : in std_logic; rst : in std_logic; is_chroma : in std_logic; boundary_strength : in signed(8 downto 0); p0 : in signed(8 downto 0); p1 : in signed(8 downto 0); p2 : in signed(8 downto 0); p3 : in signed(8 downto 0); q0 : in signed(8 downto 0); q1 : in signed(8 downto 0); q2 : in signed(8 downto 0); q3 : in signed(8 downto 0); alpha : in signed(8 downto 0); beta : in signed(8 downto 0); tc0 : in signed(8 downto 0); p0_out : out signed(8 downto 0); p1_out : out signed(8 downto 0); p2_out : out signed(8 downto 0); q0_out : out signed(8 downto 0); q1_out : out signed(8 downto 0); q2_out : out signed(8 downto 0) ); end entity h264_deblock_filter_core; architecture rtl of h264_deblock_filter_core is signal normal_filter : boolean; signal strong_filter : boolean; signal ap, aq : boolean; signal strong_filter_test : boolean; signal basic_checks : boolean; -- and of three test always needed signal extra_filter_normal_p : boolean; signal extra_filter_normal_q : boolean; signal p0_if_normal_filtd : signed(15 downto 0); signal p1_if_normal_filtd : signed(15 downto 0); signal q0_if_normal_filtd : signed(15 downto 0); signal q1_if_normal_filtd : signed(15 downto 0); signal p0_if_strong_filtd_0 : signed(15 downto 0); signal p1_if_strong_filtd_0 : signed(15 downto 0); signal p2_if_strong_filtd_0 : signed(15 downto 0); signal q0_if_strong_filtd_0 : signed(15 downto 0); signal q1_if_strong_filtd_0 : signed(15 downto 0); signal q2_if_strong_filtd_0 : signed(15 downto 0); signal p0_if_strong_filtd_1 : signed(15 downto 0); signal p1_if_strong_filtd_1 : signed(15 downto 0); signal p2_if_strong_filtd_1 : signed(15 downto 0); signal q0_if_strong_filtd_1 : signed(15 downto 0); signal q1_if_strong_filtd_1 : signed(15 downto 0); signal q2_if_strong_filtd_1 : signed(15 downto 0); signal p0_if_strong_filtd : signed(15 downto 0); signal p1_if_strong_filtd : signed(15 downto 0); signal p2_if_strong_filtd : signed(15 downto 0); signal q0_if_strong_filtd : signed(15 downto 0); signal q1_if_strong_filtd : signed(15 downto 0); signal q2_if_strong_filtd : signed(15 downto 0); signal delta_pre_clip : signed(15 downto 0); signal delta : signed(15 downto 0); signal p1_pre_clip_component : signed(15 downto 0); signal q1_pre_clip_component : signed(15 downto 0); signal p1_post_clip_component : signed(15 downto 0); signal q1_post_clip_component : signed(15 downto 0); signal tc0_prime : signed(8 downto 0); begin -- normal filtering basic_checks <= (abs(p0-q0) < alpha) and (abs(p1-p0) < beta ) and (abs(q1-q0) < beta ); extra_filter_normal_p <= abs(p2-p0) < beta; extra_filter_normal_q <= abs(q2-q0) < beta; tc0_prime <= tc0 when not (extra_filter_normal_p or extra_filter_normal_q) else tc0 + to_signed(1, 9) when extra_filter_normal_p xor extra_filter_normal_q else tc0 + to_signed(2, 9); delta_pre_clip <= shift_right((shift_left((("0000000"&q0) - ("0000000"&p0)) , 2) + (("0000000"&p1) - ("0000000"&q1)) + (to_signed(4, 16))) , 3); delta <= delta_pre_clip when delta_pre_clip > -tc0_prime and delta_pre_clip < tc0_prime else "1111111"&(-tc0_prime) when delta_pre_clip < -tc0_prime else "0000000"&tc0_prime; p1_pre_clip_component <= shift_right((("0000000"&p2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&p1) , 1)) , 1); p1_post_clip_component <= p1_pre_clip_component when p1_pre_clip_component > -tc0 and p1_pre_clip_component < tc0 else "1111111"&(-tc0) when p1_pre_clip_component < -tc0 else "0000000"&tc0; q1_pre_clip_component <= shift_right((("0000000"&q2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&q1) , 1)) , 1); q1_post_clip_component <= q1_pre_clip_component when q1_pre_clip_component > -tc0 and q1_pre_clip_component < tc0 else "1111111"&(-tc0) when q1_pre_clip_component < -tc0 else "0000000"&tc0; p0_if_normal_filtd <= ("0000000"&p0) + delta; p1_if_normal_filtd <= ("0000000"&p1) + p1_post_clip_component; q0_if_normal_filtd <= ("0000000"&q0) - delta; q1_if_normal_filtd <= ("0000000"&q1) + q1_post_clip_component; normal_filter <= boundary_strength < to_signed(4, 9) and boundary_strength > to_signed(0, 9); --strong filtering ap <= extra_filter_normal_p; aq <= extra_filter_normal_q; strong_filter <= boundary_strength = to_signed(4, 9); strong_filter_test <= (abs((X"0"&p0) - (X"0"&q0)) < (shift_right(X"0"&alpha, 2) + to_signed(2, 13))) and (is_chroma = '0'); p0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&p1, 1) + ("0000000"&p0) + ("0000000"&q1) + to_signed(2, 16) ) , 2); p1_if_strong_filtd_0 <= "0000000"&p1; p2_if_strong_filtd_0 <= "0000000"&p2; q0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&q1, 1) + ("0000000"&q0) + ("0000000"&p1) + to_signed(2, 16) ) , 2); q1_if_strong_filtd_0 <= "0000000"&q1; q2_if_strong_filtd_0 <= "0000000"&q2; p0_if_strong_filtd_1 <= shift_right((("0000000"&p2) + shift_left("0000000"&p1, 1) + shift_left("0000000"&p0,1) + shift_left("0000000"&q0,1) + ("0000000"&q1) + to_signed(4, 16) ), 3); p1_if_strong_filtd_1 <= shift_right(( ("0000000"&p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(2, 16) ), 2); p2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&p3, 1) + (to_signed(3, 7)*p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(4, 16) ) , 3); q0_if_strong_filtd_1 <= shift_right((("0000000"&q2) + shift_left("0000000"&q1, 1) + shift_left("0000000"&q0,1) + shift_left("0000000"&p0,1) + ("0000000"&p1) + to_signed(4, 16) ), 3); q1_if_strong_filtd_1 <= shift_right(( ("0000000"&q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(2, 16) ), 2); q2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&q3, 1) + (to_signed(3, 7)*q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(4, 16) ) , 3); p0_if_strong_filtd <= p0_if_strong_filtd_1 when strong_filter_test else p0_if_strong_filtd_0; p1_if_strong_filtd <= p1_if_strong_filtd_1 when strong_filter_test else p1_if_strong_filtd_0; p2_if_strong_filtd <= p2_if_strong_filtd_1 when strong_filter_test else p2_if_strong_filtd_0; q0_if_strong_filtd <= q0_if_strong_filtd_1 when strong_filter_test else q0_if_strong_filtd_0; q1_if_strong_filtd <= q1_if_strong_filtd_1 when strong_filter_test else q1_if_strong_filtd_0; q2_if_strong_filtd <= q2_if_strong_filtd_1 when strong_filter_test else q2_if_strong_filtd_0; -- output (will need modifing once strong filtering is built) p0_out <= p0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else p0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else p0; p1_out <= p1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_p else p1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else p1; p2_out <= p2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else p2; q0_out <= q0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else q0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else q0; q1_out <= q1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_q else q1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else q1; q2_out <= q2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else q2; end architecture rtl;
mit
boztalay/OldProjects
FPGA/Key_test/Keyboard.vhd
1
2610
---------------------------------------------------------------------------------- --Ben Oztalay, 2009-2010 -- --Module Title: Keyboard --Module Description: -- This is a simple module that eases the interface with a keyboard. It takes the -- PS/2 bus clock and data pins as inputs, as well as an acknowledgment signal. The -- outputs are the 8-bit scan code and a signal that tells the host device that -- the scan code is ready. Every 11 clock cycles, when the entire packet has been sent, -- the code_ready output is driven high, and stays high until the acknowledgement -- input is raised to '1'. It doesn't take scan codes while the code_ready output -- is high. ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Keyboard is Port ( key_clock : in STD_LOGIC; key_data : in STD_LOGIC; acknowledge : in STD_LOGIC; scan_code : out STD_LOGIC_VECTOR (7 to 0); code_ready : out STD_LOGIC); end Keyboard; architecture Behavioral of Keyboard is --//Components\\-- component Gen_Shift_Reg_Falling is generic (size : integer); Port ( clock : in STD_LOGIC; enable : in STD_LOGIC; reset : in STD_LOGIC; data_in : in STD_LOGIC; data_out : out STD_LOGIC_VECTOR ((size-1) downto 0)); end component; --\\Components//-- --//Signals\\-- signal code_ready_sig : STD_LOGIC; signal enable : STD_LOGIC; signal reg_out : STD_LOGIC_VECTOR(10 downto 0); --\\Signals//-- begin count_chk : process (key_clock, acknowledge, enable) is variable count : integer := 0; variable ready : STD_LOGIC := '0'; begin if enable = '1' then if falling_edge(key_clock) then count := count + 1; if count = 11 then count := 0; ready := '1'; end if; end if; end if; if (ready = '1') and (acknowledge = '1') then ready := '0'; end if; code_ready_sig <= ready; end process; shift_reg : Gen_Shift_Reg_Falling generic map (size => 11) port map (clock => key_clock, enable => enable, reset => '0', data_in => key_data, data_out => reg_out); enable <= (not (key_clock and code_ready_sig)); code_ready <= code_ready_sig; scan_code <= regout(2) & regout(3) & regout(4) & regout(5) & regout(6) & regout(7) & regout(8) & regout(9); end Behavioral;
mit
c-lipka/linguist
samples/VHDL/foo.vhd
91
217
-- VHDL example file library ieee; use ieee.std_logic_1164.all; entity inverter is port(a : in std_logic; b : out std_logic); end entity; architecture rtl of inverter is begin b <= not a; end architecture;
mit
MikhailKoslowski/Variax
Quartus/FlashController.vhd
1
1112
----------------------------------------------------------- -- Default Libs LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; -- My libs -- USE work.my_functions.all ----------------------------------------------------------- ENTITY FlashController IS GENERIC( freq : NATURAL := 50_000_000 ); PORT ( clk : IN STD_LOGIC; addr : IN STD_LOGIC_VECTOR(18 DOWNTO 0); data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); rdy : OUT STD_LOGIC ); END FlashController; -------------------------------------------------------- ARCHITECTURE structure OF FlashController IS SIGNAL s_addr : STD_LOGIC_VECTOR(18 DOWNTO 0); SIGNAL s_data : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(clk) VARIABLE count: NATURAL RANGE 0 TO freq := 0; BEGIN -- clk rising edge. IF clk'EVENT AND clk='1' THEN IF count = 0 THEN s_addr <= addr; ELSIF count = freq THEN data <= s_data; rdy <= '1'; ELSE s_data <= s_addr(7 DOWNTO 0); rdy <= '0'; END IF; count := count + 1; END IF; END PROCESS; END structure; --------------------------------------------------------
mit
boztalay/OZ-4
OZ-4 FPGA/OZ4/mem_ctl.vhd
2
1224
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity memory_control is port(clk : in std_logic; rst : in std_logic; address : in std_logic_vector(31 downto 0); data_in : in std_logic_vector(31 downto 0); data_out : out std_logic_vector(31 downto 0); we : in std_logic; mem_addr : out std_logic_vector(31 downto 0); mem_write_data : out std_logic_vector(31 downto 0); mem_read_data : in std_logic_vector(31 downto 0); mem_we : out std_logic; mem_clk : out std_logic ); end memory_control; architecture behavioral of memory_control is signal addr_r, data_r : std_logic_vector(31 downto 0); begin --Not much to it, just here so it can be expanded later in need be mem_clk <= clk; mem_addr <= addr_r; mem_write_data <= data_r; data_out <= mem_read_data; mem_we <= we; latch : process (clk, rst) is begin if rst = '1' then addr_r <= (others => '0'); data_r <= (others => '0'); elsif rising_edge(clk) then addr_r <= address; data_r <= data_in; end if; end process; end behavioral;
mit
boztalay/OZ-4
OZ-4 FPGA/OZ4/ieee_proposed/fixed_pkg_c.vhd
3
291386
------------------------------------------------------------------------------ -- "fixed_pkg" package contains functions for fixed point math. -- Please see the documentation for the fixed point package. -- This package should be compiled into "ieee_proposed" and used as follows: -- use ieee.std_logic_1164.all; -- use ieee.numeric_std.all; -- use ieee_proposed.fixed_pkg.all; -- Last Modified: $Date: 2006/05/09 19:21:24 $ -- RCS ID: $Id: fixed_pkg_c.vhd,v 1.1 2006/05/09 19:21:24 sandeepd Exp $ -- -- Created for VHDL-200X par, David Bishop ([email protected]) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- synthesis translate_off use std.textio.all; -- synthesis translate_on package fixed_pkg is --%%% Uncomment the Generics -- new work.fixed_generic_pkg -- generic map ( -- fixed_round_style => true; -- fixed_round -- fixed_overflow_style => true; -- fixed_saturate -- fixed_guard_bits => 3; -- number of guard bits -- no_warning => false -- show warnings -- ); --%%% REMOVE THE REST OF THIS FILE. constant fixed_round_style : BOOLEAN := true; -- round constant fixed_overflow_style : BOOLEAN := true; -- saturate constant fixed_guard_bits : NATURAL := 3; -- number of guard bits constant no_warning : BOOLEAN := false; -- issue warnings -- Author David Bishop ([email protected]) -- These 5 constants are used as defaults. -- There is a mechanism to override them in every function constant fixed_round : BOOLEAN := true; -- Turn on rounding routine constant fixed_truncate : BOOLEAN := false; -- Trun off rounding routine constant fixed_saturate : BOOLEAN := true; -- Saturate large numbers constant fixed_wrap : BOOLEAN := false; -- Wrap large numbers constant fixedsynth_or_real : BOOLEAN; -- differed constant -- base Unsigned fixed point type, downto direction assumed type ufixed is array (INTEGER range <>) of STD_LOGIC; -- base Signed fixed point type, downto direction assumed type sfixed is array (INTEGER range <>) of STD_LOGIC; ----------------------------------------------------------------------------- -- Fixed point type is defined as follows: -- 0000000000 -- 4321012345 -- 4 0 -5 -- The decimal point is assumed between the "0" and "-1" index -- Thus "0011010000" = 6.5 and would be written as 00110.10000 -- All types are assumed to be in the "downto" direction. --=========================================================================== -- Arithmetic Operators: --=========================================================================== -- Modify the sign of the number, 2's complement function "abs" (arg : sfixed) return sfixed; function "-" (arg : sfixed)return sfixed; -- Convert a signed fixed to an unsigned fixed function "abs" (arg : sfixed) return ufixed; -- Addition -- ufixed(a downto b) + ufixed(c downto d) -- = ufixed(max(a,c)+1 downto min(b,d)) function "+" (l, r : ufixed) return ufixed; -- sfixed(a downto b) + sfixed(c downto d) -- = sfixed(max(a,c)+1 downto min(b,d)) function "+" (l, r : sfixed) return sfixed; -- Subtraction -- ufixed(a downto b) - ufixed(c downto d) -- = ufixed(max(a,c)+1 downto min(b,d)) function "-" (l, r : ufixed) return ufixed; -- sfixed(a downto b) - sfixed(c downto d) -- = sfixed(max(a,c)+1 downto min(b,d)) function "-" (l, r : sfixed) return sfixed; -- Multiplication -- ufixed(a downto b) * ufixed(c downto d) = ufixed(a+c+1 downto b+d) function "*" (l, r : ufixed) return ufixed; -- sfixed(a downto b) * sfixed(c downto d) = sfixed(a+c+1 downto b+d) function "*" (l, r : sfixed) return sfixed; -- Division -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function "/" (l, r : ufixed) return ufixed; -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function "/" (l, r : sfixed) return sfixed; -- Remainder -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function "rem" (l, r : ufixed) return ufixed; -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function "rem" (l, r : sfixed) return sfixed; -- Modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function "mod" (l, r : ufixed) return ufixed; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function "mod" (l, r : sfixed) return sfixed; ---------------------------------------------------------------------------- -- Overload routines. In these routines the "real" or "natural" (integer) -- are converted into a fixed point number and then the operation is -- performed. It is assumed that the array will be large enough. -- If the input is "real" then the real number is converted into a fixed of -- the same size as the fixed point input. If the number is an "integer" -- then it is converted into fixed with the range (l'high downto 0). ---------------------------------------------------------------------------- -- ufixed(a downto b) + ufixed(a downto b) = ufixed(a+1 downto b) function "+" (l : ufixed; r : REAL) return ufixed; -- ufixed(c downto d) + ufixed(c downto d) = ufixed(c+1 downto d) function "+" (l : REAL; r : ufixed) return ufixed; -- ufixed(a downto b) + ufixed(a downto 0) = ufixed(a+1 downto min(0,b)) function "+" (l : ufixed; r : NATURAL) return ufixed; -- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d)) function "+" (l : NATURAL; r : ufixed) return ufixed; -- ufixed(a downto b) - ufixed(a downto b) = ufixed(a+1 downto b) function "-" (l : ufixed; r : REAL) return ufixed; -- ufixed(c downto d) - ufixed(c downto d) = ufixed(c+1 downto d) function "-" (l : REAL; r : ufixed) return ufixed; -- ufixed(a downto b) - ufixed(a downto 0) = ufixed(a+1 downto min(0,b)) function "-" (l : ufixed; r : NATURAL) return ufixed; -- ufixed(a downto 0) + ufixed(c downto d) = ufixed(c+1 downto min(0,d)) function "-" (l : NATURAL; r : ufixed) return ufixed; -- ufixed(a downto b) * ufixed(a downto b) = ufixed(2a+1 downto 2b) function "*" (l : ufixed; r : REAL) return ufixed; -- ufixed(c downto d) * ufixed(c downto d) = ufixed(2c+1 downto 2d) function "*" (l : REAL; r : ufixed) return ufixed; -- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b) function "*" (l : ufixed; r : NATURAL) return ufixed; -- ufixed (a downto b) * ufixed (a downto 0) = ufixed (2a+1 downto b) function "*" (l : NATURAL; r : ufixed) return ufixed; -- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1) function "/" (l : ufixed; r : REAL) return ufixed; -- ufixed(a downto b) / ufixed(a downto b) = ufixed(a-b downto b-a-1) function "/" (l : REAL; r : ufixed) return ufixed; -- ufixed(a downto b) / ufixed(a downto 0) = ufixed(a downto b-a-1) function "/" (l : ufixed; r : NATURAL) return ufixed; -- ufixed(c downto 0) / ufixed(c downto d) = ufixed(c-d downto -c-1) function "/" (l : NATURAL; r : ufixed) return ufixed; -- ufixed (a downto b) rem ufixed (a downto b) = ufixed (a downto b) function "rem" (l : ufixed; r : REAL) return ufixed; -- ufixed (c downto d) rem ufixed (c downto d) = ufixed (c downto d) function "rem" (l : REAL; r : ufixed) return ufixed; -- ufixed (a downto b) rem ufixed (a downto 0) = ufixed (a downto min(b,0)) function "rem" (l : ufixed; r : NATURAL) return ufixed; -- ufixed (c downto 0) rem ufixed (c downto d) = ufixed (c downto min(d,0)) function "rem" (l : NATURAL; r : ufixed) return ufixed; -- ufixed (a downto b) mod ufixed (a downto b) = ufixed (a downto b) function "mod" (l : ufixed; r : REAL) return ufixed; -- ufixed (c downto d) mod ufixed (c downto d) = ufixed (c downto d) function "mod" (l : REAL; r : ufixed) return ufixed; -- ufixed (a downto b) mod ufixed (a downto 0) = ufixed (a downto min(b,0)) function "mod" (l : ufixed; r : NATURAL) return ufixed; -- ufixed (c downto 0) mod ufixed (c downto d) = ufixed (c downto min(d,0)) function "mod" (l : NATURAL; r : ufixed) return ufixed; -- sfixed(a downto b) + sfixed(a downto b) = sfixed(a+1 downto b) function "+" (l : sfixed; r : REAL) return sfixed; -- sfixed(c downto d) + sfixed(c downto d) = sfixed(c+1 downto d) function "+" (l : REAL; r : sfixed) return sfixed; -- sfixed(a downto b) + sfixed(a downto 0) = sfixed(a+1 downto min(0,b)) function "+" (l : sfixed; r : INTEGER) return sfixed; -- sfixed(c downto 0) + sfixed(c downto d) = sfixed(c+1 downto min(0,d)) function "+" (l : INTEGER; r : sfixed) return sfixed; -- sfixed(a downto b) - sfixed(a downto b) = sfixed(a+1 downto b) function "-" (l : sfixed; r : REAL) return sfixed; -- sfixed(c downto d) - sfixed(c downto d) = sfixed(c+1 downto d) function "-" (l : REAL; r : sfixed) return sfixed; -- sfixed(a downto b) - sfixed(a downto 0) = sfixed(a+1 downto min(0,b)) function "-" (l : sfixed; r : INTEGER) return sfixed; -- sfixed(c downto 0) - sfixed(c downto d) = sfixed(c+1 downto min(0,d)) function "-" (l : INTEGER; r : sfixed) return sfixed; -- sfixed(a downto b) * sfixed(a downto b) = sfixed(2a+1 downto 2b) function "*" (l : sfixed; r : REAL) return sfixed; -- sfixed(c downto d) * sfixed(c downto d) = sfixed(2c+1 downto 2d) function "*" (l : REAL; r : sfixed) return sfixed; -- sfixed(a downto b) * sfixed(a downto 0) = sfixed(2a+1 downto b) function "*" (l : sfixed; r : INTEGER) return sfixed; -- sfixed(c downto 0) * sfixed(c downto d) = sfixed(2c+1 downto d) function "*" (l : INTEGER; r : sfixed) return sfixed; -- sfixed(a downto b) / sfixed(a downto b) = sfixed(a-b+1 downto b-a) function "/" (l : sfixed; r : REAL) return sfixed; -- sfixed(c downto d) / sfixed(c downto d) = sfixed(c-d+1 downto d-c) function "/" (l : REAL; r : sfixed) return sfixed; -- sfixed(a downto b) / sfixed(a downto 0) = sfixed(a+1 downto b-a) function "/" (l : sfixed; r : INTEGER) return sfixed; -- sfixed(c downto 0) / sfixed(c downto d) = sfixed(c-d+1 downto -c) function "/" (l : INTEGER; r : sfixed) return sfixed; -- sfixed (a downto b) rem sfixed (a downto b) = sfixed (a downto b) function "rem" (l : sfixed; r : REAL) return sfixed; -- sfixed (c downto d) rem sfixed (c downto d) = sfixed (c downto d) function "rem" (l : REAL; r : sfixed) return sfixed; -- sfixed (a downto b) rem sfixed (a downto 0) = sfixed (a downto min(b,0)) function "rem" (l : sfixed; r : INTEGER) return sfixed; -- sfixed (c downto 0) rem sfixed (c downto d) = sfixed (c downto min(d,0)) function "rem" (l : INTEGER; r : sfixed) return sfixed; -- sfixed (a downto b) mod sfixed (a downto b) = sfixed (a downto b) function "mod" (l : sfixed; r : REAL) return sfixed; -- sfixed (c downto d) mod sfixed (c downto d) = sfixed (c downto d) function "mod" (l : REAL; r : sfixed) return sfixed; -- sfixed (a downto b) mod sfixed (a downto 0) = sfixed (a downto min(b,0)) function "mod" (l : sfixed; r : INTEGER) return sfixed; -- sfixed (c downto 0) mod sfixed (c downto d) = sfixed (c downto min(d,0)) function "mod" (l : INTEGER; r : sfixed) return sfixed; -- This version of divide gives the user more control -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function divide ( l, r : ufixed; constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return ufixed; -- This version of divide gives the user more control -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function divide ( l, r : sfixed; constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return sfixed; -- These functions return 1/X -- 1 / ufixed(a downto b) = ufixed(-b downto -a-1) function reciprocal ( arg : ufixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return ufixed; -- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a) function reciprocal ( arg : sfixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return sfixed; -- REM function -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function remainder ( l, r : ufixed; constant round_style : BOOLEAN := fixed_round_style) return ufixed; -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function remainder ( l, r : sfixed; constant round_style : BOOLEAN := fixed_round_style) return sfixed; -- mod function -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function modulo ( l, r : ufixed; constant round_style : BOOLEAN := fixed_round_style) return ufixed; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function modulo ( l, r : sfixed; constant overflow_style : BOOLEAN := fixed_overflow_style; constant round_style : BOOLEAN := fixed_round_style) return sfixed; -- Procedure for those who need an "accumulator" function. -- add_carry (ufixed(a downto b), ufixed (c downto d)) -- = ufixed (max(a,c) downto min(b,d)) procedure add_carry ( L, R : in ufixed; c_in : in STD_ULOGIC; result : out ufixed; c_out : out STD_ULOGIC); -- add_carry (sfixed(a downto b), sfixed (c downto d)) -- = sfixed (max(a,c) downto min(b,d)) procedure add_carry ( L, R : in sfixed; c_in : in STD_ULOGIC; result : out sfixed; c_out : out STD_ULOGIC); -- Scales the result by a power of 2. Width of input = width of output with -- the decimal point moved. function scalb (y : ufixed; N : integer) return ufixed; function scalb (y : ufixed; N : SIGNED) return ufixed; function scalb (y : sfixed; N : integer) return sfixed; function scalb (y : sfixed; N : SIGNED) return sfixed; function Is_Negative (arg : sfixed) return BOOLEAN; --=========================================================================== -- Comparison Operators --=========================================================================== function ">" (l, r : ufixed) return BOOLEAN; function ">" (l, r : sfixed) return BOOLEAN; function "<" (l, r : ufixed) return BOOLEAN; function "<" (l, r : sfixed) return BOOLEAN; function "<=" (l, r : ufixed) return BOOLEAN; function "<=" (l, r : sfixed) return BOOLEAN; function ">=" (l, r : ufixed) return BOOLEAN; function ">=" (l, r : sfixed) return BOOLEAN; function "=" (l, r : ufixed) return BOOLEAN; function "=" (l, r : sfixed) return BOOLEAN; function "/=" (l, r : ufixed) return BOOLEAN; function "/=" (l, r : sfixed) return BOOLEAN; --%%% Uncomment the following (new syntax) -- function "?=" (L, R : ufixed) return BOOLEAN; -- function "?=" (L, R : sfixed) return BOOLEAN; -- --%%% remove the following (old syntax) function \?=\ (L, R : ufixed) return STD_ULOGIC; function \?=\ (L, R : sfixed) return STD_ULOGIC; -- These need to be overloaded for sfixed and ufixed function \?/=\ (L, R : ufixed) return STD_ULOGIC; function \?>\ (L, R : ufixed) return STD_ULOGIC; function \?>=\ (L, R : ufixed) return STD_ULOGIC; function \?<\ (L, R : ufixed) return STD_ULOGIC; function \?<=\ (L, R : ufixed) return STD_ULOGIC; function \?/=\ (L, R : sfixed) return STD_ULOGIC; function \?>\ (L, R : sfixed) return STD_ULOGIC; function \?>=\ (L, R : sfixed) return STD_ULOGIC; function \?<\ (L, R : sfixed) return STD_ULOGIC; function \?<=\ (L, R : sfixed) return STD_ULOGIC; -- %%% Replace with the following (new syntax) -- function "?=" (L, R : ufixed) return STD_ULOGIC; -- function "?/=" (L, R : ufixed) return STD_ULOGIC; -- function "?>" (L, R : ufixed) return STD_ULOGIC; -- function "?>=" (L, R : ufixed) return STD_ULOGIC; -- function "?<" (L, R : ufixed) return STD_ULOGIC; -- function "?<=" (L, R : ufixed) return STD_ULOGIC; -- function "?=" (L, R : sfixed) return STD_ULOGIC; -- function "?/=" (L, R : sfixed) return STD_ULOGIC; -- function "?>" (L, R : sfixed) return STD_ULOGIC; -- function "?>=" (L, R : sfixed) return STD_ULOGIC; -- function "?<" (L, R : sfixed) return STD_ULOGIC; -- function "?<=" (L, R : sfixed) return STD_ULOGIC; function std_match (L, R : ufixed) return BOOLEAN; function std_match (L, R : sfixed) return BOOLEAN; -- Overloads the default "maximum" and "minimum" function function maximum (l, r : ufixed) return ufixed; function minimum (l, r : ufixed) return ufixed; function maximum (l, r : sfixed) return sfixed; function minimum (l, r : sfixed) return sfixed; ---------------------------------------------------------------------------- -- In these compare functions a natural is converted into a -- fixed point number of the bounds "max(l'high,0) downto 0" ---------------------------------------------------------------------------- function "=" (l : ufixed; r : NATURAL) return BOOLEAN; function "/=" (l : ufixed; r : NATURAL) return BOOLEAN; function ">=" (l : ufixed; r : NATURAL) return BOOLEAN; function "<=" (l : ufixed; r : NATURAL) return BOOLEAN; function ">" (l : ufixed; r : NATURAL) return BOOLEAN; function "<" (l : ufixed; r : NATURAL) return BOOLEAN; function "=" (l : NATURAL; r : ufixed) return BOOLEAN; function "/=" (l : NATURAL; r : ufixed) return BOOLEAN; function ">=" (l : NATURAL; r : ufixed) return BOOLEAN; function "<=" (l : NATURAL; r : ufixed) return BOOLEAN; function ">" (l : NATURAL; r : ufixed) return BOOLEAN; function "<" (l : NATURAL; r : ufixed) return BOOLEAN; ---------------------------------------------------------------------------- -- In these compare functions a real is converted into a -- fixed point number of the bounds "l'high+1 downto l'low" ---------------------------------------------------------------------------- function "=" (l : ufixed; r : REAL) return BOOLEAN; function "/=" (l : ufixed; r : REAL) return BOOLEAN; function ">=" (l : ufixed; r : REAL) return BOOLEAN; function "<=" (l : ufixed; r : REAL) return BOOLEAN; function ">" (l : ufixed; r : REAL) return BOOLEAN; function "<" (l : ufixed; r : REAL) return BOOLEAN; function "=" (l : REAL; r : ufixed) return BOOLEAN; function "/=" (l : REAL; r : ufixed) return BOOLEAN; function ">=" (l : REAL; r : ufixed) return BOOLEAN; function "<=" (l : REAL; r : ufixed) return BOOLEAN; function ">" (l : REAL; r : ufixed) return BOOLEAN; function "<" (l : REAL; r : ufixed) return BOOLEAN; ---------------------------------------------------------------------------- -- In these compare functions an integer is converted into a -- fixed point number of the bounds "max(l'high,1) downto 0" ---------------------------------------------------------------------------- function "=" (l : sfixed; r : INTEGER) return BOOLEAN; function "/=" (l : sfixed; r : INTEGER) return BOOLEAN; function ">=" (l : sfixed; r : INTEGER) return BOOLEAN; function "<=" (l : sfixed; r : INTEGER) return BOOLEAN; function ">" (l : sfixed; r : INTEGER) return BOOLEAN; function "<" (l : sfixed; r : INTEGER) return BOOLEAN; function "=" (l : INTEGER; r : sfixed) return BOOLEAN; function "/=" (l : INTEGER; r : sfixed) return BOOLEAN; function ">=" (l : INTEGER; r : sfixed) return BOOLEAN; function "<=" (l : INTEGER; r : sfixed) return BOOLEAN; function ">" (l : INTEGER; r : sfixed) return BOOLEAN; function "<" (l : INTEGER; r : sfixed) return BOOLEAN; ---------------------------------------------------------------------------- -- In these compare functions a real is converted into a -- fixed point number of the bounds "l'high+1 downto l'low" ---------------------------------------------------------------------------- function "=" (l : sfixed; r : REAL) return BOOLEAN; function "/=" (l : sfixed; r : REAL) return BOOLEAN; function ">=" (l : sfixed; r : REAL) return BOOLEAN; function "<=" (l : sfixed; r : REAL) return BOOLEAN; function ">" (l : sfixed; r : REAL) return BOOLEAN; function "<" (l : sfixed; r : REAL) return BOOLEAN; function "=" (l : REAL; r : sfixed) return BOOLEAN; function "/=" (l : REAL; r : sfixed) return BOOLEAN; function ">=" (l : REAL; r : sfixed) return BOOLEAN; function "<=" (l : REAL; r : sfixed) return BOOLEAN; function ">" (l : REAL; r : sfixed) return BOOLEAN; function "<" (l : REAL; r : sfixed) return BOOLEAN; --=========================================================================== -- Shift and Rotate Functions. -- Note that sra and sla are not the same as the BIT_VECTOR version --=========================================================================== function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed; function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed; function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed; function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed; function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed; function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed; function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed; function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed; function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed; function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed; function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (L : ufixed) return ufixed; function "and" (L, R : ufixed) return ufixed; function "or" (L, R : ufixed) return ufixed; function "nand" (L, R : ufixed) return ufixed; function "nor" (L, R : ufixed) return ufixed; function "xor" (L, R : ufixed) return ufixed; function "xnor" (L, R : ufixed) return ufixed; function "not" (L : sfixed) return sfixed; function "and" (L, R : sfixed) return sfixed; function "or" (L, R : sfixed) return sfixed; function "nand" (L, R : sfixed) return sfixed; function "nor" (L, R : sfixed) return sfixed; function "xor" (L, R : sfixed) return sfixed; function "xnor" (L, R : sfixed) return sfixed; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (L : STD_ULOGIC; R : ufixed) return ufixed; function "and" (L : ufixed; R : STD_ULOGIC) return ufixed; function "or" (L : STD_ULOGIC; R : ufixed) return ufixed; function "or" (L : ufixed; R : STD_ULOGIC) return ufixed; function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed; function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed; function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed; function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed; function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed; function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed; function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed; function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed; function "and" (L : STD_ULOGIC; R : sfixed) return sfixed; function "and" (L : sfixed; R : STD_ULOGIC) return sfixed; function "or" (L : STD_ULOGIC; R : sfixed) return sfixed; function "or" (L : sfixed; R : STD_ULOGIC) return sfixed; function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed; function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed; function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed; function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed; function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed; function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed; function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed; function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed; -- Reduction operators, same as numeric_std functions -- %%% remove 12 functions (old syntax) function and_reduce(arg : ufixed) return STD_ULOGIC; function nand_reduce(arg : ufixed) return STD_ULOGIC; function or_reduce(arg : ufixed) return STD_ULOGIC; function nor_reduce(arg : ufixed) return STD_ULOGIC; function xor_reduce(arg : ufixed) return STD_ULOGIC; function xnor_reduce(arg : ufixed) return STD_ULOGIC; function and_reduce(arg : sfixed) return STD_ULOGIC; function nand_reduce(arg : sfixed) return STD_ULOGIC; function or_reduce(arg : sfixed) return STD_ULOGIC; function nor_reduce(arg : sfixed) return STD_ULOGIC; function xor_reduce(arg : sfixed) return STD_ULOGIC; function xnor_reduce(arg : sfixed) return STD_ULOGIC; -- %%% Uncomment the following 12 functions (new syntax) -- function "and" ( arg : ufixed ) RETURN std_ulogic; -- function "nand" ( arg : ufixed ) RETURN std_ulogic; -- function "or" ( arg : ufixed ) RETURN std_ulogic; -- function "nor" ( arg : ufixed ) RETURN std_ulogic; -- function "xor" ( arg : ufixed ) RETURN std_ulogic; -- function "xnor" ( arg : ufixed ) RETURN std_ulogic; -- function "and" ( arg : sfixed ) RETURN std_ulogic; -- function "nand" ( arg : sfixed ) RETURN std_ulogic; -- function "or" ( arg : sfixed ) RETURN std_ulogic; -- function "nor" ( arg : sfixed ) RETURN std_ulogic; -- function "xor" ( arg : sfixed ) RETURN std_ulogic; -- function "xnor" ( arg : sfixed ) RETURN std_ulogic; -- returns arg'low-1 if not found function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER; function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER; -- returns arg'high+1 if not found function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER; function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER; --=========================================================================== -- RESIZE Functions --=========================================================================== -- resizes the number (larger or smaller) -- The returned result will be ufixed (left_index downto right_index) -- If "round_style" is true, then the result will be rounded. If the MSB -- of the remainder is a "1" AND the LSB of the unround result is a '1' or -- the lower bits of the remainder include a '1' then the result will be -- increased by the smallest representable number for that type. -- The default is "true" for round_style. -- "overflow_style" can be "true" (saturate mode) or "false" (wrap mode). -- In saturate mode, if the number overflows then the largest possible -- representable number is returned. If wrap mode, then the upper bits -- of the number are truncated. function resize ( arg : ufixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return ufixed; -- "size_res" functions create the size of the output from the length -- of the "size_res" input. The actual value of "size_res" is not used. function resize ( arg : ufixed; -- input size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return ufixed; -- Note that in "wrap" mode the sign bit is not replicated. Thus the -- resize of a negative number can have a positive result in wrap mode. function resize ( arg : sfixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; function resize ( arg : sfixed; -- input size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; --=========================================================================== -- Conversion Functions --=========================================================================== -- integer (natural) to unsigned fixed point. -- arguments are the upper and lower bounds of the number, thus -- ufixed (7 downto -3) <= to_ufixed (int, 7, -3); function to_ufixed ( arg : NATURAL; -- integer constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding return ufixed; function to_ufixed ( arg : NATURAL; -- integer size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding return ufixed; -- real to unsigned fixed point function to_ufixed ( arg : REAL; -- real constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return ufixed; function to_ufixed ( arg : REAL; -- real size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return ufixed; -- unsigned to unsigned fixed point function to_ufixed ( arg : UNSIGNED; -- unsigned constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return ufixed; function to_ufixed ( arg : UNSIGNED; -- unsigned size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return ufixed; -- Performs a casting. ufixed (arg'range) is returned function to_ufixed ( arg : UNSIGNED) -- unsigned return ufixed; -- unsigned fixed point to unsigned function to_unsigned ( arg : ufixed; -- fixed point input constant size : NATURAL; -- length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return UNSIGNED; -- unsigned fixed point to unsigned function to_unsigned ( arg : ufixed; -- fixed point input size_res : UNSIGNED; -- used for length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return UNSIGNED; -- unsigned fixed point to real function to_real ( arg : ufixed) -- fixed point input return REAL; -- unsigned fixed point to integer function to_integer ( arg : ufixed; -- fixed point input constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return NATURAL; -- Integer to sfixed function to_sfixed ( arg : INTEGER; -- integer constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; function to_sfixed ( arg : INTEGER; -- integer size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; -- Real to sfixed function to_sfixed ( arg : REAL; -- real constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return sfixed; function to_sfixed ( arg : REAL; -- real size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return sfixed; -- signed to sfixed function to_sfixed ( arg : SIGNED; -- signed constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; function to_sfixed ( arg : SIGNED; -- signed size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return sfixed; -- signed to sfixed (output assumed to be size of signed input) function to_sfixed ( arg : SIGNED) -- signed return sfixed; -- unsigned fixed point to signed fixed point (adds a "0" sign bit) function add_sign ( arg : ufixed) -- unsigned fixed point return sfixed; -- signed fixed point to signed function to_signed ( arg : sfixed; -- fixed point input constant size : NATURAL; -- length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return SIGNED; -- signed fixed point to signed function to_signed ( arg : sfixed; -- fixed point input size_res : SIGNED; -- used for length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return SIGNED; -- signed fixed point to real function to_real ( arg : sfixed) -- fixed point input return REAL; -- signed fixed point to integer function to_integer ( arg : sfixed; -- fixed point input constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return INTEGER; -- Because of the farily complicated sizing rules in the fixed point -- packages these functions are provided to compute the result ranges -- Example: -- signal uf1 : ufixed (3 downto -3); -- signal uf2 : ufixed (4 downto -2); -- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto -- ufixed_low (3, -3, '*', 4, -2)); -- uf1multuf2 <= uf1 * uf2; -- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod) function ufixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function ufixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function sfixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; function sfixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER; -- Same as above, but using the "size_res" input only for their ranges: -- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto -- ufixed_low (uf1, '*', uf2)); -- uf1multuf2 <= uf1 * uf2; function ufixed_high (size_res : ufixed; operation : CHARACTER := 'X'; size_res2 : ufixed) return INTEGER; function ufixed_low (size_res : ufixed; operation : CHARACTER := 'X'; size_res2 : ufixed) return INTEGER; function sfixed_high (size_res : sfixed; operation : CHARACTER := 'X'; size_res2 : sfixed) return INTEGER; function sfixed_low (size_res : sfixed; operation : CHARACTER := 'X'; size_res2 : sfixed) return INTEGER; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; function saturate ( size_res : ufixed) -- only the size of this is used return ufixed; function saturate ( size_res : sfixed) -- only the size of this is used return sfixed; --=========================================================================== -- Translation Functions --=========================================================================== -- Maps meta-logical values function to_01 ( s : ufixed; -- fixed point input constant XMAP : STD_LOGIC := '0') -- Map x to return ufixed; -- maps meta-logical values function to_01 ( s : sfixed; -- fixed point input constant XMAP : STD_LOGIC := '0') -- Map x to return sfixed; function Is_X (arg : ufixed) return BOOLEAN; function Is_X (arg : sfixed) return BOOLEAN; function to_X01 (arg : ufixed) return ufixed; function to_X01 (arg : sfixed) return sfixed; function to_X01Z (arg : ufixed) return ufixed; function to_X01Z (arg : sfixed) return sfixed; function to_UX01 (arg : ufixed) return ufixed; function to_UX01 (arg : sfixed) return sfixed; -- straight vector conversion routines, needed for synthesis. -- These functions are here so that a std_logic_vector can be -- converted to and from sfixed and ufixed. Note that you can -- not cast these vectors because of their negative index. function to_slv ( arg : ufixed) -- fp vector return STD_LOGIC_VECTOR; -- alias to_StdLogicVector is to_slv [ufixed return STD_LOGIC_VECTOR]; -- alias to_Std_Logic_Vector is to_slv [ufixed return STD_LOGIC_VECTOR]; function to_slv ( arg : sfixed) -- fp vector return STD_LOGIC_VECTOR; -- alias to_StdLogicVector is to_slv [sfixed return STD_LOGIC_VECTOR]; -- alias to_Std_Logic_Vector is to_slv [sfixed return STD_LOGIC_VECTOR]; function to_sulv ( arg : ufixed) -- fp vector return STD_ULOGIC_VECTOR; -- alias to_StdULogicVector is to_sulv [ufixed return STD_ULOGIC_VECTOR]; -- alias to_Std_ULogic_Vector is to_sulv [ufixed return STD_ULOGIC_VECTOR]; function to_sulv ( arg : sfixed) -- fp vector return STD_ULOGIC_VECTOR; -- alias to_StdULogicVector is to_sulv [sfixed return STD_ULOGIC_VECTOR]; -- alias to_Std_ULogic_Vector is to_sulv [sfixed return STD_ULOGIC_VECTOR]; function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : ufixed) -- for size only return ufixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : sfixed) -- for size only return sfixed; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : ufixed) -- for size only return ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : sfixed) -- for size only return sfixed; -- As a concession to those who use a graphical DSP environment, -- these functions take parameters in those tools format and create -- fixed point numbers. These functions are designed to convert from -- a std_logic_vector to the VHDL fixed point format using the conventions -- of these packages. In a pure VHDL environment you should use the -- "to_ufixed" and "to_sfixed" routines. -- Unsigned fixed point function to_UFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return ufixed; -- signed fixed point function to_SFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return sfixed; -- finding the bounds of a number. These functions can be used like this: -- signal xxx : ufixed (7 downto -3); -- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))" -- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3) -- downto UFix_low(11, 3, "+", 11, 3)); -- Where "11" is the width of xxx (xxx'length), -- and 3 is the lower bound (abs (xxx'low)) -- In a pure VHDL environment use "ufixed_high" and "ufixed_low" function UFix_high (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; function UFix_low (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; -- Same as above but for signed fixed point. Note that the width -- of a signed fixed point number ignores the sign bit, thus -- width = sxxx'length-1 function SFix_high (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; function SFix_low (width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER; --=========================================================================== -- string and textio Functions --=========================================================================== -- rtl_synthesis off -- synthesis translate_off -- purpose: writes fixed point into a line procedure WRITE ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); -- purpose: writes fixed point into a line procedure WRITE ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure READ(L : inout LINE; VALUE : out ufixed); procedure READ(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN); procedure READ(L : inout LINE; VALUE : out sfixed); procedure READ(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN); alias bwrite is WRITE [LINE, ufixed, SIDE, width]; alias bwrite is WRITE [LINE, sfixed, SIDE, width]; alias bread is READ [LINE, ufixed]; alias bread is READ [LINE, ufixed, BOOLEAN]; alias bread is READ [LINE, sfixed]; alias bread is READ [LINE, sfixed, BOOLEAN]; -- octal read and write procedure OWRITE ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure OWRITE ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure OREAD(L : inout LINE; VALUE : out ufixed); procedure OREAD(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN); procedure OREAD(L : inout LINE; VALUE : out sfixed); procedure OREAD(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN); -- hex read and write procedure HWRITE ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); -- purpose: writes fixed point into a line procedure HWRITE ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure HREAD(L : inout LINE; VALUE : out ufixed); procedure HREAD(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN); procedure HREAD(L : inout LINE; VALUE : out sfixed); procedure HREAD(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN); -- returns a string, useful for: -- assert (x = y) report "error found " & to_string(x) severity error; function to_string ( value : ufixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; alias to_bstring is to_string [ufixed, SIDE, width return STRING]; function to_ostring ( value : ufixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; function to_hstring ( value : ufixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; function to_string ( value : sfixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; alias to_bstring is to_string [sfixed, SIDE, width return STRING]; function to_ostring ( value : sfixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; function to_hstring ( value : sfixed; justified : SIDE := right; field : WIDTH := 0 ) return STRING; -- From string functions allow you to convert a string into a fixed -- point number. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5 -- The "." is optional in this syntax, however it exist and is -- in the wrong location an error is produced. Overflow will -- result in saturation. function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; alias from_bstring is from_string [STRING, INTEGER, INTEGER return ufixed]; -- Octal and hex conversions work as follows: -- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped) -- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped) function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed; function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; alias from_bstring is from_string [STRING, INTEGER, INTEGER return sfixed]; function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed; -- Same as above, "size_res" is used for it's range only. function from_string ( bstring : STRING; -- binary string size_res : ufixed) return ufixed; alias from_bstring is from_string [STRING, ufixed return ufixed]; function from_ostring ( ostring : STRING; -- Octal string size_res : ufixed) return ufixed; function from_hstring ( hstring : STRING; -- hex string size_res : ufixed) return ufixed; function from_string ( bstring : STRING; -- binary string size_res : sfixed) return sfixed; alias from_bstring is from_string [STRING, sfixed return sfixed]; function from_ostring ( ostring : STRING; -- Octal string size_res : sfixed) return sfixed; function from_hstring ( hstring : STRING; -- hex string size_res : sfixed) return sfixed; -- Direct converstion functions. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100"); -- 6.5 -- In this case the "." is not optional, and the size of -- the output must match exactly. function from_string ( bstring : STRING) -- binary string return ufixed; alias from_bstring is from_string [STRING return ufixed]; -- Direct octal and hex converstion functions. In this case -- the string lengths must match. Example: -- signal sf1 := sfixed (5 downto -3); -- sf1 <= from_ostring ("71.4") -- -6.5 function from_ostring ( ostring : STRING) -- Octal string return ufixed; function from_hstring ( hstring : STRING) -- hex string return ufixed; function from_string ( bstring : STRING) -- binary string return sfixed; alias from_bstring is from_string [STRING return sfixed]; function from_ostring ( ostring : STRING) -- Octal string return sfixed; function from_hstring ( hstring : STRING) -- hex string return sfixed; -- synthesis translate_on -- rtl_synthesis on -- This type is here for the floating point package. type round_type is (round_nearest, -- Default, nearest LSB '0' round_inf, -- Round to positive round_neginf, -- Round to negate round_zero); -- Round towards zero -- These are the same as the C FE_TONEAREST, FE_UPWARD, FE_DOWNWARD, -- and FE_TOWARDZERO floating point rounding macros. function to_StdLogicVector ( arg : ufixed) -- fp vector return STD_LOGIC_VECTOR; function to_Std_Logic_Vector ( arg : ufixed) -- fp vector return STD_LOGIC_VECTOR; function to_StdLogicVector ( arg : sfixed) -- fp vector return STD_LOGIC_VECTOR; function to_Std_Logic_Vector ( arg : sfixed) -- fp vector return STD_LOGIC_VECTOR; end package fixed_pkg; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use std.textio.all; use ieee.std_logic_textio.all; -- %%% for testing only package body fixed_pkg is -- Author David Bishop ([email protected]) -- Other contributers: Jim Lewis, Yannick Grugni, Ryan W. Hilton -- null array constants constant NAUF : ufixed (0 downto 1) := (others => '0'); constant NASF : sfixed (0 downto 1) := (others => '0'); constant NSLV : STD_LOGIC_VECTOR (0 downto 1) := (others => '0'); -- This differed constant will tell you if the package body is synthesizable -- or implemented as real numbers, set to "true" if synthesizable. constant fixedsynth_or_real : BOOLEAN := true; --%%% Can be removed in vhdl-200x, will be implicit. -- purpose: To find the largest of 2 numbers function maximum (l, r : INTEGER) return INTEGER is begin -- function maximum if L > R then return L; else return R; end if; end function maximum; function minimum (l, r : INTEGER) return INTEGER is begin -- function minimum if L > R then return R; else return L; end if; end function minimum; -- %%% Remove the following function (duplicates of new numeric_std) function "sra" (arg : SIGNED; count : INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(arg, count); else return SHIFT_LEFT(arg, -count); end if; end function "sra"; -- %%% Replace or_reducex with "or", and_reducex with "and", and -- %%% xor_reducex with "xor", then remove the following 3 functions -- purpose: OR all of the bits in a vector together -- This is a copy of the proposed "or_reduce" from 1076.3 function or_reducex (arg : STD_LOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_LOGIC; variable Half : INTEGER; variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_LOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '0'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := or_reducex (BUS_int (BUS_int'left downto Half)); Lower := or_reducex (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper or Lower; end if; end if; return Result; end function or_reducex; -- purpose: AND all of the bits in a vector together -- This is a copy of the proposed "and_reduce" from 1076.3 function and_reducex (arg : STD_LOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_LOGIC; variable Half : INTEGER; variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_LOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '1'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := and_reducex (BUS_int (BUS_int'left downto Half)); Lower := and_reducex (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper and Lower; end if; end if; return Result; end function and_reducex; function xor_reducex (arg : STD_LOGIC_VECTOR) return STD_ULOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_LOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range begin if (arg'length >= 1) then BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := xor_reducex (BUS_int (BUS_int'left downto Half)); Lower := xor_reducex (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper xor Lower; end if; end if; return Result; end function xor_reducex; --%%% remove the following function and table -- Match table, copied form new std_logic_1164 type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC; constant match_logic_table : stdlogic_table := ( ----------------------------------------------------- -- U X 0 1 Z W L H - | | ----------------------------------------------------- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H | ('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - | ); constant no_match_logic_table : stdlogic_table := ( ----------------------------------------------------- -- U X 0 1 Z W L H - | | ----------------------------------------------------- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H | ('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - | ); ------------------------------------------------------------------- -- ?= functions, Similar to "std_match", but returns "std_ulogic". ------------------------------------------------------------------- -- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return match_logic_table (l, r); end function \?=\; -- %%% END FUNCTION "?="; -- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return no_match_logic_table (l, r); end function \?/=\; -- %%% END FUNCTION "?/="; -- %%% end remove -- Special version of "minimum" to do some boundary checking without errors function mins (l, r : INTEGER) return INTEGER is begin -- function mins if (L = INTEGER'low or R = INTEGER'low) then return 0; -- error condition end if; return minimum (L, R); end function mins; -- Special version of "minimum" to do some boundary checking with errors function mine (l, r : INTEGER) return INTEGER is begin -- function mine if (L = INTEGER'low or R = INTEGER'low) then report "FIXED_GENERIC_PKG: Unbounded number passed, was a literal used?" severity error; return 0; end if; return minimum (L, R); end function mine; -- The following functions are used only internally. Every function -- calls "cleanvec" either directly or indirectly. -- purpose: Fixes "downto" problem and resolves meta states function cleanvec ( arg : sfixed) -- input return sfixed is constant left_index : INTEGER := maximum(arg'left, arg'right); constant right_index : INTEGER := mins(arg'left, arg'right); variable result : sfixed (arg'range); begin -- function cleanvec assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low)) report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto""" severity error; return arg; end function cleanvec; -- purpose: Fixes "downto" problem and resolves meta states function cleanvec ( arg : ufixed) -- input return ufixed is constant left_index : INTEGER := maximum(arg'left, arg'right); constant right_index : INTEGER := mins(arg'left, arg'right); variable result : ufixed (arg'range); begin -- function cleanvec assert not ((arg'left < arg'right) and (arg'low /= INTEGER'low)) report "FIXED_GENERIC_PKG: Vector passed using a ""to"" range, expected is ""downto""" severity error; return arg; end function cleanvec; -- Type cast a "unsigned" into a "ufixed", used internally function to_fixed ( arg : UNSIGNED; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is variable result : ufixed (left_index downto right_index); -- variable j : INTEGER := arg'high; -- index for arg begin -- function to_fixed result := ufixed(arg); -- floop : for i in result'range loop -- result(i) := arg(j); -- res(4) := arg (4 + 3) -- j := j - 1; -- end loop floop; return result; end function to_fixed; -- Type cast a "signed" into an "sfixed", used internally function to_fixed ( arg : SIGNED; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable result : sfixed (left_index downto right_index); -- variable j : INTEGER := arg'high; -- index for arg begin -- function to_fixed result := sfixed(arg); -- floop : for i in result'range loop -- result(i) := arg(j); -- res(4) := arg (4 + 3) -- j := j - 1; -- end loop floop; return result; end function to_fixed; -- Type cast a "ufixed" into an "unsigned", used internally function to_uns ( arg : ufixed) -- fp vector return UNSIGNED is subtype t is UNSIGNED(arg'high - arg'low downto 0); variable slv : t; begin -- function to_uns slv := t(arg); -- floop : for i in slv'range loop -- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3) -- end loop floop; return UNSIGNED(to_X01(std_logic_vector(slv))); end function to_uns; -- Type cast an "sfixed" into a "signed", used internally function to_s ( arg : sfixed) -- fp vector return SIGNED is subtype t is SIGNED(arg'high - arg'low downto 0); variable slv : t; begin -- function to_s slv := t(arg); -- floop : for i in slv'range loop -- slv(i) := arg(i + arg'low); -- slv(7) := arg (7 - 3) -- end loop floop; return SIGNED(to_X01(std_logic_vector(slv))); end function to_s; -- adds 1 to the LSB of the number procedure round_up (arg : in ufixed; result : out ufixed; overflowx : out BOOLEAN) is variable arguns, resuns : UNSIGNED (arg'high-arg'low+1 downto 0) := (others => '0'); begin -- round_up arguns (arguns'high-1 downto 0) := to_uns (arg); resuns := arguns + 1; result := to_fixed(resuns(arg'high-arg'low downto 0), arg'high, arg'low); overflowx := (resuns(resuns'high) = '1'); end procedure round_up; -- adds 1 to the LSB of the number procedure round_up (arg : in sfixed; result : out sfixed; overflowx : out BOOLEAN) is variable args, ress : SIGNED (arg'high-arg'low+1 downto 0); begin -- round_up args (args'high-1 downto 0) := to_s (arg); args(args'high) := arg(arg'high); -- sign extend ress := args + 1; result := to_fixed(ress (ress'high-1 downto 0), arg'high, arg'low); overflowx := ((arg(arg'high) /= ress(ress'high-1)) and (or_reducex (STD_LOGIC_VECTOR(ress)) /= '0')); end procedure round_up; -- Rounding - Performs a "round_nearest" (IEEE 754) which rounds up -- when the remainder is > 0.5. If the remainder IS 0.5 then if the -- bottom bit is a "1" it is rounded, otherwise it remains the same. function round_fixed (arg : ufixed; remainder : ufixed; overflow_style : BOOLEAN := fixed_overflow_style) return ufixed is variable rounds : BOOLEAN; variable round_overflow : BOOLEAN; variable result : ufixed (arg'range); begin rounds := false; if (remainder'length > 1) then if (remainder (remainder'high) = '1') then rounds := (arg(arg'low) = '1') or (or_reducex (to_slv(remainder(remainder'high-1 downto remainder'low))) = '1'); end if; else rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1'); end if; if rounds then round_up(arg => arg, result => result, overflowx => round_overflow); else result := arg; end if; if (overflow_style = fixed_saturate) and round_overflow then result := saturate (result'high, result'low); end if; return result; end function round_fixed; -- Rounding case statement function round_fixed (arg : sfixed; remainder : sfixed; overflow_style : BOOLEAN := fixed_overflow_style) return sfixed is variable rounds : BOOLEAN; variable round_overflow : BOOLEAN; variable result : sfixed (arg'range); begin rounds := false; if (remainder'length > 1) then if (remainder (remainder'high) = '1') then rounds := (arg(arg'low) = '1') or (or_reducex (to_slv(remainder(remainder'high-1 downto remainder'low))) = '1'); end if; else rounds := (arg(arg'low) = '1') and (remainder (remainder'high) = '1'); end if; if rounds then round_up(arg => arg, result => result, overflowx => round_overflow); else result := arg; end if; if round_overflow then if (overflow_style = fixed_saturate) then if arg(arg'high) = '0' then result := saturate (result'high, result'low); else result := not saturate (result'high, result'low); end if; -- else -- result(result'high) := arg(arg'high); -- fix sign bit in wrap end if; end if; return result; end function round_fixed; ----------------------------------------------------------------------------- -- Visible functions ----------------------------------------------------------------------------- -- casting functions. These are needed for synthesis where typically -- the only input and output type is a std_logic_vector. function to_slv ( arg : ufixed) -- fixed point vector return STD_LOGIC_VECTOR is subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0); variable slv : t; begin if arg'length < 1 then return NSLV; end if; slv := t (arg); return slv; end function to_slv; function to_slv ( arg : sfixed) -- fixed point vector return STD_LOGIC_VECTOR is subtype t is STD_LOGIC_VECTOR (arg'high - arg'low downto 0); variable slv : t; begin if arg'length < 1 then return NSLV; end if; slv := t (arg); return slv; end function to_slv; function to_sulv ( arg : ufixed) -- fixed point vector return STD_ULOGIC_VECTOR is begin return to_stdulogicvector (to_slv(arg)); end function to_sulv; function to_sulv ( arg : sfixed) -- fixed point vector return STD_ULOGIC_VECTOR is begin return to_stdulogicvector (to_slv(arg)); end function to_sulv; function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is variable result : ufixed (left_index downto right_index); begin if (arg'length < 1 or right_index > left_index) then return NAUF; end if; if (arg'length /= result'length) then report "FIXED_GENERIC_PKG.TO_UFIXED (STD_LOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NAUF; else result := to_fixed (arg => UNSIGNED(arg), left_index => left_index, right_index => right_index); return result; end if; end function to_ufixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable result : sfixed (left_index downto right_index); begin if (arg'length < 1 or right_index > left_index) then return NASF; end if; if (arg'length /= result'length) then report "FIXED_GENERIC_PKG.TO_SFIXED (STD_LOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NASF; else result := to_fixed (arg => SIGNED(arg), left_index => left_index, right_index => right_index); return result; end if; end function to_sfixed; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is begin return to_ufixed (arg => to_stdlogicvector(arg), left_index => left_index, right_index => right_index); end function to_ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is begin return to_sfixed (arg => to_stdlogicvector(arg), left_index => left_index, right_index => right_index); end function to_sfixed; -- Two's complement number, Grows the vector by 1 bit. -- because "abs (1000.000) = 01000.000" or abs(-16) = 16. function "abs" ( arg : sfixed) -- fixed point input return sfixed is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := mine(arg'low, arg'low); variable ressns : SIGNED (arg'length downto 0); variable result : sfixed (left_index+1 downto right_index); begin if (arg'length < 1 or result'length < 1) then return NASF; end if; ressns (arg'length-1 downto 0) := to_s (cleanvec (arg)); ressns (arg'length) := ressns (arg'length-1); -- expand sign bit result := to_fixed (abs(ressns), left_index+1, right_index); return result; end function "abs"; -- also grows the vector by 1 bit. function "-" ( arg : sfixed) -- fixed point input return sfixed is constant left_index : INTEGER := arg'high+1; constant right_index : INTEGER := mine(arg'low, arg'low); variable ressns : SIGNED (arg'length downto 0); variable result : sfixed (left_index downto right_index); begin if (arg'length < 1 or result'length < 1) then return NASF; end if; ressns (arg'length-1 downto 0) := to_s (cleanvec(arg)); ressns (arg'length) := ressns (arg'length-1); -- expand sign bit result := to_fixed (-ressns, left_index, right_index); return result; end function "-"; function "abs" (arg : sfixed) return ufixed is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := mine(arg'low, arg'low); variable xarg : sfixed(left_index+1 downto right_index); variable result : ufixed(left_index downto right_index); begin if arg'length < 1 then return NAUF; end if; xarg := abs(arg); result := ufixed (xarg (left_index downto right_index)); return result; end function "abs"; -- Addition function "+" ( l, r : ufixed) -- ufixed(a downto b) + ufixed(c downto d) = return ufixed is -- ufixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable result : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv + rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "+"; function "+" ( l, r : sfixed) -- sfixed(a downto b) + sfixed(c downto d) = return sfixed is -- sfixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable result : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv + rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "+"; -- Subtraction function "-" ( l, r : ufixed) -- ufixed(a downto b) - ufixed(c downto d) = return ufixed is -- ufixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable result : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1) then return NAUF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv - rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "-"; function "-" ( l, r : sfixed) -- sfixed(a downto b) - sfixed(c downto d) = return sfixed is -- sfixed(max(a,c)+1 downto min(b,d)) constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable result : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); begin if (l'length < 1 or r'length < 1) then return NASF; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv - rslv; result := to_fixed(result_slv, left_index, right_index); return result; end function "-"; function "*" ( l, r : ufixed) -- ufixed(a downto b) * ufixed(c downto d) = return ufixed is -- ufixed(a+c+1 downto b+d) variable lslv : UNSIGNED (l'length-1 downto 0); variable rslv : UNSIGNED (r'length-1 downto 0); variable result_slv : UNSIGNED (r'length+l'length-1 downto 0); variable result : ufixed (l'high + r'high+1 downto mine(l'low, l'low) + mine(r'low, r'low)); begin if (l'length < 1 or r'length < 1 or result'length /= result_slv'length) then return NAUF; end if; lslv := to_uns (cleanvec(l)); rslv := to_uns (cleanvec(r)); result_slv := lslv * rslv; result := to_fixed (result_slv, result'high, result'low); return result; end function "*"; function "*" ( l, r : sfixed) -- sfixed(a downto b) * sfixed(c downto d) = return sfixed is -- sfixed(a+c+1 downto b+d) variable lslv : SIGNED (l'length-1 downto 0); variable rslv : SIGNED (r'length-1 downto 0); variable result_slv : SIGNED (r'length+l'length-1 downto 0); variable result : sfixed (l'high + r'high+1 downto mine(l'low, l'low) + mine(r'low, r'low)); begin if (l'length < 1 or r'length < 1 or result'length /= result_slv'length) then return NASF; end if; lslv := to_s (cleanvec(l)); rslv := to_s (cleanvec(r)); result_slv := lslv * rslv; result := to_fixed (result_slv, result'high, result'low); return result; end function "*"; function "/" ( l, r : ufixed) -- ufixed(a downto b) / ufixed(c downto d) = return ufixed is -- ufixed(a-d downto b-c-1) begin return divide (l, r); end function "/"; function "/" ( l, r : sfixed) -- sfixed(a downto b) / sfixed(c downto d) = return sfixed is -- sfixed(a-d+1 downto b-c) begin return divide (l, r); end function "/"; -- This version of divide gives the user more control -- ufixed(a downto b) / ufixed(c downto d) = ufixed(a-d downto b-c-1) function divide ( l, r : ufixed; constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return ufixed is variable result : ufixed (l'high - mine(r'low, r'low) downto mine (l'low, l'low) - r'high -1); variable dresult : ufixed (result'high downto result'low -guard_bits); variable lresize : ufixed (l'high downto l'high - dresult'length+1); variable lslv : UNSIGNED (lresize'length-1 downto 0); variable rslv : UNSIGNED (r'length-1 downto 0); variable result_slv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NAUF; end if; lresize := resize (l, lresize'high, lresize'low); lslv := to_uns (cleanvec (lresize)); rslv := to_uns (cleanvec (r)); if (rslv = 0) then report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error; result := saturate (result'high, result'low); -- saturate else result_slv := lslv / rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, round_style => round_style, overflow_style => fixed_wrap); -- overflow impossible end if; return result; end function divide; -- sfixed(a downto b) / sfixed(c downto d) = sfixed(a-d+1 downto b-c) function divide ( l, r : sfixed; constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return sfixed is variable result : sfixed (l'high - mine(r'low, r'low)+1 downto mine (l'low, l'low) - r'high); variable dresult : sfixed (result'high downto result'low-guard_bits); variable lresize : sfixed (l'high+1 downto l'high+1 -dresult'length+1); variable lslv : SIGNED (lresize'length-1 downto 0); variable rslv : SIGNED (r'length-1 downto 0); variable result_slv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; lresize := resize (l, lresize'high, lresize'low); lslv := to_s (cleanvec (lresize)); rslv := to_s (cleanvec (r)); if (rslv = 0) then report "FIXED_GENERIC_PKG.DIVIDE uFixed point Division by zero" severity error; result := saturate (result'high, result'low); else result_slv := lslv / rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, round_style => round_style, overflow_style => fixed_wrap); -- overflow impossible end if; return result; end function divide; -- 1 / ufixed(a downto b) = ufixed(-b downto -a-1) function reciprocal ( arg : ufixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return ufixed is constant one : ufixed (0 downto 0) := "1"; begin return divide(l => one, r => arg, round_style => round_style, guard_bits => guard_bits); end function reciprocal; -- 1 / sfixed(a downto b) = sfixed(-b+1 downto -a) function reciprocal ( arg : sfixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style; constant guard_bits : NATURAL := fixed_guard_bits) return sfixed is constant one : sfixed (1 downto 0) := "01"; -- extra bit. variable resultx : sfixed (-mine(arg'low, arg'low)+2 downto -arg'high); begin if (arg'length < 1 or resultx'length < 1) then return NASF; else resultx := divide(l => one, r => arg, round_style => round_style, guard_bits => guard_bits); return resultx (resultx'high-1 downto resultx'low); -- remove extra bit end if; end function reciprocal; -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function "rem" ( l, r : ufixed) -- fixed point input return ufixed is begin return remainder (l => l, r => r, round_style => fixed_round_style); end function "rem"; -- remainder -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function "rem" ( l, r : sfixed) -- fixed point input return sfixed is begin return remainder (l => l, r => r, round_style => fixed_round_style); end function "rem"; -- ufixed (a downto b) rem ufixed (c downto d) -- = ufixed (min(a,c) downto min(b,d)) function remainder ( l, r : ufixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style) return ufixed is variable result : ufixed (minimum(l'high, r'high) downto mine(l'low, r'low)); variable dresult : ufixed (r'high downto r'low); variable lresize : ufixed (maximum(l'high, r'low) downto mins(r'low, r'low)); variable lslv : UNSIGNED (lresize'length-1 downto 0); variable rslv : UNSIGNED (r'length-1 downto 0); variable result_slv : UNSIGNED (rslv'range); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NAUF; end if; lresize := resize (arg => l, left_index => lresize'high, right_index => lresize'low, overflow_style => fixed_wrap, -- vector only grows round_style => fixed_truncate); lslv := to_uns (lresize); rslv := to_uns (cleanvec(r)); if (rslv = 0) then report "FIXED_GENERIC_PKG.rem uFixed point Division by zero" severity error; result := saturate (result'high, result'low); -- saturate else if (r'low <= l'high) then result_slv := lslv rem rslv; dresult := to_fixed (result_slv, dresult'high, dresult'low); result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => fixed_wrap, round_style => round_style); -- result(result'high downto r'low) := dresult(result'high downto r'low); end if; if l'low < r'low then result(mins(r'low-1, l'high) downto l'low) := cleanvec(l(mins(r'low-1, l'high) downto l'low)); end if; end if; return result; end function remainder; -- remainder -- sfixed (a downto b) rem sfixed (c downto d) -- = sfixed (min(a,c) downto min(b,d)) function remainder ( l, r : sfixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style) return sfixed is variable l_abs : ufixed (l'range); variable r_abs : ufixed (r'range); variable result : sfixed (minimum(r'high, l'high) downto mine(r'low, l'low)); variable neg_result : sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low)); begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; l_abs := abs(l); r_abs := abs(r); result := sfixed(remainder (l => l_abs, r => r_abs, round_style => round_style)); neg_result := -result; if l(l'high) = '1' then result := neg_result(result'range); end if; return result; end function remainder; -- modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function "mod" ( l, r : ufixed) -- fixed point input return ufixed is begin return modulo (l => l, r => r, round_style => fixed_round_style); end function "mod"; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function "mod" ( l, r : sfixed) -- fixed point input return sfixed is begin return modulo(l => l, r => r, round_style => fixed_round_style); end function "mod"; -- modulo -- ufixed (a downto b) mod ufixed (c downto d) -- = ufixed (min(a,c) downto min(b, d)) function modulo ( l, r : ufixed; -- fixed point input constant round_style : BOOLEAN := fixed_round_style) return ufixed is begin return remainder(l => l, r => r, round_style => round_style); end function modulo; -- sfixed (a downto b) mod sfixed (c downto d) -- = sfixed (c downto min(b, d)) function modulo ( l, r : sfixed; -- fixed point input constant overflow_style : BOOLEAN := fixed_overflow_style; constant round_style : BOOLEAN := fixed_round_style) return sfixed is variable l_abs : ufixed (l'range); variable r_abs : ufixed (r'range); variable result : sfixed (r'high downto mine(r'low, l'low)); variable dresult : sfixed (minimum(r'high, l'high)+1 downto mins(r'low, l'low)); variable dresult_not_zero : BOOLEAN; begin if (l'length < 1 or r'length < 1 or mins(r'low, r'low) /= r'low or mins(l'low, l'low) /= l'low) then return NASF; end if; l_abs := abs(l); r_abs := abs(r); dresult := "0" & sfixed(remainder (l => l_abs, r => r_abs, round_style => round_style)); if (to_s(dresult) = 0) then dresult_not_zero := false; else dresult_not_zero := true; end if; if to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '0' and dresult_not_zero then result := resize (arg => r - dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); elsif to_x01(l(l'high)) = '1' and to_x01(r(r'high)) = '1' then result := resize (arg => -dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); elsif to_x01(l(l'high)) = '0' and to_x01(r(r'high)) = '1' and dresult_not_zero then result := resize (arg => dresult + r, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); else result := resize (arg => dresult, left_index => result'high, right_index => result'low, overflow_style => overflow_style, round_style => round_style); end if; return result; end function modulo; -- Procedure for those who need an "accumulator" function procedure add_carry ( L, R : in ufixed; c_in : in STD_ULOGIC; result : out ufixed; c_out : out STD_ULOGIC) is constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (left_index-right_index downto 0); variable result_slv : UNSIGNED (left_index-right_index downto 0); variable cx : UNSIGNED (0 downto 0); -- Carry in begin if (l'length < 1 or r'length < 1) then result := NAUF; c_out := '0'; else cx (0) := c_in; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); result_slv := lslv + rslv + cx; c_out := result_slv(left_index); result := to_fixed(result_slv (left_index-right_index-1 downto 0), left_index-1, right_index); end if; end procedure add_carry; procedure add_carry ( L, R : in sfixed; c_in : in STD_ULOGIC; result : out sfixed; c_out : out STD_ULOGIC) is constant left_index : INTEGER := maximum(l'high, r'high)+1; constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (left_index-right_index downto 0); variable result_slv : SIGNED (left_index-right_index downto 0); variable cx : SIGNED (1 downto 0); -- Carry in begin if (l'length < 1 or r'length < 1) then result := NASF; c_out := '0'; else cx (1) := '0'; cx (0) := c_in; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); result_slv := lslv + rslv + cx; c_out := result_slv(left_index); result := to_fixed(result_slv (left_index-right_index-1 downto 0), left_index-1, right_index); end if; end procedure add_carry; -- Scales the result by a power of 2. Width of input = width of output with -- the decimal point moved. function scalb (y : ufixed; N : integer) return ufixed is variable result : ufixed (y'high+N downto y'low+N); begin if y'length < 1 then return NAUF; else result := y; return result; end if; end function scalb; function scalb (y : ufixed; N : SIGNED) return ufixed is begin return scalb (y => y, N => to_integer(N)); end function scalb; function scalb (y : sfixed; N : integer) return sfixed is variable result : sfixed (y'high+N downto y'low+N); begin if y'length < 1 then return NASF; else result := y; return result; end if; end function scalb; function scalb (y : sfixed; N : SIGNED) return sfixed is begin return scalb (y => y, N => to_integer(N)); end function scalb; function Is_Negative (arg : sfixed) return BOOLEAN is begin if to_X01(arg(arg'high)) = '1' then return true; else return false; end if; end function Is_Negative; function find_lsb (arg : ufixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'low to arg'high loop if arg(i) = y then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_lsb; function find_msb (arg : ufixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'high downto arg'low loop if arg(i) = y then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_msb; function find_lsb (arg : sfixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'low to arg'high loop if arg(i) = y then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_lsb; function find_msb (arg : sfixed; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'high downto arg'low loop if arg(i) = y then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_msb; function "sll" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sll"; function "srl" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "srl"; function "rol" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv rol COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "rol"; function "ror" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); argslv := argslv ror COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "ror"; function "sla" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); -- Arithmetic shift on an unsigned is a logical shift argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sla"; function "sra" (ARG : ufixed; COUNT : INTEGER) return ufixed is variable argslv : UNSIGNED (arg'length-1 downto 0); variable result : ufixed (arg'range); begin argslv := to_uns (arg); -- Arithmetic shift on an unsigned is a logical shift argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sra"; function "sll" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv sll COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "sll"; function "srl" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv srl COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "srl"; function "rol" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv rol COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "rol"; function "ror" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); argslv := argslv ror COUNT; result := to_fixed (argslv, result'high, result'low); return result; end function "ror"; function "sla" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); if COUNT > 0 then -- Arithmetic shift left on a 2's complement number is a logic shift argslv := argslv sll COUNT; else argslv := argslv sra -COUNT; end if; result := to_fixed (argslv, result'high, result'low); return result; end function "sla"; function "sra" (ARG : sfixed; COUNT : INTEGER) return sfixed is variable argslv : SIGNED (arg'length-1 downto 0); variable result : sfixed (arg'range); begin argslv := to_s (arg); if COUNT > 0 then argslv := argslv sra COUNT; else -- Arithmetic shift left on a 2's complement number is a logic shift argslv := argslv sll -COUNT; end if; result := to_fixed (argslv, result'high, result'low); return result; end function "sra"; -- Because some people want the older functions. function SHIFT_LEFT (ARG : ufixed; COUNT : NATURAL) return ufixed is begin if (ARG'length < 1) then return NAUF; end if; return ARG sla COUNT; end function SHIFT_LEFT; function SHIFT_RIGHT (ARG : ufixed; COUNT : NATURAL) return ufixed is begin if (ARG'length < 1) then return NAUF; end if; return ARG sra COUNT; end function SHIFT_RIGHT; function SHIFT_LEFT (ARG : sfixed; COUNT : NATURAL) return sfixed is begin if (ARG'length < 1) then return NASF; end if; return ARG sla COUNT; end function SHIFT_LEFT; function SHIFT_RIGHT (ARG : sfixed; COUNT : NATURAL) return sfixed is begin if (ARG'length < 1) then return NASF; end if; return ARG sra COUNT; end function SHIFT_RIGHT; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (L : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_slv(L); return to_ufixed(RESULT, L'high, L'low); end function "not"; function "and" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) and to_slv(R); else report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "and"; function "or" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) or to_slv(R); else report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "or"; function "nand" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) nand to_slv(R); else report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "nand"; function "nor" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) nor to_slv(R); else report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "nor"; function "xor" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) xor to_slv(R); else report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "xor"; function "xnor" (L, R : ufixed) return ufixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) xnor to_slv(R); else report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_ufixed(RESULT, L'high, L'low); end function "xnor"; function "not" (L : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_slv(L); return to_sfixed(RESULT, L'high, L'low); end function "not"; function "and" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) and to_slv(R); else report "FIXED_GENERIC_PKG.""and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "and"; function "or" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) or to_slv(R); else report "FIXED_GENERIC_PKG.""or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "or"; function "nand" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) nand to_slv(R); else report "FIXED_GENERIC_PKG.""nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "nand"; function "nor" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) nor to_slv(R); else report "FIXED_GENERIC_PKG.""nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "nor"; function "xor" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) xor to_slv(R); else report "FIXED_GENERIC_PKG.""xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "xor"; function "xnor" (L, R : sfixed) return sfixed is variable RESULT : STD_LOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_slv(L) xnor to_slv(R); else report "FIXED_GENERIC_PKG.""xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'U'); end if; return to_sfixed(RESULT, L'high, L'low); end function "xnor"; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L and R(i); end loop; return result; end function "and"; function "and" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) and R; end loop; return result; end function "and"; function "or" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L or R(i); end loop; return result; end function "or"; function "or" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) or R; end loop; return result; end function "or"; function "nand" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L nand R(i); end loop; return result; end function "nand"; function "nand" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) nand R; end loop; return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L nor R(i); end loop; return result; end function "nor"; function "nor" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) nor R; end loop; return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L xor R(i); end loop; return result; end function "xor"; function "xor" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) xor R; end loop; return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : ufixed) return ufixed is variable result : ufixed (R'range); begin for i in result'range loop result(i) := L xnor R(i); end loop; return result; end function "xnor"; function "xnor" (L : ufixed; R : STD_ULOGIC) return ufixed is variable result : ufixed (L'range); begin for i in result'range loop result(i) := L(i) xnor R; end loop; return result; end function "xnor"; function "and" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L and R(i); end loop; return result; end function "and"; function "and" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) and R; end loop; return result; end function "and"; function "or" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L or R(i); end loop; return result; end function "or"; function "or" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) or R; end loop; return result; end function "or"; function "nand" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L nand R(i); end loop; return result; end function "nand"; function "nand" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) nand R; end loop; return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L nor R(i); end loop; return result; end function "nor"; function "nor" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) nor R; end loop; return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L xor R(i); end loop; return result; end function "xor"; function "xor" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) xor R; end loop; return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : sfixed) return sfixed is variable result : sfixed (R'range); begin for i in result'range loop result(i) := L xnor R(i); end loop; return result; end function "xnor"; function "xnor" (L : sfixed; R : STD_ULOGIC) return sfixed is variable result : sfixed (L'range); begin for i in result'range loop result(i) := L(i) xnor R; end loop; return result; end function "xnor"; -- Reduction operators, same as numeric_std functions -- %%% remove 12 functions (old syntax) function and_reduce(arg : ufixed) return STD_ULOGIC is begin return and_reducex (to_slv(arg)); end function and_reduce; function nand_reduce(arg : ufixed) return STD_ULOGIC is begin return not and_reducex (to_slv(arg)); end function nand_reduce; function or_reduce(arg : ufixed) return STD_ULOGIC is begin return or_reducex (to_slv(arg)); end function or_reduce; function nor_reduce(arg : ufixed) return STD_ULOGIC is begin return not or_reducex (to_slv(arg)); end function nor_reduce; function xor_reduce(arg : ufixed) return STD_ULOGIC is begin return xor_reducex (to_slv(arg)); end function xor_reduce; function xnor_reduce(arg : ufixed) return STD_ULOGIC is begin return not xor_reducex (to_slv(arg)); end function xnor_reduce; function and_reduce(arg : sfixed) return STD_ULOGIC is begin return and_reducex (to_slv(arg)); end function and_reduce; function nand_reduce(arg : sfixed) return STD_ULOGIC is begin return not and_reducex (to_slv(arg)); end function nand_reduce; function or_reduce(arg : sfixed) return STD_ULOGIC is begin return or_reducex (to_slv(arg)); end function or_reduce; function nor_reduce(arg : sfixed) return STD_ULOGIC is begin return not or_reducex (to_slv(arg)); end function nor_reduce; function xor_reduce(arg : sfixed) return STD_ULOGIC is begin return xor_reducex (to_slv(arg)); end function xor_reduce; function xnor_reduce(arg : sfixed) return STD_ULOGIC is begin return not xor_reducex (to_slv(arg)); end function xnor_reduce; -- %%% Uncomment the following 12 functions (new syntax) -- function "and" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return and to_slv(arg); -- end function "and"; -- function "nand" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return nand to_slv(arg); -- end function "nand";; -- function "or" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return or to_slv(arg); -- end function "or"; -- function "nor" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return nor to_slv(arg); -- end function "nor"; -- function "xor" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return xor to_slv(arg); -- end function "xor"; -- function "xnor" ( arg : ufixed ) RETURN std_ulogic is -- begin -- return xnor to_slv(arg); -- end function "xnor"; -- function "and" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return and to_slv(arg); -- end function "and";; -- function "nand" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return nand to_slv(arg); -- end function "nand";; -- function "or" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return or to_slv(arg); -- end function "or"; -- function "nor" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return nor to_slv(arg); -- end function "nor"; -- function "xor" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return xor to_slv(arg); -- end function "xor"; -- function "xnor" ( arg : sfixed ) RETURN std_ulogic is -- begin -- return xnor to_slv(arg); -- end function "xnor"; -- %%% Replace with the following (new syntax) -- function "?=" (L, R : ufixed) return STD_ULOGIC is function \?=\ (L, R : ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable result, result1 : STD_ULOGIC; -- result begin -- ?= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); result := '1'; for i in lresize'reverse_range loop result1 := \?=\(lresize(i), rresize(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result and result1; end if; end loop; return result; end if; end function \?=\; -- end function "?="; -- function "?/=" (L, R : ufixed) return STD_ULOGIC is function \?/=\ (L, R : ufixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable result, result1 : STD_ULOGIC; -- result begin -- ?/= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?/="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); result := '0'; for i in lresize'reverse_range loop result1 := \?/=\ (lresize(i), rresize(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result or result1; end if; end loop; return result; end if; end function \?/=\; -- end function "?/="; -- function "?>" (L, R : ufixed) return STD_ULOGIC is function \?>\ (L, R : ufixed) return STD_ULOGIC is begin -- ?> if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?>"": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function \?>\; -- end function "?>"; -- function "?>=" (L, R : ufixed) return STD_ULOGIC is function \?>=\ (L, R : ufixed) return STD_ULOGIC is begin -- ?>= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?>="": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function \?>=\; -- end function "?>="; -- function "?<" (L, R : ufixed) return STD_ULOGIC is function \?<\ (L, R : ufixed) return STD_ULOGIC is begin -- ?< if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?<"": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function \?<\; -- end function "?<"; -- function "?<=" (L, R : ufixed) return STD_ULOGIC is function \?<=\ (L, R : ufixed) return STD_ULOGIC is begin -- ?<= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?<="": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function \?<=\; -- end function "?<="; -- function "?=" (L, R : sfixed) return STD_ULOGIC is function \?=\ (L, R : sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable result, result1 : STD_ULOGIC; -- result begin -- ?= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); result := '1'; for i in lresize'reverse_range loop result1 := \?=\ (lresize(i), rresize(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result and result1; end if; end loop; return result; end if; end function \?=\; -- end function "?="; -- function "?/=" (L, R : sfixed) return STD_ULOGIC is function \?/=\ (L, R : sfixed) return STD_ULOGIC is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable result, result1 : STD_ULOGIC; -- result begin -- ?/= if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?/="": null detected, returning X" severity warning; return 'X'; else lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); result := '0'; for i in lresize'reverse_range loop result1 := \?/=\ (lresize(i), rresize(i)); if result1 = 'U' then return 'U'; elsif result1 = 'X' or result = 'X' then result := 'X'; else result := result or result1; end if; end loop; return result; end if; end function \?/=\; -- end function "?/="; -- function "?>" (L, R : sfixed) return STD_ULOGIC is function \?>\ (L, R : sfixed) return STD_ULOGIC is begin -- ?> if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?>"": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?>"": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function \?>\; -- end function "?>"; -- function "?>=" (L, R : sfixed) return STD_ULOGIC is function \?>=\ (L, R : sfixed) return STD_ULOGIC is begin -- ?>= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?>="": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?>="": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function \?>=\; -- end function "?>="; -- function "?<" (L, R : sfixed) return STD_ULOGIC is function \?<\ (L, R : sfixed) return STD_ULOGIC is begin -- ?< if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?<"": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?<"": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function \?<\; -- end function "?<"; -- function "?<=" (L, R : sfixed) return STD_ULOGIC is function \?<=\ (L, R : sfixed) return STD_ULOGIC is begin -- ?<= if ((l'length < 1) or (r'length < 1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""?<="": null detected, returning X" severity warning; return 'X'; elsif (find_msb (l, '-') /= l'low-1) or (find_msb (r, '-') /= r'low-1) then report "FIXED_GENERIC_PKG.""?<="": '-' found in compare string" severity error; return 'X'; else if is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function \?<=\; -- end function "?<="; -- %%% end replace -- Match function, similar to "std_match" from numeric_std function std_match (L, R : ufixed) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_slv(L), to_slv(R)); else report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; function std_match (L, R : sfixed) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_slv(L), to_slv(R)); else report "FIXED_GENERIC_PKG.STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; --%%% end remove -- compare functions function "=" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv = rslv; end function "="; function "=" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv = rslv; end function "="; function "/=" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE" severity warning; return true; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE" severity warning; return true; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv /= rslv; end function "/="; function "/=" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""/="": null argument detected, returning TRUE" severity warning; return true; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""/="": metavalue detected, returning TRUE" severity warning; return true; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv /= rslv; end function "/="; function ">" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv > rslv; end function ">"; function ">" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG."">"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG."">"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv > rslv; end function ">"; function "<" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv < rslv; end function "<"; function "<" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<"": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<"": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv < rslv; end function "<"; function ">=" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv >= rslv; end function ">="; function ">=" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG."">="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG."">="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv >= rslv; end function ">="; function "<=" ( l, r : ufixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : ufixed (left_index downto right_index); variable lslv, rslv : UNSIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_uns (lresize); rslv := to_uns (rresize); return lslv <= rslv; end function "<="; function "<=" ( l, r : sfixed) -- fixed point input return BOOLEAN is constant left_index : INTEGER := maximum(l'high, r'high); constant right_index : INTEGER := mins(l'low, r'low); variable lresize, rresize : sfixed (left_index downto right_index); variable lslv, rslv : SIGNED (lresize'length-1 downto 0); begin if (l'length < 1 or r'length < 1) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<="": null argument detected, returning FALSE" severity warning; return false; elsif (Is_X(l) or Is_X(r)) then assert NO_WARNING report "FIXED_GENERIC_PKG.""<="": metavalue detected, returning FALSE" severity warning; return false; end if; lresize := resize (l, left_index, right_index); rresize := resize (r, left_index, right_index); lslv := to_s (lresize); rslv := to_s (rresize); return lslv <= rslv; end function "<="; -- overloads of the default maximum and minimum functions function maximum (l, r : ufixed) return ufixed is begin if l > r then return l; else return r; end if; end function maximum; function maximum (l, r : sfixed) return sfixed is begin if l > r then return l; else return r; end if; end function maximum; function minimum (l, r : ufixed) return ufixed is begin if l > r then return r; else return l; end if; end function minimum; function minimum (l, r : sfixed) return sfixed is begin if l > r then return r; else return l; end if; end function minimum; function to_ufixed ( arg : NATURAL; -- integer constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return ufixed is variable argx : INTEGER; constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : ufixed (left_index downto fw) := (others => '0'); variable sresult : UNSIGNED (left_index downto 0); -- integer portion variable bound : NATURAL; -- find the numerical bounds begin if (left_index < fw) then return NAUF; end if; if left_index >= 0 then if (left_index < 30) then bound := 2**(left_index+1); else bound := INTEGER'high; end if; end if; if (arg /= 0) then if arg >= bound or left_index < 0 then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_UFIXED(NATURAL): vector truncated" severity warning; if (overflow_style = fixed_wrap) then -- wrap if bound = 0 then argx := 0; else argx := arg mod bound; end if; else -- saturate return saturate (result'high, result'low); end if; else argx := arg; end if; else return result; -- return zero end if; sresult := to_unsigned (argx, sresult'high+1); result := resize (arg => ufixed (sresult), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_ufixed; function to_sfixed ( arg : INTEGER; -- integer constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return sfixed is variable argx : INTEGER; constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : sfixed (left_index downto fw) := (others => '0'); variable sresult : SIGNED (left_index+1 downto 0); -- integer portion variable bound : NATURAL := 0; begin if (left_index < fw) then -- null range return NASF; end if; if left_index >= 0 then if (left_index < 30) then bound := 2**(left_index); else bound := INTEGER'high; end if; end if; if (arg /= 0) then if (arg >= bound or arg < -bound or left_index < 0) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_SFIXED(INTEGER): vector truncated" severity warning; if overflow_style = fixed_wrap then -- wrap if bound = 0 then -- negative integer_range trap argx := 0; else -- shift off the top bits argx := arg rem (bound*2); end if; else -- saturate if arg < 0 then result := not saturate (result'high, result'low); -- underflow else result := saturate (result'high, result'low); -- overflow end if; return result; end if; else argx := arg; end if; else return result; -- return zero end if; sresult := to_signed (argx, sresult'length); result := resize (arg => sfixed (sresult), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_sfixed; function to_ufixed ( arg : REAL; -- real constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return ufixed is constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : ufixed (left_index downto fw) := (others => '0'); variable Xresult : ufixed (left_index downto fw-guard_bits) := (others => '0'); variable presult : REAL; variable overflow_needed : BOOLEAN; begin -- If negative or null range, return. if (left_index < fw) then return NAUF; end if; if (arg < 0.0) then report "FIXED_GENERIC_PKG.TO_UFIXED: Negative argument passed " & REAL'image(arg) severity error; return result; end if; presult := arg; if presult >= (2.0**(left_index+1)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_UFIXED(REAL): vector truncated" severity warning; overflow_needed := (overflow_style = fixed_saturate); if overflow_style = fixed_wrap then presult := presult mod (2.0**(left_index+1)); -- wrap else return saturate (result'high, result'low); end if; end if; for i in Xresult'range loop if presult >= 2.0**i then Xresult(i) := '1'; presult := presult - 2.0**i; else Xresult(i) := '0'; end if; end loop; if guard_bits > 0 and round_style = fixed_round then result := round_fixed (arg => Xresult (left_index downto right_index), remainder => Xresult (right_index-1 downto right_index-guard_bits), overflow_style => overflow_style); else result := Xresult (result'range); end if; return result; end function to_ufixed; function to_sfixed ( arg : REAL; -- real constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return sfixed is constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : sfixed (left_index downto fw) := (others => '0'); variable Xresult : sfixed (left_index+1 downto fw-guard_bits) := (others => '0'); variable presult : REAL; begin if (left_index < fw) then -- null range return NASF; end if; if (arg >= (2.0**left_index) or arg < -(2.0**left_index)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_SFIXED(REAL): vector truncated" severity warning; if overflow_style = fixed_saturate then if arg < 0.0 then -- saturate result := not saturate (result'high, result'low); -- underflow else result := saturate (result'high, result'low); -- overflow end if; return result; else presult := abs(arg) mod (2.0**(left_index+1)); -- wrap end if; else presult := abs(arg); end if; for i in Xresult'range loop if presult >= 2.0**i then Xresult(i) := '1'; presult := presult - 2.0**i; else Xresult(i) := '0'; end if; end loop; if arg < 0.0 then Xresult := to_fixed(-to_s(Xresult), Xresult'high, Xresult'low); end if; if guard_bits > 0 and round_style then result := round_fixed (arg => Xresult (left_index downto right_index), remainder => Xresult (right_index-1 downto right_index-guard_bits), overflow_style => overflow_style); else result := Xresult (result'range); end if; return result; end function to_sfixed; function to_ufixed ( arg : UNSIGNED; -- unsigned constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return ufixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : ufixed (left_index downto fw); begin if arg'length < 1 or (left_index < fw) then return NAUF; end if; result := resize (arg => ufixed (XARG), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_ufixed; -- casted version function to_ufixed ( arg : UNSIGNED) -- unsigned return ufixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; begin if arg'length < 1 then return NAUF; end if; return ufixed(xarg); end function to_ufixed; function to_sfixed ( arg : SIGNED; -- signed constant left_index : INTEGER; -- size of integer portion constant right_index : INTEGER := 0; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return sfixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; constant fw : INTEGER := mine (right_index, right_index); -- catch literals variable result : sfixed (left_index downto fw); begin if arg'length < 1 or (left_index < fw) then return NASF; end if; result := resize (arg => sfixed (XARG), left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_sfixed; -- casted version function to_sfixed ( arg : SIGNED) -- signed return sfixed is constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; begin if arg'length < 1 then return NASF; end if; return sfixed(xarg); end function to_sfixed; function add_sign (arg : ufixed) return sfixed is variable result : sfixed (arg'high+1 downto arg'low); begin if arg'length < 1 then return NASF; end if; result (arg'high downto arg'low) := sfixed(cleanvec(arg)); result (arg'high+1) := '0'; return result; end function add_sign; -- Because of the farily complicated sizing rules in the fixed point -- packages these functions are provided to compute the result ranges -- Example: -- signal uf1 : ufixed (3 downto -3); -- signal uf2 : ufixed (4 downto -2); -- signal uf1multuf2 : ufixed (ufixed_high (3, -3, '*', 4, -2) downto -- ufixed_low (3, -3, '*', 4, -2)); -- uf1multuf2 <= uf1 * uf2; -- Valid characters: '+', '-', '*', '/', 'r' or 'R' (rem), 'm' or 'M' (mod), -- '1' (reciprocal), 'A', 'a' (abs), 'N', 'n' (-sfixed) function ufixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return maximum (left_index, left_index2) + 1; when '*' => return left_index + left_index2 + 1; when '/' => return left_index - right_index2; when '1' => return -right_index; -- reciprocal when 'R'|'r' => return mins (left_index, left_index2); -- "rem" when 'M'|'m' => return mins (left_index, left_index2); -- "mod" when others => return left_index; -- For abs and default end case; end function ufixed_high; function ufixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return mins (right_index, right_index2); when '*' => return right_index + right_index2; when '/' => return right_index - left_index2 - 1; when '1' => return -left_index - 1; -- reciprocal when 'R'|'r' => return mins (right_index, right_index2); -- "rem" when 'M'|'m' => return mins (right_index, right_index2); -- "mod" when others => return right_index; -- for abs and default end case; end function ufixed_low; function sfixed_high (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return maximum (left_index, left_index2) + 1; when '*' => return left_index + left_index2 + 1; when '/' => return left_index - right_index2 + 1; when '1' => return -right_index + 1; -- reciprocal when 'R'|'r' => return mins (left_index, left_index2); -- "rem" when 'M'|'m' => return left_index2; -- "mod" when 'A'|'a' => return left_index + 1; -- "abs" when 'N'|'n' => return left_index + 1; -- -sfixed when others => return left_index; end case; end function sfixed_high; function sfixed_low (left_index, right_index : INTEGER; operation : CHARACTER := 'X'; left_index2, right_index2 : INTEGER := 0) return INTEGER is begin case operation is when '+'| '-' => return mins (right_index, right_index2); when '*' => return right_index + right_index2; when '/' => return right_index - left_index2; when '1' => return -left_index; -- reciprocal when 'R'|'r' => return mins (right_index, right_index2); -- "rem" when 'M'|'m' => return mins (right_index, right_index2); -- "mod" when others => return right_index; -- default for abs, neg and default end case; end function sfixed_low; -- Same as above, but using the "size_res" input only for their ranges: -- signal uf1multuf2 : ufixed (ufixed_high (uf1, '*', uf2) downto -- ufixed_low (uf1, '*', uf2)); -- uf1multuf2 <= uf1 * uf2; function ufixed_high (size_res : ufixed; operation : CHARACTER := 'X'; size_res2 : ufixed) return INTEGER is begin return ufixed_high (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function ufixed_high; function ufixed_low (size_res : ufixed; operation : CHARACTER := 'X'; size_res2 : ufixed) return INTEGER is begin return ufixed_low (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function ufixed_low; function sfixed_high (size_res : sfixed; operation : CHARACTER := 'X'; size_res2 : sfixed) return INTEGER is begin return sfixed_high (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function sfixed_high; function sfixed_low (size_res : sfixed; operation : CHARACTER := 'X'; size_res2 : sfixed) return INTEGER is begin return sfixed_low (left_index => size_res'high, right_index => size_res'low, operation => operation, left_index2 => size_res2'high, right_index2 => size_res2'low); end function sfixed_low; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is constant sat : ufixed (left_index downto right_index) := (others => '1'); begin return sat; end function saturate; -- purpose: returns a saturated number function saturate ( constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable sat : sfixed (left_index downto right_index) := (others => '1'); begin -- saturate positive, to saturate negative, just do "not saturate()" sat (left_index) := '0'; return sat; end function saturate; function saturate ( size_res : ufixed) -- only the size of this is used return ufixed is begin return saturate (size_res'high, size_res'low); end function saturate; function saturate ( size_res : sfixed) -- only the size of this is used return sfixed is begin return saturate (size_res'high, size_res'low); end function saturate; -- As a concession to those who use a graphical DSP environment, -- these functions take parameters in those tools format and create -- fixed point numbers. These functions are designed to convert from -- a std_logic_vector to the VHDL fixed point format using the conventions -- of these packages. In a pure VHDL environment you should use the -- "to_ufixed" and "to_sfixed" routines. -- Unsigned fixed point function to_UFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return ufixed is variable result : ufixed (width-fraction-1 downto -fraction); begin if (arg'length /= result'length) then report "FIXED_GENERIC_PKG.TO_UFIX (STD_LOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NAUF; else result := to_ufixed (arg, result'high, result'low); return result; end if; end function to_UFix; -- signed fixed point function to_SFix ( arg : STD_LOGIC_VECTOR; width : NATURAL; -- width of vector fraction : NATURAL) -- width of fraction return sfixed is variable result : sfixed (width-fraction-1 downto -fraction); begin if (arg'length /= result'length) then report "FIXED_GENERIC_PKG.TO_SFIX (STD_LOGIC_VECTOR) " & "Vector lengths do not match. Input length is " & INTEGER'image(arg'length) & " and output will be " & INTEGER'image(result'length) & " wide." severity error; return NASF; else result := to_sfixed (arg, result'high, result'low); return result; end if; end function to_SFix; -- finding the bounds of a number. These functions can be used like this: -- signal xxx : ufixed (7 downto -3); -- -- Which is the same as "ufixed (UFix_high (11,3) downto UFix_low(11,3))" -- signal yyy : ufixed (UFix_high (11, 3, "+", 11, 3) -- downto UFix_low(11, 3, "+", 11, 3)); -- Where "11" is the width of xxx (xxx'length), -- and 3 is the lower bound (abs (xxx'low)) -- In a pure VHDL environment use "ufixed_high" and "ufixed_low" function ufix_high ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return ufixed_high (left_index => width - 1 - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - 1 - fraction2, right_index2 => -fraction2); end function ufix_high; function ufix_low ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return ufixed_low (left_index => width - 1 - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - 1 - fraction2, right_index2 => -fraction2); end function ufix_low; function sfix_high ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return sfixed_high (left_index => width - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - fraction2, right_index2 => -fraction2); end function sfix_high; function sfix_low ( width, fraction : NATURAL; operation : CHARACTER := 'X'; width2, fraction2 : NATURAL := 0) return INTEGER is begin return sfixed_low (left_index => width - fraction, right_index => -fraction, operation => operation, left_index2 => width2 - fraction2, right_index2 => -fraction2); end function sfix_low; function to_unsigned ( arg : ufixed; -- ufixed point input constant size : NATURAL; -- length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return UNSIGNED is begin return to_uns(resize (arg => arg, left_index => size-1, right_index => 0, round_style => round_style, overflow_style => overflow_style)); end function to_unsigned; function to_unsigned ( arg : ufixed; -- ufixed point input size_res : UNSIGNED; -- length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return UNSIGNED is begin return to_unsigned (arg => arg, size => size_res'length, round_style => round_style, overflow_style => overflow_style); end function to_unsigned; function to_signed ( arg : sfixed; -- ufixed point input constant size : NATURAL; -- length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return SIGNED is begin return to_s(resize (arg => arg, left_index => size-1, right_index => 0, round_style => round_style, overflow_style => overflow_style)); end function to_signed; function to_signed ( arg : sfixed; -- ufixed point input size_res : SIGNED; -- used for length of output constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return SIGNED is begin return to_signed (arg => arg, size => size_res'length, round_style => round_style, overflow_style => overflow_style); end function to_signed; function to_real ( arg : ufixed) -- ufixed point input return REAL is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable result : REAL; -- result variable arg_int : ufixed (left_index downto right_index); begin if (arg'length < 1) then return 0.0; end if; arg_int := cleanvec(arg); if (Is_X(arg_int)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0" severity warning; return 0.0; end if; result := 0.0; for i in arg_int'range loop if (arg_int(i) = '1') then result := result + (2.0**i); end if; end loop; return result; end function to_real; function to_real ( arg : sfixed) -- ufixed point input return REAL is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable result : REAL; -- result variable arg_int : sfixed (left_index downto right_index); -- unsigned version of argument variable arg_uns : ufixed (left_index downto right_index); -- absolute of argument begin if (arg'length < 1) then return 0.0; end if; arg_int := cleanvec(arg); if (Is_X(arg_int)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_REAL: metavalue detected, returning 0.0" severity warning; return 0.0; end if; arg_uns := abs(arg_int); result := to_real (arg_uns); if (arg_int(arg_int'high) = '1') then result := -result; end if; return result; end function to_real; function to_integer ( arg : ufixed; -- fixed point input constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return NATURAL is constant left_index : INTEGER := arg'high; variable arg_uns : UNSIGNED (minimum(31, left_index+1) downto 0) := (others => '0'); begin if (arg'length < 1) then return 0; end if; if (Is_X (arg)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0" severity warning; return 0; end if; if (left_index < -1) then return 0; end if; arg_uns := to_uns(resize (arg => arg, left_index => arg_uns'high, right_index => 0, round_style => round_style, overflow_style => overflow_style)); return to_integer (arg_uns); end function to_integer; function to_integer ( arg : sfixed; -- fixed point input constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- rounding by default return INTEGER is constant left_index : INTEGER := arg'high; constant right_index : INTEGER := arg'low; variable arg_s : SIGNED (minimum(31, left_index+1) downto 0); begin if (arg'length < 1) then return 0; end if; if (Is_X (arg)) then assert NO_WARNING report "FIXED_GENERIC_PKG.TO_INTEGER: metavalue detected, returning 0" severity warning; return 0; end if; if (left_index < -1) then return 0; end if; arg_s := to_s(resize (arg => arg, left_index => arg_s'high, right_index => 0, round_style => round_style, overflow_style => overflow_style)); return to_integer (arg_s); end function to_integer; function to_01 ( s : ufixed; -- ufixed point input constant XMAP : STD_LOGIC := '0') -- Map x to return ufixed is variable result : ufixed (s'range); -- result begin for i in s'range loop case s(i) is when '0' | 'L' => result(i) := '0'; when '1' | 'H' => result(i) := '1'; when others => result(i) := XMAP; end case; end loop; return result; end function to_01; function to_01 ( s : sfixed; -- ufixed point input constant XMAP : STD_LOGIC := '0') -- Map x to return sfixed is variable result : sfixed (s'range); begin for i in s'range loop case s(i) is when '0' | 'L' => result(i) := '0'; when '1' | 'H' => result(i) := '1'; when others => result(i) := XMAP; end case; end loop; return result; end function to_01; function Is_X ( arg : ufixed) return BOOLEAN is variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv begin argslv := to_slv(arg); return Is_X(argslv); end function Is_X; function Is_X ( arg : sfixed) return BOOLEAN is variable argslv : STD_LOGIC_VECTOR (arg'length-1 downto 0); -- slv begin argslv := to_slv(arg); return Is_X(argslv); end function Is_X; function To_X01 ( arg : ufixed) return ufixed is begin return to_ufixed (To_X01(to_slv(arg)), arg'high, arg'low); end function To_X01; function to_X01 ( arg : sfixed) return sfixed is begin return to_sfixed (To_X01(to_slv(arg)), arg'high, arg'low); end function To_X01; function To_X01Z ( arg : ufixed) return ufixed is begin return to_ufixed (To_X01Z(to_slv(arg)), arg'high, arg'low); end function To_X01Z; function to_X01Z ( arg : sfixed) return sfixed is begin return to_sfixed (To_X01Z(to_slv(arg)), arg'high, arg'low); end function To_X01Z; function To_UX01 ( arg : ufixed) return ufixed is begin return to_ufixed (To_UX01(to_slv(arg)), arg'high, arg'low); end function To_UX01; function to_UX01 ( arg : sfixed) return sfixed is begin return to_sfixed (To_UX01(to_slv(arg)), arg'high, arg'low); end function To_UX01; function resize ( arg : ufixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return ufixed is constant arghigh : INTEGER := maximum (arg'high, arg'low); constant arglow : INTEGER := mine (arg'high, arg'low); variable invec : ufixed (arghigh downto arglow); variable result : ufixed(left_index downto right_index) := (others => '0'); variable needs_rounding : BOOLEAN := false; begin -- resize if (arg'length < 1) or (result'length < 1) then return NAUF; elsif (invec'length < 1) then return result; -- string literal value else invec := cleanvec(arg); if (right_index > arghigh) then -- return top zeros needs_rounding := (round_style = fixed_round) and (right_index = arghigh+1); elsif (left_index < arglow) then -- return overflow if (overflow_style = fixed_saturate) and (or_reducex(to_slv(invec)) = '1') then result := saturate (result'high, result'low); -- saturate end if; elsif (arghigh > left_index) then -- wrap or saturate? if (overflow_style and or_reducex(to_slv(invec(arghigh downto left_index+1))) = '1') then result := saturate (result'high, result'low); -- saturate else if (arglow >= right_index) then result (left_index downto arglow) := invec(left_index downto arglow); else result (left_index downto right_index) := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; end if; else -- arghigh <= integer width if (arglow >= right_index) then result (arghigh downto arglow) := invec; else result (arghigh downto right_index) := invec (arghigh downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; end if; -- Round result if needs_rounding then result := round_fixed (arg => result, remainder => invec (right_index-1 downto arglow), overflow_style => overflow_style); end if; return result; end if; end function resize; function resize ( arg : sfixed; -- input constant left_index : INTEGER; -- integer portion constant right_index : INTEGER; -- size of fraction constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return sfixed is constant arghigh : INTEGER := maximum (arg'high, arg'low); constant arglow : INTEGER := mine (arg'high, arg'low); variable invec : sfixed (arghigh downto arglow); variable result : sfixed(left_index downto right_index) := (others => '0'); variable reduced : STD_ULOGIC; variable needs_rounding : BOOLEAN := false; -- rounding begin -- resize if (arg'length < 1) or (result'length < 1) then return NASF; elsif (invec'length < 1) then return result; -- string literal value else invec := cleanvec(arg); if (right_index > arghigh) then -- return top zeros if (arg'low /= INTEGER'low) then -- check for a literal result := (others => arg(arghigh)); -- sign extend end if; needs_rounding := (round_style = fixed_round) and (right_index = arghigh+1); elsif (left_index < arglow) then -- return overflow if (overflow_style) then reduced := or_reducex(to_slv(invec)); if (reduced = '1') then if (invec(arghigh) = '0') then -- saturate POSITIVE result := saturate (result'high, result'low); else -- saturate negative result := not saturate (result'high, result'low); end if; -- else return 0 (input was 0) end if; -- else return 0 (wrap) end if; elsif (arghigh > left_index) then if (invec(arghigh) = '0') then reduced := or_reducex(to_slv(invec(arghigh-1 downto left_index))); if overflow_style and reduced = '1' then -- saturate positive result := saturate (result'high, result'low); else if (right_index > arglow) then result := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); else result (left_index downto arglow) := invec (left_index downto arglow); end if; end if; else reduced := and_reducex(to_slv(invec(arghigh-1 downto left_index))); if overflow_style and reduced = '0' then result := not saturate (result'high, result'low); else if (right_index > arglow) then result := invec (left_index downto right_index); needs_rounding := (round_style = fixed_round); else result (left_index downto arglow) := invec (left_index downto arglow); end if; end if; end if; else -- arghigh <= integer width if (arglow >= right_index) then result (arghigh downto arglow) := invec; else result (arghigh downto right_index) := invec (arghigh downto right_index); needs_rounding := (round_style = fixed_round); -- round end if; if (left_index > arghigh) then -- sign extend result(left_index downto arghigh+1) := (others => invec(arghigh)); end if; end if; -- Round result if (needs_rounding) then result := round_fixed (arg => result, remainder => invec (right_index-1 downto arglow), overflow_style => overflow_style); end if; return result; end if; end function resize; -- size_res functions -- These functions compute the size from a passed variable named "size_res" -- The only part of this variable used it it's size, it is never passed -- to a lower level routine. function to_ufixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : ufixed) -- for size only return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_ufixed; function to_sfixed ( arg : STD_LOGIC_VECTOR; -- shifted vector size_res : sfixed) -- for size only return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_sfixed; function to_ufixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : ufixed) -- for size only return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed (arg => to_stdlogicvector(arg), left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_ufixed; function to_sfixed ( arg : STD_ULOGIC_VECTOR; -- shifted vector size_res : sfixed) -- for size only return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed (arg => to_stdlogicvector(arg), left_index => size_res'high, right_index => size_res'low); return result; end if; end function to_sfixed; function to_ufixed ( arg : NATURAL; -- integer size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : INTEGER; -- integer size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function to_ufixed ( arg : REAL; -- real size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, guard_bits => guard_bits, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : REAL; -- real size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style; -- turn on rounding by default constant guard_bits : NATURAL := fixed_guard_bits) -- # of guard bits return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, guard_bits => guard_bits, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function to_ufixed ( arg : UNSIGNED; -- unsigned size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_ufixed; function to_sfixed ( arg : SIGNED; -- signed size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- saturate by default constant round_style : BOOLEAN := fixed_round_style) -- turn on rounding by default return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function to_sfixed; function resize ( arg : ufixed; -- input size_res : ufixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return ufixed is variable result : ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := resize (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function resize; function resize ( arg : sfixed; -- input size_res : sfixed; -- for size only constant overflow_style : BOOLEAN := fixed_overflow_style; -- overflow constant round_style : BOOLEAN := fixed_round_style) -- rounding return sfixed is variable result : sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := resize (arg => arg, left_index => size_res'high, right_index => size_res'low, round_style => round_style, overflow_style => overflow_style); return result; end if; end function resize; -- Overloaded functions function "+" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l + to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "+"; function "+" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) + r); end function "+"; function "+" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l + to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "+"; function "+" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) + r); end function "+"; -- Overloaded functions function "-" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l - to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "-"; function "-" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) - r); end function "-"; function "-" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l - to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "-"; function "-" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) - r); end function "-"; -- Overloaded functions function "*" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l * to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "*"; function "*" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) * r); end function "*"; function "*" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l * to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "*"; function "*" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) * r); end function "*"; -- Overloaded functions function "/" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l / to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "/"; function "/" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) / r); end function "/"; function "/" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l / to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "/"; function "/" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) / r); end function "/"; -- Overloaded functions function "rem" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l rem to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "rem"; function "rem" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) rem r); end function "rem"; function "rem" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l rem to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "rem"; function "rem" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) rem r); end function "rem"; function "mod" ( l : ufixed; -- fixed point input r : REAL) return ufixed is begin return (l mod to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "mod"; function "mod" ( l : REAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) mod r); end function "mod"; function "mod" ( l : sfixed; -- fixed point input r : REAL) return sfixed is begin return (l mod to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "mod"; function "mod" ( l : REAL; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) mod r); end function "mod"; -- Overloaded functions for integers function "+" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l + to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); -- rounding not needed end function "+"; function "+" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) + r); end function "+"; function "+" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l + to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "+"; function "+" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) + r); end function "+"; -- Overloaded functions function "-" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l - to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "-"; function "-" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) - r); end function "-"; function "-" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l - to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "-"; function "-" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) - r); end function "-"; -- Overloaded functions function "*" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l * to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "*"; function "*" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) * r); end function "*"; function "*" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l * to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "*"; function "*" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) * r); end function "*"; -- Overloaded functions function "/" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l / to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "/"; function "/" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) / r); end function "/"; function "/" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l / to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "/"; function "/" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) / r); end function "/"; -- Overloaded functions function "rem" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l rem to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "rem"; function "rem" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) rem r); end function "rem"; function "rem" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l rem to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "rem"; function "rem" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) rem r); end function "rem"; function "mod" ( l : ufixed; -- fixed point input r : NATURAL) return ufixed is begin return (l mod to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "mod"; function "mod" ( l : NATURAL; r : ufixed) -- fixed point input return ufixed is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) mod r); end function "mod"; function "mod" ( l : sfixed; -- fixed point input r : INTEGER) return sfixed is begin return (l mod to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "mod"; function "mod" ( l : INTEGER; r : sfixed) -- fixed point input return sfixed is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) mod r); end function "mod"; -- overloaded compare functions function "=" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l = to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "="; function "/=" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l /= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "/="; function ">=" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l >= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function ">="; function "<=" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l <= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "<="; function ">" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l > to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function ">"; function "<" ( l : ufixed; r : NATURAL) -- fixed point input return BOOLEAN is begin return (l < to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "<"; function "=" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) = r); end function "="; function "/=" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) /= r); end function "/="; function ">=" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) >= r); end function ">="; function "<=" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) <= r); end function "<="; function ">" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) > r); end function ">"; function "<" ( l : NATURAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) < r); end function "<"; function "=" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l = to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "="; function "/=" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l /= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "/="; function ">=" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l >= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function ">="; function "<=" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l <= to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "<="; function ">" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l > to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function ">"; function "<" ( l : ufixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l < to_ufixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "<"; function "=" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) = r); end function "="; function "/=" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) /= r); end function "/="; function ">=" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) >= r); end function ">="; function "<=" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) <= r); end function "<="; function ">" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) > r); end function ">"; function "<" ( l : REAL; r : ufixed) -- fixed point input return BOOLEAN is begin return (to_ufixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) < r); end function "<"; function "=" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l = to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "="; function "/=" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l /= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "/="; function ">=" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l >= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function ">="; function "<=" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l <= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "<="; function ">" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l > to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function ">"; function "<" ( l : sfixed; r : INTEGER) -- fixed point input return BOOLEAN is begin return (l < to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style)); end function "<"; function "=" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) = r); end function "="; function "/=" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) /= r); end function "/="; function ">=" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) >= r); end function ">="; function "<=" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) <= r); end function "<="; function ">" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) > r); end function ">"; function "<" ( l : INTEGER; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style) < r); end function "<"; function "=" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l = to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "="; function "/=" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l /= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "/="; function ">=" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l >= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function ">="; function "<=" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l <= to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "<="; function ">" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l > to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function ">"; function "<" ( l : sfixed; r : REAL) -- fixed point input return BOOLEAN is begin return (l < to_sfixed (arg => r, left_index => l'high, right_index => l'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits)); end function "<"; function "=" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) = r); end function "="; function "/=" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) /= r); end function "/="; function ">=" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) >= r); end function ">="; function "<=" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) <= r); end function "<="; function ">" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) > r); end function ">"; function "<" ( l : REAL; r : sfixed) -- fixed point input return BOOLEAN is begin return (to_sfixed (arg => l, left_index => r'high, right_index => r'low, overflow_style => fixed_overflow_style, round_style => fixed_round_style, guard_bits => fixed_guard_bits) < r); end function "<"; -- rtl_synthesis off -- synthesis translate_off -- copied from std_logic_textio type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error); type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER; type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC; type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus; constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-"; constant char_to_MVL9 : MVL9_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U'); constant char_to_MVL9plus : MVL9plus_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error); constant NBSP : CHARACTER := CHARACTER'val(160); -- space character constant NUS : STRING(2 to 1) := (others => ' '); -- purpose: writes fixed point into a line procedure write ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'length +1) := (others => ' '); variable sindx : INTEGER; begin -- function write Example: 0011.1100 sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx +1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx +1; end loop; write(l, s, justified, field); end procedure write; -- purpose: writes fixed point into a line procedure write ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'length +1); variable sindx : INTEGER; begin -- function write Example: 0011.1100 sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx +1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx +1; end loop; write(l, s, justified, field); end procedure write; procedure READ(L : inout LINE; VALUE : out ufixed) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable s : STRING(1 to value'length-1); variable readOk : BOOLEAN; variable i : INTEGER; -- index variable begin -- READ VALUE (VALUE'range) := (others => 'U'); loop -- skip white space read(l, c, readOk); exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; i := value'high; readloop : loop if readOk = false then -- Bail out if there was a bad read report "FIXED_GENERIC_PKG.READ(ufixed) " & "Error: end of string encountered" severity error; return; elsif c = ' ' or c = NBSP or c = HT then -- reading done. assert i = value'low report "FIXED_GENERIC_PKG.READ(ufixed) " & "Warning: Value truncated " severity warning; return; elsif c = '.' then -- separator, ignore assert (i = -1) report "FIXED_GENERIC_PKG.READ(ufixed) " & "Warning: Decimal point does not match number format " severity warning; elsif (char_to_MVL9plus(c) = error) then report "FIXED_GENERIC_PKG.READ(ufixed) " & "Error: Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else value (i) := char_to_MVL9(c); i := i - 1; if i < value'low then return; end if; end if; read(l, c, readOk); end loop readloop; end procedure READ; procedure READ(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable i : INTEGER; -- index variable variable readOk : BOOLEAN; begin -- READ VALUE (VALUE'range) := (others => 'U'); loop -- skip white space read(l, c, readOk); exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; i := value'high; good := true; readloop : loop if readOk = false then -- Bail out if there was a bad read good := false; return; elsif c = ' ' or c = NBSP or c = HT then -- reading done good := false; return; elsif c = '.' then -- separator, ignore good := (i = -1); elsif (char_to_MVL9plus(c) = error) then good := false; return; else value (i) := char_to_MVL9(c); i := i - 1; if i < value'low then return; end if; end if; read(l, c, readOk); end loop readloop; end procedure READ; procedure READ(L : inout LINE; VALUE : out sfixed) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable readOk : BOOLEAN; variable i : INTEGER; -- index variable begin -- READ VALUE (VALUE'range) := (others => 'U'); loop -- skip white space read(l, c, readOk); exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; i := value'high; readloop : loop if readOk = false then -- Bail out if there was a bad read report "FIXED_GENERIC_PKG.READ(sfixed) " & "Error end of string encountered" severity error; return; elsif c = ' ' or c = NBSP or c = HT then -- reading done. assert i = value'low report "FIXED_GENERIC_PKG.READ(sfixed) " & "Warning: Value truncated " severity warning; return; elsif c = '.' then -- separator, ignore assert (i = -1) report "FIXED_GENERIC_PKG.READ(sfixed) " & "Warning: Decimal point does not match number format " severity warning; elsif (char_to_MVL9plus(c) = error) then report "FIXED_GENERIC_PKG.READ(sfixed) " & "Error: Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else value (i) := char_to_MVL9(c); i := i - 1; if i < value'low then return; end if; end if; read(l, c, readOk); end loop readloop; end procedure READ; procedure READ(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN) is -- Possible data: 00000.0000000 -- 000000000000 variable c : CHARACTER; variable i : INTEGER; -- index variable variable readOk : BOOLEAN; begin -- READ VALUE (VALUE'range) := (others => 'U'); loop -- skip white space read(l, c, readOk); exit when (readOk = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; i := value'high; good := true; readloop : loop if readOk = false then -- Bail out if there was a bad read good := false; return; elsif c = ' ' or c = NBSP or c = HT then -- reading done good := false; return; elsif c = '.' then -- separator, ignore good := (i = -1); elsif (char_to_MVL9plus(c) = error) then good := false; return; else value (i) := char_to_MVL9(c); i := i - 1; if i < value'low then return; end if; end if; read(l, c, readOk); end loop readloop; end procedure READ; -- octal read and write procedure owrite ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_ostring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure owrite; procedure owrite ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_ostring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure owrite; procedure Char2TriBits (C : CHARACTER; RESULT : out STD_LOGIC_VECTOR(2 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := o"0"; good := true; when '1' => result := o"1"; good := true; when '2' => result := o"2"; good := true; when '3' => result := o"3"; good := true; when '4' => result := o"4"; good := true; when '5' => result := o"5"; good := true; when '6' => result := o"6"; good := true; when '7' => result := o"7"; good := true; when 'Z' => result := "ZZZ"; good := true; when 'X' => result := "XXX"; good := true; when others => assert not ISSUE_ERROR report "FIXED_GENERIC_PKG.OREAD Error: Read a '" & c & "', expected an Octal character (0-7)." severity error; result := "UUU"; good := false; end case; end procedure Char2TriBits; -- Note that for Octal and Hex read, you can not start with a ".", -- the read is for numbers formatted "A.BC". These routines go to -- the nearest bounds, so "F.E" will fit into an sfixed (2 downto -3). procedure OREAD(L : inout LINE; VALUE : out ufixed) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : ufixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then report "FIXED_GENERIC_PKG.OREAD(ufixed): " & "Error end of string encountered" severity error; return; else Char2triBits(c, nybble, igood, true); i := hbv-lbv - 3; -- Top - 3 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood = false then report "FIXED_GENERIC_PKG.OREAD(ufixed): " & "Error end of string encountered" severity error; elsif (c = '.') then if (i + 1 /= -lbv) then igood := false; report "FIXED_GENERIC_PKG.OREAD(ufixed): " & "encountered ""."" at wrong index" severity error; end if; else Char2TriBits(c, nybble, igood, true); slv (i downto i-2) := nybble; i := i - 3; end if; end loop; if igood then -- We did not get another error assert (i = -1) and -- We read everything, and high bits 0 (or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated." severity error; if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report "FIXED_GENERIC_PKG.OREAD(ufixed): Vector truncated" severity warning; end if; end if; valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : ufixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then return; else Char2triBits(c, nybble, igood, false); i := hbv-lbv - 3; -- Top - 3 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood then if (c = '.') then igood := igood and (i + 1 = -lbv); else Char2TriBits(c, nybble, igood, false); slv (i downto i-2) := nybble; i := i - 3; end if; end if; end loop; good := igood and -- We did not get another error (i = -1) and -- We read everything, and high bits 0 (or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0'); valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out sfixed) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : sfixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then report "FIXED_GENERIC_PKG.OREAD(sfixed): " & "Error end of string encountered" severity error; return; else Char2triBits(c, nybble, igood, true); i := hbv-lbv - 3; -- Top - 3 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood = false then report "FIXED_GENERIC_PKG.OREAD(sfixed): " & "Error end of string encountered" severity error; elsif (c = '.') then if (i + 1 /= -lbv) then igood := false; report "FIXED_GENERIC_PKG.OREAD(sfixed): " & "encountered ""."" at wrong index" severity error; end if; else Char2TriBits(c, nybble, igood, true); slv (i downto i-2) := nybble; i := i - 3; end if; end loop; if igood then -- We did not get another error assert (i = -1) and -- We read everything ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1')) report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated." severity error; if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report "FIXED_GENERIC_PKG.OREAD(sfixed): Vector truncated" severity warning; end if; end if; valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(3, (VALUE'high+1))+2)/3)*3)-1; constant lbv : INTEGER := ((mine(-3, VALUE'low)-2)/3)*3; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : sfixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then return; else Char2triBits(c, nybble, igood, false); i := hbv-lbv - 3; -- Top - 3 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood then if (c = '.') then igood := igood and (i + 1 = -lbv); else Char2TriBits(c, nybble, igood, false); slv (i downto i-2) := nybble; i := i - 3; end if; end if; end loop; good := igood -- We did not get another error and (i = -1) -- We read everything and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1')); valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure OREAD; -- hex read and write procedure hwrite ( L : inout LINE; -- input line VALUE : in ufixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_hstring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure hwrite; -- purpose: writes fixed point into a line procedure hwrite ( L : inout LINE; -- input line VALUE : in sfixed; -- fixed point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is begin -- Example 03.30 write (L => L, VALUE => to_hstring (VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure hwrite; -- Hex Read and Write procedures for STD_ULOGIC_VECTOR. -- Modified from the original to be more forgiving. procedure Char2QuadBits (C : CHARACTER; RESULT : out STD_LOGIC_VECTOR(3 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := x"0"; good := true; when '1' => result := x"1"; good := true; when '2' => result := x"2"; good := true; when '3' => result := x"3"; good := true; when '4' => result := x"4"; good := true; when '5' => result := x"5"; good := true; when '6' => result := x"6"; good := true; when '7' => result := x"7"; good := true; when '8' => result := x"8"; good := true; when '9' => result := x"9"; good := true; when 'A' | 'a' => result := x"A"; good := true; when 'B' | 'b' => result := x"B"; good := true; when 'C' | 'c' => result := x"C"; good := true; when 'D' | 'd' => result := x"D"; good := true; when 'E' | 'e' => result := x"E"; good := true; when 'F' | 'f' => result := x"F"; good := true; when 'Z' => result := "ZZZZ"; good := true; when 'X' => result := "XXXX"; good := true; when others => assert not ISSUE_ERROR report "FIXED_GENERIC_PKG.HREAD Error: Read a '" & c & "', expected a Hex character (0-F)." severity error; result := "UUUU"; good := false; end case; end procedure Char2QuadBits; procedure HREAD(L : inout LINE; VALUE : out ufixed) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : ufixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then report "FIXED_GENERIC_PKG.HREAD(ufixed): " & "Error end of string encountered" severity error; return; else Char2QuadBits(c, nybble, igood, true); i := hbv-lbv - 4; -- Top - 4 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood = false then report "FIXED_GENERIC_PKG.HREAD(ufixed): " & "Error end of string encountered" severity error; elsif (c = '.') then if (i + 1 /= -lbv) then igood := false; report "FIXED_GENERIC_PKG.HREAD(ufixed): " & "encountered ""."" at wrong index" severity error; end if; else Char2QuadBits(c, nybble, igood, true); slv (i downto i-3) := nybble; i := i - 4; end if; end loop; if igood then -- We did not get another error assert (i = -1) and -- We read everything, and high bits 0 (or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated." severity error; if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report "FIXED_GENERIC_PKG.HREAD(ufixed): Vector truncated" severity warning; end if; end if; valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out ufixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : ufixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then return; else Char2QuadBits(c, nybble, igood, false); i := hbv-lbv - 4; -- Top - 4 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood then if (c = '.') then igood := igood and (i + 1 = -lbv); else Char2QuadBits(c, nybble, igood, false); slv (i downto i-3) := nybble; i := i - 4; end if; end if; end loop; good := igood and -- We did not get another error (i = -1) and -- We read everything, and high bits 0 (or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0'); valuex := to_ufixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out sfixed) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : sfixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then report "FIXED_GENERIC_PKG.HREAD(sfixed): " & "Error end of string encountered" severity error; return; else Char2QuadBits(c, nybble, igood, true); i := hbv-lbv - 4; -- Top - 4 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood = false then report "FIXED_GENERIC_PKG.HREAD(sfixed): " & "Error end of string encountered" severity error; elsif (c = '.') then if (i + 1 /= -lbv) then igood := false; report "FIXED_GENERIC_PKG.HREAD(sfixed): " & "encountered ""."" at wrong index" severity error; end if; else Char2QuadBits(c, nybble, igood, true); slv (i downto i-3) := nybble; i := i - 4; end if; end loop; if igood then -- We did not get another error assert (i = -1) -- We read everything and ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1')) report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated." severity error; if (or_reducex(slv(VALUE'low-lbv-1 downto 0)) = '1') then assert NO_WARNING report "FIXED_GENERIC_PKG.HREAD(sfixed): Vector truncated" severity warning; end if; end if; valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure HREAD; procedure HREAD(L : inout LINE; VALUE : out sfixed; GOOD : out BOOLEAN) is constant hbv : INTEGER := (((maximum(4, (VALUE'high+1))+3)/4)*4)-1; constant lbv : INTEGER := ((mine(-4, VALUE'low)-3)/4)*4; variable slv : STD_LOGIC_VECTOR (hbv-lbv downto 0); -- high bits variable c : CHARACTER; -- to read the "." variable valuex : sfixed (hbv downto lbv); variable igood : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable i : INTEGER; begin VALUE (VALUE'range) := (others => 'U'); -- initialize to a "U" loop -- skip white space read(L, c, igood); exit when (igood = false) or ((c /= ' ') and (c /= NBSP) and (c /= HT)); end loop; if igood = false then return; else Char2QuadBits(c, nybble, igood, false); i := hbv-lbv - 4; -- Top - 4 slv (hbv-lbv downto i+1) := nybble; end if; while (i /= -1) and igood and L.all'length /= 0 loop read (L, c, igood); if igood then if (c = '.') then igood := igood and (i + 1 = -lbv); else Char2QuadBits(c, nybble, igood, false); slv (i downto i-3) := nybble; i := i - 4; end if; end if; end loop; good := igood and -- We did not get another error (i = -1) and -- We read everything ((slv(VALUE'high-lbv) = '0' and -- sign bits = extra bits or_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '0') or (slv(VALUE'high-lbv) = '1' and and_reducex(slv(hbv-lbv downto VALUE'high+1-lbv)) = '1')); valuex := to_sfixed (slv, hbv, lbv); VALUE := valuex (VALUE'range); end procedure HREAD; ----------------------------------------------------------------------------- -- %%% Remove the following 3 functions. They are a duplicate needed for -- testing ----------------------------------------------------------------------------- -- purpose: Justify a string to the right function justify ( value : STRING; justified : SIDE := right; field : width := 0) return STRING is constant VAL_LEN : INTEGER := value'length; variable result : STRING (1 to field) := (others => ' '); begin -- function justify -- return value if field is too small if VAL_LEN >= field then return value; end if; if justified = left then result(1 to VAL_LEN) := value; elsif justified = right then result(field - VAL_LEN + 1 to field) := value; end if; return result; end function justify; function to_ostring ( value : STD_LOGIC_VECTOR; justified : SIDE := right; field : width := 0 ) return STRING is constant ne : INTEGER := (value'length+2)/3; variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1); variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1); variable result : STRING(1 to ne); variable tri : STD_LOGIC_VECTOR(0 to 2); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop tri := To_X01Z(ivalue(3*i to 3*i+2)); case tri is when o"0" => result(i+1) := '0'; when o"1" => result(i+1) := '1'; when o"2" => result(i+1) := '2'; when o"3" => result(i+1) := '3'; when o"4" => result(i+1) := '4'; when o"5" => result(i+1) := '5'; when o"6" => result(i+1) := '6'; when o"7" => result(i+1) := '7'; when "ZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return justify(result, justified, field); end if; end function to_ostring; ------------------------------------------------------------------- function to_hstring ( value : STD_LOGIC_VECTOR; justified : SIDE := right; field : width := 0 ) return STRING is constant ne : INTEGER := (value'length+3)/4; variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1); variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1); variable result : STRING(1 to ne); variable quad : STD_LOGIC_VECTOR(0 to 3); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop quad := To_X01Z(ivalue(4*i to 4*i+3)); case quad is when x"0" => result(i+1) := '0'; when x"1" => result(i+1) := '1'; when x"2" => result(i+1) := '2'; when x"3" => result(i+1) := '3'; when x"4" => result(i+1) := '4'; when x"5" => result(i+1) := '5'; when x"6" => result(i+1) := '6'; when x"7" => result(i+1) := '7'; when x"8" => result(i+1) := '8'; when x"9" => result(i+1) := '9'; when x"A" => result(i+1) := 'A'; when x"B" => result(i+1) := 'B'; when x"C" => result(i+1) := 'C'; when x"D" => result(i+1) := 'D'; when x"E" => result(i+1) := 'E'; when x"F" => result(i+1) := 'F'; when "ZZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return justify(result, justified, field); end if; end function to_hstring; -- %%% End remove here function to_string ( value : ufixed; justified : SIDE := right; field : width := 0 ) return STRING is variable s : STRING(1 to value'length +1) := (others => ' '); variable sindx : INTEGER; begin if value'length < 1 then return NUS; else if value'high < 0 then return to_string (resize (value, 0, value'low), justified, field); elsif value'low > 0 then return to_string (resize (value, value'high, -1), justified, field); else sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx +1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx +1; end loop; return justify(s, justified, field); end if; end if; end function to_string; function to_string ( value : sfixed; justified : SIDE := right; field : width := 0 ) return STRING is variable s : STRING(1 to value'length +1) := (others => ' '); variable sindx : INTEGER; begin if value'length < 1 then return NUS; else if value'high < 0 then return to_string (resize (value, 0, value'low), justified, field); elsif value'low > 0 then return to_string (resize (value, value'high, -1), justified, field); else sindx := 1; for i in value'high downto value'low loop if i = -1 then s(sindx) := '.'; sindx := sindx +1; end if; s(sindx) := MVL9_to_char(STD_ULOGIC(value(i))); sindx := sindx +1; end loop; return justify(s, justified, field); end if; end if; end function to_string; function to_ostring ( value : ufixed; justified : SIDE := right; field : width := 0 ) return STRING is constant lne : INTEGER := (-VALUE'low+2)/3; constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) := (others => '0'); variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then return to_ostring (resize (value, 2, value'low), justified, field); elsif value'low > 0 then return to_ostring (resize (value, value'high, -3), justified, field); else slv := to_slv (value); return justify(to_ostring(slv(slv'high downto slv'high-VALUE'high)) & "." & to_ostring(slv(slv'high-VALUE'high-1 downto 0)&lpad), justified, field); end if; end if; end function to_ostring; function to_hstring ( value : ufixed; justified : SIDE := right; field : width := 0 ) return STRING is constant lne : INTEGER := (-VALUE'low+3)/4; constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) := (others => '0'); variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else if value'high < 0 then return to_hstring (resize (value, 3, value'low), justified, field); elsif value'low > 0 then return to_hstring (resize (value, value'high, -4), justified, field); else slv := to_slv (value); return justify(to_hstring(slv(slv'high downto slv'high-VALUE'high)) & "." & to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad), justified, field); end if; end if; end function to_hstring; function to_ostring ( value : sfixed; justified : SIDE := right; field : width := 0 ) return STRING is constant ne : INTEGER := ((value'high+1)+2)/3; variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - (value'high+1)) - 1); constant lne : INTEGER := (-VALUE'low+2)/3; constant lpad : STD_LOGIC_VECTOR (0 to (lne*3 + VALUE'low) -1) := (others => '0'); variable slv : STD_LOGIC_VECTOR (VALUE'high - VALUE'low downto 0); begin if value'length < 1 then return NUS; else pad := (others => value(value'high)); if value'high < 0 then return to_ostring (resize (value, 2, value'low), justified, field); elsif value'low > 0 then return to_ostring (resize (value, value'high, -3), justified, field); else slv := to_slv (value); return justify(to_ostring(pad & slv(slv'high downto slv'high-VALUE'high)) & "." & to_ostring(slv(slv'high-VALUE'high-1 downto 0) & lpad), justified, field); end if; end if; end function to_ostring; function to_hstring ( value : sfixed; justified : SIDE := right; field : width := 0 ) return STRING is constant ne : INTEGER := ((value'high+1)+3)/4; variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - (value'high+1)) - 1); constant lne : INTEGER := (-VALUE'low+3)/4; constant lpad : STD_LOGIC_VECTOR (0 to (lne*4 + VALUE'low) -1) := (others => '0'); variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin if value'length < 1 then return NUS; else pad := (others => value(value'high)); if value'high < 0 then return to_hstring (resize (value, 3, value'low), justified, field); elsif value'low > 0 then return to_hstring (resize (value, value'high, -4), justified, field); else slv := to_slv (value); return justify(to_hstring(pad&slv(slv'high downto slv'high-VALUE'high)) & "." & to_hstring(slv(slv'high-VALUE'high-1 downto 0)&lpad), justified, field); end if; end if; end function to_hstring; -- From string functions allow you to convert a string into a fixed -- point number. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100", uf1'high, uf1'low); -- 6.5 -- The "." is optional in this syntax, however it exist and is -- in the wrong location an error is produced. Overflow will -- result in saturation. function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is variable result : ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; return result; end function from_string; -- Octal and hex conversions work as follows: -- uf1 <= from_hstring ("6.8", 3, -3); -- 6.5 (bottom zeros dropped) -- uf1 <= from_ostring ("06.4", 3, -3); -- 6.5 (top zeros dropped) function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is variable result : ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return ufixed is variable result : ufixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; function from_string ( bstring : STRING; -- binary string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable result : sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable result : sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant left_index : INTEGER; constant right_index : INTEGER) return sfixed is variable result : sfixed (left_index downto right_index); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; -- Same as above, "size_res" is used for it's range only. function from_string ( bstring : STRING; -- binary string size_res : ufixed) return ufixed is variable result : ufixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : ufixed) return ufixed is variable result : ufixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : ufixed) return ufixed is variable result : ufixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; function from_string ( bstring : STRING; -- binary string size_res : sfixed) return sfixed is variable result : sfixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); read (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : sfixed) return sfixed is variable result : sfixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); oread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : sfixed) return sfixed is variable result : sfixed (size_res'high downto size_res'low); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); hread (L, result, good); deallocate (L); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; return result; end function from_hstring; -- purpose: find a dot in a string, return -1 if no dot (internal function) function finddot ( arg : STRING) return INTEGER is alias xarg : STRING (arg'length downto 1) is arg; -- make it a downto begin for i in xarg'reverse_range loop if (xarg(i) = '.') then return i-1; end if; end loop; return -1; end function finddot; -- Direct converstion functions. Example: -- signal uf1 : ufixed (3 downto -3); -- uf1 <= from_string ("0110.100"); -- 6.5 -- In this case the "." is not optional, and the size of -- the output must match exactly. function from_string ( bstring : STRING) -- binary string return ufixed is variable result : ufixed (bstring'length-2 downto 0); variable result_nodot : ufixed (bstring'length-1 downto 0); variable bstring_nodot : STRING (1 to bstring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(bstring); if (dot = -1) then L := new STRING'(bstring); read (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; deallocate (L); return result_nodot; else j := 1; for i in 1 to bstring'high loop if (bstring(i) /= '.') then bstring_nodot(j) := bstring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(bstring_nodot); read (L, result, good); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; deallocate (L); return to_ufixed(to_slv(result), bstring'length-dot-2, -dot); end if; end function from_string; -- Direct octal and hex converstion functions. In this case -- the string lengths must match. Example: -- signal sf1 := sfixed (5 downto -3); -- sf1 <= from_ostring ("71.4") -- -6.5 function from_ostring ( ostring : STRING) -- Octal string return ufixed is variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0); variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0); variable ostring_nodot : STRING (1 to ostring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(ostring); if (dot = -1) then L := new STRING'(ostring); oread (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; deallocate (L); return to_ufixed(UNSIGNED(result_nodot)); else j := 1; for i in 1 to ostring'high loop if (ostring(i) /= '.') then ostring_nodot(j) := ostring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(ostring_nodot); oread (L, result, good); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; deallocate (L); return to_ufixed(result, (ostring'length-1-dot)*3-1, -dot*3); end if; end function from_ostring; function from_hstring ( hstring : STRING) -- hex string return ufixed is variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0); variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0); variable hstring_nodot : STRING (1 to hstring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(hstring); if (dot = -1) then L := new STRING'(hstring); hread (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; deallocate (L); return to_ufixed(UNSIGNED(result_nodot)); else j := 1; for i in 1 to hstring'high loop if (hstring(i) /= '.') then hstring_nodot(j) := hstring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(hstring_nodot); hread (L, result, good); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; deallocate (L); return to_ufixed(result, (hstring'length-1-dot)*4-1, -dot*4); end if; end function from_hstring; function from_string ( bstring : STRING) -- binary string return sfixed is variable result : sfixed (bstring'length-2 downto 0); variable result_nodot : sfixed (bstring'length-1 downto 0); variable bstring_nodot : STRING (1 to bstring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(bstring); if (dot = -1) then L := new STRING'(bstring); read (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; deallocate (L); return result_nodot; else j := 1; for i in 1 to bstring'high loop if (bstring(i) /= '.') then bstring_nodot(j) := bstring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(bstring_nodot); read (L, result, good); assert (good) report "fixed_generic_pkg.from_string: Bad string "& bstring severity error; deallocate (L); return to_sfixed(to_slv(result), bstring'length-dot-2, -dot); end if; end function from_string; function from_ostring ( ostring : STRING) -- Octal string return sfixed is variable result : STD_LOGIC_VECTOR((ostring'length-1)*3-1 downto 0); variable result_nodot : STD_LOGIC_VECTOR((ostring'length)*3-1 downto 0); variable ostring_nodot : STRING (1 to ostring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(ostring); if (dot = -1) then L := new STRING'(ostring); oread (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; deallocate (L); return to_sfixed(SIGNED(result_nodot)); else j := 1; for i in 1 to ostring'high loop if (ostring(i) /= '.') then ostring_nodot(j) := ostring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(ostring_nodot); oread (L, result, good); assert (good) report "fixed_generic_pkg.from_ostring: Bad string "& ostring severity error; deallocate (L); return to_sfixed(result, (ostring'length-1-dot)*3-1, -dot*3); end if; end function from_ostring; function from_hstring ( hstring : STRING) -- hex string return sfixed is variable result : STD_LOGIC_VECTOR((hstring'length-1)*4-1 downto 0); variable result_nodot : STD_LOGIC_VECTOR((hstring'length)*4-1 downto 0); variable hstring_nodot : STRING (1 to hstring'length-1); variable L : LINE; variable good : BOOLEAN; variable dot, i, j : INTEGER; begin dot := finddot(hstring); if (dot = -1) then L := new STRING'(hstring); hread (L, result_nodot, good); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; deallocate (L); return sfixed(SIGNED(result_nodot)); else j := 1; for i in 1 to hstring'high loop if (hstring(i) /= '.') then hstring_nodot(j) := hstring(i); -- get rid of the dot. j := j + 1; end if; end loop; L := new STRING'(hstring_nodot); hread (L, result, good); assert (good) report "fixed_generic_pkg.from_hstring: Bad string "& hstring severity error; deallocate (L); return to_sfixed(result, (hstring'length-1-dot)*4-1, -dot*4); end if; end function from_hstring; -- synthesis translate_on -- rtl_synthesis on function to_StdLogicVector ( arg : ufixed) -- fp vector return STD_LOGIC_VECTOR is begin return to_slv (arg); end function to_StdLogicVector; function to_Std_Logic_Vector ( arg : ufixed) -- fp vector return STD_LOGIC_VECTOR is begin return to_slv (arg); end function to_Std_Logic_Vector; function to_StdLogicVector ( arg : sfixed) -- fp vector return STD_LOGIC_VECTOR is begin return to_slv (arg); end function to_StdLogicVector; function to_Std_Logic_Vector ( arg : sfixed) -- fp vector return STD_LOGIC_VECTOR is begin return to_slv (arg); end function to_Std_Logic_Vector; function to_StdULogicVector ( arg : ufixed) -- fp vector return STD_ULOGIC_VECTOR is begin return to_sulv (arg); end function to_StdULogicVector; function to_Std_ULogic_Vector ( arg : ufixed) -- fp vector return STD_ULOGIC_VECTOR is begin return to_sulv (arg); end function to_Std_ULogic_Vector; function to_StdULogicVector ( arg : sfixed) -- fp vector return STD_ULOGIC_VECTOR is begin return to_sulv (arg); end function to_StdULogicVector; function to_Std_ULogic_Vector ( arg : sfixed) -- fp vector return STD_ULOGIC_VECTOR is begin return to_sulv (arg); end function to_Std_ULogic_Vector; end package body fixed_pkg;
mit
hubertokf/VHDL-MIPS-Pipeline
dec5p1.vhd
1
2018
Library IEEE; Use ieee.std_logic_1164.all; Entity dec5to1 is port ( input: in std_logic_vector(4 downto 0); output : out std_logic_vector(31 downto 0) ); end dec5to1; architecture rtl of dec5to1 is begin with input select output <= "00000000000000000000000000000001" when "00000", "00000000000000000000000000000010" when "00001", "00000000000000000000000000000100" when "00010", "00000000000000000000000000001000" when "00011", "00000000000000000000000000010000" when "00100", "00000000000000000000000000100000" when "00101", "00000000000000000000000001000000" when "00110", "00000000000000000000000010000000" when "00111", "00000000000000000000000100000000" when "01000", "00000000000000000000001000000000" when "01001", "00000000000000000000010000000000" when "01010", "00000000000000000000100000000000" when "01011", "00000000000000000001000000000000" when "01100", "00000000000000000010000000000000" when "01101", "00000000000000000100000000000000" when "01110", "00000000000000001000000000000000" when "01111", "00000000000000010000000000000000" when "10000", "00000000000000100000000000000000" when "10001", "00000000000001000000000000000000" when "10010", "00000000000010000000000000000000" when "10011", "00000000000100000000000000000000" when "10100", "00000000001000000000000000000000" when "10101", "00000000010000000000000000000000" when "10110", "00000000100000000000000000000000" when "10111", "00000001000000000000000000000000" when "11000", "00000010000000000000000000000000" when "11001", "00000100000000000000000000000000" when "11010", "00001000000000000000000000000000" when "11011", "00010000000000000000000000000000" when "11100", "00100000000000000000000000000000" when "11101", "01000000000000000000000000000000" when "11110", "10000000000000000000000000000000" when "11111", "00000000000000000000000000000000" when others; end rtl;
mit
rad-/VHDL-Pong
KeyboardController.vhd
1
2419
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity KeyboardController is Port ( Clock : in STD_LOGIC; KeyboardClock : in STD_LOGIC; KeyboardData : in STD_LOGIC; LeftPaddleDirection : inout integer; RightPaddleDirection : inout integer ); end KeyboardController; architecture Behavioral of KeyboardController is signal bitCount : integer range 0 to 100 := 0; signal scancodeReady : STD_LOGIC := '0'; signal scancode : STD_LOGIC_VECTOR(7 downto 0); signal breakReceived : STD_LOGIC := '0'; constant keyboardA : STD_LOGIC_VECTOR(7 downto 0) := "00011100"; constant keyboardZ : STD_LOGIC_VECTOR(7 downto 0) := "00011010"; constant keyboardK : STD_LOGIC_VECTOR(7 downto 0) := "01000010"; constant keyboardM : STD_LOGIC_VECTOR(7 downto 0) := "00111010"; begin keyboard_scan_ready_enable : process(KeyboardClock) begin if falling_edge(KeyboardClock) then if bitCount = 0 and KeyboardData = '0' then --keyboard wants to send data scancodeReady <= '0'; bitCount <= bitCount + 1; elsif bitCount > 0 and bitCount < 9 then -- shift one bit into the scancode from the left scancode <= KeyboardData & scancode(7 downto 1); bitCount <= bitCount + 1; elsif bitCount = 9 then -- parity bit bitCount <= bitCount + 1; elsif bitCount = 10 then -- end of message scancodeReady <= '1'; bitCount <= 0; end if; end if; end process keyboard_scan_ready_enable; scan_keyboard : process(scancodeReady, scancode) begin if scancodeReady'event and scancodeReady = '1' then -- breakcode breaks the current scancode if breakReceived = '1' then breakReceived <= '0'; if scancode = keyboardA or scancode = keyboardY then LeftPaddleDirection <= 0; elsif scancode = keyboardK or scancode = keyboardM then RightPaddleDirection <= 0; end if; elsif breakReceived = '0' then -- scancode processing if scancode = "11110000" then -- mark break for next scancode breakReceived <= '1'; end if; if scancode = keyboardA then LeftPaddleDirection <= -1; elsif scancode = keyboardY then LeftPaddleDirection <= 1; elsif scancode = keyboardK then RightPaddleDirection <= -1; elsif scancode = keyboardM then RightPaddleDirection <= 1; end if; end if; end if; end process scan_keyboard; end Behavioral;
mit
mr-kenhoff/Bitmap-VHDL-Package
rtl/vga_bmp_sink.vhd
1
3146
------------------------------------------------------------------------------- -- File : vga_bmp_sink.vhd -- Author : mr-kenhoff ------------------------------------------------------------------------------- -- Description: -- Saves a conventional VGA-Standard input into a .bmp File -- -- Target: Simulator -- Dependencies: bmp_pkg.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.bmp_pkg.all; entity vga_bmp_sink is generic ( FILENAME : string ); port ( clk_i : in std_logic; dat_i : in std_logic_vector(23 downto 0); active_vid_i : in std_logic; h_sync_i : in std_logic; v_sync_i : in std_logic ); end vga_bmp_sink; architecture Behavioral of vga_bmp_sink is signal h_sync_dly : std_logic := '0'; signal v_sync_dly : std_logic := '0'; signal eol : std_logic := '0'; signal eof : std_logic := '0'; signal x : natural := 0; signal y : natural := 0; signal is_active_line : std_logic := '0'; signal is_active_frame : std_logic := '0'; begin h_sync_dly <= h_sync_i when rising_edge(clk_i); v_sync_dly <= v_sync_i when rising_edge(clk_i); eol_eof_gen_process : process(clk_i) begin if rising_edge(clk_i) then -- EOL if h_sync_dly = '0' and h_sync_i = '1' then eol <= '1'; else eol <= '0'; end if; -- EOF if v_sync_dly = '0' and v_sync_i = '1' then eof <= '1'; else eof <= '0'; end if; end if; end process; sink_process : process( clk_i ) variable sink_bmp : bmp_ptr; variable sink_pix : bmp_pix; variable is_bmp_created : boolean := false; variable is_bmp_saved : boolean := false; begin -- Create bitmap on startup if is_bmp_created = false then sink_bmp := new bmp; is_bmp_created := true; end if; if rising_edge( clk_i ) then if active_vid_i = '1' then sink_pix.r := dat_i(23 downto 16); sink_pix.g := dat_i(15 downto 8); sink_pix.b := dat_i(7 downto 0); bmp_set_pix( sink_bmp, x, y, sink_pix ); x <= x + 1; is_active_line <= '1'; is_active_frame <= '1'; else if eol = '1' then x <= 0; if is_active_line = '1' then y <= y + 1; end if; is_active_line <= '0'; end if; if eof = '1' then y <= 0; if is_active_frame = '1' then bmp_save( sink_bmp, FILENAME ); end if; is_active_frame <= '0'; end if; end if; end if; end process; end Behavioral;
mit
Vladilit/fpga-multi-effect
ip_repo/zed_audio_ctrl/zed_audio_ctrl.srcs/sources_1/imports/i2s_audio/common_types.vhd
3
3567
-- -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions -- -- To use any of the example code shown below, uncomment the lines and modify as necessary -- library IEEE; use IEEE.STD_LOGIC_1164.all; use work.family_support.all; package common_types is -- TYPE DECLARATIONS type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- FUNCTION GENERATIONS function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function clog2(x : positive) return natural; end common_types; package body common_types is ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; end common_types;
mit
Vladilit/fpga-multi-effect
ip_repo/VL_user_octaver_1.0/sources_1/new/octaver.vhd
1
6941
---------------------------------------------------- -- Vladi & Adi -- -- TAU EE Senior year project -- -- -- --************************************************-- --****************** Octaver *********************-- --************************************************-- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity octaver is generic ( T: integer := 20000; B: integer := 15 --15 bits for 20,000 memory places ); Port ( x : in STD_LOGIC_VECTOR(31 downto 0); y : out STD_LOGIC_VECTOR(31 downto 0); clk_48: in std_logic; options : in STD_LOGIC_VECTOR(0 to 3); en : in STD_LOGIC_VECTOR(0 to 3) ); end octaver; architecture Behavioral of octaver is signal y_temp_s : signed(31 downto 0):= x"00000000"; signal i : std_logic_vector (B-1 downto 0) := "000000000000000"; signal max_delay : integer := T-1; --********************** BRAM signals signal we : std_logic := '1'; signal addr1 : std_logic_vector(B-1 downto 0) := "000000000000000"; signal addr2 : std_logic_vector(B-1 downto 0):= "000000000000000"; signal data_in : std_logic_vector(31 downto 0); --32 bit word signal data_out1 : std_logic_vector(31 downto 0); signal data_out2 : std_logic_vector(31 downto 0); --************************* component bram_oct is generic ( T: integer := 20000; B: integer := 15 --15 bits for 20,000 memory places ); port ( CLK : in std_logic; WE : in std_logic; ADDR1 : in std_logic_vector(B-1 downto 0); ADDR2 : in std_logic_vector(B-1 downto 0); DI : in std_logic_vector(31 downto 0); --32 bit word DO1 : out std_logic_vector(31 downto 0); DO2 : out std_logic_vector(31 downto 0) ); end component bram_oct; begin --*********** temporary debugging signals ********* --addr1_temp0 <= "00000000000000000" & std_logic_vector(addr1); --addr2_temp1 <= "00000000000000000" & std_logic_vector(addr2); --************************************************* bram_oct_inst : bram_oct port map ( CLK => clk_48, WE => we, ADDR1 => addr1, ADDR2 => addr2, DI => data_in, DO1 => data_out1, DO2 => data_out2 ); mem:process(clk_48) begin if rising_edge(clk_48) then if to_integer(unsigned(i))= max_delay-2 then i<= "000000000000000"; else i <= std_logic_vector(unsigned(i)+1); end if; end if; end process; addr_1:process(clk_48) begin if rising_edge(clk_48) then if (to_integer(unsigned(addr1)) = max_delay-2) then addr1 <= "000000000000000"; else addr1 <= std_logic_vector(unsigned(addr1) + 1); end if; end if; end process; addr_2:process(clk_48) begin if rising_edge(clk_48) then --*********************** 1 octave up **************** if (options="1000" or options="1100" or options="1110" or options="0011" or options="1111" or options="0111") then if (to_integer(unsigned(addr2)) >= max_delay-2) then addr2 <= "000000000000000"; end if; addr2 <= std_logic_vector(shift_left(unsigned(i),1) + 1); end if; --*************************************************** --*********************** 2 octaves up **************** if (options="0100" or options="0001" ) then if (to_integer(unsigned(addr2)) >= max_delay-2) then addr2 <= "000000000000000"; end if; addr2 <= std_logic_vector(shift_left(unsigned(i),2) + 1); end if; --*************************************************** --*********************** 1 octave dowm **************** if (options="0010") then if (to_integer(unsigned(addr2)) >= max_delay-2) then addr2 <= "000000000000000"; end if; addr2 <= std_logic_vector(shift_right(unsigned(i),1) + 1); end if; --*************************************************** end if; end process; process (clk_48, options) begin if en(1)= '1' then if rising_edge(clk_48) then if options="1000" then --fir, 1up, 3000 max_delay <= 3000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; if options="1100" then --fir, 1up, 8000 max_delay <= 8000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; if options="1110" then --fir, 1up, 15000 max_delay <= 15000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; if options="1111" then --iir, 1up, 5000 (T/4) max_delay <= 5000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= std_logic_vector(y_temp_s); y <= std_logic_vector(y_temp_s); end if; if options="0111" then --iir, 1up, 10000 (T/2) max_delay <= 10000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= std_logic_vector(y_temp_s); y <= std_logic_vector(y_temp_s); end if; if options="0011" then --iir, 1up, 19999 (T-1) max_delay <= 19999; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= std_logic_vector(y_temp_s); y <= std_logic_vector(y_temp_s); end if; --******************************************************** if options="0100" then --fir, 2up, 3000 max_delay <= 3000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; if options="0001" then --fir, 2up, 500 - robot sound max_delay <= 500; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; --******************************************************** if options="0010" then --fir, 1down, 8000 max_delay <= 8000; y_temp_s <= signed(x) + signed("00000000" & std_logic_vector(shift_right(signed(data_out2(23 downto 0)),1))); data_in <= x; y <= std_logic_vector(y_temp_s); end if; --******************************************************** end if; else y<=x; end if; end process; end Behavioral;
mit