repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo.vhd
15
11841
------------------------------------------------------------------------------- -- $Id: srl_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- SRL_FIFO entity and architecture ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- goran 2001-05-11 First Version -- KC 2001-06-20 Added Addr as an output port, for use as an occupancy -- value -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 2002-04-12 added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; entity SRL_FIFO is generic ( C_DATA_BITS : natural := 8; C_DEPTH : natural := 16; C_XON : boolean := false ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DATA_BITS-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DATA_BITS-1); FIFO_Full : out std_logic; Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) -- Added Addr as a port ); end entity SRL_FIFO; architecture IMP of SRL_FIFO is component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; component LUT4 generic( INIT : bit_vector := X"0000" ); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic); end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP buffer_Full <= '1' when (addr_i = "1111") else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DATA_BITS-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- INT_ADDR_PROCESS:process (addr_i) begin -- process Addr <= addr_i; end process; end architecture IMP;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/compare_vectors_f.vhd
15
10255
------------------------------------------------------------------------------- -- $Id: compare_vectors_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- compare_vectors_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: compare_vectors_f.vhd -- -- Description: Compare vectors Vec1 and Vec2 for equality: Eq <= Vec1 = Vec2 -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- compare_vectors_f.vhd -- -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- FLO 04/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- -- Definition of Generics: -- C_WIDTH -- number of bits to compare -- C_FAMILY -- target FPGA family -- -- Definition of Ports: -- Vec1 -- first standard_logic_vector input -- Vec2 -- second standard_logic_vector input -- Eq -- Vec1 = Vec2------------------------------------------------------------------------------- ----------------------------------------------------------------------------- entity compare_vectors_f is generic ( C_WIDTH : natural; C_FAMILY : string := "nofamily" ); port ( Vec1 : in std_logic_vector(0 to C_WIDTH-1); Vec2 : in std_logic_vector(0 to C_WIDTH-1); Eq : out std_logic ); end entity compare_vectors_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of compare_vectors_f is type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS<2 -- Native LUT not big enough. or 2*C_WIDTH <= NLS; -- Just one LUT -- needed. function lut_val(V1, V2 : std_logic_vector) return std_logic is variable r : std_logic := '1'; begin for i in V1'range loop r := r and bo2sl(V1(i) = V2(i)); end loop; return r; -- Return V1=V2 end; function min(i, j : integer) return integer is begin if i < j then return i; else return j; end if; end; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin --architecture STRUCTURAL_A_GEN: if USE_INFERRED = false generate constant BPL : positive := NLS / 2; -- Bits per LUT is the native lut -- size divided by two. constant NUMLUTS : positive := (C_WIDTH+(BPL-1))/BPL; -- NUMLUTS will be -- greater than or equal to 2 because of how USE_INFERRED -- is declared. signal cyout : std_logic_vector(0 to NUMLUTS); signal lutout: std_logic_vector(0 to NUMLUTS-1); begin cyout(0) <= '1'; PER_LUT_GEN: for i in NUMLUTS - 1 downto 0 generate constant NI : natural := NUMLUTS-1-i; -- Used to place high-order, -- low-index bits at the top of carry chain. constant BTL : positive := min(BPL, C_WIDTH-NI*BPL); -- Number of comparison bit positions at this LUT. (For the LUT at -- the bottom of the carry chain this may be less than BPL.) begin lutout(i) <= lut_val(V1 => Vec1(NI*BPL to NI*BPL+BTL-1), V2 => Vec2(NI*BPL to NI*BPL+BTL-1) ); -- Corres. sections of Vec1 and Vec2 are equal -- MUXCY_I : component MUXCY port map (CI=>cyout(i), DI=> '0', S=>lutout(i), O=>cyout(i+1)); end generate; Eq <= cyout(NUMLUTS); end generate; INFERRED_GEN: if USE_INFERRED = true generate Eq <= '1' when Vec1 = Vec2 else '0'; end generate; end imp;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/wr_dc_as.vhd
19
10866
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SQUyeNX8cyskpzvvW2T3ssUGj6xZX5vHX5fJU9Ms0M+rWpNjMO6za6Zgr1K2FMwHi+buwP0Gw29j IKEYpdzZOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hoBaDPgZL0nmY18FE8yzpnxIEfx7SKisNM4FVo3Ao91EGtVywU0Wb7yA1enrW6Xd+oLWYcrMdoDX JTxy8JdlM3o+jyjU7UKGIkB+vX642Q6fBAuo3SZKPKM/RE7lQknQIOi2Y5V60nbw/AM6mvYDKdTS wiPRLcQIZpvU4dn9GkQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block o9OFQKQt0GaB68TjFqZyGwbFj1TRgCs2FzlOtaWTgxoDRMFT9IEssmRwHo9pwJ5Tn3OigUlzbBbd XTy7vthduMEKESguEgGeFDAlZPJdvm6/cpwtG3omF99Y9vBxA2K/3YI0+jDh2eyUvsHMcDbQ/C2p zFKW1hcipARgm3A9Ys4mkgzXMVKYnvnQiSsmezjrXPsPy8jbFYPXFd6vFSGi/ZwrKMMLLNZt/Boe k/Pl01HBEt/KNoY9VFx6N+e2ufES+vAz0H+DJSGPch6YdjmhkZUj2llujVX2dT6EzXeB2X9+1Sar qYaNJFQdqXN7nDqoQMCiwqUZBJaHNrPJdzAMcw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gMFEdGC+ckR/NJmX/aszkYoB651qUCnYvXxq63Zrpc98jREIyboMJaogrhiyZ1kntx31alD51ug4 ZAed1vud+wZB4IN9oJ1STjbhb+Zj5u4I029j7Gy2lllPl+1O8Em+DnBFlaNak9VTW5oxld5AFJs/ EstFEKIMT8MSbegVIEQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I2MWBDnGcReW7SMjRXdvt63Rjoo/gu+NQcstRp+eRPxV1cdY3BaChhCXefqNXs4HwrSwjy6eXoRH K9pkdKW/MmeSQuCCGBXm3SZnri7VuXOoNwZoR7yYcuzRHYCe4OVzWrXYc7CJVdShI1TzYNVzTc69 N+748OjVGLm080Ri6+7tnRVNASpwPZfo8iBz5hClukZRieQCUQgdHIAZx2RjUyVQaoW7cJ/urtOZ zr2GA2iDsweYcuo/xtEmVehzY9Jjyk+XsH/W+/8SFJEIN/wAiWoW84/gDLItkUU21xaixyhQCl/Y sHoICo/iHc8aTOV1SPHo9yWYmV0UZ8KJqveuUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304) `protect data_block MhKO9nTBY4DQyLfiyh6Gmjp2yFuNmVcmfaXSQPzCk9lijjRXHQs6HlzgZ5BDg06NOGJvZ+mzzAVF hgTkDElhVAu/C/rAZxbbZPt9AH5SojVLtRYwzC7VafKQXQAauH8ofBj6yVshazziBmKp/O7vA1Ic a/mBjvvWX6KFrH5y9DGTrEFhQh9rlJDkYc1Xgz+R5zLhnlLNbQN73W/0F84w86qjTis/ju81YA7T 0sdCGBabX+B4Gsk8JPBw2k8VXKPJo/0PKK01TKvf1XHBg8ZT3yhGhckfcdyWkJC8s+0hKxIIL2Av OgY0T0tZ3e8I4i1uc7XZSPksSvGvNrlErDmZhfcCT0jn4NBUhsoLNe1TZp4pcPdGC8GPL6YxGB1P gwdck2SMqrDG0DBt8ElC9nwav4cA8kqz85Et82hNV7tT824yE5wdyZC6Ujx9LT+/qDVi5lx9sb1j On8C0nMDoUEJj9WI6iBAE0iVkDxHpzoU0duZkNBPyp2MWreNuhScO8bJxutSlHLDGLSaFOkOB5mv F8IaKuIN6BSVGa75eZ4F/Q4yMCsx+eAiv/AzDIfHuM6wo2/hTZElklnyaBd3urtjzeuYDFX5L5Vt CvKZ14/rHt55lWEVIRjNJTylE3AMhgF7+d8vjg0B3RRG87/sRbr+nDipDq9qnBZUtU5DCmzlSMqY m/Oj8lma9JfQr2wpnOz85J+pe+Fy1OzAOMnEI6KM0wF4V6kcwLG+0Q3nbkdxkZpcwFEjICiHC+jg PBqGMMlAbJOkGAn/gUeFiO4ssLFc+XCfi4hJIJ6o09y4pWxcaPNO34BRRExcn6RJHhnxSEwM9gfn HkRu/Ae3EtGJHZuLPyvuucxTD7Jt29OH5B4WETCBkxO+l2dY1Lu6EjZwNrtgKaX8jilcZUhg6FX/ BuMOiGJFqUhIhS+Tpfe81s+v7jH0S4zimmpIHLpH7K7KWRarU8vvrLN86nNEJKL0Ou9ISGlUEwKM SknniXMv2xkPKPzopjUaNi4pLG1zGtXR4RmOlmlbWcEmlFDMMoi+sHaloE4ogwkRmhjyA7tvOzqj bzAfHfDlqqyBaBTC/RrZYGKov5iG64MDMsinLZsOWooLo9DugvgmK92QgFNo/ZCVM0htqmbxN358 ox3p3USFjc1qmVIoHgr5BSD/BHq84cPE7Sr6AeoOi5tohVgUUNeqyZvynr8P39wj1NaNfRtkPrGp fdlF23AyuB66F8cxwTOFipbpwFHxwl/82//fQZOCzobg/ByfshtZGeh6+44g5Ty2M1Ia22KJOh1D U1yjZLNf3TBNABBMONof1Uvt3pKYeBIa9qYj9EdVPkITyNiUKKNryMGN7OH5McmYCVplVg4qWXuv AVjVjPcYsMLW2udoqq2D8Au0GhE18n9QGK7kCpQREgSAhTjabKLHSAdS+wllSZA2asShZ9KcPI1Z pwXoDkRc6Gdj/JK7q3awgf4EIh28wrlXBlKdxvWNRc6I/rbAIomJQ29XjeQWzr/rkH6D4t8oojsT et+KyGTedu4XbMhye73zG5kt0eyB0HcuHWlesJL2xnvjm3dd9Xp6GH7xtc7xXY/x75ZEn/otYgCF jU5OXU5ExXvgCqRv9tlNW8L85wurDNuDN0ysF/EOb8jqjz8fHVg2OYrhCJlIqB3/yTXRLk4CyLW+ 0O7qsrh+bX+V2pdIxAWHKUqWYxrt/9pix18AhpKLhqA5vE6SbR/vYQ/crziG+8fAb4RFbGNyFCcR q5F8XqWoXQxRTDRqIlS3MaG+U0WxoVwMi2cq/voVAv0oPceM78nO786aZ549WO73A9QFL9PQlZ99 BPdlIXd+HUYegCqsJDwEH0M9yN/cs6lwsWkzPFedBo+f4EcodW0nCVJLToPXFWwIXJhcYax8BGcG /7wIym/TRKegUB8Y1dtYypuphAqKQDDNJleDygrImSmE46ByXfukbsrE8yY2eW9NJKwD6IxcBsPP U0UXWKgJtnGiDzdjDGmDectxA1yPXYMCm30lgodM1btHig31OpG4VAj0ZSwwz6sCyRZuuE/248M1 oSbA7JvRnnh1jaNY7WVq4gJu4VagdFMb07veCfaehKKnnnRDDlFf02p8a1hRAQoGZ60mfNofEZK7 6WU3bfeX4bMOxQWdzaeRhgAYpo+UP9ZVSX+3dk3WYeZgtLKO1BxJbYrpDvjyulDJg5tKheHqpEye IvS4SsWZ6uQjt3QT7jOtE4tTHV7LPlQNQ01hXkGRIFViBtM+4rdjpP9puVkHNfA+OGSg4DgrJXwg a2zsRENeFJRqNP7a01/fUh1hJG6oDuvbMgbRBzndu+4YO/njLLOPV9DP8GmWeu4Xn1nJa0F6GmK5 1sO3ksfhjJayKJGT1kxCvvz2CRieD1zHg9FT0y2qQycAvAfe8zNREgIVsNafoe7iw+wil8FLXipj G+F4pfhSzuB2V/DyweY619lOTrJJK+xK4jBM+LgfSl3cjhp5ZUpQM8i8AGxA9D0kAoLzHpnQj5pE WpC29YeRywNAja2HkkxFv3iJ0Y1El+PQ2lT/MRI5z98IkIjJLr4AGQ1CwdGLBNjImsnP2LVtYnvm 1q6nV3rGS27ZiKQuIU0t621b5tMX3AQfgVuAuAZvVLDmNhLImLRPaCMeEG1jpW+KOGGFgIkqdwvw Q3yY5jgvDlhrfvrqxfk7nDTwBR83WbucIJMVduYUh6EMtNeSXmaZ5mf+786XU2ztm9YmtFzJvY/9 57Q4G2kLLllH9qvsJln5LCEBHg63BwetlijIVjSbmu4Lx4agzwsD4VGIp3eK9zd4weglFUJfTFrB 7jryyD+0NW7l40lygI1OznpizZtR4/uDtZobh7lURc/CjEN0kKMuB4wuX5p4+4i5t1c10dC/9Bri PxCMhHwlcWGVgwmrzSAkQkYq+fZgG/GT/XSUKU1n+n7KPBpfuEDQv6cJkhiypsZiOmSqNLu9G23N d30INtUj2OjehBJpszKQMjdrmjx/XBt8YssSESmlIYoxpj4TTGts+pYdLiexuAGHdhdZfnC4AKJ2 1yTMagxyJj2rvC/G/cm6Dk/RQanKQiuXCyKzDKSFZxKIQz+//fJrRakQXBLBaSqSIQHnrbkIBww5 6Ggp/cHd2fgqgBJdFSYhwRyPwxgOmdfLdyVefvTmo5KhAQBawJ03ETLO1CxiY9vCFQXFS8JLeiv5 nZu9yrgq5gK6IsdyTHkrNd5RSP3Ii2lgPdjRbSkuR9CYPXI7LOb20YxLr+eypHB7KrxwVn/XEOUS WDeR9KhCa2uaHinu7BHlNWAEEIluhPlDEqAT/fdMkFpdhl1nGVN1X8cYqKF2UJ7mAsZU6qDJXAeH xbJL9poPrgrR7Z5sPLmT4MM8xrNNVjjP1gqZk5xG2aurXEshvDPL4Z68thWYVOwcXf1CzgT2tnjF lnpsPEiHtGsNlo+NWYLSaWMxPcCGZKmU/EyPUq/0Fi1My7BOQLe6iOsrU758lA6YM29FTg4XvVDG 0sUXU0/2IdiDbO6sDLJmHeCZB/cUbGUZIS4GPbtSBC+kmKblhgbpwuhpoNnKUIK9WDFtMCIqPWoH j6wzEVn7GC5CskhkGj0nhS4xQfK7KL9IB/8UOeDHSUtdXrc68zAuc4rbzrGoI+9w+a+wKjKmi+dw ETe5ZElrSe7w69JtH0lcv4V7zEF5JFtwAjNzP6DlUQ4qS/Ibt5ouPTem9q7FJg4YNyDQm8GdkOWG ikNUrSweMAJqEVeXqdJXMycSOifCaDEoRxtAFTCnQX6AEnbYTsnO+XnzMfaq2f+I/hMiHT+c7v1z rJYEtC35PypLWBPkzhc8xUHFERbp6s7O7DJ2AdPrZR6dVRAXWtyaeoG7PdWL/EdPMlhirlBH/fLh 7VPlDmhY8qs1EhJ+eOiAuvqlQbbRJ0hKeaQqIm5K71+OoQLM4doNAgOyFnWDe5H2FtPfluk6hFYS /ylYOvO8OaNfn8P1idBdU2qsy1sZN56DzKDqNPMuq2BhgkN+a8hkl9tAo7RQuMJqrhdePWoJ35Gp KgfmekpQVekaJ5AZwMmnWbhX7+wSv+kaKT4Iihn7HVrXPIke1XLRs2VlzxqThntvqUJm64KLRBqO 1xLU1F2ycTuj3MqUe/FjFshxiFFEJYfyrgsjLovF1yxY5sK8ZSB56+JnKAKzjn+aOZNOZObBYUOD ca+3b/n/ckZuvUYmAtwzMvjZuRzULdC01P8DQAB0oYgsA3C/QsZlwNxoJz/6vGcVxnduuVijI8Az Kr+ZA0mV9gwDzbRE6rkWVkxY8Z32zXU+zfBk+g6UAsiDmdbVSAal2EC4wv2yZhf58y95QO92LBUj 9gmI3GjaoT7+yd3nzmPqSdq476vCLthO18OhzQURBDTk3ZvX3MLST+3KSZ69PBQ8ow/nIWWNeSVt LV5hB8fQKQuWdCtoGDSZnf/2OH9f4Fuz0Fy632xAd0uuBBnGG0I/8ZOEXmMUxb+hSclBr1Jk+GSm yNTTe3oZil1/Rdqc6YDYlKmQLVqN4+kRs0aR4jrAjxV9WPwppQn2zHO89GpbK8Sjq3YzaR4uXJo6 CBvrzC+9fqnGQV+DQgIULegBv/mi5GlEN1H8wZ1QIK7hwmd8bdVszqr31mGI4qvpJOc2mBRACeaJ +Ogcd3tjqpfTDVkGtc6s7mr2k0xFXYHnUUdBWhDC1sbXu5zMleqyiFkFOwBsNanUln8HOflGjEtX r7POyF42G0T77StbSR9b9MNcIgjGzIogzl4CBFEEhhvjkzmSj58c42ctnJNYBMY+Ah8PQAtV4A/g 2gXWCoUpVzuVO+gC9mXz5ZgUU8k1uDYFOsl6XdoUNng25eI5WJT/V17mA19Xj87gRAQWD1+haGGG gGIvU77oBjW1m29iC1thwsMDTC4tot6HqiiGnchiJHyfpxMd8DSU+DvwH0Ni/nRD32CRKEeRyP5R 0IJDk8EJWcrDKfhiPG/3wlUhqx37jiogXlB8zMO1YwMKetwxtIPU0T9VAGfWDITPXE4b7XuQtp2p iUBP4LAE/Fu/DzEtQbEVTlJg00fwcVYh2SCiPxfZDgoLex2Ez1694/yPNJzemzmf//bW2wsC3fVq ocZolbPN8OloR5RBsdzIhgBP58tLlLQ2onMRJ+ZzpgBuiTC1S6EETFmQsrgo7Huzt653udK5rxnB C5uNqiIY0qAl9dl4eFRMTZnD5azP4w/3YA/Dv0URBk/H6AitY2GdvY+qg24xtYKmJga9VMgTjFb+ BaF5lkWrm77OT/W/mlbdfPIwx/m9bqlhlUBmb1UqbInCzvXmC1UQ4OTsDmoU1g1YxDlgymGSqZT5 bGe8y/Cq0Vtf/4Nvgm/mzi2yf2TyB6vsppfPvF12exP0zPn2fSwk/y0YEaNlsXUDv1Y48cSTsdya m9coTKS162fpWvUQ3QWOxx8b/z48736QUrUXWIkGAuDNqmuNuUx0ezZr8Vp3vAGH2eY85oM59URg 3EbVYk9N6/f6Jm6LLhkBNjWDHnt6qF6A7aP44L9vNioOHIE3/gV3CCyHEoFJTTO2TaG5wWctua1P 9i3IuEzAFO/x41yZRxSjOUXMdhTragGncfxW9tMJW+krLUBdP3U0zFrWq27XEYrVutrKTD9Of7Hc Rs/UvdTlhuLjNUHIktVUWL1GJ2dS+awT81i30HGPr28fNsE/A02cojLaAEqhKgCA2VA9zzurNm3I wQG32R8WXLQGYu6OrGkPSdp9ILiTjR7+GLmEG2qXClehdqbgUCFc6qz0NTUoH0n/0yc5Qm10kA2N 1+fkowKxowEQD6T/nFEK/IQNxlakh3K4QmKCTrwjkH06T4H8C6Y+F+RNiXqgWdiu9qx+LdmiMqVM 50CwWkNCRUaG6RSwM1PdRHidPGZgfgWRCoETZPFdsnyb0TO5A6vEa/qHrcIX1YrGo8aJkXCPx7YW 1lkemfsNkpc1Nfb1bfDw/O20cLeV94SncgRzMLJpLuGvMF2aGzBm2fHpUrAkahBkvOu2rSL07cze URFFhO+0j4KQiSbqSd6bDdd/VxhCEZCOHFrmqu9rA8aA3IXpXiQflxV2s4+lf/4R9W2EtwIg7BKx Z1onaael/I84hyOUg7jFT6Ic8FaoTazf7lDDpJUq0lkrHR8MtK2eIqawZXpjZXP4IRiRQWUIwU3o ptsctoYQhAcijoneI0qLfot+Gq1btl77Dh6w0eiEyTxCTHxVSM/b5UFR2NvAIZkpA8auSlMGmZ8f 7yG5uiIL4WceQu03bld6GxHBGS/SWp8Tkzj6nGfBhEAQzbAw6Y6i2U9lejZfv0cDKnlgw/YLNIVP DsRrdC3bkwbyAzuocS5zesB/L+tvMKu+yrl62lfPlzEJxvyQkl7D51XDTZL4uM1ZGj2Z7fdd4BbI fz/rpnuA5m4zwjAeBnRaSShrwj6dLdLGFGUMXtLQmu3Z4C//L0nzj5wQ9qSx5qKqYIUYjgjiTnJb 2qZayywjbl6Ivknlnx40I2ZZMOFavWx0Kj3PzkBI3SfC7rz5tHDQ9WNJz3rZVNDDFIhQ458+H043 FaP56dFdq21O78JQch8VPr3A4bCKhdWIGQx/8QmsWfo7oP8lx05Uqn+r8HHfecUOgDk/4QLPLB/O 3/n2dqs+gvSgxMI1+/H09AtwEx/M3bV/AKPggKw87t9ItWmCc35GBNkXqbtaC8NiVFo0tQmG8ROZ EkVZKIAWfUB/pZFPyrlffPQR8Uj2W6APtdAOa5iy+r+01YsnYxw66ccMsXqo6C6tCBzWdKFnj9fp 0HVwCPVTLoZY6m+UVw76+aQdZW0DfvfuP4DBbwGq6Eu4IQcHq8/NTztYQ4GvWrCuHrTbSWoUZQDI yuqHRdm6sPUiEXgyQeln+PlMUQ0/yPjch1LhkWl63nDjQdQKY+0+vZI7CM+py7APMTRHDrQWiT6E UeQ6WFmMGUxM6Frp7KDWfNUsClaOj/bZR0sRgpP8huDyqh8WjIwqR5JvapUfiVR9OmbBhIw3igT7 fLQGtoTkMxtApgR8jv4ovcblerzIBiL6ciDXCG5S8IhAVvu1vlq0QK2W473DjWbVGbR/g1JV+qRL 8NvWZ/0yuAzF6QMHGfYABQyyTRr4DYc7BqRuQAQR7L8k20NV3RKPIQAorBVySc0zIs8wxAscBoQU 5SgjnT0VpJVVfUxXvfQ/nrBLn7VW8/7vqx2w2v3jVlCZqd515lzoivPS/11kVMBvCDj1XeVWAQvY V9fl1r06pbotWyS+NGMPPprihf10cYii6kWnpLqpMrf65zYyXd8n33lArPKuny3A92Ymz7NiN2dO AAe/x3uc1tAqJUZ31zWNc2O75HBvwLOx3rIDLZJtQowM4gZlzFIK6alEbGqO30wG0eiKTemy6VLk n477b4LX34STK2QFL9nxp80hMjsEWAVD4x04298Giq/E0q7ALgV6lln/FIgUsTNdtnFjcuAXi0H7 01j5qnIesoXY2z7GhhDvQZgNjcS1m01NF2LCuP0+uf4rjdo1JSNcNRzP7VGWVFJuSfQ8SwxQJA/7 VyJprwM0FdGbiF/BAeqCLq4j1zjZNLLPgc5jMsK1+Se6MfaC4+CtwlxxKMr+np2aTwKCSk3jrNAQ PQGyGsXeti5p1P9iIZR6ST1E7cBWdQYxgaTVv9a34EAirAVMJa5XP4uOOUUqguAnI9rNrSkl1ysE lCLtXAckkxrv2ixe2/koAqwNDz+LkvMyTNXK89xNpWug/7sFEZcoqkSJqLJZe9Z9M7HcWF9hjmxX qp6gnU5gNNQW7la0YsaBm21Be7vUYAn63tXHuZj5aeSAkGsGo5qa7hACcmrNUR7q6yeTx5oA/SbG 6rQ3/HR577GmxMiHAoK5OR43u+aPNwFR3tU7yW6GCuCKqLGWMeguCXhjm2yhOa7B3fIxQ5qO1TKZ QR1clor4+Tw7XB3t1/X2EioJhC0Ks8s3ki5kQ6JGulWAVeZLPXdxSlqj4rkMY2c3wlqCK6Z5V5Ub Y5QI4Tqpq/P//+zWC8ivpWK3WkvHX0/6b98Za2oiMV28N0Fqy+Ae/TjJDWMOZiPynsSBFBu7htfD twMAGWHWQRNiut8Ina9hPe4tJgPRIaYIsq42Opz/+IARkzluvKvb61ybf1sUuN89YHUp5X9Atnot pg0OlAgBSIGTmauzjIgq1U+yC1LF90ZQyXSAyjoBjInbB7IXuTZ+eeo6Ru0OE/dOiaGVujK7Dqu1 kI2OeWZvysGikwXHZsv0Qs6wYAzf6SKIN03xuDe2MnlhiVxoRRz+gRAhytPemUJXTt+YA5AToPNI kGI7rIJQknB/BLtloKV7RIVpU3fyn0P0mtR2cOGHngyWKB0D//hw2S09OYaJM8qeqon7Fsjm7iOK 5kL5aCDynCjIiALGJauPDCTwWZZnl/mUpsCJa9s8/NIm3A== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/common/wr_pf_as.vhd
19
27402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2 OL7PFCCTiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII YTwtWH6VQAWKrWw0gQI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm 9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+ Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt +JQKH1y1F/+RNrZ1eYU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv 5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block YipYQho3Y0zdOvNcJ1BUuYyd5pc0Rh/syRh+gLy6hm+lyA54M5wSQ2hPfD8TI9EKi9VNSHv+4JyP tJjp0+P50R7zZOuQqstMvoegiySWsl+FuL5rMO0HmeaDdAlO869bnln4ebhY3LgErTtdz79H2Tjw 8Y+r0jP4ZeQfbBolBNj8K4avqBB6MJpeTgp6c53IZBHu70hP7EAzr9EL6SvxFTIoOzX3u0JAgtBu 7nxR7lavgwwo3EcpUzAj4mH3uoHpnLbLpREI8QpNoCrST0nHzoIeGv2oTeFFzS8gG853y1QLdrix lf0j1pCZ2imma66UmxY7Hsi62ZtasofXZqumk0nhKeHtRugZScgbhWfKqk7Aqvrn7+7yba+mDY0h 8x5R9rAUnJh4He0UpHMPCDdTqv6QMDGzsQ0I1WBNhXVpVftUtptlNJSQHF/AsQI0dufguRoPw3mj Ai/mkVlLS1T2OTFbyw6Lc+8h92jbacosHKGsC7wC4vnxmuNnBOaYTs8yO5IzxgPz28lcYBiBMADm DJGqcrrXGhbt4opJQoo1JXSCYL/PMfPWqxcQt2XqxBvLiHTo/pi/lql9bhYQ6xuatBmkVWlrTdlR BYGZCatUQc+uQqfJx+kRUTLB2dR+472N+ZrKxRYX4tGZyTU7jKXgk4FWyGsnlmNpF6ew+1Z3ko1R ZuGl4+dPZrBoyABi1NUya1QT1uz7uDyCS8ijzKv185MPXjXOqrmTt/1sDmHPSpVI4G0YZugEPkGX s+ku/uOBEAurExJOuWFW/J0AmR3gbnx48RTJt/K0p0wk/XcF2vUzhSbut/a6RcQ9Ex6nVTYcBGrE FJ7op4v2ZUnKXv+D+Cx7HkjHcvpzO7VHPn93zFaBzdErm+2EFrG7KaFjLo8rC6EJEzFH+iudzjJF pRk3WKOjYFPxXbkk3YT1OJIZWUKXGS7SVIKtjK8eY2e3Gc+uHCEs7x7yOGjAqXppk8sF9oYywMZA D7VrQifsv6DQpnHT2cXEi9YMlo1XXtHOZXRwqvioW4M8F9lTWAwDjeetv8HV5XJX58XpN/Ls8u4d dOxyce8aAAncZCceLCCfpTnGaEah2RllP/H+43vNi4OO7lVy/QFsCOPwcCpcAUdG8hc2C9m01XDu 1Kr0Qi2FqO1/mLavIYuk6nPCj7VfUqmg7K+cPcflRvL8JJqFUt4l46MShBHjbSt49d/RsmtJxHsQ MPmBDpRRKwbnsu1YyGzu/Gxck24RFWcGYm0D3K/t495YQLrcPwOpzuAT3A3POKhw5q4fh4ov1XLT 04GQHHB8ZPrXHD2xbreo5FYp54V0QUzAgxnp6JPPNphxaiXlZwfc1tER/znJ6m7jMDyJBKHxieAA i39OjmIQ1IxTysJjch7DoPUn2fghM8pfMcVc64u7eC6umqCkn83tk782L0wI1S+/55N0P1wBsaxl yR1Sa8mbi4RM2zku/6iqSiZVCj96uER6Rv5JqE9xKevXGACOkV1ShHKJLFjHmuge/gNj3Ur8aGn/ 4Kr30cfo2cnYTEPOJMCgBVqAVKzPRs/hPD7H+MrHDPw2n94bU9k2y4hmX0yP06HPZ6U4tAd45BCu plrTbh5lWrxMxoPbSgclb9IVTath03jcwzlquPed3H0naZ5HaywBrl7uA5eZj0V7yiVGtR7gQY9O WXNQR9+jZIo0nMzNXmKoPHFH8OA064xAanN5i+smasVuRINJziSU5c9y4QeAsFVivqD0yF6aZxOI Y3pmTxfvCAznzK3lD8Xx0aDzYOLrk/1c075I2til4x4Vxfrcw17/5d74ifSRmlpOystpgl6HB31z dNNSIUkInsYnhOFT0HL/74/T0okFIkO6RTz7W9eVIixTnn1hvCAd4GAU4xx9zKOYT5YDvIC+XPhD 4iLLiKmqwsm+ga+QqLOl0PdSKzz8NntBuB/64g0K6FgwAUm4v4b1IJSuIUwDluB7pfQnfwzod+f+ cywMMbKWIommxQEQg0wHHC4ZJGmXIHmaq5F0VG2XCiI/iok4H33QPQbsOIF9r4107RC/8AmjwSek FstqPwcnlboDbXPBSGzq4oP0oiUdQesaWyT3GT91q8PBhnSr5NDbGmh7SM3/8MC9hDm7sN0oL/+5 JoytF91n/bs2NpEae7TT5qNkLi6P/XPzZ9tK9aNKXPhMjifEVQupsdgB5X/44rbwd1RZeKnLSeZ/ RY17yREpwDwFX+Cc+V9Mqlf5dZJ52dUSAtT6enchAR9Z6ylkfJt6lCgaB3synvNTaGsQxlVaYm5e wpHYKWtBMOtL3jgirmgvEP8GleBIvcbMXuZaPaC7lOPBAuCfhscA3cwVKMJSwICP7CGyhZxgGFR6 dg12sBIq2xSs2ksndqV+Buemym4/2jACGk8vo08bbftvkDp4+S8FokbzNHtLdsEGCKDziwZzCjS0 BM1tcWXsXu6LSjRiX33jrriSGFq85OwL/2raCfw2Qzrpbcd08L8nnxt/JeeAZseHaSLPVSPjptH5 YCmuLNPhh3EQWEFN/Fir1IhpQILh3Y0lOTdpZIUQbv8oSaOiNY0j/SHJ45aA7l1Ws3Oog2gZwuoE JKlOP62NQfFjBHQaeahI3X8iCognBz0bIqrpXkbzJzNF9J/a2WHVTa7QxBkkD8tVMK3wZxKFP1Lu +F0nH931uEhLPRDhueE6Ii9tSfDz1VNLD7K2YqHKZwIzUfOdkg37QGIKIsrr/ICiv2iA3QcESnFV P9DGTUhtWjNywJr9Z8elIAWAMBhV5Lp6PG9ludqO1FqWRD2VEfQT5nscDgCYsxWEGn0OoN2B1p5X UWadDtZccblFFjrLSYhnIK5sErjILBrRfvW5b/aZw7JhPAZYzBgDRinclFi9sqo/eIV95BajtAU8 LsHxp2Rp5hGBZSgS1FyZcMllJ5NLKOeCkASK8NBTpXJW3vEjS4TGlaEcD7McM63khOIGUBbvcjFS QdWG+gJNVRJDwh9To4T1SExO+K9jtz6KGJexE4TMGlQghxzOTqxyReVYq9DYrn3dA9rAt4i8Q/VO wDqJ+AuU8QVQTUOm/p6zAAuRT5zErhxXC74NvBFEh29VKuaYCjp4at0r849HsHoZVGBc0CEzL5Ve WROLmJ2i/9cdveg7YbZIehSGG65w0ffu9clBVsGTEPp4bNDN8HfvkPpxN5rl9Z2rHaA8TA6fVgk7 mZEzLfjw+IbmM9X2/qWk5wqjH4TTSzt8vG80CAKZmZlL4dDvGPEZNFELLqSxoLa/e+xFeA8SJxg/ vyc/Kq+fDm9Ehz83SaOFpm52Z++plU+hkMmhDESEVru+QGQHq06ILXgvikFjkBNgic9saD3Kn/Ib ttFH6MVhzhlGBD1QG2TLcPdmPF0KvKP4oeH71nR1W+DiFhZye69ZbQtUeBYDD4YYb5bUYpgMGHd/ qptHs2z8cFRFHhvmt4znDt1ucSJ3BQnqV9S/ZyQSlIe1Uen4tIheZosSf1Wu8VzutkNsDv0Kwuhk JdWIL/l5OGqzNYzEaVWXOb+TfSSJa++WpXP8LeIfN5JfTIrG6CHBvB5+Y25JsnJUnbFrDTOI+Xy2 ycp/6GsKuYCbVQeAuhytmVv9PWcqWmDhPmni5/A562hFHpn8+Z07h0gPF6IiKFrskQhyn4CJqjjX Kjtb1k/ukrlSSmXRpRho0MM/A3vZW64EbZVgcynzZ9e5glxmX5HfhvkZmjc+1TImw5GXeevqhfRq z+vXJ3vn/oOHJT+ioSxnChy4k0TBqjkyf8Cj2UGYoZvAeDPii2zAqrOF9cYxoq2B43et5Kirdz/w WiBUya5OMfRR1FjtQcWX+OqTDFPTfl9dnfuvU9ZS6m/Limv3i6QGVnoWAzwZF2iT2ohf6zpAAgSl x0req4Yaq0ScpfO6Up8fqONgMJSbwW/599RDXn7rbTxyxtWG8nvz1QJoV+Pcz8iWRDm8l6VJ0/5E 1phrQNhEe7UZ/Y9QFCldGcQ3nGXlO8pKOx1yjCKkcLgkIO6ArdvqXHSffljEvp/qpJ5F6l/QAo97 gHYfFCEJUwA1A+C2yaByPjocORNAVndoY8rAqa8700AFgq+PnQ2Jg2uGJ8dGIXe0SipF79CyAEty DLHDDflfIwv00PLJ9b/+ERP6n2CE94ngO5Mm23NviwiU6PSFnQuhwtl603M2UFSW37outksK1wfp CvjJYTw1f+t5llqv6hB7YclYqyLZlXjWw2Ac+dHwpKLjpoLSIGOmb0vUMbnBjsWLKrQfau0dip5S qoOqIcn6CEZvFjQwBnwJD61GHe5wg4D+2y23pI82MesNw/9AITDd4kwt+ecU2ozSHduweu3C4i7s RhiuAmgUtgcH/KRr9YKU8nAWrnj3+4sLkV9qLJPOx89vE29z5R7JTZ9zm3ECQkHOgd71CgUGGF3Q FHvBbuT27PqeA+sRqReT9ZZ6Um7DBbZ8sLxqZ8G31ULNtYQMjsHI/ROh7eoaB2L0I5ao5BVVeN5/ Ag2vNSz/N+uW7iTst4KmC/32vGAP5T2aN56iP4URB0e+nmNc83C6oDfL3C6dt2v/Q4U+k4FMSPDx SW422tlsmzQlayjN5AY8C0u2V7uWLcKoNGmDSyAAmiPnkcX/BS808aipnMq6lovQYruuqyRM4Wp8 5nBCkMYruEZnrV9SKVmxHyribcLm3EMIEiFqpHgFkXh9f2fPDeLxTD+x96XOD0DZbRIqxkksKmpq aPaHG/CHcWyUqIrCAqJRDMTALdlM5VeU+MLuGfkNaI8uLU9wt1m2LWrZrYDiLp+eByEpKSKdY/nD T9IVqmcXyrV5jQ62ebf2aWTDHdw/DAEu2JvwNDoc2K+j57FIN49lwZ4m5Oz35UYDIN++jdZ9EqSW zJa/JNRbK4Zv6PESVe7u5jMOcrfyVBU7+OSLT2sRuBQkxgg/6wCdXnz0XA5xK7OQdRwmLTXz3sDk +xhI1uybi8G2tI+StNsVH6BMwvBVfnCoOVO44ElB50VCS/jfTS/iNr8Jm7KK4oQNPF9Tcy0mTCXW 8IsnBWfOMNGPS2QO+YjnwN8DMLCeZ3wjdVBwL7e7elkDhBgbrjbqWckCd/BoLYMGeOvU7zpLqnPO 46fG4nmZBXZYh/l6h9oZxl2zX3qbxspRs9shbPd+ftS0oH0XoCCCLIzlwLRSU/Q3fcWgtSH3U1CS CtYl1ee+W2Q/rXYlX9wc9A5Go9DjqVfuZNQgOZkRnbqWHsbA6diR4gOQVP5b0BlJWjuTCXu7Jw4F 6XZzykRuF6Rf8o7uDTQ4uqqZuRtO8zrDfRYAevZhaYlPu3PR1jFI71XIwigUeD5h88t5Zjbspx4y A6Ow1E7S0/PBMVJ/r1a1OvdHvP5KTMktJ/bDcV9dWo+LwbOkXzsynSCq8kwf6zjtQHpDdqYoZwzE 9i5zGdlyKD4FXGNoDGv0dcxm89tK/1swQok8fi7LHF40o4YGq9xyY3YeqTM2bbkSJt444dEulrKM x5ozW/OcIUrwrA6OgpBOUfxhIe6S2m1w42Ik72WJ6qrsbympIsDvE0jEpEUHMNBuiXITnK/OqnLl ERUjK6+U5PaGdaqh0bjFmNZiX1cocH3X79LjecSIn13DbU5rqiAlZhJSloLwDVLZPIsvRvbZIXSn ZXjYgbTrZLV8SVg4JvjGWlMAvK/YfmXW40JP3JHuBEyYbXu36jRRC7AhB1usF3HeCw0Rw+IqDs7u jOSX9Y9pDJbcYiolRK+xDCRweRonRhLVEnZrFYN4coPY/1K3mnunq7V20R1W4vBshiZQKyKEDRqA jnOvCxOQyRAnS9gBekEfsVCgeGBOzs3HT3sFNrj+UeTLWFhUS0bBxlyZ00LQvTcILczp+u7hpGHa 3VrdZ0orU4I+ZKCsnLFr3Vg4AH126akAOBGv+xll6za/PLn7mm3xyrBhVsnxI8KLtF+H1tO4n7A9 JgNzaR3D/CYOiaKUlMKRXH3uQTDnGImv4u4++9b/HTCKU1yWsrY9k7PjDZ49X0WSp/Hr+CDvMwOn 7SibYGnk6tQadL5QV5EiR5+jTRDH7Mc3peXhvN3vn2rBepuzIQ5NBagyDRuG+a+f3el9mDiuJpF5 uS9RBCBe0IXnOUsTEoI4e/s3j40/0qxjHtQ4kelRJfbQkmD/951U/5Q3SJfLkpP5hApV2FtPEB7e rurY69DDdPL+rZn+AwP1dd/+XCLvNIlhgKB1eYuAuJx/VekvNsaJH0JK5zesa32NHadoSpbQWJAI aHCLtpBIzYe2lIcGKTJcaw77E/+28wHS1RILm8HZDrcUdfIuAbm6Cs/v98xmOabJmaXH5aaSicJf 4smewmO08lGFa717H6U+DZg4hYskK5HJ/ynjnHbxjdb8NNwYrdrSxG5mgxhj+RjBhXq1vy2wM1iR 0mfM3+xU6demY4eEwLlJQoAx5L6ajpE7rePMD1wfaB+NmwQBBQnGMnHzXL16dtSR6QeYhik57DLP c0A+DJU9JD0JahlI1yMbT+YHtIPM2f/zMsyhwS+p+PGndmkMlBHlovFTT7qhk3gMPgckHsZm7sxt SgrntlAa1YBY/RuKOzxNFpSJkdra4ALTbbmuIYpQGrAYYWcUX9vQhbH9I64sjK1bT/24SXOslhSI WnLlaQcS+/BlOAlG3+0eKiekfQP83benhoAXkvtt4u0ujfR/4mZyf70AMRrNJApmopnrnr+i6lQF F9/RMDDheQRWhqSDNls52cY1sfogP+B3/issnBcKWVhhAT2aeRRz/spZm2DhTBWtNkynwLGmpGZH bs3aAMOzYP1/vhUPPBL9osrq3jKOxAWegoiDySCY+NKocJPYiqW+/GtlUHeqxqe6wo2OTmsKn9eW qYPzE1LbdjZ9JSXR4Y0K3OkrlLKo0NjoF4yHocYqxRAoLGB7UKj/cbfmVftPn4hrk0WrFaJWI50J Lm39AC0eEhR2FQ2z/j2sz+xr2ng5Vv2IIpE+wwR3AQ7JJqOF2nUEjHl6f5a+agTPMiaAMMvYCWkr kd6pYWZJW9wBUeo3nr8y4fo0H/BCL9d5VMdyAvLbsSmxxf6nZ8J7mfCptDr63UgalnhDk+CwUeR0 muyPAaO+YcRHYc+mKgORiX3QMIirUwXLaLxv3DM9bzMbGxUBQQVcpY3fRX5ydWW9q9rGG5+Um6zX 8mrX1WMohPEMRCHuXM9yHkwXqj1b7/LtyOX96mIBiVDer/A6GlTxaqhoOXQ+nGgHHnie+EoZ5Ecb mIgO8XN7GfIglgM4E2MT36uux/niXiBordvYI17dlDfdxBvqlD9A0SXpVCLp4HFa4c4H1y1cwRpr jdP0Rwgaxvf7CAW0HLKfDo9dPHhYrgMg9tVylo/VB8HbgulDdJVDgc3u1UMg1pKE+tBNXspfyFQd jgexuVLrhR08HxG75rcCquMd34GQjHt3TY7JsAm0ag96njZywis5EjB8+dSjyundUSLYXN2mHq6E /942tRLCef/2f+TPnhKec3mFk5ET8zbs1r8fxtXVx0ZPQxoePPeNjU9+eNNU/Z2ChW71W9bggZvk KtS0J6zJm9EGTmFyrDMWpkiwNToxd2Kdt/+OoOSnA2S5yWqm+tIi674KCVl+BQ3ccnBZm7vhjZ29 HHLKB4fuJqxQrTZwRPr9pDg1+Zm7++Sg3t/RKctOedsNroYoA+BgCR+POYWZfP8q8xqeWKhINbYD KAUmuguM1KWwEoQ49/5fbjKS0y+78/8k2kNoK1D2PPSNEQUcnlKgEwFVPcSl05OUwPK65xZ85ieT WkhNbWeERbrbiIM8AYcVu+moIf4WmntGzSEcRRNeewFKwwYSit+ukAo9G3KYSb43G6e+EKsNZHE2 dJxUY+a7XHuuMeydeOc1XecjqgtL32HFxRjoqqwn3FZoad16084Tl38I4WS80R6o6wxeanprtMTh 2VC97EX72m0nIP7KPgFIYe1wC9vDZ+n/0oZ9fozNHEH+mvnzcVd/J4uuA4i2BSIl6F0uE4+NAtHN yEV/ExKfz4kvQW24sM2GDpTNwOYdWr5kE+09vt/CRfn9XXT3Hxym712+m0nt3JGmg5iFtwlBXJy5 rWxx68la4QRb4vtOdBrmo9vp2+ehLFc1J5EyWUg5GP9TPE24cZfv210TcIubmf3ei6MOVLezdqCD XoG90uIeX/uyAsvrryo2quIUIF0AbGr8Xil0yEFsZVJQAmealnY/WFrVUzt37dGG22ppBgJjZLAX xCJMwOPQ1ZEI+oO+AJjmBatm6VaUfNXTrJb6l3oOtt+uMvxzuut1YMfCQ1CGPbw1zB48jzqFwubI ijFwPjbFmOSfG6twuyNjKAAmb+F0J1BubspzyRtSXJPe9tQI/15rKL84g4EI049SVIX9a903S1e1 CyHZzk19CiNdU5yewP9ITvGSWlCRCSICqKIQxep78hPJluoTAOh79PDnafj+h+S5E7ch8Yi81S8k mNyNPEWyix8FLZ5+0KL2xP6p7qIhNQEK7nvpsHhhWwakkJ4hWdkGDp601PR9yfiuI2ZootGmGAwV pwivgNrjN6DuQghdNh2ME/zeWQ+VV4XCVrpa9JVsKPJlWviBCb6wONcO4z7AjCima0Kp0b333Iq8 OrWxqHTzrPl+14kKD4VG7nNyTb63zGyL2QOUijP9k4Gv8pHw7PkHNeS5KIf1oDjTK6vgMTJPatEs GGvcxGJh8iAa+c3S5GMtAy2UJYfKL7m5XUUTv728ovLh/5L9twFx03VIJKYIRAWMKzqy9V11k5O/ waHMTwb/gIhwWHlfXh5G/QLhVwU8nkqlQUuPckPO6Garees7vzJKKV7KOZCwKtml/G9UYosKYnC0 EFYkoizaYVJ9yF1QYAjERDGuvTZ/aV/ZN7fOzbId6Xhap8HoxARa//2BvXzVIMsjTIbCO+HoU/gb NoDU0LkAcnj3ONDODg239kZIWFig3kQ+XAH3YinwlkB4pshsYWxkwQinWbuw8qItT07ZtEIv1aKw OKCeL+S+brh2iZcgi6BFgHhr8i6PZd75SWHiQZrad0GVMnNPPxWOPkrhqX8qRRwL4hUiawESCUNv oEDOtS1118RupGmlrkX3gC2BdoSgKJfKKZlOjJ5jakU4gVeCgTBbvbdsXLpD28HDO9vc6BxdMFFK gi4nmM595tTuGfFmhuiHPjqIwJJsyVGVotcBbbz9f0PgNMY2kDMYBBOhaP3/JXfIbnexYB1mEROe FhqHViCTGEM2A2dss3PtLVhPj/YO0iuBf0Y8bvFoZYMLyZDr+ODLnOfegar6dfoy3I7WT7OIUDEs spu+oVGb+hbgXHd45+GsNaD2zqI/BJeiNM6j2AnX27e+ckBHZDRpFCLOqThVNQzg97oF3n3AXM71 g5KjzjRUIhAHML+GuGwaZpbElbScV7CpgI6TFi60126MkPTE9nbfFvkA/eRMdIy7wE0SaHRnhV/b nU+kt5hxJQY63WEYGNH6lRW4gdWRP7f76WmzbkZODc54T9uwhjNkzOAtPid3LIM+5hFkTkxZUwwD bUWcHtB3N/y5i8QC1V7NBBMTQ640SamAdnlGODhLxAQ1DsfQ0abkpHKITVa6IgG6Ge/V3oCJ1KKt epiCyt1WD7Lh1SQUVRFwW39iCy52Jp3CtJ6wWBp/R9+aepfl3zXkXaJ3MKmdHi34aCtAB+EPX+MO /mTzg4yBDFrokwGrk7c4GRMDrPLWIWdZX9Hh+IOQnIB8aVP/5yl/NQSxpr+cO42/Uf6ybZY4hjer f2j9JhvvTIGD+NjNf5q203zkrLEeWiNuu0ku8mmLE3cpo89M5UM/LncGwuJc3TLz7xynpkBX6Z9B EUM7sOBbFKjwwNdp4vqeYcDxEzVUNXC593b3YzW50QuEpKkuy/cnMxSPHVSe04zq61NVTrvBYPYs YYXHTU42zzpS2sfbYUYAQSIC56Y0KDj0zhkE1kMettVIQKfuuSOFNubwju2dIBDm9I3WItPibNGG hDqiB33qmLLOpjMZzgcHQruZ6lja6Y04t+Un2YhmQiF0zInlCT+wcVSWADby1D5vfvLbEXdo0jYT YxPrYZpNgATsqUh0vf2loxOBY73ZgM04Tz8WCQyj6kvWQ23JFatHb3mztE5WY/COIfjZzCM69Gt8 BiL1J5741DYKDGNmaOHlFxM701VHFKabHZbj3S2DgOBUwawxFTb4h8HwjcNOPzi861eRaGARB+l4 w82bxOgdroOpDrxi4h1obQl91d5YW4mig8Rc0Qoqg4ZJ8zjnWbiwrV1VX+gn+KezmbKSrFfr0m3z JJSepO13ElK7SQybWbGkajnNfud7OL144mXlFtDnxFTb7iU6AUQBPMD3hgE2FrHmANw92+i7aiN7 sWb/N7uz+Oqah6RfzNfSitWcMuliNX0PQVW3wSYs45Dy0/osJOoFt9HvXFKfCe60UerkeREnWUZz vCnLFQcnrL6wNJPqZFP7o5UE4vTBWwbhhRQV0W2BjnSTOZyFqE1gW6J4umb6MSeztJP/2YkbJ/11 2nUtuOLOSNlgYImZB+lKSaDEAlTHHmRly1MeXO/3DEGHmYL6lxs0Jek6tm+AmHjeaFGm632pmW3q if1PNt1BM6AIom5lJjMk2MePyU5NKeSuQ9nbmTN/yzRZ17V4GSTt17MLIMU0UldUHNfdiwr5vsP/ Ye7Oc6uAwkeoMBZZ/9jbDOU93/vtLFii1n8mSkxW+iPRjih6ueoRra0NPv8Dm1U4nq8Nsq/iTkgm zXuEdvHJMyX/2UUCNSSEh4sYksJKy7KhDb3F8fkC/p6gq4Qgo6oG8v/Gl3q4c1vW5B/Yn8ywyz1L bLfItrsqSOm8sW23ndaYKcVALa9VGfjn1S0zY2/l7FViEwWeQBVAGgbNP/lsY1I7vTeEIleulNWu 6LFZ939XPgmq6ivVj8SCk55F3jNVCUv/tTklPSyrKWn7KRO4d7cXb907fWbLvbEFA0H7UON4TI5G 1h4aJU2+DYcOKPYQ2sgtYrz2FrD7h0uRnOKJirpozm9qwa3577/qYHN4e8QSNnrShGSK31jMp05J unQ5s3won28pWtCsIOVPE5iyJN9fsP4zM/gjMa+WdOBKPBdbOVkssjop1e2doJZ8BBqAJgew2tkk 2vIxeS8P/oOLclWfwr9mReCehXqRRzlBitRyppevy/J0VPYGCGbFmaDJjJCqmvSFpp3OJpQr+lqy bJZ2BizaoTRSOEKnEMvnSNQ9+YGdRuTKxj158ys8knAGmMhHaELddFlQvLXTiaCLiDNmIhPav16y Hai+J0pLSMGEbgkmspYlZysRASoq1JVvg8vvYbbzIuQVALPRtYXjNVKlJ7zX+hLJXsvy0e8nsq3U YAHLaYJlvQaaMzyOxtrfc+LWfvFlntwCVEa/Oz+O+zGWchh32d1RtTMrS+1/s3reMWfgqX3ejKsx 5swl+uyHn/3MkQWvk4W075gHIJ97DR9MJSK492eK3qegKUiCGDsEOGyWqGF3D/NefB0SSrRZ65UL OrtRt8pB74+3bXbYPwi5mWs6GyYXp/nb0X2AJAsbKnq3VzeBFH49m66MWz+VMtA6e/GLp9lDLHVt O4mTyjCQSOyD4JEbhRQwX1gP4zjmepvBa+ZNvW+GRWiY09pWYaXZuV4qBBm3YCyK/ghGc75BUA6A 3NppoRCVeB3aIS3scdBrmd9P35jrTf3rFS+p5hbGgiQqeim5hi2s5n7SI+cYMvi3uovO7sVFnBku 17FWI2VohmtAZ/WD0oRm0W++j5JdfS6kUEIQMPC1gkxb1WnN330avi+f1VLDdW7m0whI5PcuAYcj GNaF3cZoOpoRWbg25dbmYEb7cIbTfoJhpFppZVmD30DoRchMbB9bXtqUqOarN1hjs32DUDyeNIqO Mn7Nh+fOFd5o/EMFF5S+pny23o/DBtShehL1ceuE0CCLGrxEtg2imPr0qEHtJCgdOrmnPIsl8IEh 35ZtvY2Tph3WdWC8oTzJhkCWcb09fN5CKH5ZyahzoeUtFm+hhXp/+yciZGAUZwzydrPebx69bO5Q AMbSCWH0u2Fai87FDU32ehgXOGd/gTt5s5kr1zawG1FEXnq4XYwnaOVSrpNurjd3Sonbf4VQLJ8D uexXRrvcCkKTgAht3s8W7g9LFdGIkYAS84y4yGdvky6LdBlosuiSTmhV4MNgXtHHrjcGUKiaSvWC 2Wp10R41OUCdzKxjTrIrmWSuPmSCtxZAr9oddmNLv/FCDTObUyegJeuxS41tt104oua4kFTW/hL+ A01iFVnCKNIUNaYL9mNv49fa0l5j9F/p8nU3npFDUbdMNpMQSUkk2p7ZAosaDTsW+gu4JvUoPBFT yRCR8vQeZyRj+STLodZFuDH5o/fLYV6g6WKOu7lsA51cdzEciZvd9Lb1bwJiWeKLoqh6JgMIJenK rMGC8PDTlue2/2MNGUnAymVXQAORFsivMfkrF8iFMxvOpcw/KYQBFY3tei4jXUbeaRLwPscUDuH2 IwWd5mAV3wcID1cDTcAv1YSfea2FRbOu183DjKndB9kkVt6byGjMIVoo/X8ZZHc3Bh2Wm7UhTy1u FUw5YFNoAZQmVhKo5ZZybpYayT4Em2CIOxQdDkb7I9p4gxuRUjZVRdXCHEsr+JU/qqj26cq+zozO Ua0JsHkGSHXsM64SfuhxdaEwPEzURkrw4s7ZWnFvQ3b23wBb16nfXkrG8jP8iE7upQ+b6pxGlb+B mNaVaFODkctr4rNLEs0FLfrNtxRaoth95rGzpM4hNwoX3/ZJEKBKLkIW0r5/Ijj+5b5IubGUPqjd k1GGJm2+xpBVdR3bSsBKZ4DLAOKeMu2VKKmmP7ORZon4JI+L5Tn+GevJt+CKjSat2rus07SZbcc2 jqUGHgTAcO94Klc8uUCwMHHB6n2bj4UT/MaF3rhWNCExEp+qr3opgfpyM55I9ED7/i1GY0EYVPgJ Ap9oVV3rwH+o2+yrOcWZfc6GMoq74aF8JslIULVM+2qf0DojoFJKW5SqLw5yoPTr0KUVuqABdGFx Yspu1tIUcfFbJyrPA0RRzu1QLpuvI42psuWBJeFkA0GQHIVORFPWstxsTcalya8cdPdU3v+iltpl v/SMP3H1Vl4YrgPZn3OhfmyZjF3v8N2HxNujIC/OsWOVeUfdSAPJH9x/U4MdpygcA5KmezYvKeRP xB/giq5JBQg5H5Ujf76lM39+h2PeUb4iwtaS9UEeHBuM05PL9aPFhCKe4D1dHolZgEh6eSa7TRUA 4DoHLQ7olIsBirWz69ep5WkIVSONjIEPswmvovc2mn3NiYnkfU3Gkog5J6v5OvMWQNJFuGbXogGn 9HHiaLgh9hUbczpmozH/nKUbPVwDpw0NYtIb5ADETd/GSdx9QZ7O3P5OC5Pqirmsu4+sPKYqdod+ GbIZVOyC+HUl6WoGFA6itaX6brdi8kfvMs5uZLboDf5tM6b8qTq+zESQsrpKaEw7Nd7n5RQQpKDp yE7Bg6RYXcygwmu6+4V5AaPLvwL7uODKb23D4tGXjFwpp9zaTz+89l+snEQbdFU3ohmw/yyz/EMu 5mRqE+mXyOQBSdAe9r0XlsCOgqc61AKfH9xk3WPhUaGBeG/OWg0AXtjsSdDXyNUw6TlMstvMfsjI toVFU8MPbotZt3OeZDFvS6allPu3Nm2/VcCpo95lpf9loVBH+tWvzeiRTN2wASYI7/aUJb5DROli gtEtTz2Lr674nCqQVV6GytTtsYXqtDoakYFEKbtRjtxUM0DpCaEYvaLOivvnqbFqfmW3eoHUuvjj ci0+TV0fjtWJwYpq+gDoxj7YK1hYXQg5bfSLYbd8128+6gS5z5P4ZPCp9ht0dsXKZ8TA76qRuPhC xrprLRJpaN5HCmUvvh9uriWmR5sKGDOmrBIk7EjjbxCbSlU6f3zBg93EhAMiOy+e7JrP8Ya9kB6i GYtvafyFEuMAAZ68oYwxC5XTUm3G+yKqf3NMcasfdITk+wFDp0ZcwsekmyOn3b+V4kftznm/9YsV oO1u0Pfxw83r2WWXY0HbBKUG8OWky0cpe8uEF42mfplugX+PkS8ecki8Cbj0fY+5OpAag9ztdK3H 9Iysutz6eNtUSSQ3TIMPPUf1EFpIfE4paPGwqmQPkC3G+b+cwGIFap6a+iNQQCE/I0zGvxnRZlx0 PcPafonOBy/7TnGHIZOoVGNO253BRJWIp1szJCpPLkSLRkKmx8VFHwG/5e7v7wWnVypYfxcTRPg8 Q828dUlzi7G+VmkvaE31YV+gqehUlf/15kYZVBwq7zxZL2BrwYKPw4wKrVunBD6p3ohL+jXgbxer PjLE9iqADB7+eImMnxDtCluonDrjYniKpW1LxuIniZO3su2XoRY5pOvjX6C5QisH3aoR/8TJkdfM fN3xgI3P8vLdAfwFYCQUnHfZTVyEqmL+kaRyUA3NrPMpGgpfqPtj7gYewn3Hv0ZhOyYz23/jcSFM htS5iFfqIhyHVKw0UKl5KZM9IE4g3y3IVGjS0U9lKrDumFGDeAZ1wtADTsx9cz2OVDGDuoFEjUMe cB+tEqHe3SAIOckGyfWrO1DWyrT646ucOyX7blIBh0pq9Z8ddeOKHCw7BbEaT19XYUSzl7Ofa2tz yTdgLURytTh2IV7q/YfIL4htgouJ+wXIJNYS+6OT3dFIozUaI7R/3o8iHmokYtYR3tZ+M/TzVIcO Wzdpnwlv8aGyMxtFOoe8Hch+EihjyyuwLrk5gUiiHWWXU8Ml4WQN2CVKTlBw52egmPjGl1e7hdio FiLCFnBVYbwrdbCEZeIJpHkMVBqjtPhKVrl8GC/zPUpKbMxuS6a0v1qNJgqJnLcm1DG+A4rGFfGj uJvIUvTyyHlfI+qPo6q2cxcm0U4+vI5adCGN/7N/rqwbbP/f1Ba9THvJSQugIGhh7oD3YkbE1/zt 6xVBu5Fv1+iu/aFl/VkMhYBPiKsgY7ItdBKQuslIP1Ks8aouc4yXd4HoxHn29mki3Lv6z5gBGtpO QxFH6FPH85u53e1Ddgk/1iRUw8g8oEcerLWpdN0/X27ET0zzjNrDG8COonL3ODpIrAHTfZmYc2cC Gv+7xCxsu5h+WCWl8UL+kyB3jP9GbJys3lnodpQbnEzHTVu2Ihpso7/2TEHuS/yYOnLahoywah9i m06eBcHH7Ss8a4jXSIW+dwQgeEMdg0IJFoP+s+322GxuZ8Uv2hfXrs6f7GdalQdTHcGY/QuRH9GN Pz6soelvpus6bbJjd/AEbTX7eKOS66DKvjYb4PS/i4TTWoPiStCgrzSjjxdJRsIJmIf2PMu5x5Jy wjW6wZ1H43wiWGOwNy4RW+75l9cHl4qnlCmVj0K3ZPT6j/hQk2BT2b4rBVI4G4+Oyw/GGZL1O0AT WC6NIciKZ16Y+bLmWypwuDn6QFIfL1p/S6zSMsbmvYMne4E8m5GSqH8OG1leBnuphCZGEGfd3+k/ MIslmo6iInF9Ib8kG2kBA69xBNNBqsyeiAmeGFiu1IeWJBcDutwilIHK7txjHwJTJLPD5IUFU9oc qqDNP34YfvlP6Iwd7EifSF/3R41ri0AtDKFx376VY3Pdh++A9TCQntwBgQq4/iUptTNugakkSN2K NTpMTS1q06w+6DjVVJy42CVlngYKXHxx5G5ECLQqA4dbyh2Zp/iG6mi+VPrPx8r7P/Sos7CoiP5b ZI0Aywu6B5auRfvh0Ta3XmZpCae6dXSQfc+dqZ1f9AW0ms0sqNe8ZBq30ML0jEiOLgiXWyfATeLI FV+z00O1LspHuttHYVtV/uudwBzhyA0wC+qifzMlUuTY/2eLBZGe1sATwQP+wF2mpCi+4x4MzHen rKrBwc95W8qA/nARNC26giyGPn8KTaTAG41huudPo0q2b8GoWnNeGbnrcOaShY+/XQtz8vm6kMKN MZu+8IQyVR3a7M95Mgi4VDtNRxpfFvEjUa5qoAy3Sk2fRsGI0ArGY/O1x7R/GjtlqlFuPX4QeWCd rmwerj/1R+Htd5CyqIEQlJJMc0U+Wo9rJFlE4hx+ADFsb6iGkVY4eJFFu64Zt05FWnLF0EclikyI 3QG3AsG56sK0IvBpA5zbXacwqdeIoLyzGwV7AHc+07sr5H66t9lblKJXoiDRHOQ8bqj22TuknxbF /zxPCOo4HM1kZuHL+4VNSWYVtZ0YOGMbUjXMYz44wU8iTO60F2ANO/Kf7AVaI2dMwvz9qG+HQHiu jBkFctghK3hPSsLSNPANPA6RP4maOd7/w4jLiquxf/1LTJc32Uq1pROPdIIzPCxKzqnW4srLemUQ crJs4wCoQ9ZfDGI2eeQLofGq82oIKyj/Q0QG26Ydo61r93U5+mz7LBXotrntJI4ExJpUl2LBaJSR IgtnJnowbuBCH/u8jm21fR4VnLz5Ud2OAI5MG+eQcxTlLSOLrm1Wd56hbrsh5jiMD27PD1HHIGK0 elLwT5xo6uUP2keGctjEMIl+Fps+sxUppy4S5lstiq667L3Rg2RlB8yvtc/lPYDG2RKlAm9JKUKO j+K1rdw9tjUbFZz+aOOq/T38Yh1J/2+3fo7Y62r0t5eQKBUSyIwRaIm1TsQn0TOlgxGcWzkVSACo U0Fr7ak08sknSeixwwN3CU2W7CyIkcYfMwe8Gj0tsON5eHTcoAt8MyWwk2vVGEqQWgDtK+6jS9yq vyaKR+4ud4HyFnW/TIiuSkqI0PiLmy+AtmjIu3wu6Snsksz0BZ5Ti2nDlv1GPzyI6L49e4iyjW40 lF57uQuTC4duaK9+8FdWFntk6DZHEwIHpa/uC3vFz4LVg2DkIYQmksQFeyWK62sBhrwBZ3PUosJW FMPC3HQZ/12N/e+XXwbOjYXlCw6UdWZnAG2NgWw39atfIKuiu1WziNuzgAVZ+LLT8rm11+odC1Vu 1CFCwwHpHyT87Rznd5LQf5/G6CO1M8lRtG0YnMQmVWK0vj1ldtE+Z/UmuKMrhiJDfuIC5wzfP6Zr RBmKLvfa1cX5PrIjKjKxXeWfiO3+WiWrL0h2IZSRI9ogoySUqHNEAE3iOKGL1P2uDarMDxmBS51V YzaekgIo2LCr90n/OQzJSmN6FZgy0gz6od8u1YEwLL4hRZjUjneaaOp2mq0W187pYrhhU3gc3gpc pH7wq7PLqtNAdanGLR6j3O4TEW7cOpTr06cM8Ls/Zj9pfvQodlr0OWrtPuC3H2oG7RAODs+g1aqN 4+BLfFd8g7bP1RMsxX73s1asyDS0jWVPAbF6bHEIDzgJGc1qOMBgUqQU92fDhl4Y5g1i/g89I5Yc 9QnmWPtlNsy2lImY3vfu+B72mnYZvUM9SgpAjIciAxw+iabSiR/uqaGmDqHdtfzEwwrR4g9pMYrZ sQ58OtW12qyahXUes1fctAFBaWwePq71t1vv4PA7UoitcWxW0Cnd5BfUX4nzmjgVNSdj9LkPs1Bk G8adsRTLfm7soYiRCVSRj6s0a4bumqWMKBT14dipNoTMQy/E1IT1FLNY9p/pwp/uft8JYR7RXgBQ Mnq6OkZLQD1yo+tzY9J+BScRQRvxxSdBU/4BA6y0KbMaVAGIGD2MKso/zvgbao93TcjBtFz5sLGC chgNLYqnKBLt8ZDmj+himWSzLCRcSO7nFS02jIZT165umqdiCnv4gAQGxvfeYdGUaFk350czqxjp vVUaLC1yJ+XTfnsf28s5ENjtU14zZsMPzD8aaMlqKoOz4MOtqQxkBQWDjmt1tQlx1DQ0NT6jLc3a EQQoZir/5Bdfj7W4SVEm1LxWumZWOSiuVRlLxo0g3ZyocAG2HBJbSBNq+7Jf5ODTMHCcjpMVulmd 2bd1Gs+CXuOEMrUCwRnZOOtqCR9UXJmkQqr2XgPyVsO5Zjw3f3z2DMxS0zzeWBL7Y5JC3lU3s9VZ 0S4ah+Kpn1ii9tZ5o1/deQN+svbL6VfRYZPq95+mIi+fu1giImiKR0gdCvKZm7zC20+1uJFBeCft kAKGbLr7VqEgyyK5wV34HdaTOp6jnXbUa2tG3sIMRP4rtCv+X9UadZxSb6+ys+g5F6Q+SQ176RHs MHNAWMYlm72aIzM97pEB3GZ6TQoHqNTcR+1LTLPwLK+pBPvjY8Hk355zpMrVA6bmal9vrxDs7B3y U2e6C0ofqkgms60AGyJng9ydZ46kKCWybRdpQALdH/FUXnNC6dFRx3HZwQAy4JcrcboxMvGvxUZv aqlCwL04z7N/xLg87eBtpWOiUQ6V5y36sVorObNCXx+GVtowpiFHCPAk2xGsUFlEv2YpFX7swD/u SAH65YDgQNlF39nLAeBnzRvuvZwMOeUF8OPWTC6vMsn98j1u93NG9AG1UbRUipMf6+Ihw08tvq2N 94UUvk5MHzGzkOmSkH7qN1wF93tKZm0q3LGmChx9VMVHJtDg6dmzQ8ZzUsBHCFFudnqqz+gPpaNm 22qBDooDKvHVuJIPbmq2FWd9OQ5Ta/A0imX3+El58RdAPN8tEiZsgIz9FKVA4Yr0l5tvwNwBnk2k 2pAyhA2HJ/Pv5zJNgeXqHw2phYFr5nJRDwW64CW+YU1ZkL3ulWiIgnoybMOTPnZKAlYaWKrqv0Pp H9IFKCDjBigdknflwEb4zeAm1HGUNlcR6bv3Qh11nO8oOE0EtVbBQXJ7yb3F+6fwSlErtTGbMGMz VQSqgFBXL+XT2pZXwH5d/T1/KPfXji7TyNqJVcIf51hMgnjQMtc380KgMMeyVDEPsJnTtjNSrkjM SmUvy/dBbgJFWpHUd21TYlCbO1aiiej93AG7xtYMc+b5yGmZ0gqAcZTIgLkU0U2om5BD2xRCtFwp HfWdkxKGATPsS/y28pPAroViG8FCOq8+iatZGvDrbpdpMpLpDriF/ijP3z1EqF5DBnVQq4zgF55C AzW+Mrs/tq5IaSBJC9PX5u5gWMLMbt+70+xixE/Db16NA5MWUIIVoJDwspdy2PmJqu6e5ki28v+d hMD2KKXe4VWb5pNXtNcbuiIeqeXOgUR++GbtN7rO5nZsmb8o2yKPeGdrClnAjlJUbKMkDN0IGkgk 30LE7f3pAWipUA3BYTSg5RDUyFVc6wGAVorMZ3JD+HwMXujzKE6plhredEiG/UK+o/m7bV/LYRg0 /pqk1uMXUp5qDMGBeBrncBxvGx1fx+8jJ8iPIgkGOGNTybGR6aV4kXg12f65Csn8CaVn2ce1PtNl hG4okFYSj1Qc1wTEPebmDV2YCab/VjuSKIg8WXYNsOpCp9iAkpD4tQJxU9LQnkkfcD7/QT0D/tkf ASnX9AhsIQXFKorgpEmvKDfgGydBTFy1XFUVZyvKzAXboYVCeSVBe3LobsXEdJisART3zrJ/6d2f nHdbER/jyiJcDsXPhChOipP6znzTOMtdz8RB1BdKeHW9w+66ODe2YOsZ+sBBX7VocBXIHXuSowx7 s2tyVMzy6jplvYyIgLXnax7UilUkZJu/rOhPRwKfaz7I0BGEExaSWW+mQRifrTUILb0nQPjR/t+v BZ4zKKf5jAM7QFRxT/m9F4ZO+vKRX5luBWfOjzmeOAyud4tgT9OUZrJKC9egFdmpuzpSprXAF54m qrQANwujikxf9aREYMN6W2PcSIOI0pKnp0CE6Rd3aOAws7GKa+nzYD7leQmJ5d+TYZLSKGzsTehx aBOduxYz4CeXUcLP8Pb5G+dRP7Zf3K9Mbj5qwYOLBAN8gNEiEQeLs6vFa0H9RvthRA1r9btg/zTO LR3SxjM0TO8MRR74R9zdqpgvqclr7fMC8iByO2jwLScKUoYrqdnVU0DMOk51sWr+rGXR5OC+deUP hfjXo6jX6h8j66Ld5Fwr1UYIKfTX5/k2+zI8plXAklF9YkBIhJ+QPfT2GnU9L97W3M+vkfBjOXl4 L52Bnw4uChIFzagidjKyFE2vb4i3MyA9mVjT5LMmL3fRITfyH9EBw9kyrt4hTYeDzez79vNWmeiR M4OSKQEe7jJTtrjXtX+mlLCWbAFw5xeXgYYL8IpaSTdvOMN0PwlID5SelMMM/8xi8R0hwIslh1SK r6CP3f3CwpbVIQgE99MfXFkBfivoVfbWeZxfkcckw7H4lJfn0LxsJvq4UdYcd+DNdagO5qxX+BR7 qApSgDsRkLB84YAvfL6an3FfQUUC1FwX6x0kkBEV3gGj6XtEqqMT6KnRVyJxNVeU96d6BTaQOiAT 8bdai4FXDE6s6tcRIlcLh/sNaXO6iTaGi1Yhzka81Sq9ebor6VBkJUDnN8Xg03k7P3dNisCtOkQo gOME2bSj4UOxk/e1ti6fXfxPzDCiCis25qIDpZ+Xl0jVt45i0eOrGLI0JSbF/z7ky9tUymqZzS3t TXuSNPHNEvS25DRuNypCHj0B9dv7CFQIy6fiTff8tFk/xXQqRiHS3IELQF44pIGXiIuOar/howjq K7ZdZdN7aUv+GsiL5izidEXVcza1aF32sNuW88KTMeDpxhDLaNcgSZhkz4Ms0ci7cvLEXrBi4GKk BwfirJSkfa099qogTndYFWqaUt9GyskPMoiLvPexTx7FMSlTo0KKgrBMTbe7rVJMl0stZcAp0rLO x4nQr9e6z/M+SPF+cvcARB6h0dfK58BTmMBsKIOiZdihFjKCCcWOAKTSsYiNK/egshISgRrqNTL7 LGIoEhBfEqttE7XC6kCf7/GKigzBEZou/LmbcwmmhFRRjqZUxSeHF5Zeamn3lM+0T4nun14IwzQY VeM5qfVYHONQXumXilteDqLIYc9Ome3XakyB7oqAT8j5pksVndyqKBrdW0IF3FZxm/6+wpnJTDXH ghzoQzMb1BP+DJXIwmgnErmc5SgeOZlIMGuHPYXHz/4XIwQFAYkVnJQymTZwna7LVDVsi1TlX3h4 TU1VCod0BkKCxVx53WBkPuh5i1rL43lcmVO7WIe7Dr3Wt87nnFyvy6ssdeUKgqfb0XcBd/tXRpD5 CaRTC6DtwsZs7EebtiNl+mHpUtKoesvuebTWQsiqYu8CY+3W3z6gSaukeETMCel7gTQA+TUAdDr4 gbHxdZul/OdXIRciJmKKtMJsuT+hykoNRa3jkjg8WZ/k6Cu+pdrfKsKEbXmP5+MOtrzJW9rY5LQa tI5OzZHTBvXVxRjrT28Eb6If651aNwRkVxArCTkULfZUg2uuz+VlHE3hPmvgETeCmj3wOwIKqf97 viQ9i/00dsTOVaLS9WpsDN17LBB0M/hOURQT5p+d0EJWT8fT+rC5siwBlaqH52trhquKDDUy/UV/ xMsA8D22392j5O78P0B0FncTHMvVzYakAdBJkF1F+dE/I5r03Gu5y3mWK2xgb+9Vbpmun5Yz+Tpn aoKceRFWWYBLuCF1CQP0CeD/kegAhxaKoEFGVBFt4VS1A2P9H2MzRE3wViqc6QRZWDOyxvc7m4bo JphIV/1YE4ljhRqcDTeGEzb4rxcVmYvtC3rOld8jpcVsYxFUZ5srZHPo3w2vOUbWjLO3DPxUoCDp lfs6mjJr2ZUTUFH5MT9Su2hzeCkukhFucBFh6vkibyE10MBSd3lJABS8C6YZ469IbJ3a4ngvUOC+ vIEj5G+C/xGM59+G8CT5q1GCKXvqSuuCvtFRwJWmFRZg03aoFDi+E3lIlQwS9VyDi69/Ha9Lb2AD iygU0qipv+gpAAWFwLys/E+l/SP2upOsyt1hCeSgw3TM0hGIO2G/jF16Q0wpQTFc8nTU4mHW/6Ln BqLlSDJuODUXE6zpaLxJeP4B8kNSyDovVRlaCgwQkov+kbJDIBBjL1JpI+aDKpjJA8yeFnKSVstS cR196SbKmG8sb/1RzR7Z6VFKyC8Vf1DnUXC19tCKTFCYY03FpxxX7HPzqDzyQdvGwjOyTjoV5UrG Q1OvZvIFsubkHQVX6AJ9LtBDbPi5SCft/xqb3hz4dZ6Ne6XVkv1EkPlui2iTuIkmEJHyF2rIuD7B IVtd19xZ5iyXitkCju1iWCVCBsyFOjKsz79kYH4F0IA7uiyOqOxGDVYrAxXi7JnWPFN8b1+ofJSg v5Hr5UH/V61aenxKbjdoBloUfKQXs09YI0njydkd4c1HVSVgVfGcKIr9RnG1IhtvButVbyLQ6ghg hO/LDEV7unEGgYuX6ztcOFAVxUiJ4V+6rBtgng7UXpuA4hYAgt2PO3z+QnbYEzalPKvhxwQHbhHm cYhA3PCscnUavhlQYkgW2h34zYjk4+ocLVmglCwSNTbxQu537HR3sUaXPJehTmVsyIHQzFbDcFnF KLV8NsZcbUXdLt/mzVxLE1jVsWmLL1N9DBcJGoq5XCzF5brUTzdjFNwZVU9docsEHbDjkTHUZzlP f44DqU869BUkpOoCaJIpj5/FVLeUI7aO0QkysUr9H3mMzREQxLkI+soWwwrNniFpLf3OzDuyFq5A iBvuzt7Ts2d5wmVfEIZX6IQ0kWNGxd8OawJwCgoGxHniarRFzFpkh4Ij4+x8NzxRkfyd1bZZ6G2h 6E9LIlhJFXRKPvLFvkE1XaKAZW6L4OlbZY+dYc9wqpoOQfNVVfRLdwP3mMoPs8eU06Dl5K5gY7DP +k2/NT7w9zx/+Fbh+KP2hehLfDfZU+6x2IEZ7MvJCGK2Y/K1Q0w8+z0IGcQkPVy8lkgbTfJwEFBP HpI+QEug41RrXCAcGyXv2byd/lxgWSo6xz6UP/vWBKhpLAjo4qAYKTeTtXF/FRw0EFYc1Auo3qUb 62x8o67bYBUZzqWTWTT7Ku1knFuXJ88qVokwshaqZfI62KwnKoquDXtHCnr+SsymjiaEDrcaSFBR ZcMoeTHnS1zDpdnbPPT+weXsD5bek9UDLjkHW5hrF/Mw11lM0bp0Ar52eA07Ob5SMTlOPbnL6T3f JUayb0S08tpq7gG9q0gMRltUEbQaBpqlgKP8ALSjJ92GxYhTaio8RxRV0pCZZ2dbEnOhkigMTj/6 JNA9E6yS68ZMoAhDGZ/Fi4imfvuhaFGmGtoZNSgpERcmx22J5OLpa6Z2WTJFB1+/oVPV7kZjQYfc S5NmRBY0s9pPguQADkqq0YBKBcg/LgYZMDoCat0g8NA6AAHmgy6SH6HX4oVMWpqFsCzlQz/LPq1W SdStPJx7F7YwBtLG6Dk6bt2Mt/dUNpu58W2Qqbu/1vyG8B9HasRhELV7psh24b+ED6hLeeTrIcyh ee9jjEdW8u0T4g9TzDtKOsIXejiL2lQp8FCJ7IpxZs5ocTnHAh0UopNhSSEwYh0m91t35NSXIecl EgIibEXF5JOrISpHNtTRpztc74fX3edd9snJapnZE92hGOQos4K6jDwKnRZ2VxsLSSlCYcsL1rP/ tDQ1MAWgMuBHIpR4XBDNFtOHbn1PV21DuqSNVqCfEqW3oaAE5Xi+XtcBDxkIflwHGytq9LWyv0kb ZSTSkaowbR2y3ipWBTXWeKP3iV+72C9FrwEq53NffwTxwjgXWkb6G02GTN/h6liLN/suv0Q7ywjs wvV3xPeFShF/uxDLs0iw/zycqXpTT7SMOiwPWCfop/vnaTdL+ENBzDmEW0cmocdeSAR3IGkfn0gu NYh+9XEMPqoOsINc3VpNXiqtTWarog3U64AaUo4Sw8Yb+Ks67OhQ0a1F6T62XFpN5g6O0oubsX3/ POA6PV2y2HTR2jeIvNXCxFG6/cDesff+1QJVJ9d7ELAP7cUJkagmTC/ND6Hmmpd17npY2XjsTMsR +mu/WwR/fX/Q6hpiAX3NQDQ/D878jYL8tNVc7XXhqvWQZ/TRQe9U6eBFDuOyRgsL4ynCMBf5MAGx V4ha7nZ1q5jQ72fkrN7/ezqf/Gyh2heAWxYBa9KOLDpXmGO4cWHMdUaJGMA91qiBddNUIHZ0aEix cPUxGWWuoZNLo0/SCfyxupsWXglKPfCOvmsOXQS3a1SoL/G67ts3KaajiACYBWjDeyO/H3pl7Fv8 kYan697bHVbEsx1SIV9qXxTB7q2pJuJImRLPDDsajLKkx8kWERV6GPEc4qGPjX7f/t5QCB0/n0d3 iYJeOV88/ewKZdxP/g4dLfkmRK9pEIgMUo+oy+pu2yRP+IXekD1t4je5XBUrAQ9+kDfty2GGEAjc TnSV+xuI7GptX021w8nw+KYEPz7UOJBESh9dVqLN5xBY6QVT8IItMnkTOclM/hyzCB3dija5fQzn jaMwbL5GpCBhaVRH40psPDtTGADDdIXNrWk0yeLynEtOKTklqxNPHCRKQqlAmijwqNuoNnkm2lt3 vPAA72U/YnMRWG/Xnm1BN8HErE9U0OkaTb5dYVkTVScqVoA6SYff/K7KtSBt8zOgctbwTI89/UzH sreJ6hz0onLUOHJnN+qlhwJdp+5twkUlHDE2xy8xXwTGhWgupOKvrCamKVk4TVe2IQ1R6nN+tskO Z2J5o9ejZwY307KbK0H5nbDLux3j0lcKtshdLfGgTrswCXmBg6VxZELcNoLiAbQq76w2iDDOjg+Q 8WBp3haeMVHjZDSi0pMWmxE0tjnZnLBulajQ8ixMcYW79UsWE0bYwWAcV9ckaCNSYuH7XL6C+5YW a1aB/kHIqz5FzwhDOt0m1NJfjiLseBTCw7VBOe9bpuIWc9ii0DAO9eINDY9j+Kkx/vydu8xkuHRP dOxteWuyFOGOPRQWX6nLgHh/JQe9XW4sx3wx3J6j5HCd8558sFaGRWNMLs+Uz8chJLWujOz4nZJN c+KnIxCGd2Zlqv8IGAJjyDHJXLV/uWdvwLXyCV5BD8g49MAKFrbLkpeN8s/zyV09QDdu+blfB9j4 TSBFoIwCTqx1dmlfoQ8QU1Xqnw== `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/VGA/ipcore_dir/char_mem/simulation/bmg_tb_pkg.vhd
101
6006
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Testbench Package -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_pkg.vhd -- -- Description: -- BMG Testbench Package files -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE :STRING) RETURN STRING; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE :STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER; ------------------------ FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER; END BMG_TB_PKG; PACKAGE BODY BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER IS VARIABLE DIV : INTEGER; BEGIN DIV := DATA_VALUE/DIVISOR; IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN DIV := DIV+1; END IF; RETURN DIV; END DIVROUNDUP; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE : STD_LOGIC) RETURN STD_LOGIC IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER IS VARIABLE RETVAL : INTEGER := 0; BEGIN IF CONDITION=FALSE THEN RETVAL:=FALSE_CASE; ELSE RETVAL:=TRUE_CASE; END IF; RETURN RETVAL; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE : STRING) RETURN STRING IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; ------------------------------- FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER IS VARIABLE WIDTH : INTEGER := 0; VARIABLE CNT : INTEGER := 1; BEGIN IF (DATA_VALUE <= 1) THEN WIDTH := 1; ELSE WHILE (CNT < DATA_VALUE) LOOP WIDTH := WIDTH + 1; CNT := CNT *2; END LOOP; END IF; RETURN WIDTH; END LOG2ROUNDUP; END BMG_TB_PKG;
mit
dsd-g05/lab5
g05_comp6.vhd
1
1878
-- Copyright (C) 1991-2013 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- PROGRAM "Quartus II 64-Bit" -- VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version" -- CREATED "Thu Sep 24 15:43:45 2015" LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY g05_comp6 IS PORT ( A : IN STD_LOGIC_VECTOR(5 DOWNTO 0); B : IN STD_LOGIC_VECTOR(5 DOWNTO 0); AeqB : OUT STD_LOGIC ); END g05_comp6; ARCHITECTURE bdf_type OF g05_comp6 IS SIGNAL SYNTHESIZED_WIRE_0 : STD_LOGIC; SIGNAL SYNTHESIZED_WIRE_1 : STD_LOGIC; SIGNAL SYNTHESIZED_WIRE_2 : STD_LOGIC; SIGNAL SYNTHESIZED_WIRE_3 : STD_LOGIC; SIGNAL SYNTHESIZED_WIRE_4 : STD_LOGIC; SIGNAL SYNTHESIZED_WIRE_5 : STD_LOGIC; BEGIN SYNTHESIZED_WIRE_1 <= NOT(A(0) XOR B(0)); SYNTHESIZED_WIRE_2 <= NOT(A(1) XOR B(1)); SYNTHESIZED_WIRE_0 <= NOT(A(2) XOR B(2)); SYNTHESIZED_WIRE_3 <= NOT(A(3) XOR B(3)); SYNTHESIZED_WIRE_4 <= NOT(A(4) XOR B(4)); SYNTHESIZED_WIRE_5 <= NOT(A(5) XOR B(5)); AeqB <= SYNTHESIZED_WIRE_0 AND SYNTHESIZED_WIRE_1 AND SYNTHESIZED_WIRE_2 AND SYNTHESIZED_WIRE_3 AND SYNTHESIZED_WIRE_4 AND SYNTHESIZED_WIRE_5; END bdf_type;
mit
fupolarbear/THU-Class-CO-makecomputer
src/VGA/ipcore_dir/char_mem/simulation/bmg_stim_gen.vhd
2
12579
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (0 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0):= hex_to_std_logic_vector("0",1); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (24319 downto 0) of std_logic_vector(0 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(1, 1, "char_mem.mif", DEFAULT_DATA, 1, 24320); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>24320 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(14 DOWNTO 0) <= READ_ADDR(14 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 24320 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/ipcore_dir/char_mem/simulation/bmg_stim_gen.vhd
2
12579
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (0 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC := '0'; SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0):= hex_to_std_logic_vector("0",1); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (24319 downto 0) of std_logic_vector(0 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(0 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(1, 1, "char_mem.mif", DEFAULT_DATA, 1, 24320); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>24320 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA_2R, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => CHECK_READ_ADDR ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA_2R='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(14 DOWNTO 0) <= READ_ADDR(14 DOWNTO 0); ADDRA <= READ_ADDR_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 24320 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(0), CLK =>CLK, RST=>RST, D =>DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => DO_READ_REG(I), CLK =>CLK, RST=>RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_2R, CLK =>CLK, RST=>RST, D =>CHECK_DATA_R ); CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM PORT MAP( Q => CHECK_DATA_R, CLK =>CLK, RST=>RST, D =>CHECK_DATA ); END ARCHITECTURE;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/common/rd_pe_ss.vhd
19
28350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Syf21YU5JnKptD7LOLtaHZM+q1VIhUFTxsmS2r0ofwQ3ushsF40KxXOCQsGAnXjGfc9kVb3Bn0ME 1qO92hlu9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dY69aEX8OSz52Pib+7B1y1Wvr7162ZPVuHYqEcMQ/oCfJJrpwF+oy+zQI55NVyz5aWKsTxE6uM7J HbTWuphJFeGo7mzwyRD7dy/8IFTp8OHV9aN/fKWepd3R1nKJ/+bdmSsliOOw+inM7pfx0a3YODTn FRAbVAMQuwe+OVuT0dQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q+4W/1zvXVAi9QMds0GLwNMATdnR+yvz4Aqge4tYro137XvQ9NhFGdF/mXOn40o0ijOuTLANSGZq Y1fe5IvAhv/BzIqGLvvBSGadUyLWCe23JTco14xHGh+EcGpkQzSMsD+MtFlsKB5Lh4Pk7Fki+zjY CYS3IH1yrExDySGaxaJ/xIpVmbcDUIB29ts6Ape06rDNuWSEZkqi5ATlUPCMrVpXs0LgVRBipzor Mr/lCisQJrroeVDmbpQGOxCT0USTTIePtqKzCRURmGOM39JzikVR3QvCxX3V9zs6LEiHJnsAr/WX JYHo8e0tsbF+S86/2TJe/j8LJK3VvghHADCdOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jFetHSEk8pl36rsszcvK1lxgvI24/D3eeWIqqx4SgMWK5zMch2RGKDJVjZdo+SXrQZtG4vIfoNJ/ M9NL/crW7IJ+pa4Cb2wH+GD2pA66Yo3aRE1Ld7EknU3x42o8aAXlhcPIjcxq9tmSO5RxnhMKlfjh dMPsoD+Mezyol/EwGPo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jgq62sziWqkTYcR9/y/ZRFUy8fWL8zR/UZTwiK9JRpmOKe++dsuUuVffmjjAGJoOkGM1fnXZqKj9 LDnUvlqAYGJAQrwT7QRdCNBN9eBMyr6WJUCOkpNRo5aWbRqVpwZihLgqtvesSbzoaKe4eDRdiEe1 xKR9vPyfNmAnPN1pwf+2YDUftVl5x4CmlqRUCO2c3iETzT+xwYzxqYKolk4Qa8DTTYe9PvjYqn2/ dj/jpAwnTcOKUqpa/3FaAU1zgLKWphnnTU+MOfKNP/ow3ZLVrmyiraKTGZlBmdJF18AzYgHb4rrc 8Z8DuRLa762hnT0qbzjf0vtKn06WBHgWqansQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19248) `protect data_block 73fkIQgH0nh0uGpbXVs5xsu4nDUgZgUEznyliPLuneWZg1ZmKjrPY3Wn3oHKnAwT1Xp+IJ7iq4c8 MmJabdVAZu7iLqHjux1JoZxAEFRYCvGFW9znglS7Jj0CLjKYZ/cfFCV7CcAQNj03a8inyUXlMxn+ /ZTdZQam0thxTPmhwS8NqFGOrJ4yXjbRTWC2fL4yj4vQqii4yTuWAf7HeEg6Rr1Kywfs4sA2ji3B MRs6zsZPBaahp/J55BNHFJcbgoNwGqVSj77fj5kZ5kLF+a8cOSm6HzYoLQU07uKTw6tVRa4evgJx z/prLUHR0iCBm6sepQaqHG3VpwpYEQQmLpH5UTZWBwWid55f6gjcqN6nfQfZd+HNobsU1scGk3hF KvCqkZsIUcw/9ZhJCsHof1TYL9lQsvKgNFbCDXSWIvMG0oc9pMhmGNm6qeiMuxJwEn7L7suSc5pB FaM+eEhORjIYtcxEPw8pUOKIhByYmqzgFIt0ykc+YehKBxutEUE4LYBo0eimerxb1v5LvQnyDMj4 KAxfoeWwwWnDQRUC49+GdlRJcqKjO1bPFVkDOa/zwZSFGW4aknUF6n54ZX8E2PFXKC3hOKYNG4ya RV/FjBAPB33EHrz2lCSve+w4h+J56eSA5DGA7y1WBlMk59UQgE1XARyckV1WJB88nyN8W/vQTDRl nsASH5grdkci609nhJSP2ZDz0gxFf4jEqvix5/r3nxiJQCTM0ZLBlisyzyvvBSL6IMBM4x/Ah5Od 4V9reUXXxnSTJ5T5fJdtus8aIV+FnZWz9EXPc8aLOcX3q/LMmPABTH/gM6wI8wUZjtbOfRNxuh4K 5Dl/jPg7JvgUUyLdgMFl7lWMkh/vWm7gq+TxlozfT681pT8zMe3TXmehE23Gm/Lc+Yp4++9a8J2E nzChYradLs+s3Ybshiy9BCrWd2/1h7WC49Hanr7iSCSJ0Ix0tfR0uPWR8pcmVasUcEfaKHyClWt3 Nxi7vFOhiBjglECRLq9jQoQB+EYHtOGjXofjCU0Hia0Zg2aqxaElbJ2X4wW+1z/wNJinvLAkXJ9x ZSvI1HxwQyB8mqfp05Ork3UOEkZhPytzDylvlyOQ1WnaBdv8+0AB18rkRyaSwtQfayyDV9dpDgPK 6s9i5EXkJLUx23nAfe6Fq8xDdTiQ7kkUddJuxe7WSNlSbzNu0+i4intCXkcoMyY3lTi2MQZ6JBiA 7Wqu08On96x9062uUeSZIVOvamgIGLvRJgIp5tvOYby27eILPeGSI/6lKNWLHAObd87l/AvZ6993 pEiZtI0Q9M+d3kqEgpif73Zu3hWEbg4sbX1fpfBTm6coN2ey1t+ryFo3nb/GEXU5ROSbSqIHp2ZM 0lnKPVXFmVwlRwUd02mRAe0NAWyQiUZmTgFWarpC/pjGeHa0MDjC+mpH05YnlMA1WA+7goU+2Rib M43hqrWk1gVbAR46v8gom0jCGD9kU15PNxeFgZCLdGNaNMvyGhac7w6mE/lolgANp7iEEauXgVpr VM2e8XV9Mv6eoddyzVq0FxqZGeVqZVAIcIWk0fRN2OuPcQgS9+EAWU114M4rrMG9g8f3osZodHfU pVKo6S+WfkRkS/tqsfWbxykfNO1wxHS1PmktprkJ1rCHHytwT6aIwNNFVn8A0GOsw67T9yOrLOd1 cVas+T7mUXYdtEbVKbSmsjSkuT8TErTDrqQUB6s23lBnnXRXNoBx+TpU4y+j3KlTFoHN/4qqmg7j JBzEBtFY3LVzKFovKFJ6rYH+g5Hnfh/r6iykPVemB8Fxn/S4vp+l4rpYBPE9tRTeUs7wfPQ8pJF8 cVsbrQkPfjGyv9F4jqx2aYveQzYhjH774BVk58nOa8JjpLonsQC4LeIBG5dEg1nc9d9GiBdWIAyO PE529tlBifTnKVduk3YtiQt3OaA9Pk3Le+9WXJ2kEy5xzrUc5jThSRG7NjuEB84MC6TIyCGZyyXV xYP+QuCqlqrHIIWsA1CSXl2aOPCn10smp3ElTFIu+GA8fEElC/UxgDwhFYurn/ZjZpCTKOJnPTqP j3/wFyD/hk/7qvmnDiKwar1bJVDU/cIC20H1KF9aBuFNrAI+kPWwnuPLhBbZLdyjl8aVecRQelce dt/kY6/3a0W2rx2a3L0LfCf9AeQCI562MKIwb2aBcy0Bj6tEqNZE+K4d+DbwI7YfXSjs5tDqdjr2 1QW6BN5qXAkLFOxfzmpemg9JsnQPXdHwxU4D+x1hLILGhbsETiZexdtLhjWp0WgpW3gCMTVfvKgw WgnUlcfAK534BJdDdauhDDK/R9P00aKU4lClLO7jIvevSJc9aSXd5/t8MlmtPoWDq1Mc2qxw8D+7 XifiScc4R9VHpNOH7V5F4vq+CyPZwHw9ulClDh8c+7Rnb2P84+b62A4GfOegjf3/u3dcoH61Kz9F DmGP+ZmfRn0+Q2lUa4NetaH05cOu2yg1dr0s9gPYWXQFEg/nIsO1nfi0PiAh2fn3d0fWbK6gCOLQ pXJPxEh9/4LVhY9NYu2TG3kv4WralByuPaoVUEhTqZ3stIzG+AVJooZNGaE2GKsRpVxoljpTJN7q RMwVvbi5BZjJoTcOt/Jbn9tOHgc5sbkJSXtj8ZtlGrChVflwdqPa3eWhv6F4D8drFPJYoQchN73l v6bmA9H4bY1LSvHLShV/lds/KVkr8zeGG3vSM1rriXqGZcrp2RqGpeKLbPkZ/1arMUwFVLhlSvcd K7RU1dc6GIRM7XKfbMl7bK6B+yBlGTmwM67RNA3kqtaNFhUqn5uTj765ds//dt9BXIN5ziSj/p8e BR6oxTAEorrRQ5rEoUrzG8re2KoQ4oZl8fDstiaDXJTTcQNlzzMoYmyKJxo7Zzk3CssjBkgTe9Dg tKxRNgFt2oRbEvJMYvj5EiMeUgemY+VDOO3rdj6EzrjKufXf7jMdoNlVuQ4iDE46N2JDpGXUmai1 ztJ7qjwbl4pxpKOuTmhmS9kc4LLPnZmFxXsdCFGuAXFwOhQ+Wo4Z2T5wtk4A3EeIIiArl0d1E8yy 3rcU3B38pROvmavqAHWwqlbBkj0178cOZzRhw89/U/QoYsasOUY2uHZEYZDRgKf1Y0gMk5r3YrZN EGvh1aY2upmhLtItyRVfXnuNN2jYamasqAA1EjLPkzMuKmiWmic1NW/RvyhHZpEKikdhcjdB2P4j yA+vaKr7EHYMoeeovd5fCt5ctL6q0EOVV/V/QGG9aIZSPA3lPKWeZJViECQSh3xvjVkNP0vURmZB vTwfImv4L0KStahGg7CwOhTdOIZqrD/M99+6iB6cNzxfJ/mj6ccq0+jViuN5JF6r6oLnlgn428HN jEvpGEhoQI9oSCEVw2hjgx2HcTL14tGpzhfy/g5lHMRiWaRaSxPcIuR2xXXaqSiLROr+stogy6IR L3gMLgNqKKGyWyfZZtzjNaNCRQF1tK4BSSo9DImYnsesc+2glg54y3mQJMAvCW0ta1c4Q15eQLs3 UaIiMe4Hu94l0bSEgv4OHLKTEJcLBkHI19T+3zhzwu5+/cqcyMG9AlTZm4pvJw1OLciN3hdFc4Xz 2CKrAUktyx9o1+GFnrL0IFc6h3vcclo1gMPsFNIs56CbIFQtRggPVMP/x1w7rK+FUhvxfcdiFMRe J5sNOLya71STlFJo0sFwwW20QXvGBKwYG+lM0ZGaBzyRBLqkJeQnsOtrsB4aHaVoaOCru0gBIAMj tkzpQ06N68qMRFYBJvL1aG9rs0VA/sgt7SXEKbzraHey5P1n3lzmoje10T/GwTj+8Ryg59JyB4qJ 8EwPfpcc3AmZSg8jlSw7QgmQbHPvKawKrQi93bDm/wAgiERIGGDf6HT8KFbk9yYYIKIK8cmDuQSQ OX4fakuwWqM5/BlPcCaSye22DCuirQpx2CeqnQYvzjz0vJBacwNqHxSwA10iMFVKWRSyZUav4ZUI Nd6sfT9IQB9fVVI/qSmyj1PhF6Q+A/Y+Tx+bQhf6YgDYhXuEdmnPviyEi3ZlyUwJ8rckJ8QtObpj iH35Nr86ubwspH19P8e468/5040jEscBLY7Dho+fapvfBlTojWx6/VbwbCsy66XLGVLFSGzYOMsh afKWD+JJM61GJ9hLGJ9u4jpF6BcrG0jmctN6RjZflRFY813XNZY5HkyFryeDimMnWdI4S5kOFgNa xi6HxPHvJwKIaCKKGum3G6J1CE4DlQe3ZbtsZUsexpeW3pfSmMOeqFfUZ4Wp4ZxvrEWm20Yrbj6o COfGi72jQLxnV1dJZQkUKBH8N1K9jCFSZ64TzRO8jRM5pxIdF0I64IruucMq4kwfo2c9b0vrwtml EccQUlJDmRecodKnkuCL0fCQ+V9Or1bNjkSxzuTn7xyg3SRYUC1aG3hjlKh9/Z47SP5iX2gqKwdQ 8H5wjLrrJpOMmAFjHaae5+F0DQe1VfsXDdCy3BAPTPvnzgKB6wP/g2ySQqAYSbI4ZyHU2McxQydf IBjaecNH83tnY3nmrdGMSI+fMjIedgSDYYHbAMJB6tmCvp7qkykPGIie62OZt84Z+uNFTLdLz1qu phZe85Yh0AJ9lOJGC0jL4qyBZaCQpzklyJsIZNKanaXIWDF4nClpKSE1dTGQv/h94PP0SNFex8Gr JGu3jEVKzxPe/OCRS4hYBHKb6c1kyeQ0u/67fIQwct1mddNHOYBJbwHZOMTzCf9bQNXm9fKqHDTD 4KIaxIFC/Q6Urxe/4eb38OOrG71OmMmUf5ENnneUH4zyKrpHJKxTaGZr3CS1+DaXPn8p9PWXUFri ODRYN2VqwjRy4CnLbmScZs3tzzhYgj0ULDV48gnFCwewLeGC5pbB18jBVB+1lhVZg4IHrElQqKT+ Kdl8cRoC66HFhuxeuLj7cCWlRQc9Qm26p64b5MLaPP1v8wJLWCeNRV9rPu3b2NVG3bJbIpYwOv+X iI5nG56J3tM5mOeszSKZ98GQjUz7NANg0V3oTNohAobwgHG6HaQTz05sgKhkVV/txpVGHclTqhKi Ijs4qxO795o120KDEsBEwPY2Wk2xFTpDxVuSW8sblw2D/mFRsDZqafQrNRKrrNTPVaH0rLzItgFb Jj+Rl3kOPj/fCOzL79NhrHPKHJyanipol8twRbLl62gFUFv5IZSr53lOAMLgtMjyftTfZEpUAqvq PMJB/6TVkrXxIKbtqy892B/bZzFCzWfjbzYYsjqzFvAomwdQ8m6iYuwdI6izyJOLB7MX3OwttM2M BR4brdut1/hrq93Wt1UPJ6LMod8k35VHTbEOmR32f9sb7utRQqa2x3B6EtcEOW4BABIpUq/Efbe4 tbKYmPUL3bwW9tGQjHQKJo1JQxunZ/43UnfzFU1gWlfVlnjXCl0Fxs5eQvxAycabNl8TS7sKiow3 oh87CDqNnQOUtBMjEjGNsv/diT3Lu6qgU4N4eHd0Tneo8fyJizhHhNFre4l6zCN8GYdGmJhgeYze fxOWjQXTdPXa3esjOgePjevo7xBK5JrmeBpmQoUmxTMOLUa1dBMvRQQ0hbKWErZ7jM8jLUXcu++a GkfE70BjO/uQ7KynqeI1wVCE3PhVP4nnKuK3IsCL+ZJF6NMzNbqBDto3SlfKcfGcCm0CEyJt8jX6 3N62jdBIzkEE+eidjTLRjAG67+LvqcHXuAWfRevOKxaAdMYpOtvU8e4eiQ8oc2D7NMk6ko+4W7K7 netRGPdHxu5zXp+/Y2mShwijmalsRuvaJ9o07AGtYzCk8E6SYTMtirGSmQrkIY+sewu7Ui/5rbbH dB7TASw40QEdAVy4XrzsTW+XVX0OCf3qXRxh7A7G79xLHKzUAw3WUWyhnku/tJVYJvI8VxcnLOyR xufhPq73z6NxQeYcw//7cX57gapbHjeQEhd16E6BQEtK2UWUOpkl2KR7VtsUeSgxW6GWMt9rSxVF joLa62To4LUPElUaL/ynml2ybfors1S34lNdqbW81KJh+HwEjNRcjQ7e33mLz5xrPisXXPTaEGsj XY5nqET3avhj23SpWNP2fAOGd3A38owjcAGK+2lR/y2HZeUGgWR/yKTxSZ5CXYQkgP+2EOFUuwAM LGCg/VjvUMYeRpZeXbjKV+85zTRK7z2KBQwVsfOmCqLzgiCf+EBDKyDfUOLpyau1GkdpKxd06ZYv mAnXq3knQkUiKhmMSbg8ICUQ0g4/0pORuUU4s4D/aWe+U/fliWYhFp4we3G8qiGXCDJZa0U1fgr8 C4B09Hec5yKxFkdqU+3+VsmhwtTIofrEwun8C2qWTwHl7STBLRR6LvawzAqVGCh923HelsKBtfxY U7ZjCDTdYVgVp95dV9tCd7Q8aBNEK2KyBegDGzcGDzjguvjRTOa3bHaALfRjtHxOajjxLT3/9G5n vElTjI/hBPpz4v/MRYxKWL6yChRwVnMMx8lhjAFiMtOn2PmkUtHtt+SzitsZJR3PcE8pOYyw+G3E WGI0J23BxFlakewNjTdQ/lH00fSnVbwZTVR+SvzT2J9x8rDowh8Phm8Pxri71+2nfLG9cMJ4WAFI xyjI4e23a53RKiFShZ/oPSiKByW3bclOUGTbBJPR910AlXZC95zrsxTJq7XH5IzEYY8hsM2ie6C2 ObbK+dV+fY7azVzzTc0kAtxVKPYOrZ3tTGEeW+iJlF0hS3ImbvthKc8fPaHj+uZ06RQwPeUUlFNu XJpKLiRvgnVQrGaqCTyA9umDKXJF1Fus+owmNJc4ItOWBNpdvIc2RktEOjL7cikUEIONezntI64+ MOSwFAfQDt5LMXBkYIeO9PfQ0fa3rGwbsNyFF5yrvRjDuh8aJVhHDZGZQcHMgLxK0OpAVMb4EFMG uol6nIb3gGIn1ndimBNAUtuHICB6HAiktTz0wNeariamdQccZjzmH39GNT9PfQjQHK/Wc/WghDB5 KxPbFgFbhZZDKs+tPLm2nvqCekWTYbz8Wo2qYLQCg6ml8/JxP4Qx0WYiFsnApUlB6VufXsikhdQJ oxmstG2yFItuzqq6lPa84liVhAJZUAAylqu0XLRrhI6q5+SAWIj2VpzzrjmVJ2GNu9E+z5Eqov3P sh8O96B6jbVFLKLCUWt3TgFFYXvTfknmxvPpqSJoIH98fddOxTqBanuZGPYyvwnpMnry1mkoM5Pp UHZ0F/sqdvB3XPOq2YgnyE+IF1KncLbLHaKT39eFeXV9c5xm8GGheSItWlg4yTiCLlzMaLRTnvYQ l6exqZ+qjpTG9QTqP0VSR/Z3dnQ1XAyJa2Bc6ErpFHjU0r6E7oIsvi7FIBiHOFFSnVCSlYrDIgyi dDePxHgECGM4tPOYSPhpFNwSxSLO/NxGU99wxj5Q8zNFohUyWDOtdUT8v7nrwYxwQgPEYTcnQJkj tAyszcOcx0aUDdt9prpu8kUxc7EUhpaSr9rkt0lbPnM0bRiEJrVsuY+4W41jLh7HeakOe9aqlvAw Z8QhkPhiH6wVEtAMwDT52mSTxxd7M3Uj9ZQGqlsupXY9Fnux8DXeIg1S+AIZGvNkpPnd2gcK+K06 Pm2vv9q1qPDl9d+YoBX2e2cQ+IVBL5ZQoIPK7oMKCtpYWrftgnPemLd8kxjPv9YItMMWQLJGRJBt nNWdSJNUqQY9CbZPGK6txU49uNyVJ/4eoJbKUxeFyEleIykUshiVatlgrqaQi0xJPkelhJmoO+lQ 6p6X7dohyAYVIVJuQGHak8KePOZpAKP858Is6+KHK2SNyeBrmxLXPWlq/ObbjY3MjBb5C/PlFezL MjI21vIVJY847tDXetIrTCDVCsal3XzTPo7d6ebUWnI0bY8mlHvlvdpkqo8Z753PrMwAyWVniGKt 5e2U5UBZtUItbKjNSaf9lWidpYSRdeYAd5IdC1IS8Z/G+BrQPNVmPH2wVvVlBkjgF0Z8Eng+tmS+ zM0xuXLqNIuLLeX8Edwv0w3kgxfDuQDscS8Uylh+d7AmcKaoXBbURCWHib2b0fcZM1xn5NYvODy2 yFUZtYl5jrZCj0yGkC026jUCkNzIieXBli8LiQjS7T/YHIWt8/QPAOuqj8oLmO9WLqhjuzqEdUMU ZNIFshCCWd7yfoj+2a5F86qCkURevcJYbWeAp1OaMIsdSBMb2ceipWPOnXsulndlQtt5bk0/WczV UytRGGiYUdxvV7sNb+9WNkpSdjzTr2594A74JUw+feU9fHXpBqIMhHqvzbYLFTUtsb187zaFr8Rv IvPL7wH6d8U6MezjJfnEynsnFpfeNoE72kOgcbjoLuKikFJCGR/PrsPySAJVGCRgUV1A/gyJP805 EsJWJp8iFfC6+oKDZ5wuDExGBrJEeW6XwDJTdxDU+sbV6Rsr3t/beZvc2VGLTuAZ+1YdVOZ2r/f1 HWlC5vcRiNYoj4ap9IezfiAV/POgRjXxwCwLllma+bsMtgcR3R3xayXM+xJrWVsxUQ3WWkB8t8Nu 6K6ecbENcOPlsTaN+MwUae9je8Ilfr8sV3rZvNHLR3p1vWfjGK80gFG55iEQTrv7+pEMYj4LFWGH TTogJ61y4IfnHspC84QWgH96WvC9tXFNi8ZVhSWiaNJfLiMY9ZlVNBvIisJT+G8iip8wKuWhHqUr sKxDPVt2avPBgLsy19MWSAHWN7ItFZzaB6atwWG59t96c1VfeCwODe3XGvEH1nvnfKWTPfBEUXp5 o3+3NJkT5x3VwweU3GUuy41zaALCTUt8Vd+UgwMV1PrhHdKf1xtSoCAoalpU1VNosQqTDzrW15Gg 98rsMEwcE98hlo8a+U9/u6lJ9Uhiv5mdn2JH8mP62C5HjVN2XhA+hnLFLV6HG6vOPof2QP3Esqij wyS/2GlD9Q6KkFpqkJvRYnWiXtCknVOHVDzPbR5SwcuONZfJYgxYNlfesEOCjXN593XC3jUzzKlj 2u8F2AV8r6RoiHaxSE3z/L0Vr6H5OvEFVRwoAxXv4Zz4rJnf//u4hVnV3KnWcgi6qROkEGhx8WKY 5Vu/pTkicol9o/seAVek6dI3Rbz88dG7NK8VcNQdt1syRhuEdP07mQmdixuHATjGyIxobLfp5Kpl LDSQeZzSBQBNLaqVbDNvtVoaw5gVjHqMaFMIYGddhQRl7J6SGbHqL4mmTQL4/w2m0Squqb7+BHL4 NF6aV8JdofVv+cBBvT9wkukX/cB47XLFKNQT67SXPIhI8DVG0NWFdLv1wcz56vrZlk/nO5YKZI2+ TZRrkMNWBZu440xbHaOwDAo9uMqVqRhZziLMSKQR7ViBDm848QJ3L3FzwnCaq1EbxrJU6L7kTXuH YWKtUJNSPCQoCL1n+rUl4T+wFrmoHvQNS5l2E+L1A8B0FTQ8SUouWeBkhfy/Fnf4fm18JPA98m7l MiIwQgYkzP85fdcfJ4RBECC9tSQA3bMR8TZnR4vIf7p0cUn9nkfQ7k9HBo/Ju8FTvMjQBPwi6J48 wAZkDUp3J7sJIT3epkpYBNI60KYdPrMNKyfsd3gVhS1+p5FHzEwFocwzkoAYoWU45Iyh89cpLtUe aFgc9Hkcw6BcRzJHS1NXDh5uLlBkzoc9yeXi2Z2RKPiPl6dCLqPqUwmq4izs02m7u4rfVDM53xzI 5JmBCJ2apnLgNbTPIWNjzCqDt1vfecQOQkiXngyH6GUv7zEpNJjKbw8u1McuQ2B96HkQtr5bq/SB m29qq5eipjQrqfXgF1G3n0FH+LsiuGnhFCs7G/hI3Ag3r9byQ2OA18orXagXNHuPLRxbVnJRXSv3 Pwxt0AEYBBrePjDfCBRO0CnlW3weEBUCJUfSt4Ydj9QYanH/5f+hrkvb3Vo/YC5bpQx9bg8nB0Qd Bhn7zcEwxOXX+7rcgnkAeZ2A5rERcgYo7EKksI5E1mBkzDiImKkkmYwqFyCCGd7m157Rs6SPQWvT FrUU/9fyIMnMCBVE++scLQ1ZO+k0hYW4XYvcXPmcy8w5fX5mDOu3IJpHQVuWsNTSHS1buW44CUzh H70bJ8v+kLYQLOa6+R5ym0CSvFLrFGTE7axYgCw7dMoxCoc9pkjcugfkWzCvDxuAgo58T+ypUovg IOErTRINhNbHR+U2Kz+m4hbKgMLYwzd0XVjrTW0DziozMlIHbT/uq6RKgrxHSS9IUJfIn9CyLEni M2GFqzrCNE4SQ+LlngWKy/ar5l+aG8forxep8Epl7hPmBh6spx7DF+Nf3XeG69OZ9mADDhFtKzCg UfGRO4aNh4xCMno50Gblb21DwvsyUqKiZROthcIs4SAcpAhJJqDG9P/FzoxBaiYoKFDjfXJzFsBr 5HJtBUJfJ+AvSE9LnSUyRMdXJcnqdQN49jSjG1nsMpfgvplp505ReC31YpSot9o3VlFo3VWF4Cdt 8oRq5jlraW3tkT3YElMILDZmb9UMdTXlycK9W1INkfmzV66NtI/1kIWw3Z/v1f6oqeDL5Ga1AfUM QCupdI/dUa+ULVqtONetr9Wz09uSvIXSxj2ofeTmeVri+iE4DLAsOds+ncRdGP1lOkYl/7YDzubm aEQV2hTEdDIIQAQ1Rq0FKpNFQJIUGnQEYx71cN7MlO1CpfoujOYpj5cUVsFAfb+e4+Z0h6rXflhL IQGPohBD448I1Vd7OozHNTbnyK3KgSmAl1byLuLXu7hdtIqBd0rnlrZ1vMbrGDWVeAQF9vYLqjku v3EnPTpjI/Jb55aVrpFZE+Fx3Ju4SKp66HZGFaogHlYRBPowR4US5bt2D6AG0Lq+FIrS0yiJoDiB i8JCTex4YYYAvq2cw/HGagADpk4HsxdpNduagkW+dsQZGYSEVQ20QXJ2xizZUOmQ+ojAKl1QuYvo xBHluXlh0e4ETYhTapWaEzZw8tTgbzmpTsV3nZQH21El0KZ0AtSzB3VUcFdvZvy9yXNDLEjGFZey cgpCYYyuYpQb01O+ugOE5DQ7/pRlbMu1L/d3P71jGI468BWuHVYvNqo5PcXI07mcOpeQ6pz7Q3U8 qzeomHMjt0+52RcTOIvJ5dNrUYeOiISucGJqHREb+Wl6MTQ/uGvW0+0M3eQlB2xWXGxUVjH5Jxb4 ap1O7esDq9oK0U9hjmKFvlQ484JBCNL3w9/CDnRIdq+4VCWrG1n/JT7y9Ba5ZB4LEDAPjYJEEuZi dQZ4OMT2nnOSouLYz+lhR97C3tWelYwNYUzLjZ7QxJP6vSt9w6uTP2T0UpiPDxHn6crjMaAvY+ti U6a9KY1aOLpqw4tMO88GKosc4nCDsz46XEDpPFhJywDONbi5cZLwrPoaPLciyaCwqVzYrbNMa9T/ kD+6SSn64hb+voPzk91oWZdyciwPOpOPq5HQUXdsvBiZWuRf3Fqjh2pPPZ7dQ+H5m33KyChqOy4u UWRWGFCm25MbqxelGrCUw+tQulRDaL0T2pXh5gX91iu9dRH9pJpn6hbMon240UaXjsvTTM1iGc52 AkJIKmtw7AqN8Gz26N/A/9xDBSdXu1gsZCQWeTkYICcdT5MsSyKooeZ5fC/xZV9ECNIOrVftLe62 A2HT/NtvOS6jPwHkKP0h7nc5g1s1shnBM5nTZdLmfZBCWiyX0mWD7KWwl+sihqjemCyNoJKawE06 LDAop/LY/jemaQK7f2NoC8e9xHD2ubW/vpbsV8jckTkMKk7KftkwEu5xz4Ila39/jC1s3YI9iJWr 31RttYGNRd93FxifYjyeQ0mXXEi2nF+/dgKn0m2RnNGNDWbUIAZxK7cFQ+wPig4a6mQSCvGOtmkO YTlML0D8wBZIGhgmDQdVq3k89HkxhuBu/eqih17+AMsvsYZLfa29hTpML5tm6xuEJ+dYn5gEZBTZ KL/YZeErbveEUA7swM2y/mjRRf6clScgL+yDZaYwCPbUxEElvrl8kqhGONI52MA5wV1UW4KnISuh vtQe+DZHM0iA+wPArAflXOmtcpvPdNPI09k2U5YfobXc504651V9OW52KEVBPj03Gr7Qi3AesiMK 3mgjOOL2doD6Uu6m4X2fQoNsVnqZdwoY/ZN52ZXG0VbFHD9MnY7DkRm8ji2w7F6muyX7y8lyVWUM U0JZqs+ACJqk8H74u37lH6LSDWDErticXgsXnr3mTS6nKeo5ob4on2T4N+sKY8SopKcPcrM2O0iX K8o5EtHeRVFuiIRDjv0+IYcex8yMEJKZT67Tt74e/E5HbCOBRL/G5XiTBNxbLKN2Z4Ee+0Er3ED1 BFKeS8WnFMjKby8qTo26n7JOhbxE6AK+pU+uFn+oks6RYEcLxYR2YiMlgtu9nAT1uNeOL9wBqbSC LjM35vVbw0Ljw3kq2DfCjvqZZfgNkAFqB1Ny/0Q9jJ/6UiqfPl3lsZh17X4V/tBIfH3WL7ioQRn8 bq1HpZz/b4JQvpfxiVtpEUwFp56+YGpGq3CrdLl3RWykMH1N4yT94g6mkothT5kCJkzinue2sxj0 XzWFf3hUTRi/4Gwi2SZUvcde8+EFOOGCvlZUIilpuqnr60j21ZKUNML6voDKJqPhm3+FgCWVxppK 9ET1o35Fk+JDS+CYhlZCEr5XtJLfwyQkkZ7KJ9Q7u7RsTzTEcOsVzVZydl/qM7e4Zsj8/9FKZVnI Al/cgex6ExT1lyure0YkXWbRwBVDi7+JDnN0hvSP8kncTI/XTwGSBzjlUjESZiP1I8Uy3TjTtJTB 5sGfke1WPegTOzz5AMoJbL0U6Zj0HsvcRw7l9LrJKcGZhJLQ+1De8HP/Z7TUx6jHMAUoFrpT9vAt pDo4aovjkF7vCWsUKCmeUFDE64CjD+3gDbf2/b+KsinnXYY2HsW7xSk/5ewXi6SEShujzrpDgqX+ fNv1YOz/wtgteOvtAOjU8nak6snptQ2dBU/yy6/PM0TtZj2giDUNTqgHH8J10nBy65oXZYDpGnuB qlo642NqecU+3x0vyedQqJxDxGGr0B1BySGIqWP6F0Uxkj62fuUwhnKwXfU4ziM2BKtJQ4hgi7lP 9Fm2ERdz5YZzxYJCwvOOBHBp9GU7xo7QoqndVhg6H+L4B67AopJUvmCEvVXJbS9jFSD2Jn2VY9l5 GTgQlJs4VFlK2JLbCBbRuasxP4sTEtNDD3vsSwBRZYcdFF7y5ZW9/I2oPzArizvXkxPESmh/UaOU bNVGBdbbEkUr58EQ3xsuqoGsc6PMYy4y+7XOIUno8aTAWuV8Swhh1u4XMHRSpndmn2YtsQbIRY+Y pf4vgfA7KhCwSqhVFYSnqMO72OjehsJLmqR6Zau+k0quk23pqMOvY+u4kcfurmXI+qzHYlaRWHDc 2Z1jHUdFxDP3Bn5J78YCiig0q6z+8Zwl5GyGoy1ljEzDh8z1CUSPjSbToOgOVCdbOBH0psswdydZ 1hjQYEBvfXZlJoB62AMRZ8ry9vR4fwPwZxg+bzjbVeF6QLTZNXEfnhUAPm2OmTUWmq0ZNbNsXrem 0y0YCJGgyjZ86L1VjgDGfICDng16KqtxAprGj6CAZjjpWBXkvlU2x3DT1wD9i6uv21QN1IDkDizT cVROFt00yw3n18F+keNLGc1rxgv6urbAxToIQuFRNPnMQf2EeH94j8r6aFV0unvQbwZaRPNaufOP qsbLhEMRrHl5sMfDhMwV78IprCJCFWtX6vMmT6kLGyLr3cYxoJB5nWLPCA84HWMDaBNaEAYzZG+M 4dnG+e+OKQP0r1UGnEmyyj7csrschd9jDYSjQ+H0XWHGmHicELxo2K6rEDMlS/dmiUvrSzSG0g3m jx0SZyYuEP/LX+Yf3QyKh4XoWr4vDYJk9DTJ9UCpPGhWwaDVChI44ZnJ9sM9yuwmpzaYzIxEyATi Li/5ZE8UcFgXIUJ0Rg7Tsz8zTz+sjFAe6wsyrVm+LMZhHpdLVRp2/gYPRmra6gtcc5t12r87RvBs cMNMxB5nC6D73j/2+TjwuaYA08lwcu34uvez99/MJua+17G4/+TGy8ZZSfdfxvrJI2OwcRRNGTJK Twfg/TvU6Bba1XA3XMPNu1+qPmX/EXKAwTFW6dzQ5qTfhZDoPXPpAg3hbPJJHqvsqtXpbIK950oH 42qpntBntLE+HfSlqTgQ1I1UZm5WMe8naUOLBskooj9PplRWTAJOnnu+MFSM3684YJbb99BBzVuJ Nb68x582xg+xt8aM2ce+cKc7rVBQn4zrcC5Qpq3ymd0iSyO+fsEicfeseRNWzhzHkI33ff9ZxC/k cphrme/X5agy5aIAx5NkpF9sgTs6AHEcrpPx8yIhX18fpYc8JTbvDeZkCtwJurbfpoQ+AtMShL/e 1+qq3gbxw1aeVN5SN05i5GzATogk2PDMrHFbTcwZvUnTkHEne/X+Dcz3AVINmb+fqXx3V+nGbq0G +r4FjqARA+ewCJ7ZpDkKUQzzXxhYcQSdS3GHJoxPAfgqo2kFuwhLXY5gTa7IQK61b9jJR3l/BPal U9G7NnIGMeRgskBAGoUqhiy6zQsCb7e7wzs8az+FVph1U9/JKDPn82e7SjsM+2GG3Q80rpe/ysCQ cm1bEG8H0N6sXJJzU/Yh5xZnslAehllIe5rzLVjPk4TxqZUeD7S9GzoJhbjrtZsNwfxBuXgUoES6 WoBxTPrs2GQ3zfczYneXKxk05zDBtAKro+h72cLWIpVl9PxDSslqkXt1oVKg4yOk8X07CqJy9tOD RYelPWDd16lgMICyH1HJXubO/JVBdQT5zpiLiDpf1/veV6gUbwwVtV5hdcAh86eu4iRmhbldoKdj iNWQqqobjcmVBO9w4TOI/l2Tx2Ggu2asyIDTLGuAUoLA953riXTNMU4yUDL3kXHWLkfKsQ/vr75g SwKKEoXgyHbZvY0YfIRpup+ssGW7YfS66kmzrrSCHeoEv0Xh+jlc0JpOa4kFbkeYsPFHxqUrxZFW WPbB9bGWeTc/Dj+7/BXHCRvr6k3WHfl59bWBx1Ks6pUk0Hh+cEHmjWvIwQQF1UBabxrz59lUMsO+ 2ga8SRZBYtNWXdnIxkbcixrpZNiKnhVB9SLsEUG9jQXngjMDCDUBvqa9TTISi6t7a4KGgX8uNfcH SljhJD6DQ1edAJ5VEqGYxZ4pIWrTts+ZnnTc2a8TBmgHg2JTGWMMs8PthyRjSVoJNx5g3QOyOJ8n 9xc0OJoEjnWmQuGkMMAN9qJcns+Ei2OQ7u+81Tzkqblafd3hVj3rPf+5J/be0Fj0jTIHFYk/bHcs NfH/mK9bLwm4E2B++MHLx1D3CIelRnMaKpMuJGs9agErLMHKCSrBCm3cEVYRonKgFGu/huoMWqSL AAVbVOyf4Xxz9mlFGoIhS171xDJBU/IoJUd+2ZEAuT8y1n4IzBXJgNPOgYAAc5G47Dvv9zS6W6dn i7ulg+07ul03pVPfaH4uDD3L2B3pL93/IR2FGNymmMKLqN8Do2GIxzGIUgHVw1x/FVZiFwgLIsff OKws1OOZLTJsezFcUBeQoW9wNDWmOM2anw86L/vN84QVD4qTUTNW3DdTLO+jI66BHu7ozuN9Srki 8YZkkjjAjwbqBsOBIpT/CVFizwHJCIY4lRWf1iGNf7VbSz80Byldr+SeVdqabWQ4M0od5qmtTqzU /+4OieJpV/Pj11IBMH3hm3/XUH24kezvILLbjA8AzJf7E/fvZ2amhz54Fc07Gd8t2EAjz6veBnY0 WVvnz9HfwfS0olcCgcCLFHMkQdblOYDT5aF1VivxPL7hxkf0uK/RGEQYaiN3DaA+eoFKj0bxWHHe rGCRnQMG4V68PmB6fLf4d75Lmax4G6PYTP8vNnzuzKwiEtd69u6+noErvtdAIlymsGd/4LTpQJAf wHJe4dbJTmqibd+qKq8q7y0iXExu2DxnN6YZiKvfitM0jnmSitleNhwM7ff+NPrhVZ14TZvvofKZ yPOgsnD1ZT0TJmnmbElhyQGr268C9WWUWQVBcK+bfNnAeBteJB6Ihsv4qhoPviauPVMcPml/hJKG TK40+WuQyoTZdkdiwURMVlWLMbLkywJ2BQm70a7sRt+iI4mOA6DRgIevJ9rh2VJyVSC4+8vhljmE Gupi8r5iyvLnRAzr3j2kYbwWgtbV5euIp3bTTJuY35sXnd8dhvFSUQ6eyqRONrhbCB28Swv7qrmg iFQJHqG+r+9w+4tz+B9tW6bPZJb8se+LVDASnTSdeK9Ezj8kY2Nuv359bn4/qcMMXnvN6SwsYCCa qBmUiURerqnZArCRUfmWxv6akiWKFB/xAkLksjURsVV8H2GnIuKTr87R1Y6n1iBSoErVZIz7jXhm o1xhgjfnoMqgNpvEYe06P0b1y8f6+glY/SF7LSIOYM3cjq2hGIwZdZPI9LIrGqhwfboWNjcSppGE uN7TEgbzO6lmxHcuZK+MavRZb2p2QM/XgHavZXIuft5fqdTDAUqHuPU8hi3h6GoIXVl3NnvZ4QqL RGlirpKbKPWvL2EbKXgIS7aXMw3/4BsXl5gu5okYkyBSnAacafvS6XFw4qj2LiEfdRqPBK+cS9r6 eK3cZ1iaxzse/l96D33io6bQgMAI7YqMhcCgtumjTgnk4DbR6YBZYupLBYqnvJKtBnsRI17eIJ15 2uhi4gfbmCW7kKlWkaTxugM7aBVFQT7dIW2s7lISdE2LPTVIuIrDWeGcN79oBEgOnY6HS92Znr8P WeIGmA+Ggqns4HbRVjwja+oytzjUwuDS/kKP/eWfxcauh/hpduBKSq6s5qpRTyTjsdRAYVyBIQnZ kN+1JMsEXD1EGoebh5M+B/ZdSV41ux18AEp9Ijz58RwxiVFNKfK5FcuWz/l75FT7WzLK6Itw9NL1 xMwDFNmVFWA/YDqN8v5TKXWQgIACs7BRQDZ/3ZOdAc8SIbLtXNRyewh0+JkyOQYk6V2Lm5BYmOTS 06PIppf4rNLILeDXPJ2zCCUtkwGb3bS1p8PEVV+VwGVuyySJaBheWMcb21vDWXTcnZ36iD8326V8 6aH6ww2bujW3GavSe1rOq6ok7ksUhP3NUceC3TLhqVgqwdo0TwP/yILLnoH6+AyXT6NVGbVcdN0G setlCeyhq0+l7O2UinOsxDQiztiWT0rF+FGIGI7PWVF1rYWcsWJ6vcH9ksEaTK+oimMVayuwSfZQ 5kaoDmfglXW4w+qJQ5oK6EhMqVhkTJ7Oq6MIRP/0CfGozdX9gLLLJaLFuKppxAx5pF9aW6lorz6w gpgdc9tGJ2/lmM4iTY1d1ctIjb1mc1rRBGuE9utQc6NCf0SqPM6rSEFZGrNUhmIapwR2i9+1e7qQ sEgoz6oyTkNqHOkXFq5ehuoigoqr5fW998Ek0eS3nRzIpdzA7b0saQiwX/ykJ688FTBqG0aYsuxw E2Mv6Uzk+GW79oTiNpiLgR3tK5JyBcNvaeo97U3kchKSgTJZAj1Xd97mojP7wFgYLNkvIDlDaXF8 T7EHpa9NR8ByUT/xtLUfnOBwagLPVHfZnIsSBvtijPuTSJLgSa5zHUZ8Q93oQeIsBhQWaSv3h5Rg W6zbSknbNRBsISw9uqwUaknh7oYt8V8dC7Ln+p41nQZnjEfCGPLEh0TVRXYL1gb41TfsCIz5dQjC 35S6rbxcGSz09eP1wISK/ZC+2yCu1qMUNioL/5KhhO5jkumZFrkVp8cMxaPMDjKyBqJGIwzOLv9k CVp2nZoxVtGV3/UyBXyjfr/nPV9bgn2p5XGyyp67/JUa0Dg4XXTMVcAeVNo/3XHrRk6xQUnwv7+c Xh63ww4DTZwiHrnp3iZWHaGt5aFDBmfa7UuDRjK5b2SYYrvBYI2AEdj2kkiv1RmPVo2UN4MOnwq8 8Xw23fwlpdaFmIF9WGY123yneFQ0vV30TzgMHvguCYPxz9ebRX167uCGux2XctZtffq0kMEbQ3Nw K5rejE7uqgLI0RZpzQUO5mWWGVKPnYQJHE7oAw+7LIUE6n7FlFQdlyZwD1JgrVWyj3DMDmfCMaGe AzjqkYCz5X8VIE6C8Iup7FFooza2a311+jhIwnxgy2uZ5sMpiNgBUMtK4aD+J6gUm5REBw5Qz5On G8iR0dN7UBdb4RPlbhCRbuUiN4jiDLS8W8aZh3wAeNrQPZj9Kr4z1vL8piBeUTt+28MI27Xft9Op 5apcl6AmB4xTM18RK67Wfku5T2zLJPcywawa1V71c57Q/ld4cU5ZLKkM45iVFzJPtrHLEyU2YulL Sjear+PE3A2o2sgLUwTlzjb96QsbcZzaeGU+/vqI6S1w3YFE8cFrKK2PCexlpqCQCTzrWJb6knJC N3RSdWUd4lwCPKlB2oJvg7Poo5GZH3bV0FWYDhp0YFcw1STrD9SbpzY64omiDVJdUa4gmEkkUE7E ZamHvjwgEAgWbSr60/OJDO/ySTy5/tbgaInw8VOGzqeMzGzdkj2oWlW9nWh1+b86SkfcVIxh4vh1 umJZtI6ygFJXcytR81ku+3vg4Vb88yIhi2N+JH47OA6g98iXqLRTXoK5sUAI8Ltb1vXujHpvmuFB en71JJdcusxU23hkAr4F7z/1ma0rzqKUvqGQ0JwJ5puAuY/biB5MfvRmSGIUtLAie35V8M+SlUZd u1DToQeVmtSHYORy8KAm3+bqDv4UogOqvjxiKVO8rKxSKkUYfuAmvhOppWTj0u8sh5M7Pgbg0haa OTfXf6VAUl/TJsFZ87+h6FtDqlSa4nHL3Y9Jb7znbPqvVihgoLu40Bwv3qe8FzkoIbDc89emLOiR C9R2MI0qPPIOhS5mlXwzT2kIvBD9nDJsv2DommwhzOxrpV/u9Dw98Mb9qpMXX/b7Zw4bSqM8lvvG rGpKaC2WMMGsbiEwroSQJyzC3ACMqssBiH88d8ZF//zHQvD1fq7ZqtQTmqF2G2V8X1Ql8lMTk4r0 W0TaPqzO2o3MVkr5LvReRKuCa0atrg1WVswLt+UqcUpslacTENXHt4Fn/8Fl1qqVHFvanHJtcSWj 54TcMGURRNldwY7AQykYyd9oNrMYOwb3OtqFZ4y1Q4Qp1rSIdUIIitdGUXkgHwGfKn4xqFWX1g+X fLxB7u4tvJmxS7UGLfvGui9uI2/ai6n+2VVUO5+72RNennK8ltqZyf+4mEux3fexMoEpwWRIN6zy ufXtJPlXL7/sWtbLumhOYJMwjClaVjIOSFhsYovJGSq5/5Hz0TBCqhoULdZRFz3JYrtWHVbQ/7CK qBqXgSREDgO0d2GlYX+1GeOY1lFskSdJ1yGF04Oyyrd5BRJhUOh4trjNCCgqULS6A++AUIY48ii7 q9eD/UT8APSlcjM8jx2atRBhvPu5Oc/rJ4xpaOh4YbdhC6TNSn/k2xO5uqpf+K6nLSA5xT14YCBB +NE1/G63J9y3sZsaqVT2pNBh4+ZTBdWs4vLEqY+ElZFZ49YHk8H6ZSPmDE2DwPzcDt9QQc7koMrN pQ3YhDgBIhdOW415lTKnPs14bOTot89I/pzxc2lWKbqUpAPCKuN7HZJboCPRf/AC5FWi4iwL6qK+ JvO2q9YCnUzwBY1QRFyUdWMrHjtj/ILGtv+KRE9qwe+VMZReegApYFR5QtbIDbdWXn/I1uTHquYW v80cOfRzA+taLPMZYInNpt3OZL7LeUTOBt7HDv+Kvmc1sTBPMXkPslxqxcO8ec3/rcnYSNj/JIxF wIye3+jdXkOru+j8W9pIKeriayinp4XaOWnyfT3keVCYb597SUKcmiFUI4ldlQPxqOq1tnSR5IYW 78RdzRoiclE0w6URAtw16NXSY+Rb3C5HPCH9NQ6dh39uBPtFXmI7Qe4mAUCLPJQ+1rhutjxTHhQl qBPYI1V7slLRn8lUWSjnCZEAKiAHw/98c1MVfaVv3qtW+9tqf6SvwJxJkK6CsqO2hjKMaSRVS5DN zEi8KHzsxveAKOoVK09ywgakzPNg7PTxpcZAbpCb/du+Q9EMe9y5vTRMX5LpmAgfKz6qXcOio8rf 139wxbGmCQItRPyEunoJA2tmJs4sHQPwHeIDbOCKJwg7xoBPvN6LPAe7PCis6B/EqmjAMeYZsRto /6izu2/4oja3tyHTn9CYR8OQGN29Kwm4/2wuzAqoktO1eLjU4RDrBYKJvH2psbek5Kb9GUQn1BJA N37ZQuCeiaQJPriyQvwBw+NGG5/wWjYVE39jqmqTBMpqIYS+BKZpWLwSGbgNj0ETIdRf1GZDPgZi X6e+mWNI/WzyivOFF9IS7vhU9kMsBAegnIA4yJax1b3hBeXRWmx0w84KyONtq2y1yO/us1spUHrV Ba1Vine9CZTOfPU06xN0MuXYSDX+SU/QmYiZxeW6qY/9gwRyF7r0dQaOAVsUWEuRjN9/IW+WRrNA grWeEN22z2TTpJ7OU2uFC2yeq4uTtrcqJI+2FvoN2TTR9fG+2OhUhAHmp5x7IeqwQZpKLd5T8bQm w+aY0KV4KO4wu5188C2aYbycy2tnNlmNPMmWHaPSud0V+4XAyM6cxVCEeyYnzmKmhvLViE3//aOE nqZH9Id35c1Fzn9ZQeuIA5FWe/h64Ol810IhaTqIbWgeJW7gRWvtx/z9PzeVgJYG4FJ75en/WXo0 GkXcb9DrQgSZJAWMp87sFLPVKGaD/IgiPtKKUfQq5pKb1FmHqh8vhB1Tn2uvS9QxZ8E9xUvCUPNu m60L364mW9Np0OIqtsPCWY1nPLSR47j0OfrgmluwHtuHpyLe5+jN7Z+bktaF3X+Dvbjm2nIYRWbG ERZ7ABLaxCFb7IvraJarUjfOIekXCb+t/wQjNFwP+iS8037TFCLhyxmFRQXd1FFD1kXe102pfzVO +nPQvSlDsmTl4sFEupwAWDYwbO9OqIbnTB+G4XoWNwb0q0UG7dP5WcnblrjXwuPFCj/TuwXQWvbJ S+EVeuDQTN/ReywPvVmQ8C+APAinofwsgqhGr6IYT+YTca351l7UIy57Sjm5t8K3YNaDEbbCJFTo Cvzt6M8qpgSk+uK+OjtNDyw30pAHzEe4ERDr51uvQPbWJ/JU7ZQodnpmkPCPZFgbfumQaDCCzpki wN/E5CXfkZEVijR/V0vAPW1KV3Uek4opeTYGaFVz5lqa1ncSGSYPYMQCnC55PwHD2DMtIU66IloL Q5BgKFDzYdJPK1vajxFCBGbr2o5r0sg/J/fA8K588zJ+Sv3w8O+SHrYXEyWLATUe6JgrgmXJAiCF SEMjN/2Tax81zF0M+C1K+nAI7Fl4u+YuVJb/e7luyIOukwBBXPBcsemsNTZagb24fjrXo5gCW0hO 71JTTNLzxZdKQcGxGU8zvkNTPh2qt8yNrbnZb7rwwsdBscEqx0P7RThTxvi/jRb66/GvlBzloxHH /HS+JaRQwjSbc3fX/B4N+6eE1VNlwL+WUpxHU5GrhcxvE/fnYsnT/ZW1Tcbu87Y9fqHzSDVXLPo0 PTBjbwZsPBy6/OKjDdgM28JzsUASGx+4kXGJ8M5yS3GmIJu10/oLI+mnrGTen+2YPuMf2/XB05SD oc+dAE1eY6SZXZFred++OHaPShOJpa95N+HQBGduiarKSoA2r5vu12fOA2x2vpvDvBeSSkxYYz17 RchObiSnd/elPF4Bd1D+NffS1ECN26GNQ/teRpRiCEygTKYsiwVMAd8z4OLBcH94bCMkmdseLhWF H4SrZ54Ht8Y2yUGxlff/aCoRCGEiGqGtSpZGKdtvzq9xHiWCKcOnUiuYSgxVR58z0nkB/D40LjSG zdR3gHQLBf67fQjHQzAcVjhJ9OJ2d/aBZ7JWF54BYXu43J3cY130xadvl26j1wA0rWLX+xG5P46t SGHFNqPc3jYUe43vEFi+QL1dN1AwjiwwUvk+E6DAEhOW/dxGWi3ytNdOharY0+sbKebp4ir2qHyC KAzR2SeDxGPLBIRft5wAE1R3zXJWK0PKxgFMrqtL12nATJ9BXv6w9/BqT53WR2X/u1j2lI+a3Bpm wnIxeWg55wa2NW2GR9zT+4Qdw0aKWPsSF2bEyEgFTWXyeuNN+PFwUekrqa+JzR0E8ek5xdVIS/cF 50aW9vBvOTZ0pQT3au4Xj3mLx4b5E2wfAPaO9VD/MbcYjtPHPvEMlLLnvIiH0P8bUzK4gTr3hcw/ sCmN9NaNTkXxEXSkYl9fVaTQ5IDDHqM7z2a02wL8qr/dZsurpU2pHLqKnPF1WQSK5jByZddLlYSk pT8iWinD497XigNTyhbAhrH8PWnKonCdFJar9zGA+QvSwbdnum435WYAiHrONmANvxVLw7YsTPyJ HS+ISX88xtWOwQHRhvZ+5xRHlT1/TWsC743LmXLJcVa0LxXji8gWX3Esyjixq3+llENrGaaEd3at LbGW1w29wMSCOyB9t3dDf0Q6SiDLIquQbTwucbq/G0ryOX68+NoD+1Ip4PkvObEVhtIGjc3KawQL 1jEZFFyFfe3e4ZMPn2L0k5tqDLHbmBvzPvnXe/RBTpwwgOxP478tB5TGsToPot1bGEbrF83oInP9 M+aVqKsRoMbVKGxH2BcUP2MeQv76GRCtBbWXEs3Rk16W40sM8vT5kKj3IOEkmmkHrq7lWt5VVZGh NP7+4KwqTga0axoClBNA3dqBZA2M3dZnTQx+Lje02k8wzqv1nSThNwC9iBAVVxr27ZlwLWfnMcs8 mIZs2bHP2+ZUMcmXvC8v8js/g+EBaYlSnBQSJEw2obFkResz4zn9kTANIdLvjd4Q+K8UamSvNpjv qU0uCFyTvoD/bgGhKGniNoAosq1/IB/JIQMFFNOHWOwHR2UbqrSwqT6DIU0B8lyyl/6kuElQiFq6 9fPhY8qeu0fnonpsZzqBSK1dmuigY1pgDtk9PKsl6VxntM6oB/hwl2xL4A20M0Eg7mTQPGSesw+B DGcW9gORXVUro2EZLaLbh4XsGId2NGxWGO005w+wNdob26aqPqsUIZYFuNk6OSTVQzbzUOq60DwO U8BXpgAArUWRMKKOtynm3TYIBsVhNztg2pjsGgOr1JaelqK5Ic1ZtHOik0l7W5g105qB3k2oxtJ8 7GN2vTAFNqxe9PxyUSUQ+3qlRiDqfzxIBl0RJbQk7EHhhAV9viDCGpKrEkiiPRjjuve6c7eROAVf 1zm77BfRQxxMZAex/5HUI0/BlNZlIzabrA/+e3/PLcmWj3g03mYM1Bwvg3bg9rWEbbYF/TD9FEks KpHcCNT+O12uDCq1GfYjzx5ORZcj8c8NfNqTOR5EZgNaEiFFOeFBBKuid7AfVklaQFO+Oomzikzb 4v5HBIZ0F+7l7LBB+swkjk6LDegnY1195vNtjzHU/4TeL/cm7kGLco6XIwNYQFTEb/qBwQl76GH5 GH9ewd9q0QCw2Zs8WgCcn+P1+XRj3fTJPnJiPskrp5Ycn2SeT9s+ElnUw5VQlrsfWuNw5r2rl2oa fH2iPUQR+mGBm9vxFajtf7WOkmwq739OvKmXPFGxf8tUkLRhaPXg2NkiaICthJ5V/OCKtsKw3kmP FglNVI1BhKALeeD00tIL7xUA5fpVLN/RiizTgsI0Jqvcsm8L7+lUuLGlfgAMKrTVD3KDR15V37ot uYZmlDGplTVTwgPCiJXNtU8w2vzyra5vj37Ku18xSa1kA8p8qugWqS94mfNXDiWLKCpdbkfY8iVk 5tCNBBHgCvpyjEexQlGGlUaLmDX24j/L1MRdCGXfhgzHYevw/cZJXVJ1W++F88GsSOahyhdmMPMh XVn4kjgNkC6FglD+AKGUP+8c9Y7QGtLUyKgR5M1Nfs2dbP6bdt/Vu4gVEuJbTy67TN4iIox8eSTz vVCE5gTfpsFmgu/8Sw8oBaR2fOYC9X9muHS+ETsMzTvx0qyYs4D5L/o5azlcBPiBjoVC97ti9tIh 4NfjPTY0a3TaXTKBQUhO3wSGoISqLxNoA7pkEtrKvJjSIjDeAKeNvjoLXFGR/AcO+2aH/gwe64Bv 09TJYxlSN38rByoJmDYD7pdiWRfz4Vb0ild80VagfQCYwoA+2gg5sj8vJY6d6Npj8HHSNhV/zr8F hWdX0ADxgfkFb5jI+ZWYV7wmfUwZprQGZ5D/15bc1y7n9ySckEKp7FD3KqLmIDnjVxRdMUidglVg KXX2K3+9Cq0sNAqLWtao6KUuBU2gNPjdKn5eDDEMbiqOC1jFR92tIWSDQmGjBd4lAl0Enz7zKNwM 5CoE6LD9zw64+RAuwFxlsvliwgZRINctXnnDl+/Ma2mtBISVQVzrArlSaBYPjjj/m0uPc7uWptX5 3B0iR8B8J6x58A7OEr9Abdm1w+KNkEGfOo1lK2xFnWGNk/DCsULLOLPsrfytsAb4lLKop+/kS1z0 kJjJO8tPcWRJO5bWjyhdnt3167rD11cMjBbx+sUje0SaRvb+7Jw9DxwZgVHWF2YGY1jWGqaP7KBw skED5q3viX9mlrL5BUbP8qRXo6BeYRyxmNMfoT4Ti7y17wf2pvu4a/5ju+trLqXh5tz+kaJlO/EM KiHNf6KAXdvRFP5V3kjBlY6KGHv5EAL0+Hq+WTo6uYAGY1Oz1r8iiyZl64P6XsVJNJzEGmsqRMO+ Y1o6lUTc3czoRzeBrVqolfL8dUFNIUAsik8MX9mNrmh51CYxiqyCXICYdugUKnZZBFhmVKZluat7 ja47U/5VcLSjpNh7yxpu9MGGLLtimLEwmeC4KMf+eTvXilTBfgOqh6m7xTyi9WmHEt/2ywcuUPGE cKoBtv08kx7+cVGLD0+GYfOVfp1EmyYJl80hznOePIA8CwxSezzXkwgtJg/74ZsRalnF0U0Gikv1 v7gel6i1O9REJwwyMvOREqZXYxv1Jfp70O3lQhXm/Ie0UUMIkRlf3i+PBwL69JykZL6PkrOxdCzB Y8Eb+AgL2cYhDR2WPC/lCQlGIfizJwXcYyyUBZKKrcmbX6X5FA2MIbkUHO6LER3j3a3w5TqriSAX dgl7J+BNHLIXnKVRP6I9z1PXhm8I5hjijHsMIEUxkKF+18x/mDay/56d1mdaZojfQYvXYjXKPJR4 QBwtjRp7pJpbBE1K1+p5iFlPcrqG+etwK4EHYlA3+KBfH736VxcQbE4j7OQCvLPjpvPxkgkvZ+rZ nVODZ2N4uvyzCiJ3Y/jJAs7A0Q4Lr3zPptAK52rBkipNz01tj8xOpQyf8SL3wPWn6kaMQD8QPCUN aNxUGwcwFW17unANppNS/ECvlexcef3mk6orMa2cdiTa2LVYZvtAj8xgUQ8xPtru3G2yy61xVEXP seyrVFwgNPH6WG6AjPFmX+L8Mc8q6u/GA14YQrfAaf0ovII5d9EA74cPOfnuCDvHggGS2M0ye+XR ccOXWp20nqSqtJWLo+otuub38Jx1e20akAFtT1bBoi+WdENKLnBEM3uSPEJaYhu7NkAMKj/Jrkmy igvcWOEmEiRNbQpXEFtlcNLaeWEGwNYu1XTED7cV+GB+3JYzh2VQk0bfcyHcaDF4s0DWjCKgdZfc lgjTKw/81ESTPUjbTDwPKaupfQw3Kh2xo0Dgsdz+oitnWtGHhBlhvujRqgvabvj4H2Ucl8pElxFi xU2inYx1NnOgWISSVUsbPQeoUbL++BQBarzvTEopXv/L6rqsIPQn9gPop3cvBKgJgh53Cud/Nl1E tTQ9gqDOESykOg2rHrnqJ7dZbtWfGJeJ27fDv54uVfXIoaAPg0pi8hb2F0opRKUR+g/igj72WFqt ue9sl2Gqc40N+dthAAuCfftolnA6bQOzGxBzZNCYrTYufFT0gpISkHHDqTnU8r8bQ9tdRTz4NZQ7 AOZLbWiVlxow9PZXrG9jDWN6wZwNVJz+eN43pgHfu35ahbdfe5Ds `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/common/rd_pe_ss.vhd
19
28350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Syf21YU5JnKptD7LOLtaHZM+q1VIhUFTxsmS2r0ofwQ3ushsF40KxXOCQsGAnXjGfc9kVb3Bn0ME 1qO92hlu9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dY69aEX8OSz52Pib+7B1y1Wvr7162ZPVuHYqEcMQ/oCfJJrpwF+oy+zQI55NVyz5aWKsTxE6uM7J HbTWuphJFeGo7mzwyRD7dy/8IFTp8OHV9aN/fKWepd3R1nKJ/+bdmSsliOOw+inM7pfx0a3YODTn FRAbVAMQuwe+OVuT0dQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q+4W/1zvXVAi9QMds0GLwNMATdnR+yvz4Aqge4tYro137XvQ9NhFGdF/mXOn40o0ijOuTLANSGZq Y1fe5IvAhv/BzIqGLvvBSGadUyLWCe23JTco14xHGh+EcGpkQzSMsD+MtFlsKB5Lh4Pk7Fki+zjY CYS3IH1yrExDySGaxaJ/xIpVmbcDUIB29ts6Ape06rDNuWSEZkqi5ATlUPCMrVpXs0LgVRBipzor Mr/lCisQJrroeVDmbpQGOxCT0USTTIePtqKzCRURmGOM39JzikVR3QvCxX3V9zs6LEiHJnsAr/WX JYHo8e0tsbF+S86/2TJe/j8LJK3VvghHADCdOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jFetHSEk8pl36rsszcvK1lxgvI24/D3eeWIqqx4SgMWK5zMch2RGKDJVjZdo+SXrQZtG4vIfoNJ/ M9NL/crW7IJ+pa4Cb2wH+GD2pA66Yo3aRE1Ld7EknU3x42o8aAXlhcPIjcxq9tmSO5RxnhMKlfjh dMPsoD+Mezyol/EwGPo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Jgq62sziWqkTYcR9/y/ZRFUy8fWL8zR/UZTwiK9JRpmOKe++dsuUuVffmjjAGJoOkGM1fnXZqKj9 LDnUvlqAYGJAQrwT7QRdCNBN9eBMyr6WJUCOkpNRo5aWbRqVpwZihLgqtvesSbzoaKe4eDRdiEe1 xKR9vPyfNmAnPN1pwf+2YDUftVl5x4CmlqRUCO2c3iETzT+xwYzxqYKolk4Qa8DTTYe9PvjYqn2/ dj/jpAwnTcOKUqpa/3FaAU1zgLKWphnnTU+MOfKNP/ow3ZLVrmyiraKTGZlBmdJF18AzYgHb4rrc 8Z8DuRLa762hnT0qbzjf0vtKn06WBHgWqansQw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19248) `protect data_block 73fkIQgH0nh0uGpbXVs5xsu4nDUgZgUEznyliPLuneWZg1ZmKjrPY3Wn3oHKnAwT1Xp+IJ7iq4c8 MmJabdVAZu7iLqHjux1JoZxAEFRYCvGFW9znglS7Jj0CLjKYZ/cfFCV7CcAQNj03a8inyUXlMxn+ /ZTdZQam0thxTPmhwS8NqFGOrJ4yXjbRTWC2fL4yj4vQqii4yTuWAf7HeEg6Rr1Kywfs4sA2ji3B MRs6zsZPBaahp/J55BNHFJcbgoNwGqVSj77fj5kZ5kLF+a8cOSm6HzYoLQU07uKTw6tVRa4evgJx z/prLUHR0iCBm6sepQaqHG3VpwpYEQQmLpH5UTZWBwWid55f6gjcqN6nfQfZd+HNobsU1scGk3hF KvCqkZsIUcw/9ZhJCsHof1TYL9lQsvKgNFbCDXSWIvMG0oc9pMhmGNm6qeiMuxJwEn7L7suSc5pB FaM+eEhORjIYtcxEPw8pUOKIhByYmqzgFIt0ykc+YehKBxutEUE4LYBo0eimerxb1v5LvQnyDMj4 KAxfoeWwwWnDQRUC49+GdlRJcqKjO1bPFVkDOa/zwZSFGW4aknUF6n54ZX8E2PFXKC3hOKYNG4ya RV/FjBAPB33EHrz2lCSve+w4h+J56eSA5DGA7y1WBlMk59UQgE1XARyckV1WJB88nyN8W/vQTDRl nsASH5grdkci609nhJSP2ZDz0gxFf4jEqvix5/r3nxiJQCTM0ZLBlisyzyvvBSL6IMBM4x/Ah5Od 4V9reUXXxnSTJ5T5fJdtus8aIV+FnZWz9EXPc8aLOcX3q/LMmPABTH/gM6wI8wUZjtbOfRNxuh4K 5Dl/jPg7JvgUUyLdgMFl7lWMkh/vWm7gq+TxlozfT681pT8zMe3TXmehE23Gm/Lc+Yp4++9a8J2E nzChYradLs+s3Ybshiy9BCrWd2/1h7WC49Hanr7iSCSJ0Ix0tfR0uPWR8pcmVasUcEfaKHyClWt3 Nxi7vFOhiBjglECRLq9jQoQB+EYHtOGjXofjCU0Hia0Zg2aqxaElbJ2X4wW+1z/wNJinvLAkXJ9x ZSvI1HxwQyB8mqfp05Ork3UOEkZhPytzDylvlyOQ1WnaBdv8+0AB18rkRyaSwtQfayyDV9dpDgPK 6s9i5EXkJLUx23nAfe6Fq8xDdTiQ7kkUddJuxe7WSNlSbzNu0+i4intCXkcoMyY3lTi2MQZ6JBiA 7Wqu08On96x9062uUeSZIVOvamgIGLvRJgIp5tvOYby27eILPeGSI/6lKNWLHAObd87l/AvZ6993 pEiZtI0Q9M+d3kqEgpif73Zu3hWEbg4sbX1fpfBTm6coN2ey1t+ryFo3nb/GEXU5ROSbSqIHp2ZM 0lnKPVXFmVwlRwUd02mRAe0NAWyQiUZmTgFWarpC/pjGeHa0MDjC+mpH05YnlMA1WA+7goU+2Rib M43hqrWk1gVbAR46v8gom0jCGD9kU15PNxeFgZCLdGNaNMvyGhac7w6mE/lolgANp7iEEauXgVpr VM2e8XV9Mv6eoddyzVq0FxqZGeVqZVAIcIWk0fRN2OuPcQgS9+EAWU114M4rrMG9g8f3osZodHfU pVKo6S+WfkRkS/tqsfWbxykfNO1wxHS1PmktprkJ1rCHHytwT6aIwNNFVn8A0GOsw67T9yOrLOd1 cVas+T7mUXYdtEbVKbSmsjSkuT8TErTDrqQUB6s23lBnnXRXNoBx+TpU4y+j3KlTFoHN/4qqmg7j JBzEBtFY3LVzKFovKFJ6rYH+g5Hnfh/r6iykPVemB8Fxn/S4vp+l4rpYBPE9tRTeUs7wfPQ8pJF8 cVsbrQkPfjGyv9F4jqx2aYveQzYhjH774BVk58nOa8JjpLonsQC4LeIBG5dEg1nc9d9GiBdWIAyO PE529tlBifTnKVduk3YtiQt3OaA9Pk3Le+9WXJ2kEy5xzrUc5jThSRG7NjuEB84MC6TIyCGZyyXV xYP+QuCqlqrHIIWsA1CSXl2aOPCn10smp3ElTFIu+GA8fEElC/UxgDwhFYurn/ZjZpCTKOJnPTqP j3/wFyD/hk/7qvmnDiKwar1bJVDU/cIC20H1KF9aBuFNrAI+kPWwnuPLhBbZLdyjl8aVecRQelce dt/kY6/3a0W2rx2a3L0LfCf9AeQCI562MKIwb2aBcy0Bj6tEqNZE+K4d+DbwI7YfXSjs5tDqdjr2 1QW6BN5qXAkLFOxfzmpemg9JsnQPXdHwxU4D+x1hLILGhbsETiZexdtLhjWp0WgpW3gCMTVfvKgw WgnUlcfAK534BJdDdauhDDK/R9P00aKU4lClLO7jIvevSJc9aSXd5/t8MlmtPoWDq1Mc2qxw8D+7 XifiScc4R9VHpNOH7V5F4vq+CyPZwHw9ulClDh8c+7Rnb2P84+b62A4GfOegjf3/u3dcoH61Kz9F DmGP+ZmfRn0+Q2lUa4NetaH05cOu2yg1dr0s9gPYWXQFEg/nIsO1nfi0PiAh2fn3d0fWbK6gCOLQ pXJPxEh9/4LVhY9NYu2TG3kv4WralByuPaoVUEhTqZ3stIzG+AVJooZNGaE2GKsRpVxoljpTJN7q RMwVvbi5BZjJoTcOt/Jbn9tOHgc5sbkJSXtj8ZtlGrChVflwdqPa3eWhv6F4D8drFPJYoQchN73l v6bmA9H4bY1LSvHLShV/lds/KVkr8zeGG3vSM1rriXqGZcrp2RqGpeKLbPkZ/1arMUwFVLhlSvcd K7RU1dc6GIRM7XKfbMl7bK6B+yBlGTmwM67RNA3kqtaNFhUqn5uTj765ds//dt9BXIN5ziSj/p8e BR6oxTAEorrRQ5rEoUrzG8re2KoQ4oZl8fDstiaDXJTTcQNlzzMoYmyKJxo7Zzk3CssjBkgTe9Dg tKxRNgFt2oRbEvJMYvj5EiMeUgemY+VDOO3rdj6EzrjKufXf7jMdoNlVuQ4iDE46N2JDpGXUmai1 ztJ7qjwbl4pxpKOuTmhmS9kc4LLPnZmFxXsdCFGuAXFwOhQ+Wo4Z2T5wtk4A3EeIIiArl0d1E8yy 3rcU3B38pROvmavqAHWwqlbBkj0178cOZzRhw89/U/QoYsasOUY2uHZEYZDRgKf1Y0gMk5r3YrZN EGvh1aY2upmhLtItyRVfXnuNN2jYamasqAA1EjLPkzMuKmiWmic1NW/RvyhHZpEKikdhcjdB2P4j yA+vaKr7EHYMoeeovd5fCt5ctL6q0EOVV/V/QGG9aIZSPA3lPKWeZJViECQSh3xvjVkNP0vURmZB vTwfImv4L0KStahGg7CwOhTdOIZqrD/M99+6iB6cNzxfJ/mj6ccq0+jViuN5JF6r6oLnlgn428HN jEvpGEhoQI9oSCEVw2hjgx2HcTL14tGpzhfy/g5lHMRiWaRaSxPcIuR2xXXaqSiLROr+stogy6IR L3gMLgNqKKGyWyfZZtzjNaNCRQF1tK4BSSo9DImYnsesc+2glg54y3mQJMAvCW0ta1c4Q15eQLs3 UaIiMe4Hu94l0bSEgv4OHLKTEJcLBkHI19T+3zhzwu5+/cqcyMG9AlTZm4pvJw1OLciN3hdFc4Xz 2CKrAUktyx9o1+GFnrL0IFc6h3vcclo1gMPsFNIs56CbIFQtRggPVMP/x1w7rK+FUhvxfcdiFMRe J5sNOLya71STlFJo0sFwwW20QXvGBKwYG+lM0ZGaBzyRBLqkJeQnsOtrsB4aHaVoaOCru0gBIAMj tkzpQ06N68qMRFYBJvL1aG9rs0VA/sgt7SXEKbzraHey5P1n3lzmoje10T/GwTj+8Ryg59JyB4qJ 8EwPfpcc3AmZSg8jlSw7QgmQbHPvKawKrQi93bDm/wAgiERIGGDf6HT8KFbk9yYYIKIK8cmDuQSQ OX4fakuwWqM5/BlPcCaSye22DCuirQpx2CeqnQYvzjz0vJBacwNqHxSwA10iMFVKWRSyZUav4ZUI Nd6sfT9IQB9fVVI/qSmyj1PhF6Q+A/Y+Tx+bQhf6YgDYhXuEdmnPviyEi3ZlyUwJ8rckJ8QtObpj iH35Nr86ubwspH19P8e468/5040jEscBLY7Dho+fapvfBlTojWx6/VbwbCsy66XLGVLFSGzYOMsh afKWD+JJM61GJ9hLGJ9u4jpF6BcrG0jmctN6RjZflRFY813XNZY5HkyFryeDimMnWdI4S5kOFgNa xi6HxPHvJwKIaCKKGum3G6J1CE4DlQe3ZbtsZUsexpeW3pfSmMOeqFfUZ4Wp4ZxvrEWm20Yrbj6o COfGi72jQLxnV1dJZQkUKBH8N1K9jCFSZ64TzRO8jRM5pxIdF0I64IruucMq4kwfo2c9b0vrwtml EccQUlJDmRecodKnkuCL0fCQ+V9Or1bNjkSxzuTn7xyg3SRYUC1aG3hjlKh9/Z47SP5iX2gqKwdQ 8H5wjLrrJpOMmAFjHaae5+F0DQe1VfsXDdCy3BAPTPvnzgKB6wP/g2ySQqAYSbI4ZyHU2McxQydf IBjaecNH83tnY3nmrdGMSI+fMjIedgSDYYHbAMJB6tmCvp7qkykPGIie62OZt84Z+uNFTLdLz1qu phZe85Yh0AJ9lOJGC0jL4qyBZaCQpzklyJsIZNKanaXIWDF4nClpKSE1dTGQv/h94PP0SNFex8Gr JGu3jEVKzxPe/OCRS4hYBHKb6c1kyeQ0u/67fIQwct1mddNHOYBJbwHZOMTzCf9bQNXm9fKqHDTD 4KIaxIFC/Q6Urxe/4eb38OOrG71OmMmUf5ENnneUH4zyKrpHJKxTaGZr3CS1+DaXPn8p9PWXUFri ODRYN2VqwjRy4CnLbmScZs3tzzhYgj0ULDV48gnFCwewLeGC5pbB18jBVB+1lhVZg4IHrElQqKT+ Kdl8cRoC66HFhuxeuLj7cCWlRQc9Qm26p64b5MLaPP1v8wJLWCeNRV9rPu3b2NVG3bJbIpYwOv+X iI5nG56J3tM5mOeszSKZ98GQjUz7NANg0V3oTNohAobwgHG6HaQTz05sgKhkVV/txpVGHclTqhKi Ijs4qxO795o120KDEsBEwPY2Wk2xFTpDxVuSW8sblw2D/mFRsDZqafQrNRKrrNTPVaH0rLzItgFb Jj+Rl3kOPj/fCOzL79NhrHPKHJyanipol8twRbLl62gFUFv5IZSr53lOAMLgtMjyftTfZEpUAqvq PMJB/6TVkrXxIKbtqy892B/bZzFCzWfjbzYYsjqzFvAomwdQ8m6iYuwdI6izyJOLB7MX3OwttM2M BR4brdut1/hrq93Wt1UPJ6LMod8k35VHTbEOmR32f9sb7utRQqa2x3B6EtcEOW4BABIpUq/Efbe4 tbKYmPUL3bwW9tGQjHQKJo1JQxunZ/43UnfzFU1gWlfVlnjXCl0Fxs5eQvxAycabNl8TS7sKiow3 oh87CDqNnQOUtBMjEjGNsv/diT3Lu6qgU4N4eHd0Tneo8fyJizhHhNFre4l6zCN8GYdGmJhgeYze fxOWjQXTdPXa3esjOgePjevo7xBK5JrmeBpmQoUmxTMOLUa1dBMvRQQ0hbKWErZ7jM8jLUXcu++a GkfE70BjO/uQ7KynqeI1wVCE3PhVP4nnKuK3IsCL+ZJF6NMzNbqBDto3SlfKcfGcCm0CEyJt8jX6 3N62jdBIzkEE+eidjTLRjAG67+LvqcHXuAWfRevOKxaAdMYpOtvU8e4eiQ8oc2D7NMk6ko+4W7K7 netRGPdHxu5zXp+/Y2mShwijmalsRuvaJ9o07AGtYzCk8E6SYTMtirGSmQrkIY+sewu7Ui/5rbbH dB7TASw40QEdAVy4XrzsTW+XVX0OCf3qXRxh7A7G79xLHKzUAw3WUWyhnku/tJVYJvI8VxcnLOyR xufhPq73z6NxQeYcw//7cX57gapbHjeQEhd16E6BQEtK2UWUOpkl2KR7VtsUeSgxW6GWMt9rSxVF joLa62To4LUPElUaL/ynml2ybfors1S34lNdqbW81KJh+HwEjNRcjQ7e33mLz5xrPisXXPTaEGsj XY5nqET3avhj23SpWNP2fAOGd3A38owjcAGK+2lR/y2HZeUGgWR/yKTxSZ5CXYQkgP+2EOFUuwAM LGCg/VjvUMYeRpZeXbjKV+85zTRK7z2KBQwVsfOmCqLzgiCf+EBDKyDfUOLpyau1GkdpKxd06ZYv mAnXq3knQkUiKhmMSbg8ICUQ0g4/0pORuUU4s4D/aWe+U/fliWYhFp4we3G8qiGXCDJZa0U1fgr8 C4B09Hec5yKxFkdqU+3+VsmhwtTIofrEwun8C2qWTwHl7STBLRR6LvawzAqVGCh923HelsKBtfxY U7ZjCDTdYVgVp95dV9tCd7Q8aBNEK2KyBegDGzcGDzjguvjRTOa3bHaALfRjtHxOajjxLT3/9G5n vElTjI/hBPpz4v/MRYxKWL6yChRwVnMMx8lhjAFiMtOn2PmkUtHtt+SzitsZJR3PcE8pOYyw+G3E WGI0J23BxFlakewNjTdQ/lH00fSnVbwZTVR+SvzT2J9x8rDowh8Phm8Pxri71+2nfLG9cMJ4WAFI xyjI4e23a53RKiFShZ/oPSiKByW3bclOUGTbBJPR910AlXZC95zrsxTJq7XH5IzEYY8hsM2ie6C2 ObbK+dV+fY7azVzzTc0kAtxVKPYOrZ3tTGEeW+iJlF0hS3ImbvthKc8fPaHj+uZ06RQwPeUUlFNu XJpKLiRvgnVQrGaqCTyA9umDKXJF1Fus+owmNJc4ItOWBNpdvIc2RktEOjL7cikUEIONezntI64+ MOSwFAfQDt5LMXBkYIeO9PfQ0fa3rGwbsNyFF5yrvRjDuh8aJVhHDZGZQcHMgLxK0OpAVMb4EFMG uol6nIb3gGIn1ndimBNAUtuHICB6HAiktTz0wNeariamdQccZjzmH39GNT9PfQjQHK/Wc/WghDB5 KxPbFgFbhZZDKs+tPLm2nvqCekWTYbz8Wo2qYLQCg6ml8/JxP4Qx0WYiFsnApUlB6VufXsikhdQJ oxmstG2yFItuzqq6lPa84liVhAJZUAAylqu0XLRrhI6q5+SAWIj2VpzzrjmVJ2GNu9E+z5Eqov3P sh8O96B6jbVFLKLCUWt3TgFFYXvTfknmxvPpqSJoIH98fddOxTqBanuZGPYyvwnpMnry1mkoM5Pp UHZ0F/sqdvB3XPOq2YgnyE+IF1KncLbLHaKT39eFeXV9c5xm8GGheSItWlg4yTiCLlzMaLRTnvYQ l6exqZ+qjpTG9QTqP0VSR/Z3dnQ1XAyJa2Bc6ErpFHjU0r6E7oIsvi7FIBiHOFFSnVCSlYrDIgyi dDePxHgECGM4tPOYSPhpFNwSxSLO/NxGU99wxj5Q8zNFohUyWDOtdUT8v7nrwYxwQgPEYTcnQJkj tAyszcOcx0aUDdt9prpu8kUxc7EUhpaSr9rkt0lbPnM0bRiEJrVsuY+4W41jLh7HeakOe9aqlvAw Z8QhkPhiH6wVEtAMwDT52mSTxxd7M3Uj9ZQGqlsupXY9Fnux8DXeIg1S+AIZGvNkpPnd2gcK+K06 Pm2vv9q1qPDl9d+YoBX2e2cQ+IVBL5ZQoIPK7oMKCtpYWrftgnPemLd8kxjPv9YItMMWQLJGRJBt nNWdSJNUqQY9CbZPGK6txU49uNyVJ/4eoJbKUxeFyEleIykUshiVatlgrqaQi0xJPkelhJmoO+lQ 6p6X7dohyAYVIVJuQGHak8KePOZpAKP858Is6+KHK2SNyeBrmxLXPWlq/ObbjY3MjBb5C/PlFezL MjI21vIVJY847tDXetIrTCDVCsal3XzTPo7d6ebUWnI0bY8mlHvlvdpkqo8Z753PrMwAyWVniGKt 5e2U5UBZtUItbKjNSaf9lWidpYSRdeYAd5IdC1IS8Z/G+BrQPNVmPH2wVvVlBkjgF0Z8Eng+tmS+ zM0xuXLqNIuLLeX8Edwv0w3kgxfDuQDscS8Uylh+d7AmcKaoXBbURCWHib2b0fcZM1xn5NYvODy2 yFUZtYl5jrZCj0yGkC026jUCkNzIieXBli8LiQjS7T/YHIWt8/QPAOuqj8oLmO9WLqhjuzqEdUMU ZNIFshCCWd7yfoj+2a5F86qCkURevcJYbWeAp1OaMIsdSBMb2ceipWPOnXsulndlQtt5bk0/WczV UytRGGiYUdxvV7sNb+9WNkpSdjzTr2594A74JUw+feU9fHXpBqIMhHqvzbYLFTUtsb187zaFr8Rv IvPL7wH6d8U6MezjJfnEynsnFpfeNoE72kOgcbjoLuKikFJCGR/PrsPySAJVGCRgUV1A/gyJP805 EsJWJp8iFfC6+oKDZ5wuDExGBrJEeW6XwDJTdxDU+sbV6Rsr3t/beZvc2VGLTuAZ+1YdVOZ2r/f1 HWlC5vcRiNYoj4ap9IezfiAV/POgRjXxwCwLllma+bsMtgcR3R3xayXM+xJrWVsxUQ3WWkB8t8Nu 6K6ecbENcOPlsTaN+MwUae9je8Ilfr8sV3rZvNHLR3p1vWfjGK80gFG55iEQTrv7+pEMYj4LFWGH TTogJ61y4IfnHspC84QWgH96WvC9tXFNi8ZVhSWiaNJfLiMY9ZlVNBvIisJT+G8iip8wKuWhHqUr sKxDPVt2avPBgLsy19MWSAHWN7ItFZzaB6atwWG59t96c1VfeCwODe3XGvEH1nvnfKWTPfBEUXp5 o3+3NJkT5x3VwweU3GUuy41zaALCTUt8Vd+UgwMV1PrhHdKf1xtSoCAoalpU1VNosQqTDzrW15Gg 98rsMEwcE98hlo8a+U9/u6lJ9Uhiv5mdn2JH8mP62C5HjVN2XhA+hnLFLV6HG6vOPof2QP3Esqij wyS/2GlD9Q6KkFpqkJvRYnWiXtCknVOHVDzPbR5SwcuONZfJYgxYNlfesEOCjXN593XC3jUzzKlj 2u8F2AV8r6RoiHaxSE3z/L0Vr6H5OvEFVRwoAxXv4Zz4rJnf//u4hVnV3KnWcgi6qROkEGhx8WKY 5Vu/pTkicol9o/seAVek6dI3Rbz88dG7NK8VcNQdt1syRhuEdP07mQmdixuHATjGyIxobLfp5Kpl LDSQeZzSBQBNLaqVbDNvtVoaw5gVjHqMaFMIYGddhQRl7J6SGbHqL4mmTQL4/w2m0Squqb7+BHL4 NF6aV8JdofVv+cBBvT9wkukX/cB47XLFKNQT67SXPIhI8DVG0NWFdLv1wcz56vrZlk/nO5YKZI2+ TZRrkMNWBZu440xbHaOwDAo9uMqVqRhZziLMSKQR7ViBDm848QJ3L3FzwnCaq1EbxrJU6L7kTXuH YWKtUJNSPCQoCL1n+rUl4T+wFrmoHvQNS5l2E+L1A8B0FTQ8SUouWeBkhfy/Fnf4fm18JPA98m7l MiIwQgYkzP85fdcfJ4RBECC9tSQA3bMR8TZnR4vIf7p0cUn9nkfQ7k9HBo/Ju8FTvMjQBPwi6J48 wAZkDUp3J7sJIT3epkpYBNI60KYdPrMNKyfsd3gVhS1+p5FHzEwFocwzkoAYoWU45Iyh89cpLtUe aFgc9Hkcw6BcRzJHS1NXDh5uLlBkzoc9yeXi2Z2RKPiPl6dCLqPqUwmq4izs02m7u4rfVDM53xzI 5JmBCJ2apnLgNbTPIWNjzCqDt1vfecQOQkiXngyH6GUv7zEpNJjKbw8u1McuQ2B96HkQtr5bq/SB m29qq5eipjQrqfXgF1G3n0FH+LsiuGnhFCs7G/hI3Ag3r9byQ2OA18orXagXNHuPLRxbVnJRXSv3 Pwxt0AEYBBrePjDfCBRO0CnlW3weEBUCJUfSt4Ydj9QYanH/5f+hrkvb3Vo/YC5bpQx9bg8nB0Qd Bhn7zcEwxOXX+7rcgnkAeZ2A5rERcgYo7EKksI5E1mBkzDiImKkkmYwqFyCCGd7m157Rs6SPQWvT FrUU/9fyIMnMCBVE++scLQ1ZO+k0hYW4XYvcXPmcy8w5fX5mDOu3IJpHQVuWsNTSHS1buW44CUzh H70bJ8v+kLYQLOa6+R5ym0CSvFLrFGTE7axYgCw7dMoxCoc9pkjcugfkWzCvDxuAgo58T+ypUovg IOErTRINhNbHR+U2Kz+m4hbKgMLYwzd0XVjrTW0DziozMlIHbT/uq6RKgrxHSS9IUJfIn9CyLEni M2GFqzrCNE4SQ+LlngWKy/ar5l+aG8forxep8Epl7hPmBh6spx7DF+Nf3XeG69OZ9mADDhFtKzCg UfGRO4aNh4xCMno50Gblb21DwvsyUqKiZROthcIs4SAcpAhJJqDG9P/FzoxBaiYoKFDjfXJzFsBr 5HJtBUJfJ+AvSE9LnSUyRMdXJcnqdQN49jSjG1nsMpfgvplp505ReC31YpSot9o3VlFo3VWF4Cdt 8oRq5jlraW3tkT3YElMILDZmb9UMdTXlycK9W1INkfmzV66NtI/1kIWw3Z/v1f6oqeDL5Ga1AfUM QCupdI/dUa+ULVqtONetr9Wz09uSvIXSxj2ofeTmeVri+iE4DLAsOds+ncRdGP1lOkYl/7YDzubm aEQV2hTEdDIIQAQ1Rq0FKpNFQJIUGnQEYx71cN7MlO1CpfoujOYpj5cUVsFAfb+e4+Z0h6rXflhL IQGPohBD448I1Vd7OozHNTbnyK3KgSmAl1byLuLXu7hdtIqBd0rnlrZ1vMbrGDWVeAQF9vYLqjku v3EnPTpjI/Jb55aVrpFZE+Fx3Ju4SKp66HZGFaogHlYRBPowR4US5bt2D6AG0Lq+FIrS0yiJoDiB i8JCTex4YYYAvq2cw/HGagADpk4HsxdpNduagkW+dsQZGYSEVQ20QXJ2xizZUOmQ+ojAKl1QuYvo xBHluXlh0e4ETYhTapWaEzZw8tTgbzmpTsV3nZQH21El0KZ0AtSzB3VUcFdvZvy9yXNDLEjGFZey cgpCYYyuYpQb01O+ugOE5DQ7/pRlbMu1L/d3P71jGI468BWuHVYvNqo5PcXI07mcOpeQ6pz7Q3U8 qzeomHMjt0+52RcTOIvJ5dNrUYeOiISucGJqHREb+Wl6MTQ/uGvW0+0M3eQlB2xWXGxUVjH5Jxb4 ap1O7esDq9oK0U9hjmKFvlQ484JBCNL3w9/CDnRIdq+4VCWrG1n/JT7y9Ba5ZB4LEDAPjYJEEuZi dQZ4OMT2nnOSouLYz+lhR97C3tWelYwNYUzLjZ7QxJP6vSt9w6uTP2T0UpiPDxHn6crjMaAvY+ti U6a9KY1aOLpqw4tMO88GKosc4nCDsz46XEDpPFhJywDONbi5cZLwrPoaPLciyaCwqVzYrbNMa9T/ kD+6SSn64hb+voPzk91oWZdyciwPOpOPq5HQUXdsvBiZWuRf3Fqjh2pPPZ7dQ+H5m33KyChqOy4u UWRWGFCm25MbqxelGrCUw+tQulRDaL0T2pXh5gX91iu9dRH9pJpn6hbMon240UaXjsvTTM1iGc52 AkJIKmtw7AqN8Gz26N/A/9xDBSdXu1gsZCQWeTkYICcdT5MsSyKooeZ5fC/xZV9ECNIOrVftLe62 A2HT/NtvOS6jPwHkKP0h7nc5g1s1shnBM5nTZdLmfZBCWiyX0mWD7KWwl+sihqjemCyNoJKawE06 LDAop/LY/jemaQK7f2NoC8e9xHD2ubW/vpbsV8jckTkMKk7KftkwEu5xz4Ila39/jC1s3YI9iJWr 31RttYGNRd93FxifYjyeQ0mXXEi2nF+/dgKn0m2RnNGNDWbUIAZxK7cFQ+wPig4a6mQSCvGOtmkO YTlML0D8wBZIGhgmDQdVq3k89HkxhuBu/eqih17+AMsvsYZLfa29hTpML5tm6xuEJ+dYn5gEZBTZ KL/YZeErbveEUA7swM2y/mjRRf6clScgL+yDZaYwCPbUxEElvrl8kqhGONI52MA5wV1UW4KnISuh vtQe+DZHM0iA+wPArAflXOmtcpvPdNPI09k2U5YfobXc504651V9OW52KEVBPj03Gr7Qi3AesiMK 3mgjOOL2doD6Uu6m4X2fQoNsVnqZdwoY/ZN52ZXG0VbFHD9MnY7DkRm8ji2w7F6muyX7y8lyVWUM U0JZqs+ACJqk8H74u37lH6LSDWDErticXgsXnr3mTS6nKeo5ob4on2T4N+sKY8SopKcPcrM2O0iX K8o5EtHeRVFuiIRDjv0+IYcex8yMEJKZT67Tt74e/E5HbCOBRL/G5XiTBNxbLKN2Z4Ee+0Er3ED1 BFKeS8WnFMjKby8qTo26n7JOhbxE6AK+pU+uFn+oks6RYEcLxYR2YiMlgtu9nAT1uNeOL9wBqbSC LjM35vVbw0Ljw3kq2DfCjvqZZfgNkAFqB1Ny/0Q9jJ/6UiqfPl3lsZh17X4V/tBIfH3WL7ioQRn8 bq1HpZz/b4JQvpfxiVtpEUwFp56+YGpGq3CrdLl3RWykMH1N4yT94g6mkothT5kCJkzinue2sxj0 XzWFf3hUTRi/4Gwi2SZUvcde8+EFOOGCvlZUIilpuqnr60j21ZKUNML6voDKJqPhm3+FgCWVxppK 9ET1o35Fk+JDS+CYhlZCEr5XtJLfwyQkkZ7KJ9Q7u7RsTzTEcOsVzVZydl/qM7e4Zsj8/9FKZVnI Al/cgex6ExT1lyure0YkXWbRwBVDi7+JDnN0hvSP8kncTI/XTwGSBzjlUjESZiP1I8Uy3TjTtJTB 5sGfke1WPegTOzz5AMoJbL0U6Zj0HsvcRw7l9LrJKcGZhJLQ+1De8HP/Z7TUx6jHMAUoFrpT9vAt pDo4aovjkF7vCWsUKCmeUFDE64CjD+3gDbf2/b+KsinnXYY2HsW7xSk/5ewXi6SEShujzrpDgqX+ fNv1YOz/wtgteOvtAOjU8nak6snptQ2dBU/yy6/PM0TtZj2giDUNTqgHH8J10nBy65oXZYDpGnuB qlo642NqecU+3x0vyedQqJxDxGGr0B1BySGIqWP6F0Uxkj62fuUwhnKwXfU4ziM2BKtJQ4hgi7lP 9Fm2ERdz5YZzxYJCwvOOBHBp9GU7xo7QoqndVhg6H+L4B67AopJUvmCEvVXJbS9jFSD2Jn2VY9l5 GTgQlJs4VFlK2JLbCBbRuasxP4sTEtNDD3vsSwBRZYcdFF7y5ZW9/I2oPzArizvXkxPESmh/UaOU bNVGBdbbEkUr58EQ3xsuqoGsc6PMYy4y+7XOIUno8aTAWuV8Swhh1u4XMHRSpndmn2YtsQbIRY+Y pf4vgfA7KhCwSqhVFYSnqMO72OjehsJLmqR6Zau+k0quk23pqMOvY+u4kcfurmXI+qzHYlaRWHDc 2Z1jHUdFxDP3Bn5J78YCiig0q6z+8Zwl5GyGoy1ljEzDh8z1CUSPjSbToOgOVCdbOBH0psswdydZ 1hjQYEBvfXZlJoB62AMRZ8ry9vR4fwPwZxg+bzjbVeF6QLTZNXEfnhUAPm2OmTUWmq0ZNbNsXrem 0y0YCJGgyjZ86L1VjgDGfICDng16KqtxAprGj6CAZjjpWBXkvlU2x3DT1wD9i6uv21QN1IDkDizT cVROFt00yw3n18F+keNLGc1rxgv6urbAxToIQuFRNPnMQf2EeH94j8r6aFV0unvQbwZaRPNaufOP qsbLhEMRrHl5sMfDhMwV78IprCJCFWtX6vMmT6kLGyLr3cYxoJB5nWLPCA84HWMDaBNaEAYzZG+M 4dnG+e+OKQP0r1UGnEmyyj7csrschd9jDYSjQ+H0XWHGmHicELxo2K6rEDMlS/dmiUvrSzSG0g3m jx0SZyYuEP/LX+Yf3QyKh4XoWr4vDYJk9DTJ9UCpPGhWwaDVChI44ZnJ9sM9yuwmpzaYzIxEyATi Li/5ZE8UcFgXIUJ0Rg7Tsz8zTz+sjFAe6wsyrVm+LMZhHpdLVRp2/gYPRmra6gtcc5t12r87RvBs cMNMxB5nC6D73j/2+TjwuaYA08lwcu34uvez99/MJua+17G4/+TGy8ZZSfdfxvrJI2OwcRRNGTJK Twfg/TvU6Bba1XA3XMPNu1+qPmX/EXKAwTFW6dzQ5qTfhZDoPXPpAg3hbPJJHqvsqtXpbIK950oH 42qpntBntLE+HfSlqTgQ1I1UZm5WMe8naUOLBskooj9PplRWTAJOnnu+MFSM3684YJbb99BBzVuJ Nb68x582xg+xt8aM2ce+cKc7rVBQn4zrcC5Qpq3ymd0iSyO+fsEicfeseRNWzhzHkI33ff9ZxC/k cphrme/X5agy5aIAx5NkpF9sgTs6AHEcrpPx8yIhX18fpYc8JTbvDeZkCtwJurbfpoQ+AtMShL/e 1+qq3gbxw1aeVN5SN05i5GzATogk2PDMrHFbTcwZvUnTkHEne/X+Dcz3AVINmb+fqXx3V+nGbq0G +r4FjqARA+ewCJ7ZpDkKUQzzXxhYcQSdS3GHJoxPAfgqo2kFuwhLXY5gTa7IQK61b9jJR3l/BPal U9G7NnIGMeRgskBAGoUqhiy6zQsCb7e7wzs8az+FVph1U9/JKDPn82e7SjsM+2GG3Q80rpe/ysCQ cm1bEG8H0N6sXJJzU/Yh5xZnslAehllIe5rzLVjPk4TxqZUeD7S9GzoJhbjrtZsNwfxBuXgUoES6 WoBxTPrs2GQ3zfczYneXKxk05zDBtAKro+h72cLWIpVl9PxDSslqkXt1oVKg4yOk8X07CqJy9tOD RYelPWDd16lgMICyH1HJXubO/JVBdQT5zpiLiDpf1/veV6gUbwwVtV5hdcAh86eu4iRmhbldoKdj iNWQqqobjcmVBO9w4TOI/l2Tx2Ggu2asyIDTLGuAUoLA953riXTNMU4yUDL3kXHWLkfKsQ/vr75g SwKKEoXgyHbZvY0YfIRpup+ssGW7YfS66kmzrrSCHeoEv0Xh+jlc0JpOa4kFbkeYsPFHxqUrxZFW WPbB9bGWeTc/Dj+7/BXHCRvr6k3WHfl59bWBx1Ks6pUk0Hh+cEHmjWvIwQQF1UBabxrz59lUMsO+ 2ga8SRZBYtNWXdnIxkbcixrpZNiKnhVB9SLsEUG9jQXngjMDCDUBvqa9TTISi6t7a4KGgX8uNfcH SljhJD6DQ1edAJ5VEqGYxZ4pIWrTts+ZnnTc2a8TBmgHg2JTGWMMs8PthyRjSVoJNx5g3QOyOJ8n 9xc0OJoEjnWmQuGkMMAN9qJcns+Ei2OQ7u+81Tzkqblafd3hVj3rPf+5J/be0Fj0jTIHFYk/bHcs NfH/mK9bLwm4E2B++MHLx1D3CIelRnMaKpMuJGs9agErLMHKCSrBCm3cEVYRonKgFGu/huoMWqSL AAVbVOyf4Xxz9mlFGoIhS171xDJBU/IoJUd+2ZEAuT8y1n4IzBXJgNPOgYAAc5G47Dvv9zS6W6dn i7ulg+07ul03pVPfaH4uDD3L2B3pL93/IR2FGNymmMKLqN8Do2GIxzGIUgHVw1x/FVZiFwgLIsff OKws1OOZLTJsezFcUBeQoW9wNDWmOM2anw86L/vN84QVD4qTUTNW3DdTLO+jI66BHu7ozuN9Srki 8YZkkjjAjwbqBsOBIpT/CVFizwHJCIY4lRWf1iGNf7VbSz80Byldr+SeVdqabWQ4M0od5qmtTqzU /+4OieJpV/Pj11IBMH3hm3/XUH24kezvILLbjA8AzJf7E/fvZ2amhz54Fc07Gd8t2EAjz6veBnY0 WVvnz9HfwfS0olcCgcCLFHMkQdblOYDT5aF1VivxPL7hxkf0uK/RGEQYaiN3DaA+eoFKj0bxWHHe rGCRnQMG4V68PmB6fLf4d75Lmax4G6PYTP8vNnzuzKwiEtd69u6+noErvtdAIlymsGd/4LTpQJAf wHJe4dbJTmqibd+qKq8q7y0iXExu2DxnN6YZiKvfitM0jnmSitleNhwM7ff+NPrhVZ14TZvvofKZ yPOgsnD1ZT0TJmnmbElhyQGr268C9WWUWQVBcK+bfNnAeBteJB6Ihsv4qhoPviauPVMcPml/hJKG TK40+WuQyoTZdkdiwURMVlWLMbLkywJ2BQm70a7sRt+iI4mOA6DRgIevJ9rh2VJyVSC4+8vhljmE Gupi8r5iyvLnRAzr3j2kYbwWgtbV5euIp3bTTJuY35sXnd8dhvFSUQ6eyqRONrhbCB28Swv7qrmg iFQJHqG+r+9w+4tz+B9tW6bPZJb8se+LVDASnTSdeK9Ezj8kY2Nuv359bn4/qcMMXnvN6SwsYCCa qBmUiURerqnZArCRUfmWxv6akiWKFB/xAkLksjURsVV8H2GnIuKTr87R1Y6n1iBSoErVZIz7jXhm o1xhgjfnoMqgNpvEYe06P0b1y8f6+glY/SF7LSIOYM3cjq2hGIwZdZPI9LIrGqhwfboWNjcSppGE uN7TEgbzO6lmxHcuZK+MavRZb2p2QM/XgHavZXIuft5fqdTDAUqHuPU8hi3h6GoIXVl3NnvZ4QqL RGlirpKbKPWvL2EbKXgIS7aXMw3/4BsXl5gu5okYkyBSnAacafvS6XFw4qj2LiEfdRqPBK+cS9r6 eK3cZ1iaxzse/l96D33io6bQgMAI7YqMhcCgtumjTgnk4DbR6YBZYupLBYqnvJKtBnsRI17eIJ15 2uhi4gfbmCW7kKlWkaTxugM7aBVFQT7dIW2s7lISdE2LPTVIuIrDWeGcN79oBEgOnY6HS92Znr8P WeIGmA+Ggqns4HbRVjwja+oytzjUwuDS/kKP/eWfxcauh/hpduBKSq6s5qpRTyTjsdRAYVyBIQnZ kN+1JMsEXD1EGoebh5M+B/ZdSV41ux18AEp9Ijz58RwxiVFNKfK5FcuWz/l75FT7WzLK6Itw9NL1 xMwDFNmVFWA/YDqN8v5TKXWQgIACs7BRQDZ/3ZOdAc8SIbLtXNRyewh0+JkyOQYk6V2Lm5BYmOTS 06PIppf4rNLILeDXPJ2zCCUtkwGb3bS1p8PEVV+VwGVuyySJaBheWMcb21vDWXTcnZ36iD8326V8 6aH6ww2bujW3GavSe1rOq6ok7ksUhP3NUceC3TLhqVgqwdo0TwP/yILLnoH6+AyXT6NVGbVcdN0G setlCeyhq0+l7O2UinOsxDQiztiWT0rF+FGIGI7PWVF1rYWcsWJ6vcH9ksEaTK+oimMVayuwSfZQ 5kaoDmfglXW4w+qJQ5oK6EhMqVhkTJ7Oq6MIRP/0CfGozdX9gLLLJaLFuKppxAx5pF9aW6lorz6w gpgdc9tGJ2/lmM4iTY1d1ctIjb1mc1rRBGuE9utQc6NCf0SqPM6rSEFZGrNUhmIapwR2i9+1e7qQ sEgoz6oyTkNqHOkXFq5ehuoigoqr5fW998Ek0eS3nRzIpdzA7b0saQiwX/ykJ688FTBqG0aYsuxw E2Mv6Uzk+GW79oTiNpiLgR3tK5JyBcNvaeo97U3kchKSgTJZAj1Xd97mojP7wFgYLNkvIDlDaXF8 T7EHpa9NR8ByUT/xtLUfnOBwagLPVHfZnIsSBvtijPuTSJLgSa5zHUZ8Q93oQeIsBhQWaSv3h5Rg W6zbSknbNRBsISw9uqwUaknh7oYt8V8dC7Ln+p41nQZnjEfCGPLEh0TVRXYL1gb41TfsCIz5dQjC 35S6rbxcGSz09eP1wISK/ZC+2yCu1qMUNioL/5KhhO5jkumZFrkVp8cMxaPMDjKyBqJGIwzOLv9k CVp2nZoxVtGV3/UyBXyjfr/nPV9bgn2p5XGyyp67/JUa0Dg4XXTMVcAeVNo/3XHrRk6xQUnwv7+c Xh63ww4DTZwiHrnp3iZWHaGt5aFDBmfa7UuDRjK5b2SYYrvBYI2AEdj2kkiv1RmPVo2UN4MOnwq8 8Xw23fwlpdaFmIF9WGY123yneFQ0vV30TzgMHvguCYPxz9ebRX167uCGux2XctZtffq0kMEbQ3Nw K5rejE7uqgLI0RZpzQUO5mWWGVKPnYQJHE7oAw+7LIUE6n7FlFQdlyZwD1JgrVWyj3DMDmfCMaGe AzjqkYCz5X8VIE6C8Iup7FFooza2a311+jhIwnxgy2uZ5sMpiNgBUMtK4aD+J6gUm5REBw5Qz5On G8iR0dN7UBdb4RPlbhCRbuUiN4jiDLS8W8aZh3wAeNrQPZj9Kr4z1vL8piBeUTt+28MI27Xft9Op 5apcl6AmB4xTM18RK67Wfku5T2zLJPcywawa1V71c57Q/ld4cU5ZLKkM45iVFzJPtrHLEyU2YulL Sjear+PE3A2o2sgLUwTlzjb96QsbcZzaeGU+/vqI6S1w3YFE8cFrKK2PCexlpqCQCTzrWJb6knJC N3RSdWUd4lwCPKlB2oJvg7Poo5GZH3bV0FWYDhp0YFcw1STrD9SbpzY64omiDVJdUa4gmEkkUE7E ZamHvjwgEAgWbSr60/OJDO/ySTy5/tbgaInw8VOGzqeMzGzdkj2oWlW9nWh1+b86SkfcVIxh4vh1 umJZtI6ygFJXcytR81ku+3vg4Vb88yIhi2N+JH47OA6g98iXqLRTXoK5sUAI8Ltb1vXujHpvmuFB en71JJdcusxU23hkAr4F7z/1ma0rzqKUvqGQ0JwJ5puAuY/biB5MfvRmSGIUtLAie35V8M+SlUZd u1DToQeVmtSHYORy8KAm3+bqDv4UogOqvjxiKVO8rKxSKkUYfuAmvhOppWTj0u8sh5M7Pgbg0haa OTfXf6VAUl/TJsFZ87+h6FtDqlSa4nHL3Y9Jb7znbPqvVihgoLu40Bwv3qe8FzkoIbDc89emLOiR C9R2MI0qPPIOhS5mlXwzT2kIvBD9nDJsv2DommwhzOxrpV/u9Dw98Mb9qpMXX/b7Zw4bSqM8lvvG rGpKaC2WMMGsbiEwroSQJyzC3ACMqssBiH88d8ZF//zHQvD1fq7ZqtQTmqF2G2V8X1Ql8lMTk4r0 W0TaPqzO2o3MVkr5LvReRKuCa0atrg1WVswLt+UqcUpslacTENXHt4Fn/8Fl1qqVHFvanHJtcSWj 54TcMGURRNldwY7AQykYyd9oNrMYOwb3OtqFZ4y1Q4Qp1rSIdUIIitdGUXkgHwGfKn4xqFWX1g+X fLxB7u4tvJmxS7UGLfvGui9uI2/ai6n+2VVUO5+72RNennK8ltqZyf+4mEux3fexMoEpwWRIN6zy ufXtJPlXL7/sWtbLumhOYJMwjClaVjIOSFhsYovJGSq5/5Hz0TBCqhoULdZRFz3JYrtWHVbQ/7CK qBqXgSREDgO0d2GlYX+1GeOY1lFskSdJ1yGF04Oyyrd5BRJhUOh4trjNCCgqULS6A++AUIY48ii7 q9eD/UT8APSlcjM8jx2atRBhvPu5Oc/rJ4xpaOh4YbdhC6TNSn/k2xO5uqpf+K6nLSA5xT14YCBB +NE1/G63J9y3sZsaqVT2pNBh4+ZTBdWs4vLEqY+ElZFZ49YHk8H6ZSPmDE2DwPzcDt9QQc7koMrN pQ3YhDgBIhdOW415lTKnPs14bOTot89I/pzxc2lWKbqUpAPCKuN7HZJboCPRf/AC5FWi4iwL6qK+ JvO2q9YCnUzwBY1QRFyUdWMrHjtj/ILGtv+KRE9qwe+VMZReegApYFR5QtbIDbdWXn/I1uTHquYW v80cOfRzA+taLPMZYInNpt3OZL7LeUTOBt7HDv+Kvmc1sTBPMXkPslxqxcO8ec3/rcnYSNj/JIxF wIye3+jdXkOru+j8W9pIKeriayinp4XaOWnyfT3keVCYb597SUKcmiFUI4ldlQPxqOq1tnSR5IYW 78RdzRoiclE0w6URAtw16NXSY+Rb3C5HPCH9NQ6dh39uBPtFXmI7Qe4mAUCLPJQ+1rhutjxTHhQl qBPYI1V7slLRn8lUWSjnCZEAKiAHw/98c1MVfaVv3qtW+9tqf6SvwJxJkK6CsqO2hjKMaSRVS5DN zEi8KHzsxveAKOoVK09ywgakzPNg7PTxpcZAbpCb/du+Q9EMe9y5vTRMX5LpmAgfKz6qXcOio8rf 139wxbGmCQItRPyEunoJA2tmJs4sHQPwHeIDbOCKJwg7xoBPvN6LPAe7PCis6B/EqmjAMeYZsRto /6izu2/4oja3tyHTn9CYR8OQGN29Kwm4/2wuzAqoktO1eLjU4RDrBYKJvH2psbek5Kb9GUQn1BJA N37ZQuCeiaQJPriyQvwBw+NGG5/wWjYVE39jqmqTBMpqIYS+BKZpWLwSGbgNj0ETIdRf1GZDPgZi X6e+mWNI/WzyivOFF9IS7vhU9kMsBAegnIA4yJax1b3hBeXRWmx0w84KyONtq2y1yO/us1spUHrV Ba1Vine9CZTOfPU06xN0MuXYSDX+SU/QmYiZxeW6qY/9gwRyF7r0dQaOAVsUWEuRjN9/IW+WRrNA grWeEN22z2TTpJ7OU2uFC2yeq4uTtrcqJI+2FvoN2TTR9fG+2OhUhAHmp5x7IeqwQZpKLd5T8bQm w+aY0KV4KO4wu5188C2aYbycy2tnNlmNPMmWHaPSud0V+4XAyM6cxVCEeyYnzmKmhvLViE3//aOE nqZH9Id35c1Fzn9ZQeuIA5FWe/h64Ol810IhaTqIbWgeJW7gRWvtx/z9PzeVgJYG4FJ75en/WXo0 GkXcb9DrQgSZJAWMp87sFLPVKGaD/IgiPtKKUfQq5pKb1FmHqh8vhB1Tn2uvS9QxZ8E9xUvCUPNu m60L364mW9Np0OIqtsPCWY1nPLSR47j0OfrgmluwHtuHpyLe5+jN7Z+bktaF3X+Dvbjm2nIYRWbG ERZ7ABLaxCFb7IvraJarUjfOIekXCb+t/wQjNFwP+iS8037TFCLhyxmFRQXd1FFD1kXe102pfzVO +nPQvSlDsmTl4sFEupwAWDYwbO9OqIbnTB+G4XoWNwb0q0UG7dP5WcnblrjXwuPFCj/TuwXQWvbJ S+EVeuDQTN/ReywPvVmQ8C+APAinofwsgqhGr6IYT+YTca351l7UIy57Sjm5t8K3YNaDEbbCJFTo Cvzt6M8qpgSk+uK+OjtNDyw30pAHzEe4ERDr51uvQPbWJ/JU7ZQodnpmkPCPZFgbfumQaDCCzpki wN/E5CXfkZEVijR/V0vAPW1KV3Uek4opeTYGaFVz5lqa1ncSGSYPYMQCnC55PwHD2DMtIU66IloL Q5BgKFDzYdJPK1vajxFCBGbr2o5r0sg/J/fA8K588zJ+Sv3w8O+SHrYXEyWLATUe6JgrgmXJAiCF SEMjN/2Tax81zF0M+C1K+nAI7Fl4u+YuVJb/e7luyIOukwBBXPBcsemsNTZagb24fjrXo5gCW0hO 71JTTNLzxZdKQcGxGU8zvkNTPh2qt8yNrbnZb7rwwsdBscEqx0P7RThTxvi/jRb66/GvlBzloxHH /HS+JaRQwjSbc3fX/B4N+6eE1VNlwL+WUpxHU5GrhcxvE/fnYsnT/ZW1Tcbu87Y9fqHzSDVXLPo0 PTBjbwZsPBy6/OKjDdgM28JzsUASGx+4kXGJ8M5yS3GmIJu10/oLI+mnrGTen+2YPuMf2/XB05SD oc+dAE1eY6SZXZFred++OHaPShOJpa95N+HQBGduiarKSoA2r5vu12fOA2x2vpvDvBeSSkxYYz17 RchObiSnd/elPF4Bd1D+NffS1ECN26GNQ/teRpRiCEygTKYsiwVMAd8z4OLBcH94bCMkmdseLhWF H4SrZ54Ht8Y2yUGxlff/aCoRCGEiGqGtSpZGKdtvzq9xHiWCKcOnUiuYSgxVR58z0nkB/D40LjSG zdR3gHQLBf67fQjHQzAcVjhJ9OJ2d/aBZ7JWF54BYXu43J3cY130xadvl26j1wA0rWLX+xG5P46t SGHFNqPc3jYUe43vEFi+QL1dN1AwjiwwUvk+E6DAEhOW/dxGWi3ytNdOharY0+sbKebp4ir2qHyC KAzR2SeDxGPLBIRft5wAE1R3zXJWK0PKxgFMrqtL12nATJ9BXv6w9/BqT53WR2X/u1j2lI+a3Bpm wnIxeWg55wa2NW2GR9zT+4Qdw0aKWPsSF2bEyEgFTWXyeuNN+PFwUekrqa+JzR0E8ek5xdVIS/cF 50aW9vBvOTZ0pQT3au4Xj3mLx4b5E2wfAPaO9VD/MbcYjtPHPvEMlLLnvIiH0P8bUzK4gTr3hcw/ sCmN9NaNTkXxEXSkYl9fVaTQ5IDDHqM7z2a02wL8qr/dZsurpU2pHLqKnPF1WQSK5jByZddLlYSk pT8iWinD497XigNTyhbAhrH8PWnKonCdFJar9zGA+QvSwbdnum435WYAiHrONmANvxVLw7YsTPyJ HS+ISX88xtWOwQHRhvZ+5xRHlT1/TWsC743LmXLJcVa0LxXji8gWX3Esyjixq3+llENrGaaEd3at LbGW1w29wMSCOyB9t3dDf0Q6SiDLIquQbTwucbq/G0ryOX68+NoD+1Ip4PkvObEVhtIGjc3KawQL 1jEZFFyFfe3e4ZMPn2L0k5tqDLHbmBvzPvnXe/RBTpwwgOxP478tB5TGsToPot1bGEbrF83oInP9 M+aVqKsRoMbVKGxH2BcUP2MeQv76GRCtBbWXEs3Rk16W40sM8vT5kKj3IOEkmmkHrq7lWt5VVZGh NP7+4KwqTga0axoClBNA3dqBZA2M3dZnTQx+Lje02k8wzqv1nSThNwC9iBAVVxr27ZlwLWfnMcs8 mIZs2bHP2+ZUMcmXvC8v8js/g+EBaYlSnBQSJEw2obFkResz4zn9kTANIdLvjd4Q+K8UamSvNpjv qU0uCFyTvoD/bgGhKGniNoAosq1/IB/JIQMFFNOHWOwHR2UbqrSwqT6DIU0B8lyyl/6kuElQiFq6 9fPhY8qeu0fnonpsZzqBSK1dmuigY1pgDtk9PKsl6VxntM6oB/hwl2xL4A20M0Eg7mTQPGSesw+B DGcW9gORXVUro2EZLaLbh4XsGId2NGxWGO005w+wNdob26aqPqsUIZYFuNk6OSTVQzbzUOq60DwO U8BXpgAArUWRMKKOtynm3TYIBsVhNztg2pjsGgOr1JaelqK5Ic1ZtHOik0l7W5g105qB3k2oxtJ8 7GN2vTAFNqxe9PxyUSUQ+3qlRiDqfzxIBl0RJbQk7EHhhAV9viDCGpKrEkiiPRjjuve6c7eROAVf 1zm77BfRQxxMZAex/5HUI0/BlNZlIzabrA/+e3/PLcmWj3g03mYM1Bwvg3bg9rWEbbYF/TD9FEks KpHcCNT+O12uDCq1GfYjzx5ORZcj8c8NfNqTOR5EZgNaEiFFOeFBBKuid7AfVklaQFO+Oomzikzb 4v5HBIZ0F+7l7LBB+swkjk6LDegnY1195vNtjzHU/4TeL/cm7kGLco6XIwNYQFTEb/qBwQl76GH5 GH9ewd9q0QCw2Zs8WgCcn+P1+XRj3fTJPnJiPskrp5Ycn2SeT9s+ElnUw5VQlrsfWuNw5r2rl2oa fH2iPUQR+mGBm9vxFajtf7WOkmwq739OvKmXPFGxf8tUkLRhaPXg2NkiaICthJ5V/OCKtsKw3kmP FglNVI1BhKALeeD00tIL7xUA5fpVLN/RiizTgsI0Jqvcsm8L7+lUuLGlfgAMKrTVD3KDR15V37ot uYZmlDGplTVTwgPCiJXNtU8w2vzyra5vj37Ku18xSa1kA8p8qugWqS94mfNXDiWLKCpdbkfY8iVk 5tCNBBHgCvpyjEexQlGGlUaLmDX24j/L1MRdCGXfhgzHYevw/cZJXVJ1W++F88GsSOahyhdmMPMh XVn4kjgNkC6FglD+AKGUP+8c9Y7QGtLUyKgR5M1Nfs2dbP6bdt/Vu4gVEuJbTy67TN4iIox8eSTz vVCE5gTfpsFmgu/8Sw8oBaR2fOYC9X9muHS+ETsMzTvx0qyYs4D5L/o5azlcBPiBjoVC97ti9tIh 4NfjPTY0a3TaXTKBQUhO3wSGoISqLxNoA7pkEtrKvJjSIjDeAKeNvjoLXFGR/AcO+2aH/gwe64Bv 09TJYxlSN38rByoJmDYD7pdiWRfz4Vb0ild80VagfQCYwoA+2gg5sj8vJY6d6Npj8HHSNhV/zr8F hWdX0ADxgfkFb5jI+ZWYV7wmfUwZprQGZ5D/15bc1y7n9ySckEKp7FD3KqLmIDnjVxRdMUidglVg KXX2K3+9Cq0sNAqLWtao6KUuBU2gNPjdKn5eDDEMbiqOC1jFR92tIWSDQmGjBd4lAl0Enz7zKNwM 5CoE6LD9zw64+RAuwFxlsvliwgZRINctXnnDl+/Ma2mtBISVQVzrArlSaBYPjjj/m0uPc7uWptX5 3B0iR8B8J6x58A7OEr9Abdm1w+KNkEGfOo1lK2xFnWGNk/DCsULLOLPsrfytsAb4lLKop+/kS1z0 kJjJO8tPcWRJO5bWjyhdnt3167rD11cMjBbx+sUje0SaRvb+7Jw9DxwZgVHWF2YGY1jWGqaP7KBw skED5q3viX9mlrL5BUbP8qRXo6BeYRyxmNMfoT4Ti7y17wf2pvu4a/5ju+trLqXh5tz+kaJlO/EM KiHNf6KAXdvRFP5V3kjBlY6KGHv5EAL0+Hq+WTo6uYAGY1Oz1r8iiyZl64P6XsVJNJzEGmsqRMO+ Y1o6lUTc3czoRzeBrVqolfL8dUFNIUAsik8MX9mNrmh51CYxiqyCXICYdugUKnZZBFhmVKZluat7 ja47U/5VcLSjpNh7yxpu9MGGLLtimLEwmeC4KMf+eTvXilTBfgOqh6m7xTyi9WmHEt/2ywcuUPGE cKoBtv08kx7+cVGLD0+GYfOVfp1EmyYJl80hznOePIA8CwxSezzXkwgtJg/74ZsRalnF0U0Gikv1 v7gel6i1O9REJwwyMvOREqZXYxv1Jfp70O3lQhXm/Ie0UUMIkRlf3i+PBwL69JykZL6PkrOxdCzB Y8Eb+AgL2cYhDR2WPC/lCQlGIfizJwXcYyyUBZKKrcmbX6X5FA2MIbkUHO6LER3j3a3w5TqriSAX dgl7J+BNHLIXnKVRP6I9z1PXhm8I5hjijHsMIEUxkKF+18x/mDay/56d1mdaZojfQYvXYjXKPJR4 QBwtjRp7pJpbBE1K1+p5iFlPcrqG+etwK4EHYlA3+KBfH736VxcQbE4j7OQCvLPjpvPxkgkvZ+rZ nVODZ2N4uvyzCiJ3Y/jJAs7A0Q4Lr3zPptAK52rBkipNz01tj8xOpQyf8SL3wPWn6kaMQD8QPCUN aNxUGwcwFW17unANppNS/ECvlexcef3mk6orMa2cdiTa2LVYZvtAj8xgUQ8xPtru3G2yy61xVEXP seyrVFwgNPH6WG6AjPFmX+L8Mc8q6u/GA14YQrfAaf0ovII5d9EA74cPOfnuCDvHggGS2M0ye+XR ccOXWp20nqSqtJWLo+otuub38Jx1e20akAFtT1bBoi+WdENKLnBEM3uSPEJaYhu7NkAMKj/Jrkmy igvcWOEmEiRNbQpXEFtlcNLaeWEGwNYu1XTED7cV+GB+3JYzh2VQk0bfcyHcaDF4s0DWjCKgdZfc lgjTKw/81ESTPUjbTDwPKaupfQw3Kh2xo0Dgsdz+oitnWtGHhBlhvujRqgvabvj4H2Ucl8pElxFi xU2inYx1NnOgWISSVUsbPQeoUbL++BQBarzvTEopXv/L6rqsIPQn9gPop3cvBKgJgh53Cud/Nl1E tTQ9gqDOESykOg2rHrnqJ7dZbtWfGJeJ27fDv54uVfXIoaAPg0pi8hb2F0opRKUR+g/igj72WFqt ue9sl2Gqc40N+dthAAuCfftolnA6bQOzGxBzZNCYrTYufFT0gpISkHHDqTnU8r8bQ9tdRTz4NZQ7 AOZLbWiVlxow9PZXrG9jDWN6wZwNVJz+eN43pgHfu35ahbdfe5Ds `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_f.vhd
15
9654
------------------------------------------------------------------------------- -- $Id: srl_fifo_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo_f.vhd -- -- Description: A small-to-medium depth FIFO. For -- data storage, the SRL elements native to the -- target FGPA family are used. If the FIFO depth -- exceeds the available depth of the SRL elements, -- then SRLs are cascaded and MUXFN elements are -- used to select the output of the appropriate SRL stage. -- -- Features: -- - Width and depth are arbitrary, but each doubling of -- depth, starting from the native SRL depth, adds -- a level of MUXFN. Generally, in performance-oriented -- applications, the fifo depth may need to be limited to -- not exceed the SRL cascade depth supported by local -- fast interconnect or the number of MUXFN levels. -- However, deeper fifos will correctly build. -- - Commands: read, write. -- - Flags: empty and full. -- - The Addr output is always one less than the current -- occupancy when the FIFO is non-empty, and is all ones -- otherwise. Therefore, the value <FIFO_Empty, Addr>-- -- i.e. FIFO_Empty concatenated on the left to Addr-- -- when taken as a signed value, is one less than the -- current occupancy. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo_f.vhd -- srl_fifo_rbu_f.vhd -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/13/05 First Version. -- -- FLO 04/27/06 -- ^^^^^^ -- C_FAMILY made to default to "nofamily". -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity srl_fifo_f is generic ( C_DWIDTH : natural; C_DEPTH : positive := 16; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Empty : out std_logic; FIFO_Full : out std_logic; Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1) ); end entity srl_fifo_f; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- architecture imp of srl_fifo_f is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant ZEROES : std_logic_vector(0 to clog2(C_DEPTH)-1) := (others => '0'); begin I_SRL_FIFO_RBU_F : entity proc_common_v4_0.srl_fifo_rbu_f generic map ( C_DWIDTH => C_DWIDTH, C_DEPTH => C_DEPTH, C_FAMILY => C_FAMILY ) port map ( Clk => Clk, Reset => Reset, FIFO_Write => FIFO_Write, Data_In => Data_In, FIFO_Read => FIFO_Read, Data_Out => Data_Out, FIFO_Full => FIFO_Full, FIFO_Empty => FIFO_Empty, Addr => Addr, Num_To_Reread => ZEROES, Underflow => open, Overflow => open ); end architecture imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_v8_1_synth.vhd
27
68532
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FAkw7gRmEwDx0cT0lLfFXgH94E+u7pXWs5ahSt/pzljIAtlVd5PhOu9ztNGUELVfoO4Gol+zPLUh TN9yRctY4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OsI56UKE4Z4O4++RpLw+Gr7y1Sd3eUkdDGmGZYBu0aWjoj+iDwzKGBcBG0rF5D+4LwCAgnpAGiys xLyYTz/ObATK7L0zNe+Mx/H+/j5j5SXpNvpcXkGCWx3Mtg6EpqxneRyrD34svh6fn9QBg9AkFvdb eTcam3dZU+Gacfm2Ivg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qc1VB803xD7sVBXVT5KuCy+daGAjeSNtMgViDKH2bpJoW4aexvjdVOFa9Cn3ZQUudsfzbRtbOfND 3qwRkfwGKGa/rWJp/b4u168LG7R497q3mKgxz4wZrw5VVWth06zATVCPkvVwwcP1aVCYV0wxe3+F BcZo/LoE5dzRftELWM1hbxUlZMlSl/apI9c5DLD1ZPtssPXqyfH8yGBCJ6IwpqThHkCcKlxPWOFY XBErOYYrcO+fou4DBovYWIgQB0ZKOhCR4cvN3q6rg5XOYT99xP70Y8jdZqXKRq3PuDDZEya4uwav 9zgp9xA7sRjUN5/fcIvFMcfDutvNPIc7IvkzWQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xeydwtnivo2IBZhciZFfy3r1qoKk43zuwlyfDAWr7E6QmSwqVQF5VHmc7oNu8/L6oqsi8CW2guof n3LQZ6J8fPLN7CBNStOEImWoOU09vnECk8Bwe5gJEo2CSwnqojJJlM/jtH5jKtWnMb5YecjpsAkT 3bnS2U0oIgAvNLFItdk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QglgmN/aSMz0M17AlWb9oRKStkdBh5nVOwe4/WnjlbCHuTNXWcMIzqLlv5JcAmIdzL/13EAMS4W+ LbXaFXFMcWHAzC/5AZxX+CZbwE46qfB6uGUmUBTFEckk+Ba1aO38uKX6EDual9TqDkiz6OPrjmC5 MifvdDzh7mlaB+rYqb5sjxUWUfJCpXIOgO6lavL3535AS2e2hAYpmi1PB/ejGTuva2r1NRmDkiUk Uq0oiyBI4sQwmU7gFF9pADJRyzpgRQuSICfI5NAGRTR3by64/5TeOArBdjuY9arezL4gMGXoOIu4 E5vrAQOLZikLF7X3/wpaihrUarYdJnuPPVXNaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 48992) `protect data_block ALca4ZbZQm56On3bvuh5B0WS2btoLMeZbel4MsS/dwMbEqvPrwO6pq97L5wc4EmhtS/RA/5CtXS0 C/KdIWC7BkAWl92/ez+4/cbcWd+7u9DrpphsTFmNVafiHzkS633Xmj5EI1L5MokAFlz/7qoPK8XF uCmJORXnRP9WqVtN5DAKcMyDjAXYfIHl2oV5D/6ENsCrGMoa9Fxl5JWlLXlVbtFdZXiNEzAO2YOs WmhWGlxmSQcyPv31c+Xy4gkwYEF08+L2utwShGYQ5JXcipkSg6PO+U8yC52LDJazFtR+N1T5Vky/ eubJxwU6PMG2TD8v3hb1hJRn2YaZAXV1m9EibBWmTng7Ymbl3W491k2gI3wApODYTEc8/k/Knvf9 Vbp7ct6B/gjJt8UycvRJrm8l+AQILxR2gLj1w0lLMi7i9dKmp+oCsjTiNCjoE9cu92epiyx69/JU HI2dNM7AUpjhU6KPrOnawbSUyR0MV+IPPbkVGBsPqb88VLAmG1gwh7YPx+5QePYYVl3gZmMWiJ4V 56sXjlNKYqfkB7eldyPywnd7jOY+QqR8I4OODMa4uHxACyozIiCg/7DDRYXhyCYWrRpHyDrpm8Vh DmJRxUuMXwwYVvWNSuvAsCnCrFBdXg3ReeXO2yJw44+XgVhiIO8jRUDRlnWHAE2m1nrW+CimWYJv Tf1b+Y3nDflswoTr82hf6JYK51yDq47R1LxQMh/5T+wIcfD7OUFYJ3zRoJ9JIsXaEBJ9KHkj9jsz yYyo404FUOEziELp68UywAY6lDyPx6sPfgyk04upxwLP51cnLwy2QcQxKZOPT6v6ZBPDSeRbptHu /DZ5iBy6VShj+ylN8vcURYRbjMtnH56etbifolU7n0y1dTa4C5uMdFfwWnwyhOxyaCPmYXwnq04B cY6b1Dl2htu794iGSBo41Yw12LZSYbr+miyQUeLrQK/sVL4pxuOOZ2EDRwZFjda+Jv3Zd/zUmatx +xAsvG9GAv3F9yqhalThADdHufEq6C+Cw+T0af/e9LszcL/b7VofxbldRy0w5V+rhSBSkTnF3I1a ARZki3RFkt3gFCUrgzcrcb6w+/F3XnwlsN4dgrysKTtzxbFuw+dz+HKcFt3uIoguwhusiNG34VL4 vAKHJmqHA0/Vx/+7dCEQMG87aWAa70MHd1OI9md6aOFUIqe19CrUmXyhk3M4XXf/kb9Q/MF35p1C H4i6/XObXaAdPiaJMK24+b6LKeVbapy0cRRZeGMwPMvJCGC21Mde2JPBge9FUSfCpIkL/2JjDksD LWpzTtoLnS5fpPTjKE13OAYPIoYaS8nYmtgIw7/Nxs4FsbacqgO/2iJdXuyNugIOxpomJ6boBAo9 sYqUyiDmdymApOS1auimjrWUhcDMsWUxv1/pmmF1LrT6BN4LnyLVoTT5kpwNxY+kelNGVXSBCx5v 19eT/6TQ/te2rfhTECMhuvDd9NCpzGAjZBHB7XkRC+rvVwba+y13f8wNQAPS+Y0ypM01Tellvt5p P7lMKTqNZFELLUeF06fXmM2vMbumbbSTtnT/IBXCW7sbOxVqoUrSCtZ2CNNtWso/RnFvf1yVFo2g UUpykimRpicia3Wo9IoGyw9Ud63WYOiHTBG0i7aYzcUl7oNfnxtVWGa/RhJ1TGplus1NfNwpGDBk amGmbFQaVHiLUxV8XY+fbedmbgZ9U+GEM6xeN9nRaC+j75F3LSOXyDCHN55plhIFjk5jt4ImCb2Y /viODwcGF6jjsbEIGvlNw+BTGHQoFic51RlvqnBttmq5zs4gQywjc+Yh2PIhe1Amj5cwuVr3rJIC s4VzAby+8LpLKMyubMqESnnEnPcGCTbmkwtjy4PhztEErC33rQ2ZxdszJ1AL8+JnHP5h1/9RfvCt EJPpkq+2/RxUFSBhwrNcqTqnkH7VjKlj0neoLQpc7iRPJ9zllQh2fE/Em8rTUFBDbzZ2IvhE7M+h E+yxGUNsUejNAhg0EpwwE9Ua13hDCjYFCcOxKjZzoEymXKUeCNpp4lNjQHssaO9CWPGbJ7XFqBP5 OKlnsMzaPLJ4FWgZf8RMp//3Wp1gb+tv7o3+vp3Lfk2w2AZqZRH+RvuN7sRnXlbkpWO/LFzgvyB3 FHkNHn5LtLipcr12t0/1LrpfP5F4reg6FA7orVoUL8ylaGZAj0eu0mwYwy14GmPjXqtUakr13uVg fzO6a0KsNNr/TenRKJfFT0l62GSc8wX4QyuFaNQh3MLqHSBmehCFz7GfPMw98PGflSoA/FKXURr/ mjBhstDqfupUPTbboft4s7dETMmuEgUe2udSh3qk1+S1LOtcVLi4g1l4jl+vgvyZOKoZYXX8oa9v +Qdl5PTLe+eQZvd/yUZXgrH2vUOV36F55ju/ma5+yRvqNgPzyv8ze9et9mxouEIyIs3pxt5bpl24 cl5lD00j+L/agM5azbf7Ph/XeHenADsv/RAiSjGUwTBlIBswOJ8k7eh99wpOe9iyV8fMnkd41RU3 H/AZyQ8PgRy5uSJvf3IPIaHd6Mk1boJirPuNuoG0a9eD815C2SlpTCXuov0sHD5XHw2z+5aXXnYN lbt8NPrGICTSCf8R69P2JAVughLP6YAxj4j5ubQbaVHIf9pkaoaWItdWnbHj4+Zz7euXYCbMGo5Q vzsO6rkD5QzV5yCVbf9Ovmop1cM06L8T9B5S1jqmyN/5gOtYAeokl/yHjjY/WCUSvyznCNvAGzsY QRtJk9sJJaV0dE1f/VNj/CLlkJ98GfEu69uDtNATpVg1/u0BxE8LjSjysgimjPccsX2bin+kgcQT Eu+PAa5OY4GyukBvZwYZ9+6BW//eDd3/r4Tln5R0g4erFQz8X2ZammT5MwCyERksLQ9po4bmn2ml NecRqHubA/Hf8SlVLydbhqVcmi3Sjmgu36EaAi5N7NwgUbcJYo1EF1idYsyoGKyPmy2Zs1+Zv9be HNQOFM/NNnUOWgGTyXAsUBjt3gBeSOYkc4PAjilG9fJzxrh+mNEskJ+7ItgWvwVo2HHrJa9ZL6xm W6hEpiqtEBGtm17V5jdDZ70jMIZ+KIKk9vkrgdS9GzvXIwIJCVufMJ6dVAuHG6VQqhDw02kvuqae hYbz+JNuDZWmE4lQNBwjdFzq9wkOFvgPKW9yUW3jZZ3NOaFYwaEemD63sTU1FQRt8GjUO63ZmOLt BmMYZ298N3+O38N0LjFnSyCkKp/RLNjMn5zsKp549hA5eUcHWL64dimN5cu/h4kO1zCef9OaTRL8 zm0PCUpwQZ5QBKVhBIUEAgK9GwtU46Gi9fc22c4HpTya2owqZih59E6iln4Vo4gaOzwfU+a/lTEq jZ7JWWCllgp221tXjPvXOcmCB2D+d5Pl9/KvAGlX5Rcfowtv3ilvj1lS+Mtjm0Zou8hpSBCHc+UV rn9OChxDPft7fowtE86eu+LyfPQXQK41sUi2RKwfTIuqu1jLjVvoBFYK8exmDmWPmHp4HbKFLnnA MjxExZ2QpfLnrQH/1rU3eFTCS1QZLdUTD6hg/HBlWcK1DR5nNro5E7r6FJ1rQdZ2QWH+YM87xYvr qyBmdzTPqDZZtVR2Mlip0F3Vli6+KAjGVimC1qvBDR9Ckw8WqBdIeIxEa9Krm31PbNwYn3uKDhFS WlDhlfi1IeJyHO8wOBN9wcSvRmOr+IfcWOF30q7GG4KzgkrYoXBX8sC4TnEsFTya+kV2htE8lgOy 7ZGYj7OPC/xqMp3ZAkXVlPoYIevJhhFfP1VXBYEUGaSnmznC+PbO0KcIKe6CoO6sbnz/NYgfaTaQ jqUFSUAD6gx7YTO2/P9+soX3PvBFVhFv1fvz6gdYdt1yLb5m6HMadktuOoY7yFaghzPaCtbkkZKh qgSlAXRbuqo4uYMmMBscddJDuGiv959DRr/w6Ge/BP/ctup7GV/JgmxbNBef9qL0U3CnVUeTDaoS rUGRZSaPOiNl/fkNgFintu28HJDksqUcNI5rTBDg5Ri4EdUZdbAo0VXCTKzAwxZMxnUAR0E3yhqF vuf1YlM9TR8edADnEh8UnrGKTaYem0GxrvPjoxwyFsFO1QsFaiZXJxqnmNCIQghbhzPkD1bWhz24 7oJNYn5AnQ/6VPBoCyfxYAlvLS4hW3rRPZzhDjxmdHOXhIUdusqTSdDbhTXrKbJLTgMKcPGFRS8f JgeUioFs+lu4MvI8q5f2c4OSfY2yaQJDNxbXfQ223ypEIf69xYt5bRvjUflpxByBZ5dU7/1ky8E4 Qo36qtA+XeXGEpEEEr/dbfou+C+aYjBSAAkTgwIdhBeBXKowyftuJofN9eMYpZta0PvkHq7NAhxD Kym0mV7kXrabt/EjGgApoIQjbucyFXpp6vVyTJNzgDCclfhBWSLKfEvejjYUn/ICjcKBbGIQm7dX hFHwGTcXriRSYqaRE35oyGVMDYZ3F8sMwqPTTbnUROSqF9dtQ3qisGx142QAiF96AIGmAHITzLg0 KwUEmCXxiuVH1d06ApuO1JFytULrEQfJUdEsf2t0Ixo7XxYjJ4en+qCGaAAw7hOWC0rQI53ijrcs rwvUFF2x41X6bP9m6zXOdI5WmhDebisFzDKZzVv/kMIANlvPQsTd8Pc2VkMDH8qknaQyxZstV5wU pE2tsuMbU+CIAoBnfc4gw0zDkh5OyBwHKTtFEACCdzrWxZZ/eqRbXEeUfr/bSr2wj/PRA1YnDJpI Y+Qrwda8TMur6e66cJzWj/oBzJRdq7YP2+X5/sPa/tN9c/yw5B3xFPSqCAWxMSTaFMC9VRK79fTZ lvTF+YotY+PcBv21N3wqCEb6iWkf/YJcuU1KZc9QGCV8mM+40z1Ni7QPdnyoSPUuP66vD4Tn0tcF 2wBMauhQnsB2bElI9n6utOiBv7DPpzXyZgl0s0YB9+ZSfjvFHDRecO/Pjk7iLCS/kqf1TJn2ni25 jnvDpJVnX7l4eR3OwlWItGrPFMQ7R/pneuD9oa4K3lSSZs+Au24LfZDZQwgw2ew+x4SVLUp9l/s0 OOCqQY/577d2C8zjWLW4l4JFmAqdqotm/48uRqVzJXcFHwtUt94e67pBlaej/Piagpsi6fq6soP/ 3jdfmgvMRo58eGMpooQReVJwxq1x0Wtnlt8OOMM6psBbJXpiyra99lfFrQUOvQtgNyioADynmrcJ lz+cTW0421V45wrWyrPQbBCSSZLvM2tWJ5HCJjRAAPsWJGPtkHFG3v2HEUvE+mdlCTWK91o7pVH0 6z46V8MvPEPyhm/PtoN4C02AKRjpwSGP0L62TkAIWSPKW+IONeUNnlCErsbtpIbV6CFwjestqIYm xRE3ZNeIc8lehJcvEIFRdrUJ+p3D6gqWCxYh3RDsYqy1CiXuGcg1apazd3xTyApHPD3cUTKS8OD2 XkCeK6m6JJn8tR/sznVLaYtg45bTuNd90Y2J9t5Lh+ccpnUdidpjEsP9oeHYV7c3crUaNcRBWysU o192cqX9RH7g3cFp5UddEkXRWWLE/AASWkQ7N/Uc6aUlS1hxmSvrkaJUX6zUOO4Nv9l0+9kjr8nq TEgoT6RS7IumOSruMcZ78upSlKp+uvVG8Qus/XSJi84zp1MI9R1sV7mLDV/GQjfFkrx+IgQHgZOR GyEVlXRVSBaInrDCRn95gFCpmrtjkk750Ele6S9XVbu1kMiMu/rQuGHdZhw2R7qSGiqF5wz3pQ/9 gwK/1TidkxvMwLg8bItbtTFUGhRx9RN1oUe6aBq4jgbR1oMUj5b9Ak+kcNvDSBvwDuPqAKQ9ovQR /HOHL2AsBBUgPismQ2brZnHHX9qB7xPNUaHka1+gX1zNShFlwh/lyNGHEufA1v2wTtupRFWuJ3t0 BKCoCWwu3STOuVzbqNyxk9+40w6ZEI1WlFk60ydX8ge1y8LFfPRry7gQ8eZko0S5iTV1jiZC7zmU uT/K2IcN1+U37zwBznU7Drhan0QKUdQIyB15p8248hiz3EKlTrNtQ4KsWlUq+dmkn5lfxJiVzu28 AccVG8HngY5tC2c5PMezOQnMh/4BdPu+6eNudZNN8b/ey5Nzomc9tk+O8xTgNaKpakHO4FhmcIeX o2GdDy6OpytDGWlmh5g3Ieke+Z0n0aqvniAoCvxqRCxd8p1HVlRqgiPzEMMFNweiyPDVypaQH+iM 84idfzIRIQnQIo0QXXbNUYbb4eIhlVvw3Mh+XXSfbfIpV3LOTGRfw7ih3J06xqvm+Pi24sA+VUx+ Trk4mIHX501MmP9Cx0TSs5NTUDt25v8uhoeLdgpkXjKRTeSeIaUoiKwm+vkSu2+dMOK4GTgZaPMm ZqQ0tix4PuN/C+C13ZtOFWMUNv0St5fuvG86SXVpv/GDsy5nvMHq1VwbYV3SERSJaLEOtKckT+gE myC/rXKj/TNO0noe5h5UeU1Mq6R14cTWUgATsQNAYbn6EISJZ6jLez7jij1d29Q13kfLAy4c0VqT XRt+MCNb57aHeA0baCaaLJiqJyFjwa73hLtiDeGqdA86KuTjrNdGbtGmqbwwF6yc8fLs1j9LJID8 BMRmS2JUwsk8Ky7tVPRo37X/+Yz8+H7ewce8MMsf2qLdvXwa3TdrRnYGDSxsD65sDZ9mRMfKHMn0 16nAcXupwKII2cG2CADNkbgjyJqwALQJtJYxL7V/ACHkMFYyS/xd9hm4oEO2KUH4sRGJueeAB6RM 2YeExxhc/NzT4GyEK61gXLeaOvsDFUZt8SbfICHC8rdMxkJeO/lwatE2K++hfppI17aR1fIVCVo7 hbe+1bZLmw3zmESyKbxaR8VZzCERvC1DHoSVodxdNbkMaN+FPf2USRrfo2zQUFFtW9DBaQvm4f5K 1igfLVyIfe/OwBBN1TIVy7eMyQu3z+KqkT9wgSkAbrn1vguKIEBMmHC1BQ/SGUIcr6SqhLrlMvV5 B2BsHCvw7ucDuYF+2cvGSWZBkwn1rS5FNv7/zRvoVfeWfu+2VPzmKor7oz1TynaIotyJigDnR7nH EQXXf2hVuIcr85h5WcsAkwYtIrwAogXD/1pGEK547VwPXp4vDXOBflF8myuo9V3ktOKfnCwU3rBl B1ewAc3LM2OibpicdYk41gt7mSotKIHoY2KEjfYOWHDTru8Trer1gdqCcJ9MZjQHaODpegmKdlbm wng1bMpbBiVGNlvV4ycHl3VGOGrjb8ohBLaoQfMn/0dg3LgAelRDYw+ihZfn4ARo5enQvyLeOT5C 5h9vCIhFW3xYYH5A2SUg07Ci5bmw1xHkPpdr0ex4abF5fsaseJplhnanLkCsEOiG0VIbRULPYWww 2rGYBJU9xveE2+J9YwUQpFpDWBlNKUrPBopNNuG29cQZJIgfhj0loZQedzbXBhlOqQhfCBbf8pga s/RiGnW2RRuuJPlwI+D0TaxMnd+PMrBji/Qnh70M06tmkSFwDdYb6TL9NlAZnPdSR04+t6oHzdLR 8dxraLYBYNCuQ6phe3iRzYUs27XWKKN20avtkl3oyyFXPg2+Cihahr18Lth4MdxQVhnpLT79W/cl Al5yVfb9UlvmF615kh8kOScVZHhdHtGNYQ6mQt47+lC9SiTLcW4NFMrlFbgd9yXqbB2OOlZkcTgJ CCgneH91Qy54HIP8ebdULNXSpDuds0bJ/jjZ7/Z1U/nM8ty7yBY+A4BBzq1uKUfOoqhL4wZZNUP+ QUnuM8I7CQfpaVrTbKKc1RVr8EPS4SJVgD8zLwbCVYVd78IgaqpRDD57pjYNjmDLyU1sl1uh6dup oTytFVJe6QBt2hRIAI/YMs9vbbSa3Y82WxFklhAB5xrmjrUhpbRXdWBKXhM4SYLdm8wFlDpYo/DN U8G7M7+JZhZxGo704qDgTltPlrSQSpujqp6c990PrPpwvtZHIUTQQk22H+Xot3n2h/KiQ7fYo7XO hdzFL4ujisXwGQQyEozKcjyVfFYoscCpjMYc1TPtAHrtbVnIbQgeodQP4cEmwMxAFVPsRaN4f7gU +PnQSUPof+T91/82yG0B8IYHkUHhm7K/U5G9ZHkuDE0OBXchBBFRJaXesSZW2iWct1fJAu2UycS/ nRV6L4VwL13TszRfcoTWGxIUPc/g3c7G7lR/2dQRIiItHSrh7oSJhXUEDeyjSCTYhMyz328BQrSk zXgYo25TWUgXM/fWJhKcUvPf3qhfsKKlJ9hlLJ7m5ntZ+0FyAzESD/cNvKGtbEp0jZ7asb5z71wk ngmn5uqZ4btWVhYAZlxJhfbmphoMqj/0NF07vpvBOtUZonAZGXaNEgqXoCFjtFW9b8FSqVYG0+RZ pyE61gSgxBHIcr/EAhX1FiUiqGQpIi3iO/WbduYQpvXT8T5vpQWPF1uLI/69Rb9zqIQIyJ1vk6Yc Fkww+xfnbMfl/LxUx5hoac603SIJmI1v6cQLrDjZoyklvG4TfcHvaesbXiyQguFG/6BCjl3zdI57 OT7tmofymNjdV1uF1wJ+iimcaJx7QVlGUyJ0dHYk4F1w6twxYoVfd7vocR530WW0E4ytbdUHVil8 E4WSZbE59ruyPW9mRAe46p4XQvnjE9Y0u1tLiOJdxhec8cnMpjPCnA2RQ0obah1IJ3cPXdF1S7Ud jwKOEBYfaFuFwrLjWt9K1p5hm3CKk04ge+o5LcXDnU5yyshuwu5YbKWtx8O2Hl2wdj1YnSLAFvoH Bjwl1U30P5xaodxC6H7TUaJbrtZYRhr0IWioP+ZMWVIW/kaEhabTvL6vlQfhrcd+yTFFC5xUr1YF g2boWR19o2Jq7TeMVBPuSC5siQFIL4KrSzDRSE4tqou2d1OQOnE+NcjclYYgpIlLvInBH9xZlscb 6KZlHa85fodCnaJBTOgLRO+hPU9P5+X6+p0s1m+1bFNluMKQmWN8el3PDSil8xggsKG7f0fJBuzn Yf9KXYpUB9ukDWnSaYu4hGntFdUTagmY8nWI4AiAh7ervKe0Ch1njIM/En++5pLdaDOHPoZFfamr DML9uNqj3c+voVP6Q5LSj7u30cVeIdR+vhnwNRFy2atgakfs3KJAAAVPNmUARjg4EIqFnulA16K/ O0zgX1/E4nK6Ksg80y+kJmiyPhwaV45DyNxdik7o00JUtcfgHzHCsmHZYCs5IiXDCKzmKNSZQ5xI 6WkS09vew2MBa4kDwA6Fx2sxwI6/4mQJojFu5SrfqlVCDMK64e2cjBT3JPGXPtQMKBT41Lz1E0mu 0TUmTD/6syDnP3eqvfVtTb5OaO45vh6xJIgCaROuf+2jMQM6dUCeUddIiHaSy7dW4mdP7vQPgdqL 1b0PL2RWGUgbHrk2SFEhAqUGgMz0MI0nC3QaUnpAfa4Y3f3uJgIXSZgprsHgpo9TN+TClUdosbJl XxoMxaSq4dPw/7vePgyKf/86l8UJpAWKm3bLBNNxItqYNXFMEFww6DuCDFxAJjm6FGHtij+dh5KG iCRvQ/w1NI8xyjKsvh7nnWnVTBmNVKzqUx0KG7gBEEUG2vq9aYgbYvLU46910VIt1rpipfwcEv+t 8oNpUI+WcYAf7GhbE2EQFFQTvK6O4u2bmW+rCO0F2V9+m0uTaSD4NTbEPIDT+4RZ8hvQYxHrpI8s HYbhfrS4MIuz4V501uUlPHxB3ZIoRkQZcufNowWCB8HslFm9fm/6O8P1e7kLGk7JmLD63g1Kjh6m n0+v4msBzhdvoAN+I2ESGPexXMgWRKZHcG1+0ToXmVlbKyc8lQvsmSfpoWrLpi9HogxIfUcKSafs yat2jus3E0u5EfuOlodTIEo8O6cJh8yET3i2WJZPxbFck3LI/nbsm/7L7Kl9kE+1jI35yC+o2CJ7 0wCuOsd1Ybv0SmFPlnRmDPmETWiRalO09KxDWswAwiK9XjlGDstLDMyecccPcvqVPkA3C1cxVa44 znrZa/ccBHwvbttSbvN1XXrQINl1C113m1TelIl1agBhOvPE8G0/qAS90+1XZ0pi2pMfbkgWBDRG qgc6f8nK/+RqvPvWrNpCynm/q4cTpDd1u2Sv63oh6HgYEN/3ZNBt9nbI32mhdlLqH3ZfmExypaI5 EWe3jO5AIbMBwPcpM+Iiw816xjFNtQvdYZZw4X3YCmM97ClRXlR8tIcXswAHpHP74L9D14bLgV1l gqVYBxFrv0f+/g5kKPisEq2KcRxl/AvzDzuF07hwpSPQYTziJtW4mXCsWtru/0Ctnh5ywV4Sp3PM CPoRoElJ51d6r2jl9ZhGTTn2+vmrmfuEm9S8RW/B0TRrB6XuHSPOmu6LASuzc4Or2OoLapYte1+l vynsS+wm8Ppnwt14kvoGddjKrFJFtEDOeUXNi5RBoouVG8g+gX5Jp5ipZfS0NS06tj+2Q9g51ZCC w4oodXycXwxbkAq1ZNP9BaaxdcLlG4jajKeZcxIWkcO0dKJThN5nq6IrQjhuaMPLsmWgaL/dal3d gVzGndTkT+HkA3P9dbipfGNjd6eIIxNFeahhpfukUO6KRBZv6jnDfycmV33PfjyrqZt951XZJZ2u rlm/k4qxsGUMQatyI3KXipfzFGHrLc+LTTJeL3OIyJ2Tybtvcq0BPy1BjNxhCxu64OfVw3VtP7JR Giujk9F3uR+IjWGNFdEPdVUxcDvlUCKtIRT6liKk/5RZPOcQUErikHKmZBj2GSO8st7NuhMjrB1T ExiLlvO8hVFMfckeBApub0FKg3KmRs75Lo8Ob5djfZdWko6zAIZLMyFgQcHXnUpYikJseym42My6 ubtFWrtAXtRhGRhsRb8MwB6uvE6Mm5I/ZlGdM/PXbXjOAbnYc5CfBTEZ96+rZW8Om3d1EVRPm2Oo 4c5oPSX+v6w4qV7MBRnMZm+JYEUSTIIwoU+WUPKxp5R+Ne5rmJn4h1HYNlWTqajHLZmhA0xMfJSJ 3JYRMX6wMPK6k3M7IAqikwboxKPKFO8462zA/cjJ3I+ig+rBaX2/kkOwUzqWO89pmwN6wR+7CBZa xrCjeoEFrPqP1ox1WV6gMnLIvQ06WAbGlp+KuODcvaPsLinUYjH9RuuBpJAZWI3oBUkvBHXu3YH4 JZSjAZ7rjHLwLlNSS7HR8tlLNb1QKRRDHbZMJdJnEPzUstt3ZYOeT3QXN5MQsRalslzjtYM0tHE7 xHU3xyvxHKTt/Ik1s7fGTZ31Pb5rEL1ZzMq0x7cDG0C0dsCR/xezMewUmdOd516ft2YQgdeE0jOc Ldvc3lvS83TbwSD4/mYEX3Qqy5krYiCzYKvHmJTJXDlh0FDS5+SydczCgKo/SeLxDtAgvUM/RGbd kof6CkFXU4swFM5z2s9UmqCLnXQ17Dvvy3xBngjvZlPsNROCFecCU7fGaYp70jW8ULfvz7Deeuku qK8918CiUuS4zkkkNX5iBLJS5FwXGBMpn1tUdMZvh90E8evKoGg9osCP5MAnh4l0AbKgVeVN02RU eHeTTdECnLJ340I2bzVlZ5AkB2KgsBoLHS1flsShG9akJqGnncN8D5uEkVJ2yR96bFEHWYPF3Y8L zdKRh4iXoBVOOvMQ2D8ahHs5E3EvOMHBoQ9wWhJQTKEylc44z01Xfy+S+K1Vc2ht3t57J/SgG3vY JxgzpmqwaCsdnWjE52LkMIQByIAFAErd1JeMqPnGhyRZ/P1XAL26ZPmFgqf2jMFgJFVIXv5H9bp4 K3Mc9QWUikt7aUqfxajTpfIFRKIs+wldaYyqgfL5B1pUWqOLR4SCEGb+H7Nh0RhpPPXN80qH3t6e QdD9/91LN27JMe9uA3GIq7wQx73bcaFCcK5OloGErQ9T4PgYv6u+IEOlYSuwoI2bUPJQW0RUBGdx 9AjPQ/LQFuKjtMIWktPRJ6G4VA7EtWr7LvE8UkcCxbBl7u9T1MQnrLXO7njSP2mdW7y0quvrtrJj kI0c6FdnVSTeKIhwElF6z8+9KM7WDGGoxqC0U2iibLfUCXJ2s68LPWsOnQ/5TRSaTEow7XMj91ie vIfTnKtQqyuGoXOGsPnh7s75xjd5aT/vEY0tRl3FncwNCyzVJHNXv3vXavB6xhzKh/I3E03PQVma VYDZ5jzzuZpwg0R/hy74SxfCEtGe/9qmJW9nQRSibl3BIfmgwajKk3Mg8fxUIBCknPlsz2zZw8vi qxmDDEtVglJSsCYP5zSnEIsEz+09F8bSlKmGwWqsf3DEiNkWmSh/FCKRZ5bve6qYjjenSBi1leFi 3sAg1usuKjFmOqcDaWu+UumVP2bQHXxB/6tLVfBazcBFrp3SegLlO9hnZeMSCfgxc08mT+a7Gn4V PYNR+U5Cpi7ycsWQAlbbFtJIwfaOdQJE6qSYmIFAPnSOjYzZB1YviW5ktRTDPAQUOInHXsjzg8Ul z2thAUmvjuJH5DXUPpH+cbvSNnzC8064hjEUJsx4ZI+37dLg9JiNIti5H+JvBsO1S6QOszfUeuBp ggB4lYlXM7mK3oj3M4rfsNrP50IiehhE1EptYJYVjL/WzizZGJMU88zClEwZHEZa8SGwnwdY+IAJ mqfTFd49lyiCyTycjw2c6SgYJ68848/QAIk06vZYkgQwTQU///8nUgSsStapxqfjLqunckyezJW+ 00t3J7ic0tONwP/ZTulrRi+vrL++mMjXUfifCc/PqcPNwOXhmh3qD9US/8xWh3YNFc6S9QS8jl07 GA6ubXZVP1b2ctGotbScCffYkPKWGK8hTE4OmN43Op4Tkjx7xrMlfBQeZw9B1LnR3ZyEY/krlFLI JNI7hw0RoH9iiSGkiaBGOMsDKDb1KADwiyfCk06tur7RNzetpmI8CFWEj54oKTJn+5IxptsmDlEz m1MnKPfF83rb6pRXG5OX9z3+E1KzFCgpJFOGtXyGbpgtAr1wZa7k3Kit9LO2KZfpzl+iBfL21sAf iR8V9p4XGlBKinjMfFD8xVOZEfy9APDJo42wgXHucL/CNe5573g0UGrtCetsqMFupqOZuX6gDaPK rU9+G/3wYiXKDTA9fy2sm4dM6am2ahzb2J+xvWhcRV3nqZGkw9TE8F7P0z6y9qe8JwVoA/ZEbx+4 n6/Mh31tdOOrSD9WUwyk63LUQK5XUwMqHyZOowjPieYpIaApKLr5BLOTbBM68tcvHW2UoI4vT1rR tV2TsezKN+rxwoI70Q5wI3vjuC+qd1ji9r2A4hxxuFPxVX6NTq3Fj/GNHrtPNMyQnDMUoc8b6r9u XibjG/+rlGf3lywEs5RvaK34WBDk/ZIubBGP2Ry5GGuvCFw2Q3PO+nyu04ZM/gJrUqfZAjAlFSlo Im088+W4QHO85h1AxJkQhzJTgmur1c1cDmllPc7sgQRSw4y911+x4z5XLkHrShXIaE9OWmaucQ2r bCdoEWSwT6wGq3a8rxjL3qRlRbOWG3+GthlOqQKyqwv6li5VFGOF58r23F9JBNgchg4nKTBDw8su 6OpLtfBObd0h74IrkQJUU7xiiZG6kFaAPfc9nAMpVuFxZ3tZd5gpPLM0IOCZNVz5kD4yWmXSFYxU j3NR0Sgoade8nUAGxHgWoeg9innMySrqu6HFgTtPoGvP1DPhh1yFyxGOUhHzxYWRPX9gQMdnQkiA JhOtFQrzTjVFP/7DvA9zQxCFgokKWhT8GOtKaSNCJeW2MKCrwCAwBuXPbr7r/sE4uedY3KFPrAHc V8t9c50W+qsH/2Zf4ODr+1RqBEqJRg9qh2aXhRjne2ddFPoXrCRXGNylczn8jjRU5djQ5joB8lGE Zx2SiZxhVXHeQ1LPuBiBAyuRO6WdoloDnh3cynBiwakn4RQvWCh41IPEM/RChg2EmaApHFqfIslY hRvHI/qBZG46EV6V38tpi9oNOA9v29uy6em8IjM6pkBxZIUWuO/VrxOIuJR3pX6ZI9pSlYPPKIUm WErXfZqhKAq7xl/+mBCqlMoL0KzrNlCEEMyxJ4JkgO7rzROth4U6sNLjTHSeYbm9qmUfDre3eIW4 LXppa4LHUi5njqKT2lVQV+FgXEJU4HjM71t3xIfpLVDsQBa2V+qHQp+bXSIjvAzqTg9fqtvVhJc9 44NjUOzKPsIGsen2mPk2uGCi1BUDhryENgFh7LNK+p7P6QG055AwEKobnAZ68EMuJBeh8AgGaWXh tJM0JDmdimetJXIOeA4vvhmqAAgQ0CZ2l9gHehu0bCG2E57gL3KEfnyRVMTteha6e2jrfX5b7oGi yydScJbnVpdF2MKuHWrr7gMlxrwu+5gipYxJRYMbDOtgHk1B3P3BKLL0XBX3kV26VMznKqfnwkie rQ/yJaYMSA0stNKHyC9iwxrS0eaYVQjUeZaM1GYrPUgpf1PLHeg8+rGZTqhLgezbz8oPGANshlHz PGB7E+IwlQXFnB4xwMMWBxqozXw9bTLen7xkhxVWiyUerID5NHomuEVzeGKpEVLPkgPn7d/em+D0 kbgYZGG3J8vkHz29mUH0u7wln1/koYvZmtcRPf8VYbk1YVR4rd+ldH99qNcfwdxuyzTxp9r6ggy8 V5C6IYDmBHkOsgkJ9o+inHbTKOHiHPBSCkINMRqI1+XolHVf6KvyPXHfM2vUF2ocbpJyFsxgibws zZGwNU3bj5T0TsAC7MJJrtE8HPoG08K+fIqVSMuVy+WzBh/61iAjGMStNY+KGE0IQ+HSFn19ONur Y5z4TyVH/t6yPtn0X5APf/pHD4HiCyxqGhTiBhmgJ3kCMMev2V1+hf+51n2YPTI70wwUgncuotk/ SKeEucRMkIJO2rtOm+X0AC5RgVkVC4MDutTLQDEpnaptBwdGDs7dUdGmT5MVmDzFNXPzyANS9soX 0tTvbgoOZlULO1yWUk5egTkmPhK7MNdUpXnJDhkcnyeCMEzsKQGqfhaYB2TfSTaPTDfRVPzD+vv4 m0oDumhl6gC/rf/2pDuEF8Zv7CiZDpMqBzhlOV6cmT5tkUzQ8PmBVXbu2sFvAkEtgQ+5nCvBBTu0 5En2FFQHICbO4Sr8dss9cwd8m2mR7Jtquq5RYUoQyA0ANo37QX7s4VRLoDnugSpNs2/OSBY9f5ah pl/4wpn0aCSSHzpgr0zq622NCvUsVcNp5Z/wFWDzzlLL381wwYRiOTPHvEJt4P3/bUV4hcfaZA2Z AfG8pUA2vP3dR2P2vXbB0EY2+0yBtCTn9zIGlzdjSjGpOnl9plnzfQoc7uReXVKrLBK/HY4kRNb4 YLlIpMEtceXaF5lxnwFyjfu5Jz7uW73tWQi3ZyJ6ZgCUwGsNsI12q+ZpWnSS/OsHQrXgL/SYrkNx yslviOY+Pon9KYfTbL+Pj5Ru2tXZmIWwZ1YvR8u1fn6HRWfGZi36KIpBsnp08PtsQBGGzJcG4p2V /wkuHeWpGcBn3kUYvPfl9G9qqSRi4yhL2JaVupCmWu3S7cvAX4lE+MtffWyekGDpyNv32Nk+gtyn nOmb2skBjQgECEE1zle3SjrEFZLB8jH44Hv8QDThx6W2WayADdugQZhFe94vMrvH2y7AZ70JxUqD GPBQw7rn/wq6PzYfzwk0bx7yaCxVh05WR2h1bbnh0Vy5vxImOKIxNQm03H4etBQy0oGNZlE9q+eG JqywLBR4wgUY883DK8CADYo5n66yOu8r0ScI4Xv5AjKYspfUZ14hQCLy/5FsM8HmwVhESC4ThakQ jqHi0DPWgVFSvdmIePTlO1yG17P6o1tRvUv7a7HNG+czC2YW89eURrujgZWUDMBgpVElfg1VX4AR W2TwaHHIHKlQCmH0IV5+6/v5NHBWEQSJP0YpdPgELky6nL22MYfCTA4lF+mrk+o5uQZfcFr7Oe/4 TfYdsPhfMXonWbxrG4tvGoNK3kMQ61/6Gti3CCShOXhyxtTJuiziYpw0n33V7l/U9F/PbXPt40Qa BC7vtquJ5zmXudON8TZag6ZQV21hOZhbBWwZbcF2EOCk/BmQy2UwAbPsddtZXvkViBdfenf8e1P9 Sn9bH3HX9Dz5E7y0RE6qJm6aWFhFXmgt4SWyEj77gshu+xoPHJgZZu4UZF2xSbP8XwpbjSZouCTa Hsj4ksgPHV4MGNKQBZE8wkFBwhCiwSzUDCqP+WeWzyMlPxq2EZb5SArElXPthtnQ9xFkxfXuxKj0 5VnUmQ6k0FeaUlnfFTr7OIvamxIozkq06exneASElI/gppDQPBTjNUOCP0nu23VQzAnI/of+coA6 C3yjdhaCN9eNdJt9IQ5TlzLp8yrFGXAWh7/9mdhouAgtyW3flSZX13lNG2eBIgnEtv/yhFv9zm7F uEOpECb2doAtoRfPfWA7SSTDavto+NkdzMqcQm7D99yq3lIxb4f7NMkWONBBZ7gdlckEb4c2YBRL lU5WS1a1NZ5fF9zlHOPkyB6YpTE3lnRnbZnSiH8f3vN3gOUafLYM7FzhC0yQSBhRXAj8igC8b7X/ BrzuRWHiAILHS9RhQyjylaSPbDc2ZUMmW0faXGqN3FKYq9JNcmnviaKAQFHepD4svApQRWfl0vZM mvn0n5j2NxdEmhAlAXrpcanNHz9TKNFpQy1reEhVT91P1XHmUhtqASaH8Mjh7bog1WhHw9E/T1mn DGJ9lE33KVyCqAwz5xNkP7ZH8elB9mFjygojQ+flThV6CxhY7PWg1ahpsvas4dJCRmQvD5ioxdNT 64VXX7sC1MO2ZDwxGheI1RrRJfW8XjWD6RQq8OFTQtRvQB4f9xWYXNH3cHUhbIrb0dwgIvidsbdK drjjN9StMRvnmNabDLLrwWJnXd0fRqyfeSgMw/BF1mUsyDYQHOQpdNMzoUNPrs7YqH6qHFNUQFwj wO4c4nubfPxW8e08A2PbGj7OuKQzKoRuprvU8McxLVBxMxqC1En4zjwrxwEOTk3cgsozDGTV3P4m 2++QR6lqai06XzUtkS57dRlbasyeJtAcELOZNZujaoWSfc3bBaOX5/U/dCdXbG9nUwuXIEl3EA5C i03y5DgA3VNWudsaHqtNqb2JOqUKCTJenBUpZkmyY8bPIAVluosnDXQNg0ROIkugZB+C8zk0eLRt v8CQ1LNIGKkijdke4TcDs8qL5P7y0Q9zF+dS7p89qwGxmwdftui/SLxOzgImcukxSDUVRdPQzyXG zegBIGnuTMT10Q1qI6wr57vm7ecmIEw/zO01h/UsPP1hsd1/A43UT6kaCkQkH7gxdAGrSvJBIr8Z DKvtoEkZvk9xT0VsmYkxRNef9e7Ap2XjyX8GEzekCtjkR8Rf6iDBOMc/6EkO+zOr7D145gUxkM8L EFHLVTTGcWLAqpocqjwGOc9cz8mqwlecStqOXEGprkxG0LzexqMEHdJGnmtxFceKv+YGnQ+Lfmat +ibttw6ylFptvk+XWiFXwqce3JudMIxpKhzcrp6MgH3LPAQAA8NO765b4J+655umlK22Bu2yCSvW QX88sErkUyjng3TjHfcRedywkWJYDoxRwhvxX0UNbILWW4Dpn41o3sCGOZ9d8bJV9zcwDNm7RITF mMQlWguLnnob5Tv9foAK0gd6BVcBmSevkYVz3978JfwlQUHre15wsGmWpKOIDg1wMLo0+lMFdJlG /0V8S9FhaZXjOa27Yi+0O4KgkHW1aKGuZOa5VpX3pJ5Qp5Wkby78zJo4+Dyt4bGUX9MBKMykbhNu 3xBKZFeqmFJGbn8tVBJJTNgaW1IMFbXpmSgWfKN0r+6EnoKFhOwqKwIjdu3KJ0PaaqqYtxhiWASn pwSSi72y6DP+bXBnF09EAVB8+Q0cEhqK0ZEiDYhkDgpH5DO3236QCJEGg87zkZII9yGcvghorZDa M8yOGiO3H+45ChV7xfPlwSD8AL+WLFZvPoEpVLVhttWyv5Qsepmvbj8l3HfiJHE+Fug0nEPIOfac SpilA5YfLjPLBGanP3grHvBjukNiH4wtaEOu3GhNaIHbmn1Jz7+BEzlgk85c0hnuTEjtEHnXBxff 5nkN6u7A1PS8NwTCOlheNDbBwevD8wueByhEREa9vvzFyd9wb+Uz9COO2yppTGKVlXiZlOA/j/1R cCYtf1+6zfVadpNlGKqRknUmqE+NOMvatOlH87n7eKlYKI4Iq7keLS3IJxoes8j7vn45lPJCBtW3 q6y8HikNr/Vvx0+0yZDQfdHFcDzARKP8DSPQY0JAfb+Ns1mhJRzRaGeQhhtwruEMdBKhgAmDfXrB 74OnQhMkU6ia9D3LkDaU5/0sBel1vls2MB3OGbLvdV3atP5/7TQHMUucNjqZqAF7XBj6Q6CzzYDo aQDvoK12ex4q+idihECBFPCQIizdaB62x6i39Moql6jd88zAitYKzj0jOeH3zHGvp0YkC51AX4iA Mdt0mBcB9IKgZyiPubH9j5mXXZASKVXzRbZ7QrHH8gTl0w1rI+GPSiIXkotPVxVwVMiez1bX0dv4 53Sy9ozfB0gqUbfGjYR6P7FkTA2Sac5lwSb8ZjM2laxt5ba2Bdyzhe3EszgRbtwF8v749tX5X662 HVS7+0N/5P4kDQYuOwbY/IAs5Nl/PZivTOL77V8ccmaqQAQ+ThSHiJDz/fvCnZJFP2WGT/swE12v wsVpcLi+nOn7KvMLtDd5USrFRJtKeI4lmn6zI4kZROGEA+VqB4B/EqP34Xw3TCdwY7AG6CFL9qdM W4dlzEvNfiShJcwMK51jC10D3RjFTf0vV/4tMVXEKebuftjEBD3V2DFInfY7G1QkzrAcEPSpf+kM 5HF9DGd9kgzGUJCatY6GV+iUNraCs+hd2pg6loFwRbiiY3ldgznFyU3WsHO6ndcxzLlhMXfAb81F zjiPI/NALfw4ezhiO06cn8hLfTb5o6biGWB7Ny8NbAJrx19c9hGzz7kSjLZcxIJmEDQgRww0nMOV 6tXcArmJBi66BrTarZV6on+pBdmgFMwP3oE8gfqLGf9kCSIvwrG3poeYzAotC98htUGfNLJikHDy Wv9J1KUeptkBU9neSRzc+Ajg62greD0kB1vaOH9l7aImwnQe3cTwyTNUbpSueD+G3sLCaO3RdKqr OgEOvJYrOY1qwJHM9WnDavm8kRSujKcDsM5NEk3o4YbOY6akcGj8UyaH28topZOTCsv+udmLuq4D bEc23semOPMziNKOMDMGtEq1fuQW0D8fv1l7e9MQfZt8In3hmnMvnHAqu9oLm+/qCjl+G01oJk2Z YBbkGTRg2d+zWBcxK1crqbnRBSKcGWf3htOnTfzfiK0sacQW7SQPfjq216mUOmWPA78H596HqOA8 vsb+Le5y6EnDZV6XYLW1EECa9Sfsc5e1Y7yKpxRJwvPwqn6mTPezmjsya35bEu82fORHEhyYUSrV FPDTegzW/DAq5Wynz4MTc0EkLShXeEw2JAlHm8nK9G7TTKmAEgA4s3Yz4D+Do1KwtuFtetAz+pKp n6T8xNJQZ/4w09jptSBHiy//WVsxqo3loLhMe9RoiiyGuU2s30Ns2lPnZd1JCzoYnSVj+afQx/+Q B3CdBpoH3pYwWPQ9ayEvv6PkpNMH/EYxIlMrMBOXDRKDXY1Ate3nIa73c1WbWuwBa/GBQEUJ4gpf 966lBb3kw1kJfJWD7sXrgafEHqiLybgJ13Cl95W2RSzpBS9LK6TwjG0SE8Fg+e3UFudb0Nsk3O6K zz5MH581l6/0cmlnYmKpK2vVscOS7OnRiQ7TXwxFH3/zVqcQV2wcoQKTi8CdRC4bvQv5kECM8GTV 1GJGqNJVaGTUr9SKISZc6uOzcXyOFFHlHMiW/hI7+ShJUDIPy1kXKgFXynlpk/1YEuEp7tF/Sqb1 cvE+8UixgFTp+pMtCdh72VI5PcUl9R4iaZZfQh5xps8i6ctKv9ICrfoE+wuoHMafx/IRYUqdN1WD Ih32NhaGzaSwZ3yk1LRGsvUHrXZdNATdPONTZSkWqkFX6rl1A6b6tSglyoh973c58WWmFiGF89bJ xVIWN8m7GR/8t3c5Mw6CL9f3HwaDGWVlYVymYylcD5MgRF0VgqlOnjVUqvsNGjmCTpWQPF21pC2W KoNcv2qhX0P7vXriu0A6F1IYLXZqvaS6ufLNG2Iro+sSJ/rHTojySDdOLxh4krTOPSxLXygpPqHf C5iN3sHgNue+igpnM9YfnPRcco0O9rAp1L+mVeOwUdB7oPQgiVcJUKrBGTQ9gQG88SkrwM4reAvK F55C+H4lBtF8r61ASE4zDw2cJyx01dLeR/7PMji1ctNgA9TXtVdTB0LgTTqa7MsAfqeuNLb9rpXf LUTu4nAseJF7E5uIB2aGRnojV4pbQdRuNMk2cbnTp0xgjSiyQ6FJVniB79t0/JpR5Iwo0xwxd/SJ IIuu1i37uSy29DQBWXzYR1nhGdN4a9SqRz3GePemCEXxwUUFE9PH39+BGw+7yRiLlzH9h/gRvldF HFpAXOwmSwtmyV3BMb2f+KqhaQptVzevT58Hj9DclbEGlkjUu5WL7hhVOCEKT8TDFP1J2ZgAVqjX KJn+YwQUCn7D6344wbC5+HtApJbUMxUkB0s5sqeQOPQTlDPWFFua7kA44mSn13upFqjP6Z65bY3H e/KSkrjN9w2mWq9RAtMTCoLbiszmBfjDmb34ilVlHa4+bj1m6G7h0vj1Rc/AftWJ988vt1aJAms4 A7btD5EZIQTUIr4Bz4l54rhOVnNRQTrfmJSZxWrwDPygJgPj0il1KjJh53c4vBlLBgyYBvYn+q5w MOBeJnML9FMkkSUbMFENypdd9uUoRx1J57Ck/YJNUEGclVRT0Z5D5hmtV0LD+DeckXD04IhS8IvM GbKFxc6QKHRfW8ZumNgyGymE1Ulz7Vdnxo8W8kPZnXyw5dINXUdmA0/Agl3SpecUFBRgW6EIIxwU bf4syIQ2jlwR7oC93Y6eAYcSbSSJE3fv7UAB8ELmQDtaqZhs8+HfIWFjkNjsnA+ZUX0AsNK7iimD 28V3V/mQV0UpUwJCoe/83yow1+zXsY+du4Mu0wCvP9h6LS2MC/RlfQadguVqlHremhh5ZK9TfQsd S9x9MV0Z4mJTOPKIpWO25FssyFBwRgQKaLfREqxV1oej5vG7JRXOeXdZUn3yU3JUYaAm1QiLpBm3 kWh8G60/1D76wAotE1DKUM2C4jAAdX6a8936rfRPWyDDBcg0ojZmrcbLuYh/daDNy6gAvoRdl/DA 0x4FIuLJ9M3GN8uywQoLTl/mMWXXaufYhKYNMfg+sZjWu8Z8K/lqjosdYGf0EGNkHh5FVAbWI5L4 fAtyr9HVUUpnqzKIf0PshpeTFxNpKm9s+TARzmsjDbhLkGi5nkqOglsfIPIhOFuPlzxasB5JxZAA z1Bg43T8NGoE97Xd2QkYB1ox5gKVN6rEkhH9CVn9dCnBaEQs82NQc5XVcnjG/dJm5MKrwiNNupu6 r7TpRDr33xqFf1EzFo9ga2dR8QQl6l5sBQUjzfVG8NBol8t9n95vhQih5Ge+v4YZMp3cU1u24J8/ dNfVyVYkg7J2kO/FEANfjrm3aW1jphLcKqiWaPdEHLzCazkqAHC8WNfsqGkIC5aA0cSdqPDH62cx a4/1CRoD7eS3zDrt92V4jTv3mzqNQUUuRr2wWJ1KzJs1N0c8M61bFp5b9BDbnP5QjWhdKxTdMDJu hITPLXmhNU5BGWseuSSHFk/P0WKvzubC0KOMCGp0eEtHimpaW5z3yjIAnfSELJ50MNkE1QDCDhUy apstgu9T/xrclLMA3Z8xJxUxduQtkUJBSbb/p8MLFwm6ds26r8Z265bsddujIC9bxkQsEq4qVjac DavFpDz0JRYquGzC4YZbq2tMDrqIGas/BH35Hefc3TZKuKpoK7c937bW1scaq4SeG44PdlmDgd1Z KUfljucd0Tc81J8MevYSuuzcOeFqI3dJska14R8S//mrswgXOWcPFpmEVSl+ySeTUCrPrqUOoNxE /FjuymlLq4lqYok2K8D3H96WkuH6hDjVLh4DxKk5InDXkY93tY/IhzdM9hz8K+Qv5ywIngLBdd4n QuKYDGkwbh2FLFtJTjCSMDN6978/ATCoUNhIE7aSKGk1A7MO9VchH6B7JkCIXF+Pz/9nm990vTmS KCj3HFFU9o4fLbn1njiCKTyuNFwHaYs51gX1IBRNtzG5mCqCH1mwty4KH6h37ZRH+l+oGI3m/tes WGeRP0bdrth9UXCfxvdKN66HcmQMJZd29MMxd9xe8f+6zcUmS1yQv8AT4kQL1A3+lLwYN8o4ZWDg c4gcdaFZcwlfSy/NVSqx+7bdrSmxwELWfpHDyEnouXnNi/8+7gDK4svWwChCbqKLmnow6doAl6VO 3wfb7DtzPyZy/GgeX25QPzweTS9dE8/76ththZyiFs8cXzS4ox/J5UIjl6lAjJxhLvUlVFGNF6P4 EdVKrMoc0A7hgpA5rulEsm+vEaV/UaYJ7ClS2EAkh+gzYFoWo6Le74cH6cTrF7eXpuqOe6kwTn43 UA3hmmUvvaAqMC0nK4h9FhPXkbWCpEnmBicB0mOi6KdrmVTQ7wLaAw1Rqo2nrVhYlk1SKemCH09N DSW6x1hmJBuVd5nACj3LJj5bMp+C1/vUNiTFr6xnN241N87Wkd2ZyZXPATIo+hsdwOXgGI1+hg47 8ba3+Q9IdTMSDn2ucjJ/7NaLT3qlyfMdofxV3sMNqOZJ57bHebpV9t4AakQrsLS6vodr3oCXEJVv jLl5V3U+WaRec46DdnigyfkgSWeMQ+cDgxNGBlmWn4jk4XNUIJRLQ0OB6x14c40P/U3PdlCCMvWB QUvIJv9383PfruPwZxLHcwCfFBTTMLSEx3QdoHDqqiIniWQbCm+oRuQWNcOaUvYm8sNb/pZU+cMF P62OUuX6kUM2AWwI4fYr6rzlsc5sjSmZXh9nbcqwZEF1wTGdqcHUgetKtWSncVbM97t0KREcAWOL WFVNrZeuHK3dSmxgCalDKvh8XlZaOhOuHgBlWZvPDpn6LvDSyJnly/aelcDFd1Z6aGGzLH0RGOOy 9nTYbXcZQe4OYssB53LVOxZVCCt1mZ4eWKlcTqlH3W59f4omRn0lFZkAVvcG7WYSen6RipXC00dY TKwW1awWMlLY9tvJCkbEH1/NZ9V8t5jXMFLbne+h4XJoBVQucX3LWvaLr2k2rxnpbr1qoHuUBEe7 zPaBi82TSRkBYCx5vYk4kwkns/8KArizuqg8DRGrmb5sT2KT2vm6VHpCdDgrcPLh3ryasnmn4OaO w13KFOb5WlFHZj2zX2sXSFcUVLauGkLfs+2A+7iLGTcvpMF9bbG5FCqiqcik4XEpEakyQpMe1W6w WttQqk94E0miW6hMiOgVzEN+fwDPcnu3CrI5fFDsXXuFdE20nvtfTD2mfAkjjB2mASDGPKVOP5zX RAfEgR4BjjeNjR0jb9f2ojbh8p5Qh7aTxXjvg1OiNBAQfjcreGmw2Xt504bFBtYqhJ886GpduCiQ IZB5zC4/++P+AmeVOHcrSqqAPMO8C/4Ms2zDGmGp9IoxHaZsrWwBxrE8P9odGsxNjt1YSy2fA7PV 2SituzdeVND/AGSCMNYHEapFkKMDyL/UYWAO5CuVEkp5o/xBY4898Nvo2XJzdQ6EpHyI+3pIOUXj fmkIgyf9fnG1dMQStIAlR4gmJY+mprmbAReOSe1kTrXv3ARsCglUXa39NpY1JYfuISmtun4aAylo RXyNXnCzTRfY/RmG2Ylqxmx9n7E+MXgS5uGrNS6J3UzZex6n6dMHR62OHS8QulZlBZKBNwDcXNoB y0dAysWLLWJA7cmEu/S54jMHt/0mIQbfVDmSSApZxQ9ZhgxWF7Kvy/ha+VVCFOYTbUyeRqOH8TAy BCefEIPby+6i+pyiR8jeguHu9R6HulNkYnO46NdqWeNHtzyDyUbzNDuyV4p9VrFKiuRXkX8Bq37c rB7NA01T9bXLmhJv7NIp5UwLqK4irJ2wPQt5Y83qkGhxSqmLSBAuRpkAp1dJTgeu+G3tRmSt/Nui mRLjPjdXK0vOmbNP6VCqiAScuTzWhL+BmhQK2Ekyti4t97P2YymsIUXV9m8q6hGXgBDjHP1NdMPz EVv9YDId+wSTyCD3nhB+FnTzqNXwwHm4gVRwgXqjbBnvKXVK9reOLOUOAgO7vte2lZ96gDAqMTFS 8B/Et2gp3trR7VBXDYOhQcIfsYxnFzkn0EJYYnOW+R64X4RkAMDlhIsDcmIZiBZf6twi793R2Z+j FHptocMzJcqK2Awxvcp/+nMiD1qj+hhHopIQeB38wkGjb8QrW3YKwiDNn000S5iYJZWleyJyZ7dR M8hUwVEPf11vqny/la/IQtiWZ5phG4ySVaSGnBNx50yCbXogcFkT0kzitB3Q3WrtTN75vo16kJrJ oskkbjG8ckPHSjL3AeIWl64CTtYXhKMBPonHY0mImXYo4kaHFPEE7WfZoRQzYZ6nVILMB42gbkH0 p3cyYTO0MFITO/f0j5kgfqRmtJIyPOlpymMAV6vMd85gUGLUKyQt3IGUtdnlznXnMsYrjegYCRl6 L4lptIaW/nLUPM8dFxL34wh/PoO0z1pEc31Y04i32E+DThxgPVJzqptZMFzzTHwNSXbM3GQL+z9h Hx+5m9yVipro/LbIskFNzD9ocdG+DF9yX1O9Vb9Sf8OHAkWwaz4YUdLB+/8BiuZ1cKiMxlxT2wUQ rIb5oo3BdipjjTrTk6PAgW4qoyNGsxvsUOl1r5F6Ot8XX1+liJgSzkc3NRZgmbidJtPZbFM2ubOz 7ZU6+kQYR/xZ18aZKjcT2+21n4q35BUz4gnfT4JUaz9fyeuZHxGns3I0jxtfesXAy0z++AZsmiCU dSdW/EMw7fItBsPJwZHtEXWp/GyXqHEbC1ueu6G9W1HsANIBiZM9WJKzrw1UaO7BbEhQWGRyPJOq zvmNu+pgTX+kGju2OjrsqPb/1eLeE4ASnCYMmP8RYlO1WtLtSoylmzXVjIZ6NHYSqpbGZxSu9wmj N7s9skSFmNl5dT6ZbgZWKpjTQQLKQcMI/Py5Gta4eo4rorolnrNkB7oFnmhI0UnWvxa2cd3G5CGU Qx+EZfVQ7cTOKFR4DyoHmBMWQ2BujaCyEX8JkRKOPDc6cBjReLVwQ7Lrd7z90QQ0qzvyHYtU2CZ7 X1rrTn7zalN+xXVbPcWBPUZzuRoJ1AFMNK/+BHEHjMnr0lV5vubKVl665QX4mv1cw+dQRTJTNjgO lqnksGaWawZu7pzADFd8luk6shARCO4K7DAAnN5ro+NnAq4oXjoG3HO/NFl2wxiIUJdio+y948rS wJ9aK/qImApG7ZCP/uwhxBbc+OIQRsU2m10nwYAg6MJa7rMrNq5q4MPfi7ghpXhLcgCyDYnQsmIg j3tUIlgFanOlY4eHADM7aYbVUcg6lkjnk/E2QyIFUv3ZddpSL8m2pg4encWS1uT7zL6XMF33Qv3M t1LPF+8yXPjRTR9sYW+RSxk/TjIh1on+athDGCU9eInTRBwFtzPFQtYW40Dfg7qzgfpOkimPj2wC 93zufRjBxVj1rpHp5ycjVHOm8RXK9nO7UjRagiwdQfV0I3P51Db+vyCANAYfc5YR7b/Di3kvBfaw jv/PkyZOD5dlTlWrtOaD7wHZIAvTt2EFR8ExuyA7Qa0MtpY3JM/rgErwDhhj3lIDabbVRM7sAr1Y +08y2oOQW62MBEdEXQpT8F36XOPXNnglkPadWDLR+scmM01jajIAoj+VaF8SS/KNsG9zJBxveIK7 fq/9iHTVg32a8e3Ryd1m/oIW1T6eHIhUAn2WG/A82cgRzkYsmyj1bZcXtlrLqu98Jx7sjrfbPsTz 1cwRyE4QJ8oh2C8gciAHGUaWmH6oVhbbz/YETVxf95OhXyf1q5CtgNkLAW7WbQmRCkMAEkacgPr2 a4a4s1h1J+DwWhi2pZmUvuH/qb84jrrvih8CMB9+O2odJZ77bTybosSSVZPKxy/rcDdh2aVcUXCZ aoBLpcXqOOP6cRcJVznJ2okFrEr3zVutrW2Y9Ra9isTWjUHU2gOj1YTVS/Psj1yo2Oi+5udbAkEy ebqNP45OZxqZZEOA7eN8B2us/7rMZiGHDDRZxEzLZOeP47nbjJ/jZmsNg4D1pxD8PBrrLNpHWZPQ BDO0Lns8TKmN6/VjQF7KJrXzDxfAXIIasTA3Q+AMUaCZPj/zOAMbwv0NW2/9X3nDpWwQ7t/MY7Rr rGTG8A7eLD2bBjhMNm9TVgb+RpGBt05yC96kSbp7/A47RkmaF0VHVphnVuViilV5Ye/8nFLKac8q Vee11mgsfzBpyxwGEXNeR7e++kMmgQRcRT6V1jmkSQekpgXZ2PqkDnOCJGM/IoRkxH08KxJfbzu1 Slw3ULYLio5S19j9tnQnruM2D/Nf6iV0O/0EAcPgjrpeVICGrApbsxZNqlH7V2Xk9ZXjvw1UwBL/ XeJRU0CAvMIS5R5OY21Kr6QV6cjnYuyfXEFXmv1XYBKCeO+/m21qq5xbVW7/Cski/vFn+TETmI9B uN5Ma/9FV9ME3Nki8+dUDwwvONg9kugkZBrFSPgpF+JIGArzHD/I4AKWYyOfjneJorc8HSgcqgb3 06tYrHboFgjOBO7q5luMkz1jDbXBnUOnZS08fhGWsoin7Fosenb4+E+GMNndLecDplF0E1CJWtsx MLZXm1Xf8U9LuLc6Ule448FaYcxhfU4h5l/XVn6y3td8AltcX2Be3GZ1t4I4mVuu+93ulGMOfOeT QdsN6xxiGHZGetjH4VI99j/x4MFNrdMCZUdq6Re72/IIHTcyBeLzKhIcAM6Ido7iI3eRQerOE1i4 1lyoHA9webDMnuNOmrKXTmEiXz+IqKTXXi409CIAJrwJK41DBxDoIXRp5HbAvkMko9o925UIM3Bo QSUNHpkPOFGoRhvfWZCBQxMtPnKqZQvZjqS0p7QWJe/iVrIK3DqC4ylsXWr48uJQjU+Pdy3EeeDb 2lBiNL+QOcvl01Dw9a6MWDHuZxgFgaDJqVffonb0TELeG6B2fbEoOG/HxJqYerbxJh+gBVOqKV27 7Fqk7c/M5y7EX3jBw1tn2pJIA8IzLmk6eECeMUKJva8NrEpqvl4Ng+dLFecS5CR1PPKdrEHITLtq gxFYf5GGzr2gjOK1nUy6t8aN2QQESoJbrU7kBhG5IIaPHpcdaS0tytBx6kJeaORU58Yw1KPB+xN0 GyDKC+6NMEywSiNbEo7WO0jUtV9/qrgSR+dtzg70caGsBqPctEF+DY5/nBJNgpR4oX9z8zIXnv28 JnP1Cl3V1UWG25VXnEjinzT4kGySky6taJthgTJZAhthJq0CcnuvEin06S2QGliuqn8sRa+DT27n +xI20MRpTaZXba+xd27KftlggfeTOFY6iVVBNNzy+YPUZSfNzHLqylBMRn9yPsKExGD9ONiNFueh gowio3Bgbe46yUshMDGQ9Wu7LNK1Ss/BQOcRfV5qcJ7ZaHRz4SUDF7FX0cT6QnNTPF763NOxn0/M L67GRRMhc80g5vh5qWpA7ItP/iXCUc4e1LfNLhqkcdp9ke049yw4qO15sDJX9ZRJiJmKbEuZ1saa xCHn8WjkcjSc/NxjOoMw2YRNBD42s8AOziYKIDsqSUsUZJ+7/ZJ35Pm2JUxnumKEpXDiteJuHKj9 OdkLDmI1Fyy4mRMvus7ijf40XiGcG7CyGMdm7QKurWv3KiQNTWpHYpkEhlqMcyXAUb8yhQzhs3+H rL0GGGLgxzOP43mLaMsvjPyN+WY89K3i4UkfrtDB9XXyt/dcziQlg3lzqlOYbFWLApIWpfvow5gJ 3tTHVlXIBM/Y0IerpJfpErBhd5keN6YtTJ/O4PobCF10JgIzulXblomt5hN+2cOyK8GHL8V39OLZ dzz9I5YV5pBk/5Nw4qYfe5L7/NaNIeWFZBxL2K6p87REwbFsZ2OhrT1DvEw+v9qslw+mUSs8sR1Y eRF2aQRIPPkeku6IQUvzx2E1VjEhk24DCGxVjXN1XIDHTR5FiIOzD+Gbl9ZFstXAPluC7hkWgKOj EGnC4VUfEfZ5yvU71eywS5tT3zKP+JzZphVbhuBOY7KSxtlqcUWfJ833b4JpibvDKwT83mvRl8Do iCLehSD0LRENma8jVaCAWS0RIeqoDzj/CYeJs0oMg9X1zpc/nDeshTpx9RQV2ck2dlm525JXtNrR lWAouEv5V11N6dQ4TPe8nKcZq9nwCS+m6asWc8FVdSr/PkqsVL/PtZPuOAyzTK/LXsOhdMb+XPPq V6pl20/a7w+OD9SDhetVOGlS+ldTVWvC/PmEcF8nNlznIklhiPbp2jTXOPeNI1pF3s0pTsbirBHR 9s/lnBqHg2j0/TybiqOlAOJeR+KHVPJQ1Y64B2tn5rTN1uCbYLqTuNnhUdD50o/MTZWpN331AJ2y Bs3bhBsbUWOw8pshhEN+4U8+qJkMviWTeQKa5VggjslIaztN6EkwQbAe8mx5asXNnCuohZMy0YCg IJEXKSAw8dGMdBy7Uij/wXPsIpZmWDgEa0ny6Y3aPa6hA1HQQGDdMMDNYY9qXPqnW76iBRtg8gy2 cl9/aePoqq4pj3Qq9G2GPF0dSAvilVd2jcf97mXTAt8231u9ZVJNTR5WOmNLYYEaOd/L7NuQTpJC KDIz9MrcdiszJDJIbXg6TW3dCoGDBTSM0o6ZBxxL8d8YNp4mXGQD/jCNC45aV5Q3NpWFMWsuPdBX tSJC82NPaxU8Dr3fkEHGSAGCVO2T0BeKy6cv3xkJko1rJ2YKPtrMRsSqmYOOrS+/yLHhKKIxEuCC lYCX5mUUl25IQpOU9dEC0ltpBwfvy2Yen6OoRmhOF+1Wv7CS1xX0j0lCEnBF+K2v9khR9kNo5oex 0sx6MVh7CxbPQ7X1VNw+FoE5BGv0LAi3aDMlDCDxmfyElPBGyMqv4VZxOBQRU/ZAsU6708FFcahj Ko6cSbeVOT141Zfz06IzsWX0g9BGuywmPJQt0Id2h8N6i8JSdG+u7c7WRRAVO8uU1PXzkBiucleN sbnDlcCaMpJtLpz1+0k4qm+YYJ9P3WmQETiMZy7hAwz/tV4XgWAgbBpaNVcLIZFnUcn1j70NppIB 8uC0mK2HvXPMtwjCpesAvzpE8ujntF8spDEZkAqWUc11xxqCNPhi7Q8yyYra+9SpMO+fyw0LY2Tl kBNPoqx+ifidQww8PcSj7Eg+3MpDegx1tDQiYSRLuWtUHKV6v9fCWM0lhuhq3/i7MXi7L3Le+toA HBIYNO/+wPMOH4guOHo+VillbRJ71y517zOBPEk2UMmHkntfksugmZkp9/rToJlElen7GDmmZSP4 zy4VVAPlre2skuzws+W7KZ1IKEMu2n/za4uj3dcg4Gq6nCAFtJDJRzxsnjlGqaTtjfBHX0E/+Jeb NaSKVNTBTA2rGTvF14zkvRV+lSDQB2eYII3szI/iFCfFTSVeK18VtRQlHh7McBIdx9/MMChfYKby aj6fFFyuvrjdUxAETmqG66zfTqZqvK76yn6h0HD4x8JfkAIKTMGW8WItB/gvaVw6BmCiFFr1iU4/ UNHHolha+3rKvi7KeebNE4z0SSVKKK5WnJPWgPegKgaDqUKc8EF+9/ltLL9b6rTncJbufAvkt3G8 zywMGWG2dVJYSwecSJ/+HQ8tC5R44kdvVVqwBSGNt6F+xYmuvt0xarQFoeAG7xlA3WqiiQEkxfDI LbDElSnhopPQ3yiEEtxUxp1YFW7jrdQUmc1WVbJk9bjMlmd7ErwUA21s6FfILND+iJGAZ0/JAdup +nq7jq/gxe+XNray7JT1WiT7ITj333zvPVZIgMLqpzAoChFDiPVayUAqP4ySD908RG8HPxVWg8Gr IaoNxa8Xt6vueAyB6nWhmZvwV8iPkB4PY0IwSYzZl7Ug6G+Ga1hWDFCLdauu/ugsKx4gAyafAGbb E27HlOgyDitNIwDCL4GWK/PmE0BeUsJl7DXv21D5QwTvntQesVPJ2r+QNlxZ/jnFemBZ8f1rFKjQ SHmDDjyGICy1o7T48VbCpcQcXi5DflnYZwCmfaen6abLtHdLIj2swfi1/QxVrlx9GQ/x8F9m59jr NDxdLXkWIPPbHOGD40tZMlPlI+V7TwdAVaxblZjN5FrvGY1DE+Sf7juKDd5RSOvZ4HSU08hGdXIF sHQn810iOC7g1Yz10Ue5rZntVtrH/Qi8+0v+VT5kJKDH7glBpa63z8Bj5OMV6K8VuK34L6n/zJk2 2aGbZCLou02IvJ5iiOizuA3DxnwW9lIXkAPWNT9Oz8u1wDmV4bDjc2/QfVkwYSWxASvkL4OPLVbD sDFQF04bOUf+sM+xzQ+oE4XDolch9mHlr3BRjkA7HSsf547LQj+Psgnc/XO1yo2mP8j28DJ/EB+2 hfONzJYQYkJALt5ocRmFVGNX5eMhLTW9NcgKIFWq1K+47DmPM9WWYUtGIJvpDrr/XORgOcK+vnG4 zG/D2m6eZgfn8cUrkXzK3nxqa9Hbp30xAGhhqPCXW5kFf0TL6vgYUBOwhCyvn4wo2aF8aQwRNXpJ rQKT/F+djK5FdVEPOai0Ra+/bScImQUpdwbHl8fgzSCnVUKQqjg9OtvwRuqPdQHt176P5Roxfd+4 CM1Vk51VVZMrj0tkhxu/lsgh6+5e3RdS4NcB6itftay6HJSt7lymhFM1Zjda2zwN4NR8jJEf/F7z okWliksvLNwp96Zq2n7ff0Ma57ZpIh7le1rEJbEpkw1bXzUA20KMHYwrJJfUS2o/4sCeSigfmp2f E6CNLqsy2I0un1Fg3mAKEdRAtrcA+ij+X8obk0si7YL1/QGHIheQq4Z8Zy1qsl10EXHWut8LV/Hh 1gYsPLtyiPNCdEJvrKMSzBiH6RrUStnNjvrTYIV3AFymawkOZJDPfswfnoz3TenL426ReP/9z/Ps wvuVpisjlEvyDIzHBXrFrMuZbayBh8ON6EGb/18TyEeZdhDCWUg3Wk/zyS9sjUFo0EKuRPW2ni38 PtXzU5qrZk3sFqgDUIHX+gaTkj6vvxAzVjMrvO4TjsDWcZWr7h193xYuOxts3/yenkk/VIjb9xM8 ShCxT10B7TqsiQbxmfElFhQODTlXkB273S0eTDkKC71COs4dvijwF7fpKkaSkSuD8R/4uT1sRFYb FRhSVp5fwbZnRiHmn+M1XTEeVXW7DVlMTKmHg+HxE7tnzLLdeAGmp7/+i4Lb5902C0KI3V/z8l4e YaQ6EQW9tOKiGw3L6rKK3PWdqjr8LTbMhLsZGxasEL1f7ikpgA948NiQICQVEe00GEBWZ3Cz116j OzMuLpp4cvZIfjSAu6noiCi7KCdiw2zknT5WmeYRsVZnO5kebXbJxbQ4nzWdzwcvA1VDqEqubM8g PLa0iw4D8oHPsLVIYCL6AvirxgtnAprkChjsIVFnQuXLVuqrQZANdB2wI9mJNDUzOqnMt3dS2maC 2a1z0Ax/kZ+E3wwjfRXq1hoSxQ5AzjDD5KycwBhpyzxXP6kxvOQKlaMHRc79+odOZ633tAwahEYR xFd4VBJDQeMgJKNYjVCozt9pxf2IQPGNOtsBJK6oipQyr0vLIQN6Nl8n1wY/QX4syrXOPBd+RNBy KFF1xuND6O6QPHNmGClR5q3H+wS51LfHl/K3xqFBl7A316rXHCPMYluhmDR3whPSChZYWJFi/Uw4 6gEHc3Ajw7m2gzeOzYGGyKnboTGucQyxPrz9KyI6oeO4KPmnqh352mugTbDpf1Owwy8LcM13fnxn asPAWQ9B6v22I6OT7arE8oIvqBuZDvjH+IF/wbGHT+NaItQPnDYSllAK5SwvKGPBoITrJBLoGm7c XypPZ74Lj5bxfpidPUftQBJKNHMzlXeZpJCBVrC2glUTFBd3IjvfBYyhsKxuJ/UgHG8c6fDi0Yhg pNv1xFRSneF4Ewo8bYylu2Gt2+RvtIYl2f7PPt11yMNnYlv0sWqXjrr11p3sxo6FW+hCH6PYqHxU jEEo6/bdaf/txlutlrfbbYAC0d1FN17yVgmYARSvTKGxMIhxujhM82jo+0Xtrn0sXDMglJfjaxJa S9tfsRVgDgz9rPTmBoPkJxsVNIO4Dk3+MuWV5QF0+k4FqeG/Utkx609C+e/HLXfKTwPTdShQPl5a F5eJRfO7bhn9r0KvqfcDQupPN1xDUiwyFiDSbbRHn7LpIBqFOqbeUx+VthXoUnP+B04+hUwho3Ib Q9JNucFuirkYBQYRpbT+d3DU7oEnIm9JEuHzw0Yxl8GZPOxPsoz7suctGvBGMWBxFGHsJmCNzL2X NfHVZUkMkCcfnnJN6UOTR1DjDJiAJQlU2PnnHLSR7OP5p/BPTMjK3xSKLXMKCtLR5aOVqOvIWD6C /7/YY99YuaCytdPHF6QdM3lBHvu/jNn2C4whUDVr5rQxyGybVQWldwhi2+7/1MRM5GhoRvHHHcAp t7CP5j+k0qAgTN6n2KVIlIHqi2V+YtA9T94RILh5zScr3sjcWbet3/p5Yeq+GpKwp+5Xyct18iEw Rh3SQtbsi9v+AlkfBbAxM/EmFx80ab8k5ycv1Puz1PA2Ykr4hNrkqlJ+wGT4GI0iHyYm5VxGDwiG cdbuY1X2l+BYc8HrTQxJVBlC1sFt2r6/5yUaqjOXd26dK/Xu9mGmMifjgSmYYPYpbSuBlJgTiVyr 1rBxU6KUKuKKjc/wPhP9EiYXuPFJdPQ9I1AQODKlnIdmhSpQ5Qc8zr5GyhIqgRA5JJYjOmjmoslU lwTd+aopQDgar1Q5lWHnic8dn8ZX1q3yAxtdqztSxGyRrL2E5hNGiCjhZ7wBr6ji+qyKSwc8WRnD 6sYT5Io2DumOw6ckFCaAfpZFwLeM6Yy1F1H4SgsGQbTUTz4U74oIOfA4sw9UIvq9x+6xyyl3e/ea A1yIGTW0NdxYAwfosDS7uMx+3gSgC0l8eHzrbNcyWKiapQmcA1DnoYXrYxBpJD47AezxRkHD7X5v nGy1BlASxTRLAZRnJ5feEtPpyWRtPVeeI11kcMqRYWOQkJAon8eLvdRRNrcU9V2FcNNmvldX89BR oYN49JrockUiIVWGsaXXcHE8nmVr/Lb/yI/lnAaiPoLyul4sLSafxz4Rb8Yizzd8uQ+mOgp6MOu7 2Q7Rv8nLnh8iA1evqHAB+vRpN49ZEGjNnuGA0YK/COAa5MBHnr8zE8escEf8FP/UI8+xueZrcQxH 0IxOaakzdPQpJIvVz1jAFjmYn1F/rnTA0vgN8vMsMTYlF85KeHy8lGoS+hmBPQVTLRw4OJ7Q+GwI UEBlAM/rPWSjE8F5K9RugNFwoVyUKn0vbiOvnczUN1JnbiXfH24rSlt8glWi1oGH4k46XZQl1pYc ZoLXVxIJNzx5zsGvy9mZPvtDnYHx0nVAFXv3Qq3F8mTB7yIjgImSqwsDJhmbBzPFsyt4DKVUxdL6 Mfyuu+6gspUP3Jyv9suJ1Fxvn28mkZl/l6J3nWDhchpL67QJ0LVLOElVkNHeDR1AAQZNZUna7hfu ILw8vVhJPNFFwcyznex72ucl5rKGbqeTQLtAczD5INfWCkVfeZgC8mH9ZOsaj4FzFXqljaTnaFfv qcFPunS0s/8/NdmqDYKYcs0OcpULl671wESVurLdxvHXIxEnBMvOv7AkTryUEHwF/iMMobvXXaUZ IddgDqg5ufIgmFXDQgslsa+vJuzdPBTrDm8R8NR051oWl4aahHKBq3/8TuyQRfoIv8RfK8SSNijU OxgFdDybcMCfTBaBhfAWiVBMwHm1t7JbecB65WCxNeyPlOoZEt00UApNY/tN/Kf4lo+CrOr5FNk7 jgR/WLjFuVAd6XFUzIpRbjyHST5boh4AFw0y/+d2RCldXduL4bEcfKco8jvQvlxwYYFfSfUnOdTY JTBCpXGAiFSvBMuWVgwPSF4cqLJn3kX36zkjz1aGHSxxdl32CHxdN94XZMq8J8+11K67uQXgsQ5j cvSmPuz2smLwX9EyitAmoQsprteQEqKZ2IylNK6SihCEmm5RQJs15ZgQ9ZcepyjDdMvX08S0Lgyx Sv0gV8Cio/L5YYICFFfaQkS89b7Cnf7YhnTbU43y4GK2vgPBjx4MvvWPhkBjn0JsuqnOyg6oCaU8 hIAQv1JRGXT+SvEXM2KfaoLRRx+8gbr07pt22iZmZ52QKO0KM9lPtPcvVWJ4j+CMbK4z6xXBzlQ4 uh+JRlbJlW4Ixuviqfncvs//5JeHLV4NAavtqFzl3XM8MtqJc00tfmDLRFG37kxUUF+BsuBQogya QxnaG3c3DeDMM7swmqgx/ijqTF0A8MXxlVDGGkI5GESiBPIer2GlKtCDjgnjVSqoUtYCqqazZv9p i5UWViKcHjPOTll/pc5dV0myP6TimhXVaUAvxnNaYytNXOwaoZbnDGI+SWGzcPXCavDBSFeaYhj4 UbqleZcnhK9FjXwPk0FKcNrWUZh8Wtcv4CREmoQ2yrX32/XHXJQS1wRfeT++1mPETDXlR9QH6A9V yjwS7RVscN5L1Kmy7yN0Y1cScikPL3Kxl1F2rjOlUf8AUePdeEJM2QX3+013Zm39zngcQZMK3ND5 MPSEWp56YIqF9L5OFTZ/iMXMPkuOwyzoaR1loL1jcnL4pE5adCp9bQRBOgG46gyQ7A358gKNAzZO FG5dcf8fmpLeIbVaTqwz5AnAEbeHBSogihs22749hOGbaXVJCwXSWWVNCnjD+ZtveYkl2uCM4VvY aeGTsg2rU7BsJ1we5h6p0GIinpBheSzfAoKrPUpue1BnuQph9JW15uIljqomnC6yNqlfnxYJfEwt aphVD28K1GaxokmRA3skfCx/VgEJ3jKTsPGDm4AlDQNKFPhnUyEd1tFFrSp9O4WwLnzA/4fRAQOX vvZs8Zzq3suMJ0cKyvo99k1WCiaWpzgceN5GkGM8HnqSgDlTlmI7NPNpzkEqXQwOzc7pW8L9VTTE RiXGp3w1kGygEghW/9rCW/ZVYdDoes8UXC/3gQlUGgNG14m9igeLIP4K3GMKbM8mgVGxQMaQ4eFj 7dX92oTkJOJ8F3Vw0ZTYSkLp407imNyfyeet1wD1sx0Hpxw2X2j77//9flHGGYRjjtbCunrT5ME5 CDvXzCBwfofmSEhQoFczhxk6wU7MGEO3RAiuMMAeG6AHuC9gFjzniP52vUT1gCkxPBR/kYY0eNbL qsK+IphUvpZAcG4Dsj1cyuk8lz00+dIaflpicjyFp/XupIqk5qkD81Wdk7723ywSKfW2uwaahE5o 77Q6xHUEgOLjm9qHTwLftCEpp7cKXDPWyUuvVxvxGEb9CpuuIXo5qRTeb5Fj0argmKpNY7sjj+YL r745NTRjofpaLtqG9Jtnbmrg9LcADdyIHLFt3FzsTHkcpNAIm30F4j56H+DVlp/Ncl0blPXcWPtM HXz3oGpVE+j2E9W03Fhnpp/nL840UBfRBpduZtBUvNJ01UWfyOr3F+MtPaMHJCw1xHQ4HbwpbJJr vPGUwBgL+h+ATeiXcI/0LpDPGmnRxsQ+8k7VzXW9lvP/so1eDwx1sUK9sVVR1/YP3CZaE78IDlxM 5oiCvqTcNN6v5WEUVhlA/tslfPb42VOADeCSOTDxxlWXr7qLqpmXvtz9u5QYetBcuyOyNMAGWzAP aJErQ9i8SLfDMXl38f6mLw6ydUaSMR44lPZrBHIH2N5s0OiZLgck8edVJvafWyhpKgT2Ksx3sw4t whHYX5emC9g3fJ98XaTX3HZi2UV6QXcPhAfkPzXC6LktQ5y3boK9Esa0I6SUanj3pyvD6Ygnn8rG jB/VTh7zbpPJUBLjCYlwWspSPoKJS22DsOtlFEwfL7hut1FlW8fZjaGIn4bu3dzaHGeRYWBpPGa3 AqawykSOHWn5x0y0sgYP+lqeCyuFgHyxJOqHUI2hunRwjay/wouZDZ7SSB1ibpWt1zBRqGa8Y8zI t/wHS1lrF3cxkEpJCx+yG+k5UUcEnm33Meyg1HH+hMu/Onbdmy+pCjDUq+YxK6oDNaUEHj7F0yC/ XWsJFjzzhz4kXEjkOazqe1PCjF2IrqF4ZN83bCAVwjqcwRdvFQifU+gPBK4NpPl3RnjtdUAyVVK6 8SWN/szlH/05O9vOmD2+hR6ZRERZuwgd+oWG/EHov9sufD2nQ7ENohN5nF8SoXaQbm9CEOwHMiK4 1TanDFbocVwOnWNrbrlR6o+QhYGyYcG5sXcrI4qebFilQL7o6+XBzXxpab5inLS/1Q6SdXFheCTB B1gUD647fAGVFfwlejWRTDSQCMXcprxvTCXYcT8xlwSUNPn7qTOhuXRivjGlAxmBqo5iUu+sEfFa avOE5udSuWuS7kQKgx5A2aFPyjhVdGEeFmLBH61+OqdBRDrrtIJHbxLjRvLRP5uizXNWV6cv4SdN YnmnKFTIakmKTTEY5W8BWL+jNjISdNQn7SIM1xMcgiJ4+zoJWOTtd8qCY8ZoQa8iI5OJM2Z8zIuQ TWxGA1WCWnysYAZyNdgvylRrSJfqL2hTBtIr31Hj2KwCt+HT/nDE0fxD1AYh+0nxLbChhurPKEBI M/n3fzwbg5+Ur+UQEv9NUyRCJcRl9d9cQSLy+yIOwTo7I0LGhnXFoB8qYGNHR35nqcKOjBqLLPTr lKW8SjPtX3/OIX26+GSDYtRMmkHQiWuKnqam+HIGFfaObbhWrNsuFreTRp/AKlUlI3g2pF4jqF6r EoQoF7owIm/bbbrJ9/V3ZawtlWfMzkRiQlsIKCJk1AL0kyQhWFu9xDYvL6zmSZPBNLolPHPsUsbG Crba6pXWxVmq+e6LzHWzUWtAfOep1YTM3XHbHUYZLFpIEWohtFUjrf2eLQPbvu31k0FKYJlqMr24 f/F03dBhlUrSBtaJelWWXIZHn0jwPhrfsKEXBbUI3RI7xEEkC8YiHeAxFVSTCnyw7u9+yW5/R1Xu 7YnhNpRMyDe1HOVg8Dpb9tcowJMlvSa9slxQW4gmFZhhH4sEAt205R2xiejywgFucJGpilmAxRU8 7wycBfBwJf3Qnf2EkmsOSDx3rqX0RPcVPOvSjidOzd80cV3CoOoDDAdvKrNqDkXV3yLV9h1M4RO1 03EF4JYPCLtjiY5omB+MEfvzsBf4h2LWWGhpCKvqSygiq3U+un+dEnXXVqh/QzTb4D7RVpT9G5d/ yueVJT3GG5WKdI9RjgwUCagWEaiXdjjNM1+h5jAZ0mtVfh+FoRky9IQxLqxKNFazUXil2wwdSsBg BEnmoZC7TGFKr90629KpSt5uJy6hNmsGQoYy7Ty09tfMQyH2CXlMJ2QcNCCRTvWcxEgBIARs1+3m rx9NXefvpR9phCoNxzTevLa+SWE+eilt1cpHRyCiasbySnDOHpG6KU1VQZQhch/NZQ7VaXui31tf 6vLDf6IhCIhU72RSGYrMMFNbOYt+62Y+Pf5y8kt68G3wcwkFvFinQn5SC8rFuoBRemj7JV/cgWnx kNGOCB4R5MPQp+xA57nQCgotmZJ8Z4Ewz4VL9PSFrJ9GW0C3YoV51e76kD9rfhwKl6vLHJD+RKTh 0xSere6PIQfJe6b+i7rBno4/OIzYfI2FCpXZC6kLHRXlOXlJBpzcTL0U2M3hMRQxzwdgFlCGWVCf 4l+Zk1iDnizvB5NrlV7nmvkoWyw5t04z81fcPtG0gb1dRRjU6bIXeEC+bMXSpRwWBThYnVpJ7UyN i4vkY3ggsTDWT4DUULWSwzyp9/5UfiwmdkmiAZLbYMnpecW8q3q4DTOBoWxycK4xFZ1EAAMmK/Jp lHS9VXO/kBr5ntP8OgZdIYi4sOzPtwV7FPOhVF8mg7qeNzTC8POEU/0WpAoua8Yfc7lEDYVR6PsR XtI/E9KK4OAMbHtVVT7GhaPqrcntXFhRZFRdDsoAXjSMzD4JnXAEfgQUnnexNCrC/GHZCtttLcrC bJzN8zyYgjtuQGiCiH6Ml3rc9WijQfQC+rUl30r29U7OEGYDTmeKqLF8p+0Lb5L3R6YQaH4+0iJF aiSAnOBez58lcLg7p9OIiTg9V9HnCeEc2QwEFliPix+IBLRTMnNGDnixi9J/0Cpfo0Uh15eHWC5Z 8Uu24H1yp3VcrjT0Z84Lcbecejv6kzEdKplWdfUFLrPKZUX8QdaKoJC+dE5IwHqpx0cwk23Skj+L Z4DNYEoMWRAJBLGp/lsEXADTMAGWtNc+fqHQi9XygV/rtqCa9+TsoEpq5aDfUU6cbKjhwCoOmgN9 vRjR3/wfaEcuzRUmC3//Ij7fYeE1Wg9A8uhlk40RJWrWAIyzk/01T4fDrLF3666YIx347Lmgc/OD ssc4lciCrSg+VUyH2xwEoSmdmvj+Xpqa9q2rNxdb2pS9rfB02gRv69xGZeWstaBdd0sxL0uk9lv1 84NG82nO5kTuKBF67uZigCptWRfvy9l1zqh/yzkLhBIkSXtBl0oTU3jxX8Q8TmFmQCuHUs+3ua86 oZOtbeYJq1mcj+F/73TYZf17yiSjvRMrByGdajqD0wYtyp3Ikqn9UO3Zd/LwsH6XdBS6J8f4hj/d JzEogZv3alRu3SPh6rKpMZahxiwDpxgrSFcQ0bD2Enmj950cXf3kNWKiyT69fSAxiAe1yScy88uK M44OFH2DT9n7d3NxBTDcK3U92DG/WWcJv5Z+EqJvfJd3PNWxq+GJLnentyaxpWUxH58FtMTFmyCC vJcqfl8J0mBvegF3qJDzgNBi9aeQEU7p48h3pH66mUizkIoz6X9v8uwygwU3d8YciaSmDhS1Qu2u fkf4t7SYS/oG+IKfAJZsNB0IwmeqdxjcAs/2kHX+SW3AzquwonUX4mMKbR8QyPC+DjBuc+hoa99D /D1t0QJsqYzjLbJv3gmkcb/puwZUNcPiNT4S4Xn9nPA1oZK/79lYG0LpOgC3I+yEGNL8RSxAabA2 D6uJKNJi89FTssTmZq3QQDproEeM2ROS+F/vHauRLQMGEgH/HzbJY7yHTEelziicjiwNwgw+LdOe wlZLgY4Y3Nofy1zjaCX2XKGPVTmF+yyYQRWJ81MvaZL5Ro4uc6ubeDpjvPytOWI6mDLwX8lPMU1d hXN6KkyOQ/HT4lZ7VNKiziX6geCiqAnTcF1I5foVblfVTfPnfjb/p+e/C8LTNvdu3uD38+1Uwh3Z ve+1rp3+6bc6BL/JVCF+IU2G6tzMobqQmSYN1dbn44GSt1TMCpEKRraw+tMfD/rifMRAsHdHotEJ 88omZry/szNEHxNK9CfsU2iKPpd2PTshNC2EyZn9esFK4PqWw1Jr+GQ5lovlSiaMw640VT23YIRj 3BVptSML3+C58QZAQ7WLCFpBjj0y8iyOSsRt0//TDygYvSVu3iKxR9wPCxdFyGtTc6qvgTbGYJIP p/fQEJQboa/cRKP13kQXauejC7F5IYKqkEXbYYmKXogeqMANyPhLJOzMc0RmDwh/XwXS3R6Pz62s 3EejYtxFnWylz8PB8vYCSdn+v7u6LCIKIsojfHyd2CbvhMMd+pVyZI5AG+3qYMwykywwx8pC2M3V rewmwOnTfpqxAnjcCO/izfXtfJ/bx5t4r7309IVa/EO10N94D0yXjmGCNxDeL2ELiIISQC61qfv3 /R47icBEf2Djfpz5kYFA4aVe897O5zGjZmnlQiWxpKPTX0P+F1CatrUXsOOZ0eSu33J9C50xYk5g IAI1y4yloAGyxaCXt4MgR7Oy3dsiYMjkIm3mo0Pzh11v8iiphtUv7R8dFQAMoHea0lR8Qh3Ft5bh UafgIA9pH6nyWyNTJ/FaRfJ8l7X0cNoYlylaegikxK6IYWCWl0CVFxiXydLztVqlDUK8wKndagm8 /Uc1jbXSI6To5vqUnKE4ftAms/d4AVPn29IgyvAzvx03pliNQ5nSX9ZVxUj18/hBiQSl7fJ+9Y// oHII0V+aFDJx3eEJnKpOuAS7kw9lNQLt0eGPUzyVq7Q1hAzH50tBTC1rlRtlKaukqJx8d0kUEAuH jG/wuHzln+psnZZXaAOA17YiY+Pw3e0EZMwssvDaUeHGX7SFk1dNKiqLaTlnpiAp2IGtSLb4M9Sx bJvLE/jx0F6rojL7OQMaA1LDiAjJLGfwMt78siBSqhGL1Hh9iIOuUul29XCzTbQBLOqYOh4MG/n0 Ma3qdDR2R+rpypl4A0qc/31jJYfmc8rIZdM7x5lMgIbpExXbo4LhZJLL45vL7T4PrxvT0Zzg3VOs 2YXiZNLLZtl+EIGp903XYkUe5pq6Yrp5dRnJ0+IPssqS7+wrrJyoKGILNS+dUm4ERWJWPpl1Q6TC erJZWUl64R7GgAhwLhoRwacl6HxJO93S2yTkTkc4UPXDD2LUp5/hfmM8yadCp6bQAlq/fm4VI0Al ifqatMrWXgIgEVbZI99nun3xm5LwFv5JCQ85RBd0TihZVkIxYjZBv//WGcve7pCvTawZTBmvviGA lJlf43Eadz54Nvaj4/fw04iuzCyNwWmqkKYPCZYocbu6Pu87FHgzORKrfGCV3qyVp6gwe9h2yP+X 3rfgrXNFtRJA9H92ntrl0nf4S2HEAPPtT2uz2zJYSPOgF5dxTz9lkgZuqPmVHIm+GBGVblXtUwb4 89/JM74Hnw0RgwB0JfQk33UdaBKu0zpd2OagMj8VcDYAZruTBPcNE+uvUQAwyq1Qd/Uu6QQx1Vjl 0w8xYfi36EEKSgH+IS04uCw8WXFEXsgOzWP1RO2pb3XsWtUfAwUmVGr6y+/KiHndPW6Wa1c0IjgQ prTp+Fc2dtamYjLoO22WnmDJ0EnizSD2mQJX1TMCl7a+V5BgFxiPO0ScaJdDd2tCxHYbCAUheSM4 7HI3Hwhs2yrPLNBk1aoCLY2cVdfoR4ifzm/tCrZiZ7PaM9rU8EGeX2f1l6nvt8gJ2cxottHGS6l9 XGZJS5Azq5ZP8b2YGNyPjLS0XWWfI9464ZyM77xB2eQ/q0Go7AevoCrrOdcLbvFik3BnA3miLKtf Hf9FTBndxiv83jz1Olv+nMxpyTaWqkfJd9TPIsRLcb9e2Sz7F37JDTW3PWS/tf3kyXsnYfeG+PVi CRfTAJ/x2O+u+O1GlpPLshWCiSeyJdMMeTrmdltfug9KtGVqxuzLFYad6rbYCrl2cEKPivKmw12S QnCRELmkFU5uhmSQoW6PVdAQxeFa8YD+YeFkzGj0soPV0xAPX2qFJgwKgI5v78WWG88inBFO7Lrr SJPY76j64wvNEdzHjV5wQGdT6u0x3h0E7LsoWx0ASMUifrVJ2tFWBN14o1NuwBUCxOzNBXvJuf3D AcijjvM+knRtFaqtXpyZgLZzkuQFlHakmlNTWp5OyuyLL5IVHllTb72SNQpxtmBS1nFS0S+MdvI2 qkkX5TsP5ImZHM3pIIRgd5dFoGOKxOPmUJFwXSDUhwQ8ZZa5Wz1IEP5GziWLWse9bAbCmdpW9Evg PHX7HtCHxc4YUb8FoV3pPj90TvKV/SrhvaMuNe1GzL0P+Ik8oEIPdCwyLON/Rb4NRoJb1XH8glbz TmXzJ71DWFJJj/XJKLHt/OTX4go7Zq7HC0VZDoO2mu8nrJZ6kLD2NkMMQ3TUIn+SSztjggEJqElq nvOUW5xu10hZaReep+6tRcQQq0Afiy/mVBLSN7eWz4C3TIiWtdtTnjr8FJYppMsIC+Wn+2tC7Qvq pcgb9hIEoD3cQJqIsL1M46afZCotIf8cS18YoUG8NMfjIyQ89DMQParWmSvjzbRWY19Frh9GY4JY kPdi8arRuLEoCLRZGDs8iCSNxU12V3cA8XjC9e2wAUQfnfHtQkl01HnuH3oMtPI4B46G/ZTXgAwZ zvBlt8GkpbH8od83fiUVY6Wdnf/wOro2Zl9YH/ib2dCW3YgoyaIApYdcxvIGOJWctVlXQWDWQEe9 rYnYDWI98qiA1TmRWI+vMkqMUDGH53iCZ0Unx9iFl5VnFjOQQ4t0Hid66RdnEstLDZYZmU+S8Evj 9p4a3gVCzfgcsVjIXNmpECVG8W02f2Bz2blFLKpZapp/RoJnEg1LxmxTJsN3MXMxNnYCCo8qKIE+ /kC1UXLYxyMzcxCupkKsnCiD26b8pgX/ZGG435MVqmH58clNRKdT7pVA2Lnf1AUn7KUB4mYwvjen NUiJ/EvWZPNX3X5FCVmjIrx1bDs1rdhrK3j6aFx07cFDH0F/CAORw38sVtzNCsWc1XTEgOQmEuOs 0ZsV3S7msJePLpSeSStz4MYKshd2VTA+EC4/w8+rR0XFeagRfwUmNX9ZkhwdyIyNPoCLVbcEiku8 uCBJBraqdKtPAb/LYX8OEKMk1ook8cqrAFv6wAOs2KWggON8ymvcoAoiCmFFok+G5byPTZYv6JGt YTG2N9SC3n/Y0QsF8F5JuNyJ2PTP0kMOdvqE5IhWGNs6o4+I4vxiKvvK/qDKKruDlRc1ec+B0wnm mb0jJ8uPiP4+4RwNZIJK9eUNjIfMgwn00ib6VfgoL8Kyx8yzfp2GDX0GEK0pAqZyE5yIawzaeNg7 NpVpSMWArQhM/n+iajslqn8BPaainhWXArZY7zAeUzKdd46gnjQbnEw141n6JnPCT2djqz449TyH ajqxMaPyT6P+S67TctI5nQoPKcuPQNDgNlheQ0UEMBkYx3kilzMZ1BD4aoTkEd0RyFkAsIKKpOF2 Sfdeiy1pU0NIXe6nLJVN8HI6cqyDr6VUe0nlGO7mjFT4BXZyPv/H/yVqCNDqmgFQyMRv8lE8IFJE ap58xA/ecKONMsen7NhB1Qt1wHj7YJppXnD6CLH3yM0kauQvaHrykNHirD0bnnnkmdWb8TIfdUuX dsXwFX28J1IV1bQBbu5JQv3WT5EzAZYgkb79jHJFWXFPUxtg3iz4liowaxpFExb6EVxpI5Km5zZA lFQJD0TMzubbHwUIfKWQKQA2mqEQrZOO4sOEg5sBGJc2udyCaur0E4eh896kl1NK1VBY6L74J82M pt9smL6pTlOUvmjzmNK1FikGO25Ya5sAbWSUMJ3NiDh3+SZ/DwiELfe9GX5shmWYKq1DnLx4gazL 4SlmNIJPmayJGLuWlQTFNvw0Yc4hYaFUWcQ+3khS6UQKBHFvtnnYEGHLmIkLLZ4ZGMmaf3Zz8twk FAX3N2np6Fjf+m+y+f5EAGLmtoVEzvc6YEPJU/QzvZvyWkwETIBz+oKve6ZNT6BbZtgW5cG23eSs +C7zglfmUoYOhvgWIe31P0CbIy2zBap2RN5GzKpg+oCkI28L/KVR97zqunRlgJuVlgNmC0WGigU0 9DUV6Jernq444RDi9eBPzAlofR0vhCDuAT3mVFtRnBQtP5Vsj9DbOpoJTK+0Svzjsq9YPjo04E1N 5XrDU1CUZ3vZZoKj5/n316Nrum3A2f26I2YLabPW8LTpDY8VFZswZw21PGGhrQ9eespfjk7U4JXY a4jaWm0ZcZM+bIMzS8aNLXjdi/WbNMleN4YZtY0vSS10fuvBVTJC01nwA0AQZmuErlNlCmnIIWYD 5IgsKG8mFSKsS5zv/Ib07rFU2+W8V7zpy5BPx+OiCWTPu1cyu99ER15eupeHTMvSmbCwrDPfh3o4 5D2LiypycKUbWPFacWjbeVOrsPFw440MCUjrwgHuSnFrpJcBSb2TBmLiHUnCbaok5g9/eT13JYyz 4nrmu01EMGFsQmS3joG2Eqdq7tYFljRfRfjWupkhlwDvvSSHV9vBJNBW9E6F/3iwMxnHLieviiS7 MIS0vQobPVFByPLaIa2Uk5I3iMa2SOxaCI3KWztG1fa2+dZtSfy1DXroFVc8ImzBuv6sHk5lOteN Vjy+7aaCh3FRNS0kVvpwAjZWdzIErLjZv34o21djge4XKCxyo52gHYmtukmtQPGqhB8AKpIaoDXs nnvxB5agnCHQ6aKe9H9Rp7KpFmojI2+Ovc89ukovYIpjiycG0bOT5RJ0SroMjlZuPQRrxuW2FwKb P7/2+ZavNCHv1KetQfFz6zf1Z4meo3OMrmY3DgaoNi0YQzeOngch9ZjzkBSCfNzGfngINEXXcWyI uOTiPaQRzqLpNCUas68ENwMlWopjI9tZR1IU08GriorjqJIBpqlZ1qB/3s7y7Ixl0P4IkelUHb6v rEs+payDGZe8yxTtEjYn6pp05M4rFfJ8rWYAvJGQibtgBmsJQ1fcZhIZHSWo4cvVUEkGXcMlKnjo PKQCAq539cLFyIywh+oeagmbAwVddGdeCNTXogRAkIz2/nKHMhR9UUpqxkLTevaMkd2WGMZL/Ffn 8roothMC7GQxa6MYIDQXhm24zmocrp4YpmKbigyn3obWCI3v8pKzKVmbgXTKNpS9IAdh2aKSad+Y ZMzDH91mDTMRIHwb9OEkVh1+q34kFW88iwAAnnX99EWf8TsCKbUqRQuztcch6m/somsKm4ohY+jy AB9/rYgiU6tL+cf7/PpHX3U6+e0XY55AwYFekQGxxKfAGM6DuuTGs8Zqx75sDUMetlfnVwOkAjzT tCWPGR7tyZ7oSAGJ/7vxjBtVSLAdgwIbZAT8rekrxvoSP0J6vnUZscyP/9x2ggQSU2gKwteQYwDY oedsHa2boFjEN0taImQk7QpZ0zciuWdqF6QPO63fxun9O+VZnIYvbxkE5s5pk2m2GnrfslYCl0WB nP9Nm6kL9lOYqHt7DKSzADD+5nEa9iGQ2DXU88inqlHKLgUUNY3JckEvRZUYrNEwQ/PckUKX010Q YmbOPkmL2T+gc0tfxLX1EevkVLiKIx7D8cRq2dDEdl/i8gCMyuNbKEtSz9riSP50vPtqon+3iGw9 CT8CxksMCpku5cWKpc2OX/+5HXPR7OJ5ntmOfxgnh6eFsS+/DvL27mLhXzbiZa//G3F0FhSf2BLo Hbz+fhooIZIzfC/lcDJnPb9tJHdrOBQlsHj3BgFFzSn8uj6BnHezIySKlhgUY6TQhwslMstdI64t EonwiUhi23VXj+I5gOWY8RaY53Kolzw2MK8ZivxFUsJwkDIEj80rEMrZAbnenjdz8iQWa2SqP+Y2 ZR97+bho0/CSYHJIOkf5dx1savuFbp7Vpo8lb5zSWy8WoVX40/34ebWTIDJ8zybMtRf63DWpksEN aJkv0Uqu3UVtP88hynNW1Kl5Z3Smm+sIpEnWEdpGKVnBAKucWlLVNE54g56oHzQeUMpz30dKnbu4 CsA8rv0fg/wSRRfVUzas13wklPS54Bq5AFEZmvYu91hy652rogWUlNo9LEv0QhNaHQGJklYBXNbW BIZF+Au7AehriFHu9fobFdgWR2xmF6zFD1pYSd0zQTCiJnJElxNuYSFQwjkPIQHC5NNmoh8Vgur6 o8agvv4qYMW0CXhryHFvWfi2JIMwAcyfsUB3/mhbXkntJvp7u6cdZfCK2Xaj0gMiqSLPZzAfTnw0 8mUhG3JKvmKBeSC0CyLeskFp5AzUpCcr+KdyTnIUY3v2EVBQaSQbLG0+8qJZKiwjW3NJ9LMF9vrz mmupCGSWIZPiLsLlpOWPOTIHyG0keupP4vVpL4O0s1coxn78NqEVIinWx4G68chRe+1PQ5tGfq8Z nN3zIFkL0UcpkcYGx9p1ODzDgMcRRCpm5+LjkfogIVs/ctnnzSBSvuH6lfNLHj7L7Zfw4a74eZJ+ A8xKUDTzHuoHyXlHZMx+pfVemdobELbrzBoQaoYJkLQ2Cvm99Rr2ek+DF8NlTFL89kSwcRi0T7Hw +SHvCwmP3Nzv+HJAsAk3jwxKKhJZqCrudcsgOACx57xvWH2PyZ9YeXg6n7VZnolRwTTm5xiwLqt7 pNwwYQJlDHIiFZ8+xVGESMdaW/uALkvy9F9qPGBSzK37lgkweyyr9OC24xoXgSmBk9sB1RLbLO9I nVlloc2xbApjv24Lj4dZCMw9GL8pyQNnSdYkSwqz4VhpT/V9HHj/EZOWtrBWVbFhCVehy2W4mauX 42qmxN1sZWDOmXns5s9bbTzmLrzT60GfJoRwbmfaVUD6y3s8TJN39wFgPjugdkTSTbMnMVp0VzPy S4F4xMRApjX8ftpDgvqGLBunXkTdA6R/U0Lp8krYIqN/npWv7AONIhKzkhBAsLZ4T6FlS2YDwhnd gJllV/aeywD13MguH4hy5OR49LKHGTXt5V30pcHBH48W7BGdBBXVOu5cjOn5xG95yNpqSIvkYu+H DGkqwcG+Uzr0fkZ4ICp15WrY7ojJBqXPAi71aFCLDKh/4jemKrK+ItcPHViI4yEkCdGklJl/m5Ly H8U77E5XYt/eFv7y+Lt/myrkI+x3h2vhrTTi0oYKfLiDGwFBuVp8D47mqVgGDjWRyr5hlI6IqTM3 aPrsLSp7pNzI8lITGR2Fg100a4nQ57+MZU+ehlRKwnmG6VZ/Xhnqd7RI0hrqXNgQAl4UnMNo/weN i4zDaYmXZ8nuVQz0PUymjBTNawskX3QBctZ6TkuqiQw+ZTaZfasKBiMakTf/Y26PKgvOYvRDg/5F SV8J66wevyIwAd39cI6A0eWUGn6ucVY7yhGf5pQ1mEBgBfh59ot3ZDASKrqoxCP6w0IfVk4wSSUv hKjZs8yTr2SCH8LvLLQMom8mq+U5fK6aIPLsFwQ0jm9J9GYZ8G8snlks/yglLA83DwglnHLVcXrM q9rjbLOsIeIgeYPcmJxOyPT14vOuln/quohW8X+AekFTyqfjVuF4TYslhbgTkjjESUMqL5l844jH PxbF0eIhOI2PjpGidYX0x6H8oOE/hF8HDAxoZmxAhzkz1HB6jqZhSCylghbvssDaAPlKV9qksNpB 3XJhifuiK74OwyDWlwRMakOTt3RzeFRDDtO6yHAGDR7EbXNN+0QkbBnPxj/DbxR8epz5C8Vd+mGB Sl/OYTbQT5GIwm8o0qq6rhT8+A2bBXI8ARgZrphi5tH/e7AOXO7Ar/3HuVqF9sGjWPkplF/xEQDu k92gBIDuAeLZr1nFyesF6bVo+Xwm2F9RV/cqFSwTMTMTvQe+S5uF484rD05ajWBysGCeDfqh7pB3 XYoNNoWhxfpb5VpoCO6upoQjr000hb/fznSau/8Rp09DimQao73jRVCu/l61w1ddjQQJ6lUMAwlW +qLmwWIbgqA1uJPecIbNmNA3JUWs5lq03ENKoavjElTsV1dFlJyite1APmN6dRNYuvf4SUSr7EwI x1h/gwPJjhJoJepCjD+h1Pirzsg7vg7u9z8FZSui4AZk6t+kmty7w7Oh3lhc/N96GL6XF+2LbCYO mW1FYWXxor/apWuAIUMrKHJhabtcLgYSBmDEEyl/hXXzbzs1mCVJ2iKG5dKsp6zfQUeaHnM6f2IJ gRw4hFlbnv5r5d5ecrtD5UIJmF6FAjhwBLBellJmDizhnJBQ5gU2zueDZSriyVgPKKXGtK2MXMvM nix+1Suk5lv+FibKD6ZuRjDzfehoecHKXgcKw5O3LW2PUOs8vQOYjgWuv0iOHiThVXYugkGo0fvE xmVL1/zfdh3ntDDYs/RdpkgdygHbQ3Jt7C8Q1TGrvL00sLYSLB9ArNldJvlV4Dlgyv7e09PWZr1U y9ic86uaJd/sL+slQ7ZIktSRvrZP8t/1qYRZCtq4Xj/xrw0BJIRFLQG5Yr9cTL/WQJWZCUe4cFZ8 pbgthlHof37fb8jI0vh8Qc+hrmQHdeD0p6lLWXqH7ZSYGF5ndwGul0LHlMci14WHMxY7FnJjIa7p HaC8vwVq0umk68n6fJQ2k+9ZUbM2OFzAxEK2Zm1R/WGELmPBJxqRwe3DFulmvzMME6CPWDck2UlS pY/AdHHtwHTohpFhGRQPQl83aTWAgkVd7O/xa4KA0b+ZCdkJdGpawAbWi1vCbTRT8LEoevt/NLJC zT41B1JoJRWZTj53SNn+tMOf9g0MSavd9pA9AhF1eGAebxZbjiZwJYAAN0kA8Rrwol7f/T7KjY9h h3ml7opL+6qYgUTTCaQcLDi27uaFOk13oWxFnkJ09bnYiWGJRP4ATc1W+SQSYAodLzgmyLG2Il2v G7t55xG7mJB1Tnj/w3ErE+g4ud3ot78sf4seRY4oMOff9wX+2WGW2/JEfHFGmS4yr9FILBqzxAfg 2+mKsET5eWurXaYPBn4cRk7oRzAtQCgm6FgX/DBag7CYp/CkBdAhauZhBE6qGjW5JCF19q7hcsrF njSIsqWdgp/JuCvx5k7ecqh1H+wWyDgRcUuUvoiRFYnztg9ruRoJAcOI9x0T/xlXwko6ljMKqKjI j/I+S8JJzYbh8HylrU0G0FmXmRVY70RAfzFP+Qpb1A3yIRMKacC4UWxL5xIfTzO/D6swDWwYSkd/ ld25yIZs3q1u+hsw1zWUnyRCDiL0b3Lnd9L2VsiLHkTfntJOLleJeR7B8a7ArIKuPtvCfiNV1i3t pNu6yplRUiBMBBylrNYlZg+GbDFXSo6ctJ1J8aiAJgoUk9uKqj9E5K9jC3T0pQ9KdbVqM2EWO/jz vYiakEQGeAskQA5K3519QDHeX5wCuKWIhXbozcF24IB7CWVAFI0Hy8EFY2rdQz/NZE3ne0udaGVE i2p8D/Eix+y3GcrB9WW2b+ikdKLNqNL0yDU6V9FKf8Y91mx2gomrL5QMyqqBg9oIEmMTgAwGbMSI Shx3XLcCHH2irMhMnr/JCCeavBAECtHs7tlsvX+BzuzrmjyhKx2Z2nurCn7URp6xLufscpIEEzEy I470HkZ+iJNpn093RDFMzcpUUvwGqvdtsx3EBJaMUtxrSxDLQzXJXJkKbRS4P90KjMW3gQapDJXA VEkohs4C5vsaTanGWGwAPOGYlsiPKnhOeB7nYXAm3Z4WQaYu/9tBaVdSC9L4g0HOsdvJDi8cJ1i8 ffbG9XhtoIel3fqqIw/RH8jRfVr91+QiL2+xgDsB37x9C8mAcNE/pDqInmCAjPlZG3LtWOC3wRv3 M/VslWHPVMPuM1dFWEotZSviiueOFeGDl98sTn0SHVS31U7se3X0dbNF//ftW7ckNAQ85nsH2Ti9 tlJ99Es9bfrCa9Jy/mMzPjjjNxDiDoLE5xe0Qlm1xtXkYysKYXNCnthw48mnFp1UTXVYbp/38Qks km9asKgy2qFA4QoD4bUDvT4pXZLmB7HTiACuh70uE9/5JdWvJGXNrrMvF19N4vn7O4LjObt41naU m6dR+5T8yYbTwM56b0J8vuETFueMIDXpaRDUNDaDCH2cZ/1Hx+2f9N03Zs7xQ+A3NxaDIkQWQA0X 96mM2HIuZci3Md23xpSLoaDVbSYyT2PqrIS3pFBCxUNR/S1iMyktNVjDAsRd+0pJG9dRWbQcdSK2 w+i6VrFITDuxqOshvZieLyLxw/Vvzw2K06gyBdEUD58pjXhdyshVaH4GuOKzTkVsPqqUXk68pLqw 9zw8EPQiDhVa8j5qscPhsc2h7K9HCqutGyz8tZpsvMS3LNg+1F/4Rqfu0sIoitEUtNJjcWXp0Vt1 2gOAz5YtlfI1xWHb0UbGj4a3/bixBSOKKXM2sDOY/4WwU396SiqrqNlXqC4nnBIF04/KzUHP5xQO EwNZwEnldyOF1M9qJlHemmsZiEfMOg/eaeoQu793XJg4C4gY9jQ1jTAF0mhy8U/H74BqfU4tWb/+ MV99Oxg6N0LyKpVm+OI5H2kQxZkBJ2zdvXeW7kwNMGiBwKPbz4pT9VUzwOWD2eG5+dMkfP4G73CH reHFPZiImaLJ7ma+BKxj7419jQ1J2ofBs+z8WmvbwV4e64N09v9gIbIGDqWQ05JCHQcMwKYuQQY9 IAajworyPvmeaG1ze0IsONKXavNxB0ZTr12Ggcztfl4ZwHg/VzFeJKnipnqqilPh3RWAw2KZVi6K Ped44q/J1EO8mf5+AM7cZwBSQ3x6HdlJs9QpdCRx0mVgLUxuV16AdqqEt+LKH3ITx6P++SiTDbU/ /M1uKtOaRR4ZuczX5iuQo98W8viU+VTvtA1O44BjXKaHKUxaq+aMetqPjcdfgYa6wsW9MI4siHtF HbC4R/N6M+oBq97YStSCSVKVgq5g9a+U+7EZppJX6XBc1G2jSk3pkVPZ3QsqPbjBlkDGmnjj1tXh AkLTl4T5Smn7SCFEzWeOFE3z7b/ScL93sTdAk9o7l86kRIsnG83OUHhlZKGMZep4Gf5+3Cxpmp+V xg6YUBgf/KK+I4nJUK/OqiKhEM9FG4GAvOeDLCl8+7r1y0defUspJwadL9rf4RJp1700v+RQXB4i S6OyB6Ld5jhV5gMPFkgaD9xci1kYd8nZotyxVD8ZIb1TCb9ApvvjBhuX9keqtJE+dSa7DPpfZbmH ZZ56Tk65FKfTbFvnM0h+P6VS2jmPut1Gh7f+AoRKQClLoZc42YMuQgEXSAYKw9Ac7MwaQunkj7Af x8OKYnzDH08CmZTkJaHqqe3ofLEHmwRAFVvoqxWbtB8lDTK40NQTU7T2M4EMCFfPe9NNcEK+LB0p bijkl18NTp2xc9LgxYeJHnc1ibFDt9yn0TYPWxX0a2sGVEfXvTpi+ryBKm/kkr5VZrCbQQS93sCn 7lqCHMPfYxWjpZ7GTuzJsL8BHjzyoX4W17BGpTSeFtJ1+iihHBJmyQ5Swii5C2DXBqWnuelagBVd Jpe8gomt/1J58Mh5iYtu/7taCYcczZd0eprCzTv8hN4oKrPfk2nIASt6gcvXFr3Krd0EAWjJqV0Z CtpDO+FYsKyObn6VDG9Z9IbIIyP7uiq6kw4Nu0GYQD0WRhGg7uG+YOy6vc241K1P1dy4Qdn+rZoX RR76qInszABrY1lQARFxqDWLoGIMUVRPQV+9GtU1tbiMh99/ZHXGlqouRRJ+YhYU0iD04CziwauE LTmilNXj28XZ2sz72vmqI6816rTISFSIrBIUZuHYEw620cVfnoQd1aIat3zYP3iA89CX36RnZ361 Ic/n9AW9WvB/N8mdCoWu6uDRg53AxaZqjFAa9NYy4JCmoI44T0bSWhvJzvk9QKF3wLWgAgX8ls22 BC6u+iErwF14ky8Ed2s8vgXeQDhN1hpd6VbVChjz7igF8y9WoF1uxJ9XOg9CFTZWyTKKs/3paLk3 f26KUnuSThBjWpgiafN+ligcdGTzM1OgqU5PTRiJrF1hnAMoFY9pSXTx/2ASLRLC419z7SrN1PUk /TGCP8lujQCX1cNHNPOWFfCkzuCddUVIlfPWUCMaP4HeGgCC93GM309avjmBfDD6dFLNu0UdDaVS a1pz2c/i3VaM39/ajSSnqwy6QyZhMLfA+qzK9KUfZYiIReuMYTLaZjH+7xqW/nbZU737H+2rmg/6 IB7LWfiW2ggKllFx7wslCtsdu9NHT0r0u8pJRvWa1g8o+ucfRTz31bivHZtEryVihJw6rIYrNFoE MHa+AaMK4rKc/IzIZClOS1fCkk3aj423w+LY0uB2+2hGEy83SPZw8KHwAAmOx0BE6USbkE7bl9k9 Vzgj2C/PANZR3XRuHo5nOQFhcRsv8+RTExx/WspOu9DWGl3j56+ccFp3ApY8qT6qvnbkqbuIece0 3+86hQLQEO4CQuWiMcRN5+FoaoLYuJreTbG4fa6qBvshe8aNzo0T4r6nKt1UI2nMWDv06sKa6jgr qqL2RRsE4rJaFB3VS4szeOcPdJUTmjh3YS0yR03rRmiA8meWZrHPPq/w+zXZrcLARuhEKZm7lB8y aJJxOGmT6X6DhIaDkmqBplADUb+paWSoh61dEpCwbuZWSJuV38nqv6tX4owhRwVOw/OEedNDh5Sc yNgQm2HfPft5fCDXd1Sd5XAPRLOf31lAHUO5VWqDZ5FxKfbSRM3wOhWlrKY2/av3njW8+OqoQtLh mv+jTUpt7PavFGkUrdQDOPTaYbEz39QhoMyn9Sxp+S8SriCmIq4bM+Ajb7Dbo7ZRzjwxRgP/IsRH gVlEB84vtuuLl3rkoPWcu4ysogu+MJtGE6H/rEG1cUz+9OWZ9+0MqP0xhxg3k4H5HZQZ10H75Itf 3wpkIT/IhFZigTND26000ck0E6DvHxhn4DhoaZ9WyNpt59PeSS+xXSGUj+Qk/1IkzC6zViKPvCnL 2f4++Q/3bzYTaLRctP9sHTXAloO2sWoHrCIeSfh4O1u8yaJjLfTG4cZqQ/kcy8AO3saaJQedSaNY j6Cui6TUXW2xp0DRHaYZB9N5FhNQT6REUaEVYwTVib2ODyMhWPLDKzQ4EQMmf7IYZQ9HqWgZo9uj rbSOC2PRWQGjEproCG+FDlEJgsBRaWIq+ILS7RPhsOWqIJiWuOtgrh0qFvkp+Bg1uDP6bsJFaApp vWAYv0LASeEHsPBOeoUjRFx/LZnqOPFiFYLYjUhctgIZbYZrzbu4kAOS8XnzOOiT1S6vJU5qzZy/ aGGPpGYHin6AXwtwW4jM2YNgXNs6hvpQUKs0C8wUacSBOyv58Z+Fl654ohCFxDc9I7eEuYpzZlpT Qvt3pEDNZykt84R0xmHw8JFOlNo0RwTRcS+rDsstZubWfJDtKVwc0pZt3TA93/C2YuzuvXBH6GW4 o43puI6r1yn78/EWrjSwxnRlSWNesn+Q1jneTEy0V3cd4e+wWCDshehmagq0YDT7vIIuJQKr+MJi Kjw1exH3dnk1JbOd2nEhGpdg519Ru6SwI2tXnNzL/nhTEoDpRTzjnvMbG3m2wSFFrv+F39RyHjnO 9TU2MRNqtjxHMyZp1LTOxUj44mLvPqcNjfqaXLjGEHiI3jtO9i4ue/ONnYWfEAj6e0rPaM7/JBY2 4tdPH9RdeoWRidCCcPnyylt/A7xD4klzXRO2FdHBeQtWZvxV076iF602UgeVvPKOvT8k9MRfMKaO fFrefGgy5/c2aUe+R/cfuExxX8/oktks5o9eQ5RDBJkM4sMwGJkrsGdHcBW1/AegwlS8NM325aCL iHWNrhM+5B8DdQL70yKoPMqgYIcm8St19fIEJgDc19QS7TIxpZuCCy1hbdYI0LRwUW3nihq/sHGr H7g0u2CUpc0LdLR8y2J17AqvY2KP9shjFw5oZrchL+UrnPA8lLHULa2AyqKC83XMzQvZUjAZoInN MkKvbu39hqIyx7R4s7xXFC7bw6ScEYM6JOnRf3566aKDuPvAaJXkFrqyPVLTDZaVddQf3Bl95arH PIsPIEKelJ7U/4iXpGT4SwUI30H2kI30M9d9Wsg679OwrYazxhxqM50BbsN0/GzxW6LckNLSxz54 iMo1J5PaN7hRRa+Q07Lf9GSb6UosrjOMx48ZnvCeuFBtIrpVJs4N+jbz/++WdWKh0mY4RUnRUBvd p8OX/DSseQuP921b2bMTtAyJyjeAXm91DT8jyjWR1JdnFnIJm5aRD1LAhlPrYBtykmR/etjFgJVo DSQB4wt1PzC8cHie5E++fvrRddUHAIAjNiLMGo4gTFbgjw03HK6mXQ/txj3r/8fjh7cVNDt0PKrN 17dZ/VoU/0XciQyoJJkcFy9iGkEfpu25LoIxCZe8/567bx/xEF62AOGLsm1QFfJrIF7MWu6tG9oH +3WGva+n18ig7FVaHjOrmVwZt0Hr+aR4k86fZk0BbRF1ka+pSzQ6lrc/9DnextqasTMENmxJvY4s tDlQAbT0vnx2Mbn8ZR/oHrwa/e30M0G0R57SQe1Jivi1ZcMrI1OpZp1ZGDksxk7zI2xKGumQRgkY v5uKqlpAXrXfuLmnI0Eoim7QhXJ5yzZPKU0zGpRbiz7VykA1s9tCgxm1bfw+HodD+ykO7HaPMaIS 1W0099ovfgV1SPrCOURz94J80TnODy2tc9VAqLleH2DUEhq7BmDzCoQnJUzVesrYm3d4A1olwQsq CooVJBKsCnEWxh3IaReFj2lUxUWIDEyU+MnRS+SzLVwplEVgMlvrban06EPc0gnR+mAweitkgSrz 73RSehwOvSAJ7i9G0AUUKGxw4Qmuj/oqfJkZDEvrpmeq4VFRGBU9zGw79WwnpD+MWcjP9HfpIrls a7cpCJuVDBazbGJJ9TIkNuxS36a4idSZ6+jcluFK6bGNvD+yJodIIxmabvgjQITMTZvwmSoJlyhY jlnHok3bTx5TrdX2qikdoD//nAtQklx0fzPyBJUGtydEO/nVLqJ66QRKXsQuQ0nVBzDKaEowB+26 FgcFpBnzCVKNNC7teJlrN+YRkB7fXKb9LfdwA0EylfbWqkklvVt4CzE29wXN2wdKg7aSXZ+WcQEw vye3K6W5SN6gS+x7kcGAFn52ga/aj/AJVwHbk42fRUHSYdZVpjRTYh6i29g3OFOn4G2Zbs5KKhlU n0jYZK6a9NsKKVF9X+rBqFw7VYdADzwq6d48MbSSy1lg5WnJPM5DN5k/LiXeBrlXNXgj1D93Ll0r 6Zo2DK08VdVci/NTg/WJ/kRwV5YvQUxekdOLdI7zFMn+eM/aBf1+MY8EIsjKDu5P3S8rwKAF9j3y Az9a5l6G3GleGKGz263dIlo+dgaQ/7MivFTNOXQefhPDS17hpS9J6YZAJAIyTpIqQhh0e3PRQdcm W9DiYLW6m2u1Q/6QNyVga0MYXi81OXcfS5USjtXrsE0dfHJLhNWii9I1O1FMz6cpyfmQko4peQEY FXRY93Q8ZIos/g86oE0pgN/nFRzuGeNp6YkkfKcOUseSUjHQ/K6fsMQuhFHD5gAfaKUyN1IBsE9U 5peMY0dqoXPfNaDYawE9M7S2GUF9IXO1OnI4Ob23oWUplpFLqM5zGwFlRlodpsPnrF+LGJMvCYfy fZB6f4Q2+N5ohNM08e2CqpvdErX7s7/V7QdxEio2/aO2wmkVyRuaP4JBod7hobaNIrxOVEaWs7CL ZrxS5V+1AYjkyC4nfhA3zqHDYR/JQNEpfyFlLzIU1Fl68coEUv3Z9mlFYgPYKsf4Cxnbyq1EtmKU 82WJHMn09C8uul6MYjT8KoDt6QIBGUpda5UaIEUpszEvDuMG+fhX+Z1oWUbCJ+PGC/2yw8MPlHBx 5BaV9vmA+zqjOmZkiMIt5eC8th5gsDMKExcsWgWfu+j9POOqvtJ2cX/tCkM7Gv0YHtp89CKV6CC4 SuGmFTerO2lhJ13MYAyy+yAqjuOBc8SIPN368K67byp+8SObAXRZqHl/T8kAK0o3Z3DxSaKP9dGx tL1MWRhbl6ZqQKeso9ygzzALZmE++k+SZ3FlrQsnNonevMdUkCadJt7c3v70Tn1NZu7eVjC+yWNh 5sa7QJc4587p7DdJz4PRKA2+YmzbuoUfUXjM0xseRIBPiZ1/yixBFVuDuzBWKdv2SUGf3UZ/+69Q whgwGwUuFxMdLxQ4EHdnnD3Ag1pGIJSYuBFdoH/9h/DY2qk9mCnPA3EpIHl5G2GJtbiu+VA1HQ5o FbFqCSVBX6sXWESVBkypmhuyiPwQchZKqD5pbCgM23EZa9yDmYME3leS83DGlynf2OecbqQqq02A A1SbgF8aq81PIBYAb5P1bUjczZiOGWI/F3Hz8OLp+UphCUbCl0BebGPZPhq6/OLp2WlzBs6JmZjf g1gWPnqbSJhlKoCOUQYkSmcSYNAkEPY/Lk19WgF8+VEe4zopJHRpGC/Qd10s7aR/SXNe/+Miim2c LztmaIFN89qZl3UlbMeFQEjMl8p1QBo1iYRWPCVtha39SAMwY6rpFFgiO2KhtVBgpYOp3zOVDPlV sKFvHe6jtw2KhFOvYCSnYrqYi7vqlChi0BrY6uNCu4hxstdmRAKDPsORHi4pREoS5XYDUK79DwlP I1Y2y50gp7Q+75fw+dtMVxoeda+oycvG2arMB4LnUyGx5TeW4fdhDwWI1485rgXVHKXuAP5L3Wuw TdpuGztZjG9mjgNn6/peitOMEApPgnm0MWfLSGEXD3NVPA2pFcf2sg7HPg0PeWNkU9vj5rJ+xiLT ne7pdBbSkG9/+Z+g5L2uAVcdXo46Q1Q904rQU4SZ/S9chz/JyobE4oBJTXlHKsY5LJW+eu9bb+jR aeoP1tEHz0m65TVK9xJOSFLWu+jn4rvr32lsZFMAVRphRe2XSO6L0vIY92rdpTMRDD3R9iCCZ47+ oCw+94ETGsoG/X+jrm52n2mhocI9mk918YXjzgFoUYJ7Z4+3R4jly7ym7mx/TOcPnt8o7Kv08hj2 FaqXSx+QBqq8947kXVzC2ObqjtyLX7Ss4QYdtX17sYtILSQWBzFXo8Lf1GkuWqcaqhWOM4N3jiq9 Sc0RzxLztFUYrWmeIO3lHW92ACrdPDT1esHB1cTbB0gNQBpLycQSeSATm9uekt05SZ5c6+KlcvMo gAyVTyu0tdOtSaw509EkkGN77+UsMGid98lUkTOz8NZRolAyHINjd3J333KoBBXw3N2uoOjQgb16 x9cP9gQ/HMPLTyvmcFn8uYVRZ1EjSwuS0lwdQYw0nOXdYk4xchfpH00PbVjlU9MV9ctTse0HW3To KB5oZdvDmIa/Vu4UhjXQAn5Oz8GIflXd6kilg+brWT041n1bJyk5JCFTvxXiq4mVtxKNJrJV4VXT PhZrN95ipP9v+AOv4xbjfpXX8XEEc2gcY3IAqpRprCEcY28wZ9Vu7SNAOV//nG2WtaPbT3kCHXNN oqAKKIQPhT4yV8NMjTA72TzI6Z0IKip9YYsbkWj1DHNysX3AMP+HtKcIBjci0VKE9vwXFnq3fj3U MJzV96FtOllrztUoqi5kQPkh7s8H/8MA266rfpOy8RPuuJUz8bvS0YsKhpwv5bC8hVlgrpkpKSEI c5Qp9s9t4zMPx1+W3H1jPVaQyLYMBOzSmR+dFENMNwBXPXbgqtarRnRoeX2etve4hRgK9uh1FjhS PgCLa63zaenBzSDaX19fjpsDPpSQv6R6n7E8+ywypqinbIN65rymqXV1jnfthUGX/dAALSJBkE9a NzL98cmWX9LykXx24mUWlr2PbNVHS/JWLAvTDmydhUvBSdE5100Zw5RIFO/vMxysXKFDefjHr70H eE/ZF5Ln2UB7d6wtN7/icHOG0Y6y6dsYDg6y8mV8XDoqFQjcWVQ8DMcR6kflpN4hCUxtLp9k0PQ5 L0ASeiLz1u2oZi4YTqDPzU6lrK8Jbfuf4JrmvgOsZCwodmHKFgnXL8qWgBJWc3hx8hJ429b/ZHaN 3Zg5/Qr2/g3p3jtdpXeV1P3wSRBiGHO4KVhT+YG4W29ChrqOm61I+tYa3tSXS93ha9jQxzMfzP9u qXlMTs+i7pprRmfWLcjaq/v2FI7oGDAaMDMYJ4kdibuHDDZqgmByDYEOM7gjaBaPR2NaLqUOtUEc 42K8QcT9nsQFkMjMaUBwTAHc55Kn6d/AdihnDe+AWvnknI22EdNItd5qATgxGSgLl8nWaRdwhYvC bxqK+XNh2dpnuxtykw/SJumVjkLu7t3t53jEv0MaCG3O37wJU7JoxdpoAtMPltSPVSU/7f1CcegE loRTmDJwWaBPLz43ZxFq4vS8NHp54+aE0G9fI93DYGXCwBn9k/CS43FQM5ah1qxd2oL7sg/woGFS yORN6etCfe2n6gXhwqHz0Ld6n7P4SMsOPQkdDBKayxicdNFE9H4TkYrl/VHVBcP8Sp9t8d5llJxV dNOtnAtANAUZA+/p/2gnHpkidDWnxxoMMGV3M3Ad+qydCUHNgjC30UNeEyOpV4mUbWrWF+wEzF83 5NIEnLrK+2/MwxNd6/1pDWtRqsSTFDtcdeYK+KJSYUK5gU5nw9oYV5v75eCTkJ2W/f7/ezBR1A92 iuRL9qNVVUR5xrpAJYB1HwZ5GNFuDC9HnZ5s3wwNvv4o9kAfZPsPVLoTNdF2jNI/CfnTmiZkJpKd HAhbd4nq7TVBTApgrJCgKNH9g7P6HNNtifPwk+a7qrLMsYXb66RoNtjGqys6EqfDzuI5WoshPNQ5 ihJ1S3avCSh3ikV2gpGlZfz6JihjbEK1i/p3ULlBWelN/a+NBWrwCgzTOqxrgWYLxrcTSZ2qu+23 Ht/44EEQTzbI3bkWgkE1yvSNkRxNXdzpcaisJzoTAQ4uYwGh2gexUzaFPloRN4rDRzu69+1hcF3E JcO+FfGmAHgCheWgx+97Q53+hClXE9LZ7VnJ4d5fvYyP7NCL8+Afq7zpQ+cvGasH3iWLiy0MEFc5 TDHcLCqImWmsxtSRexHSMDNXhtqhKUnIpZSq1hhtzPCb10QT7NDdKnJvwZwT+Iox4YDlSS5q28W3 1Ty69vTztY/bT7OLv5X0N5kDiIEtyNgjNkcOK+VjMt1OSQpU/VVG8zNoDNrkCZnPaQy7WV/f9mN0 eje7b+uWQGlTYwGIsiUK76AhKegvWQtdzDi55Ahh0Bgle4h4tWQnCkG4RE/OZ63u84EvehrTL6vw VTpeoUDMs6Xtpz5GdzutsdjFONGH64GZWfYumzgAdxKh8b0XZAgGnSVHNaceGmMIviUDKme6lUlt eP4ddZl1H/qnzNHDl6PWXw4A1tWo51A6+pYVrXuvA2Enx6g5D4uL0zvyHjSZ8gJMcJSQ3aU1DQP5 2s2NzKQtcoSAAmkctV/3edXU+vC83bwpCPZJKhUwRk9nVJ4B4SVylPyC/FNH4tJDdDRI62WQJ/iw DbuP2A3CrjEP0zVW20TLT42Y9+0rS1Qpl+WGkQbCMXKKQJsx9RYuZaEKnlir1OU44B/Qqc8IoCC+ nRNLc8kIrAhDBi/XXqo213TEtez1xgr+Xy10mB2aCZCaSmW5PcDXNTKc8CZ2xtO5fk6qoTdsP0/9 J+fak4G95n4tiEycxz0C99M9XSDk9qP2fvtIlsuXfxR4ODLXyMRSjuBYmIgQ2NIMPAeFkONTs3nw Px/eO4jaygFiI23mqt1+sY96rcMB97NdUAFPbL++dX2A7TY9zGKqmMQCrWkTJrcN8qiRtbet+R1y gE86/fIyQhhGRhl77jMqbaB7wYRc3XsBqvJre9D/6AEf4oC9BV5oSswV6k51w1rGTIfijozcVeo4 JeeIN2bdek5hTLOohHFS8v6n7Su1RBoD5PasHIcmTXSiYMsyxKJIvJaJBnz6dmkuP8MWDeGBd3+E IgEY65q8rk0TRWelO6Xz77l/Dimtz98Q6DhhIkPrsCkfyMCY14SNOX9VPiAcUR9Y+RRXUYCf1Tul 2jSe/T4owZnDiWye8BdcVNUYMAx4IK7LsvZaOSCk+R8FmEbyB+YFmGHgQxDnEYgD2lc1cp9YPQqZ rKPu2MjaZ9r+z4n39pu4ZTXBevf0OwZ5hB50s+Jcad/7irKQM/ET9ILdLGuZkWv6Ftq/aSJKrWpv sM+YlfY1tRBZSJ7+3jyErbuB4KsVi7VXJO+nrXXG1rwbzoh96cSO3l72GDoiEdGZ5FkyQkyxZHgW 6sHgQ32jpR2sc3RabyGWZS4JbFubkJ8d3+gyx60h9qMwS1iGOPXbwkDHkgkPFPDjfwWuZAvmq8ox QEaQms6P2nNZdUHbuao7pw8Bx4qRASANwnK5cYREQx0isFCecwGZFh0lVKKxGaJmMVUfU7BWEi/I rlmROxi3lbDt6Y7HdXjDHDUWmIsQ6E9LWo/eUf/Q6DhZ+EmOHgAvPJMMsuVv3MRtNqd2va/jDA+o gRvqSDYnRQGj6etNGCZLcuITHeKfdnC7fC/U86VI534mlcLktbSENUB+Mf+0R2sCeTP8EXfc2YJf vP+pJpOdql4Q/QrsNtNUgNjewq//LdUv7dk9gS3nv7y9t+GVCk0Y3Q0SmvcFBhDDTyiHbYk4ZEAu Z7ciDFJlgWGTeNeY43+uc8XLXMSQnZYsSK8pY/edZwbV8W+iFj0D5ShKkbZcEUr2IlBJhCiXYFlT V7xuYBmL/iR1Ve6qlDcXJiprt0GyeJqnm2T/VC6AUk0f+7ydtqRiBXsz+6WFfJzMyd8hfboy+Kpb TCCvVerzqnUiLIaowYiM7oozAAbOZFa3A4M32s5JHwfg9enY7yhd6eJgE8p9PYByasssRnHgm9xg YkMuCd/uX/89iy4aWGvOWLOL8QcO63fGE7js0CrUr+nCTf1sfeV4Floap3XhGiTke65VkM1Svlwl 7npIjv4YmxL83wXJeETyRhKA5HB11ZETtTmq/bvab8ILgTLxAieEp8xG3wiXGFkP5nzmeoJ6oydv Z+ri6uYy3L019/7QYy+ISkPpYSZObcFuuX5B8vZCpk1tHyaV4yjKN041erpDyZ9ygZsb/gzp71cm DObgbe43nVbywiWXKJpKM6E7Qd3f7CyWSzfT9CMeXpW+Jak6QirlMtOG1xxgCEV3kGzQ+gc1HXru czVg6IkVV654EuxRQEclnJph+u9/Lh/XlO4bT1KkspbajntZPugZs+hhnwmhDAtigG62tbUJs9S7 yiHmLJ5eM1ASgOH0ImKSPa1O12TMU4Vj7zem3vMfCBPAumMHddgJhZUSmb5Vam7GzyClRhmXonDB aaAqS6PdCUMwo/rFlOVfQljl7i334zPCYz5cIhLOolnYfrl9BvdHEZPW5yGGgAzNgFlQOg792RMs aU+5mQhGHiLU8FhzT1zFEJH40KEwABdKJr/8L0jbNwxiRKfD2xf88SKTeZOiNc4DOMAyuB+8yf0O WNzhqrUMgP+ALcTVXvS8EAWF450l86aWvKaqwVbmTAL7rhmjsLm3IH46hUZ3/HP9diJpJB4yEYV3 3caPJBA0rpkbgZgb4+ioCu9L1c9+fEayoxH3rKQflOJHHUhThviR+6vE8fxjrLONXHCtwtdnPaNq ym9c2Cz3A29oTJ1jSaDgwmnwSzjJgp/H48kXPm2xIgesmoP8ZjL/xJayNXxD4Hs4Ykx+nl8bVt+K KdQrdkd3h0mHjx5K/SvkBylQeP7d9BKTj7UnXoMeOKMjTrzkrVQG2DXQBu/O0CjTVLi0WRRUcv6p lxVh2diz8IJg8wtyLiGXawzbwmUjfp5Pcy/SAOHq+7Cdv+mRRa28cbXxM1p+Cncb9lIDYBI0ET8B RWGSOIaArBt/DALGFoonDl/P/mHQKBJjftJ7AF73EsHv+/DDEBh9yG4mBD6w2dZe3GBSuKOtaCbH l6sqFvRUgy2WjDK+etYqhgnvkVUAY3P2cm7BHMpltMSmpWOU5o40mI0yQ1yClq/KQBhr4D2GXlXX ZKu76r+PFcVT2+S1Rq3PxtGMIkkvVKoxP7/akegJjBhrGCwxErUD983Q9pZQPe9/GtKtDQfVl1no j2PF4KXBkqPHI7jFpQEtmfWwF/X2rUuOmyaMT5A/GfDA5kzPv8OQ0zUZYBy6WHka0GQLGx3gzNDD hLBdKjRb/dfifIla4zdAWWwmTcltXaEY61a4gUlM8Y7qe0nLGfp49nP6KBCJarlPA6rFoy3Tosvf bi36Gd0yo9dT0JewuWPJ1OdZchoarYNV967anGs3+xrYaqZxb+a6euVT4iBw7AKxRH+Bc3Guh6Fr 7bdbEoGVlzmoVgms1nktMmSbEw9ioHhqoIV13puwZY+rJ72PW2pHiz4gFy/6C8jI8oyOjtbDJjUt IRornPeC8PtN9itu/ooYFD7I5PEmGg5cGGQizScZZ1x0qWcKA4UNwo/okYWCApHHjwnXEP+nGY8X rNPa8jXJyc9xzd1Ha8N46+yalaTVR3a7TrggXhe1gUz8MYIN4lOjOUj1+qmS2kZ5uC3pRhKXV+L7 hkqVmlaDfihkUT+C4StXUP9jCqmdd16sPg896qHricRaS/QXBa39PpT6C26kZ1vGDYrJBob2peEm G5S8l3+XUAMM9yk33/7Cq/BvgjR1OEAq4ve+SHehGTMJRQRvZqQ7+Pxg5eEg+6t4tJ+XpvsHSwk+ VBLUHk4q8XADEM9+hGB9htUw4itEnN1wrwYwdJLvkpucuAf9fDQVqJMMAvsZaTQqxsBf+ywEZ5X7 o5c1GJ+USK8Z7wIZAScM4NEzS16n/yahWrSkKNU0Z4Wbj2GndewxmZwfE5TUYlUvYgFhdDbkmr5g LOZSlyD5eYLA6eKYsFmfxhx7FEgS7ZKRwxLf+AK4iGTiMXoeZM/272jKdTY+L7rqFqIIje5RB7xo pSGdR3dpNsLujjzbJ6qG8x+xm7ah2vyFyotJrGYUmQ4DX2ov1Mt4fz4fuo03Hi2BnSpGfkfzeNz7 uAU71J+QjnRt32xsTzDsaI8GQY5SpkjCCzBjzyAcfKPCdnAILcX5pw5M2xvewiTj4AasSrHcBNdU d6E7eziygfRiL+hjY5TkB49c22/LpuHZbegPtp1/Fz70nX6mS3uH5aGH3LRv5KTL2XuKHb9Tpasd dlCU23wqXaZNZuCnv4yn5ybLlMnj/rx6MdlFMeOUyQ5dwMdRLcfKzBwlNuWBPFfqvibyESclySoK mDtXZi01Nbk4aeezthTjNecCLwRGHSNfT9BDqM99YfurSCoWQt4TBf2OQ3qNNXaDsS/adKMbAR/A mHDy6Ay/ucK8dw8suLgnLJSM6/ICEc8/ThcvQDAy5EKmhb5fdKdlqTY8OCGp6aum5XHsIXZwK47y VBuKo5NPRnGS4s7aXgJonKZ3jXU48G4iUwAM7WcOqRTAaUorzgJV50uuzkmlbgO67jQHWUI65JP6 KFO6AGKw/w/5R0a29RrDy5jB5gLZMsIobzWQ8Trl/tZP07M8Pa0nP0/7+4eFe9Ilq5kOi5olF80S E7s8Cmkd5J2rC/SQZ2x9XXPTm9ExikBLtMiUJam1a5BtsVJrvwPIHid6c7KwyENP94olLVNGaWD8 BaKm3el3FA0zm/1pOCvHE3Y7XPto/UlcrMRmO1aEUzqMTRaiD4dkKKqVEkL2GKiKwO3+DOAnPhse xESpU+Apy+b0pgCmEnBK1tjBCsc9rz4YpdMWj0IP/U7Tky7HR8F8yEKvn89W1yr5AECSDVm7pshk +a19r+D0sEjG6TpYtFcY2A6WTOeaQJy19WSPc0wukngLwHfWyts/jKgPEpmtdr+vXBdsagC+wTJa u2b6MkykS6Cuej18xmx8Im52sBvyrXwjvu+8Ok3ajbPWgF00g/8zDgkQeRFKEs/88zcPgPYwFvKb 77PhM0NQ8+N2COZNaIjK+YNdUZ3x6V82ozqRuQNfKwUEY5XrLAvkSFODlLq+z1wYyGlCq++xAuVp 6lADEa58CFgD5Le8fh6tOzvVKaD3fHMNRTCK7s81K44n8nvVIfR8xjqqHeP5NALfz61CEvYOBIOC wk9Od0xjfqgbP+WvIyw5BcbxiX1iVHm1k820R3XQPkmDddpqH+AvBIVWKDzKCsePINv556Y3J2as Sy+E3O0EO2dEkGhyKojcV8kelmts8p/CZvngOiW/S/HGGgjsjs51Aexc8kWLZK/h3RyfYVEfp1vv vu6EASpWKXWCyRG5/0CgzfxoEi1U3PA8k21dgNS9QQOfTqBMaYFRi7oMX91XbvZeRIZFjGEVxaAw UqDg0r32LeZMrbdQGK8SpijMtnsDNdRg7mG4+Dzgm18DNlCgqDelhdOKRf5XwrNg4TFLQNytpmVt Wm/h5P96wuHUTocq0Fju1MMpSP6m9hz6m09rTaOGorxLaisGHajSUmZIo1PKaTBcRCiyuZcvoi39 0FuTE+gAYmWPusw6RV6osr9B7etns9HB/3QORocep2PU6MIUXxG0DHkeR7PQZq56tU/wFTN+G7+n 6ZUJxm4wHVrRkZrCUR+5pTptI3KAjxSZYOPybHQ4tR/uIPCzyIYEEv36K2rs6Vn4Whg7oGs/anaA gFMqxbdizRhbWq/VftS0laCs4B4PujLBrNXBsmCs9Fh4ZPZFBFhovoxV2GA9VoGn6xD+rBJXi3ps TGt3Ye+21risnE7xyHde9bpkn2kmHAL4oHgErJb17ywxnmyHvSYkR56DHWlFCQmNxkv4ETp0HE4x yQog8SWDwUX7Or5hXbJq+Itu5t2Wfj5XFPo/UxORuO8TQ1kGSNs5pClpwOPpf8QfOvr1VYZxU0kj JuLlkwQeXQ8RPYG8E6HibO9BcEqy2UvfEJmRFNg2VKYoc8VUB2ak8/BFWbKYO35WkW3SqXMye/R2 jvf7oMIMnZRuiYNSInV72r/aNfrPhzq0587ufs7BBSw3psz/6/tC3qEYh48HXYQvwFv3NG23DTkW kbVKYg0Bj/WfpV+RZ+U9KtJdptrZWurwiVVc3wkNfo85j7+wPD5+88L1cTf+B4eB7AZnJFCCSIpQ 5HFVK97AnjHsG8/v2Jcs4mkFEQG9N8momOogPVcR/54bo0lXmMhLdC5WSDKbjtRGGf+SRxAGgLj5 hwiRJd5FxHUozgv4Jlm3Zka+eHaivpRYKPj9A46uMoBOAL3UHwc4vugjy7fHC1r37bOhhfIKWw3z cmXgc0Dm611lENrEftmVYohBElGUttlH0FLujduYtg5+mds734ahIMnQUgqikpTMFaZWmTcTC/lg iUrDFGcxGKDhnT6Ex4ONiLVxvb2j8+Olrd1iFDlTAs3PrLXUvbCMR7PgsDFDQJgcV5TD/XiOyQyl YGSaPAcbFkalT1G5qgNxnwJbDXp3w/V60vF105LMb1tF7yxwlq6dk6qLrj1oKxHFdWx4aElDKDgG QC17cHnwLZ1kAhSMNY5eYmQux3vx8aRfSRGId8AN6nOAiizcSXgSsWr9iiTcns45jXHIk0z7FHb2 hKl302VApT11wbBhrYWRvYVuu6r7gywnwvKIWx84MlOK2tliIdStTf3ZAOeZdEHJO9FhYQhtoUQb /GBMbhWbGQlbfV8Q+56F2705mf++is2bfPgvz/FHUNsvNZpy/3iilOYoZgaaUwL0WDvwNRQxCLET pHJRQTfkHXUZH7YsZL9/O22p3kPLLUT6zEWg2J/oFFysAwHzkmc2XfJyi3uWqaHfY/04SW7PrLCG VizAoDsketh8VWQDYSOWQC8PCnBreraTD0c6EQlIb2QKFQ/FQ6eDSh3qcPTG4Z1eSoMd9c7paGzM O9lBilGw02Nx9x0NjMWWRjWjatFA5dSe9qk9eB5xJ529hmXSPOqAX0BbMedACg7Epr+GbcnzNYHy BVd8/V95rXaPsK9jD5fjGyltXlaRiKRRCzZhq6IfACiaH3lCI7Gd/14yLcLVYljlLot+VqasXnc8 y4LukvpIK/GVgTzKtLqMBb+FsRVnn1eIY1c23l8V1MBEE4I1mSUs1nIGHYJc6I0TvUtLtOQMnakQ LkXbuWEhnVb7xRMGtZ4kR+PvUmAxda+sCwbaefUY9RFfFKcTaETRtdLEmdK4NBPWNrNgaXtAwsJP NLBdjBSnsPlXg/394WGbwE5W9WqXwmGGOymrFOP0CpOy3dmWeZWSzpoRw2RYBr1eJH0uauWu5vVc LIvAjpeAGToyvyiP1WYrElb56iiy16UkYvspwjEezbd5l5u2xM5wOGBKGrt3A7//9m+kWk8lLkpz T+vHMtZDm8A6WylJnDIWOrIzqwC+wrd6YVovHx8fbknUQdFAJ1789nMuPdxtZAdO7oxvbzVM8glE kUFxyYO7/AK3elVCxy+G35nikL7G2f4jq5MS9o7lsI2q1vuxDOhdIN6iEv5BLAkc7GKKOIGblBL1 9eFG6/cmtTf1oLDJSBqV2yAM1BeB60UxtGUA75nMNgyNbGTB0iM9zJoArKVOQGRMvA69nhGAHSLw 5fzcWXG5A7iyJHTyHjWJuG5r0jbIvgRaFWJx+Q4= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/builtin/clk_x_pntrs_builtin.vhd
19
43418
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rMj+x3ocDbJ+0HvlMPtFLLYN4V3iOWmu0i3VYcvwPU8r9dUqilqv5BoOperD1z/j12cu4ait0bNC TvgieQY6qg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LiFkBwHJvbvIRsrs7TuS9x+hbpgzWqPRKAN+86jD7W/DWOy2HiTI+Pr3kejl0F7PQ/wd2Tf3u0hB l5PFI7Uciy5uXiQA7fDmYLdPcNoMNQWm9hohp6Q8wB4H3kSwMFgjlrwYcv97jBF9K/DD+f6kjMEJ pjxxREwM6oJfyPhyhBI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mlNr/JQ7BAznEw9Lq2hOb9T0FUxDG5TxOJH6VJoPGS12EjdrVMK5Jwy/CrH7dSOtWY2eUHhpsxFO HZJnPHkoY6pnOp56kFqNAyiHJP+z5BexlWOYCHMzTTDXl5ecpknkEs/jFqX2DjV6R1MuxPdeXOjM JpDfpA+rd8xFCgAvhOcvKEKjw2lJmNukB/NqmGdLZU9Yd/iDC6mJcVuTrR2gzFDMoFjQUitH7TCG r1krtYbVQjkm691WyHmxufh/qSc3KdzrpZqycBevqxjmEqCq0nMXCiMyQRHMFNk9XLymhnx09LIk 8Ck9EeU7sTUKIMhZ7oB9NRbr0Jmue7w3V7zoXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jcrZIuGwyVPSe4eEqA3CjxEN8wKBf64m71qLvmqrllZ8mLFeyFjj3f796U4fol5LeUOSCUITklpk 5B0LZiT34IugfACCFG6eSa/KnYkpqdaiyFEJag2zBthAbQTJIoKzv4hrVDSwoJffRhWS6ZAZmMOH 9HJ1Z4KODhrBj2PMMOQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block He/hsXsp9htM4v1ezeHFxTi8NbCInK4GRCTZh00v46syUmSwf+mXhIjhLm4sHKCSUqmWt1TLUp0m CWcpoGxiawBF6wEpl5GgUNyVTq+T/CrlV9Oykyiw8ESh1/7hqCFXSES7D6yS14KOyEm1cr2UmC+u X/NTzDDvOd9e5R6zaiks/z3Qdqxiq6f6jnMuQiSiMBsAMCHxpq5kEezVTATURKXvDebBjGkSTomU Wve9JRKQPSiMHuUURnaiqzi8t62PeJzIwk64jI0DQYpuyHeGDNIZt8qQokGYPimAYp9IilmsSuGG FM6CnM5XioVenoNWDUkk1F8M0K5I/5eHgYEnkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400) `protect data_block sy6Ib3lMvpwhxxPC+M7rwVnxAGXLfvjhwSbL9qwbP13a47pbcyAYAtiBiXbPyjK/tyIySUtf7vSa /27KkY7mREwqrFv3FGqB88Gpqs7JFiB/I8qWsXo8R/PJNcQqQiygxZGy2G++1VltjcmVUFKc+iUv lEZHWhwQRBs7d/5w140Her/1aydzCPG8TA3vwh4kFyhOSY/8Z2DD4Rp2CHXxckzDJfuNUp6HVuBa A8AbDVOTOsxchTyOQRSiZmdfzqybZrGXTHJC4zYovBp3tl0VutkvVyeIF94iJ6T7abwwNkAcl8/2 RoGwAux0t5btLYN2qnqAIFKIMZRXAlZcJjaKHVglcoNCk+N+phnff6qAFFxQlMyVf17URMYPZyyM lsRoXvydSzn7hdhaW2OvlP5l+jygneHTXx7VvZbnVHnuSyfOdAZcb90R5h7c5lGYCrzU/EHIsZPo KX6vKCQs2vBRPiGPuvdaFTKZfLzDYpphdzPxb5tHgWA2St9MQ1mD+4aNCsnc7DoZTrM+19MPdck8 43fEcZIxkuuhCyOHtkkj6983PrOJoBxOD9YUDq0ui9lDSW+JsGxgSx2UeCcMABONkikLU8s0Xb84 DyPWiL9LWOUkr+LEQ74ZUdR56X5KSkwMbWnGcJ8AXnUJ3mXVOcemsJ9IN80r7BPF0GcCAzCgxV92 0Xpz3EhgZ/LnXHsLiuYyL3kqTxWZnKZ52HTMlp2BdLUipDlGVMpWCPWskUEN6+FOBAtecdyKhL95 1DYrnqpMd6EDi2HTpRq4ce04Sb6VqB9zEHNfSYkAt+DAqXPf0Joa0yogWUGUkgT4hhWaqwDhJNuA OAnDBvsR4zSzeGn7p7Ir7cLQWrvQ325DbnG9iaHDiWnTdCOsmyDb7iYiV+3D8sQARCTsUeCev/+T tgZjvYaOqGyCeWptTqX23MBZgLJaccitbRZkv99YdqPqutvlF7hHIiSaEmaqKGewVZC2AfYEsuk0 EWi84lVcjFIWJjilHZ1qY4CzJC8TBMLdqltV6HRa5DgHTWxx/ywZoIIlZrTWDn0EiGtfYmLU4vSO e3NBJi8dsMTgmxgZxQ4QGlxrtzTeBadmAcXtt+q1Cpbq53p6kJL177TdFuLBBxgzdr9vqNR3ofum 6aZTHsIr/hY3zyHnFTU8KvBSOZHe0YxYEscT5GyTJphX8CdPctwdL9cJoWrsW3IioqCbdFrBgHQX ghyg4RlalRtSWpH2m8iYMJD26+Yj2bLKRVYYURBVpvW0gIMsF/W6uQTbGs7znaiBlG/psMy5PjXB EFO/XKUJ1aJRp6DnlPNL4JXqTur/mBFpa1Q3C6qwZ6mUJlII+gHNz+BlElm9KKil06oqrjZ557U2 uSfiFPB+1DMsw9I5aiVWub7CwrNCJ1GjQf2l4rhXQQk8W4PSBSU1aWps/DkoDpmxuPBxnZGzTAYM 5rRlMUJlCHeu06M5hdABQrfJis+JZvTja7DLwEyDM22foDCHGslsbTD5P79n9QqaVPTPCxQAGXap zcHImTHEmIqNIqjhtKQdz5jsf1fzj7V3O+C6dR6l5339uy62krkKoaWxMSe23NxjpZvyIN+ZXslu Ut14jDmDnB1yt3oDNqxw9/1jsvlGaksPQb7KAe6Y1YmdqSF6T0qUuPjTDi0bL52deji5/E6aJM97 Fj5uFMDNdmRJPA/ZClQWCKUMyU21SJE4j7LzFGHu7H5KzL0Klmi0E90Uibe7D7RG4P6UX4Tzsht7 xuAChliQpGZmPqyQI6BtpDB4Im7RIZgAP3z2oEek2SgtYwvF/4yl5a8i3D77NXZkI6fjgP4uPurU /1++eUdayhI++MU3Cs3jF5gtst+L6y44uBT+qsMWSseXP+Wa+MLilquYht61QvheoYHhJv1QdZa3 sLdkoaL+OkAzrZt71vcfFA553xyKzTiIVVmmLlNHitWxPtIyiIM/1Y8pIhU8h4L+3r+27TSsnd0e +UTacvz7WQBFcQwdzcm8s0Oburt58IZStDB6rNgarrFDJcYEyZ9xA4qZxzKD4yLVp0StKWMUY/LD G/AHB+POEF5eF4WZKpyIk4b4Tzz64Z9Gpe2jaYnAIINYYi2m6tseXK44vBpznGEHAIR+/Of/l2Lq il5B+JDVCKUhcERW5MzBo8TIZGu5ENuB7t9rbVwzst3dJN0xO21qSKRMFUyscisEFjeJDCTgV629 ZJxXsqJlmIKjw7EqP6sIbfynCO+4ImGHUDCKLVxg44of/QWZilEBY7OmGvTL3g6TIXHhgD72EMsk 0lA6//OcqHe3qVHoO5wnDhvw/ZywoJlI89+QyB+7RkB37M9Q67jr3ibcnLnsuNdDelqtB00t2Fi1 7g/3kblRjJIIJ8vMB4jj7cgbHwd0UhXOXBDw3u/TPk7sLX/A39YnhJpm9JAqcUJhdGeMhbJOjvAt Edqg2bqLGxZBp5+qUk5KU1iwtvmrP5kehhI4XrnEEii2js5cqHTgRe7Sno8M4kvqekREvmIHzBAS 26cKGInnC7dcz8a9CENHJfq9r88vU2dRA9wK2q/dyoqzVi4rAor3DRzjb5LEOB2LglTiKspORVxf HxK0Nc46GAiMJv35OXEifO88atf+L3QWpzkV/pDO3WTorGYdky8L7AaTuVvQ7q4zI3lhYk1hb19i UucW5ILLIjlu21XtENc5+3YyAJnyHoG9/xGR2tiRI8u/CQtRS6Z1VjjTDVk85vg+owAdIAHjQ7Pd NknKZPI6FKyxuamDrp9YmORb7HLI+i2oQuID8J0BDF7PLaAH5eYnK7O7F0jlDf1Ilvmw7gZXgokA xCqQC3syydnwj7a6kFjKsg0QIy0LHmKXr5tc/ZTIurS1V9gHbTA7vuyx6L+nqEro8tNwPt+fPQ/T SUwiARxvHXug1GEC8Of4oVY6m3w5Aefw3lp+J+v0K8p9loam/VkNm2flwYEjeSdy3WmImJUOeJ9I 4RLLSsWU9D5ZS6tKzIB8dmUZJpLOywPg/OzsBcLslVqb5FDqbpfcpE84UFPTAG9mP5kHnYDbVrXG 9TQ/fADpzBkZs9WCCWJMLXumLGFUQLnRuIUWG9sNxfd/SfZM11xMR7Nniq4ntyZat3uNTdSYFtbc i2DvhkTD1l1jd+rjtAmgjyBqjja0zicn8321AOAymmybJi/6NQoZm4fWaSAGuBXUllmzaU2cP0HE gGxLsntOJFvcMvQ6+9TwDahgCPabd5ajG4Zi1IsdsnaxWk0AgYlXP+QcDmQxEfb2I9O9IYjcMPLy omff7OM8NIGL4xMUB5xEvmleb0+/LiMyOj/9FOn23+SXg6O5hzvNubqzOe2NceyMINfXxEzRXKBK tVPanMg4T2J6bmy87lAekg+2H+nK/Cha2G6wZrIH3UlUPp+TRINnPTzUA1eF0qHpnA+KPSKMoPt/ zKE1Ogi74WYuetRLygCKLUbzWLhBoYNAMqN749NoeGNmlZ79DazehTbTfso5cegb+g6VXNJ888D2 +ZWQixeGRcam1Hwq0ZLdHNBpt+hOpTTzvX7kXi/X1QAsH7wN055lPpzZZ54ekcrcG9sbGTNvBAJs GrJj5hORkhoNlnAy2TRThQfThUsIp39hC6tS8harbAt2mpjUex7m3nsllJocx/Yv3f6/E7kka/Z/ kLzYEmarDIHB3O+elMzn06xJTmkLE6MA0B0EFtvGVEG5oxIx0cOr2F6Umz8NV0atXTHprXlt/RL8 VvnJXDIrWxlsRqQoKlG9Q5udhmIvaa4TJlE/pj4/EA0qpf/esyRGAdcsUdGfdbja7vRHXE7oBWEm 3VB7xRrrCYSAj5nXjJbS45Ll13tr9Y9bn3EnPOXqciBgsrsbx0gDl8Nouw6eOWlRhUpnvI5BeRSZ y7zsy0RYvkqa817nrjBsiUE4vmScNFuGbPqX6QjnXYFIDceJ5JpBf+rOkPo1ROPALqOIZI/dDOw2 g395I/e6mYLxkvWp5R8HuNtVKvn7el53h1XNXTSEUxcqzZy+SOOOYWpvQY2cUmQi4n+uN+OhTwGS HIZcedAQwHJ9CGJaaDsyx9zo71gvE4YiTFi3+dHxjkWqzSM54N5Xh9fpzjaMcVZG59Qut+pVo1X6 V1BYdWKWKRSSL3kAbvWL7K8FIfbg0icRq/j6SghFslwQ2L32ZcjbZqWeYMix3TPFPqxB7OozI0Z+ DvEbgxswd31hUyw9PG0bI4g9PokckHUa2GcFkraG+aD5HuHJ6y1Tv7EFvPieFGjNPPVq3sFrzdfZ cTkg7H4kMUaFF4dJ2QtuR942wnsU+QiMA4TCf8NDLO23frbtmBVohZW8vXUW05lmHjUlV3E4+wLN 6Yz/e4rV/fzp7Phv2UCF3eFN1ONxCIwiiirMp1ZR8huD28R4AFqUFH40KAH6zRqgnlaXANGJB6jE o3D1+1c3vJMAU84tOi92pGuILR30E/a7ACIsn5VBkClyIqaBFofE6VTGpCfgDI8azDT/FgwMs4Mx 9xHh5lAFEYAwJb+QDKh636mpigmAPgxy5UR148wSw4uNXq7NAM5ltddE8qbgbgp/4dDuqjdiVIUw Aot14HgrcMvSO7ho6IxPfUVVlLZ9aoNzeE7PjTZMHC9cHm1cJQYcMyrF5KDmby0Pd/lIC3DcCnHE xKMFiiOMV+Tn0tcg886cpfB/NHk3jHPzE6zkzjowAzgYLAp4y2w11ahGRgk/a6Q+nlxlNtKSyAUd 1bx07c7FBJWd2PwVW5f1ZBINoJYmxRctZT8zN0Gp5CuDi5VKi0D44hOMeVN8paKrn2K8wuyRL8Kw f602wXoZFxOZdgrdt3G1O2C5+MmEOxKpT9mdpTFPMQ6H2AJViH1JrMmAShjTLQ/4CKdCNagFkUXl SRHwEt2G/szL4I3ewwXz2qbdp090YUajjpCex814fGPCTaVBYxxfxAjEkfi1R+1ZplCl7eT9jA0r ZymIyty2wtVXXLz5tJatCmhgsfXuzH/ZTLwbaBZfsmYoIgJJapVUvHP+37IXef+7JrAWgt4w7lFO 0rkSDA5lpF7W/lWhTZkWPeHguuRIom6C0u+Lxf5HMiWegkpgLh6HW/Jx5VgeEi1WDr8kznGzh9WA wEZzSIpOYBfW8dYvptNqFlA8PMZYljIJB4O8q0EyuBYk7yNWeL66QOde87O7XZ9wdRqvEoai5MLY QyyFm3sOOQZ6/eFA5+dcf9ssE3q0rCc4AyFlF/hZ/tURIYawzf4EK5Qrj4djen09O8kXTZZ45efq 4kMcPhNcGhmYT3p1kaPWN4ddNtaB67emAeFpJf2D0hS3BUl4SEyTWlLpZ6e5AQWQiAluUQoVnR8u wPfhC/8ryvtmpbt5WRj/ghxCL2pn1IWOVm9CzORkuTGEqYvG6hZ47zauSy3jHnl5q7Q/sscbDEmG prhJh6n/7+nrFN9SnKz6LH/6UY8/TQgT5kWXxfiXma4BVEQF+uZhY2G95AZpLj0duWNUuW/Ywsgn F3xPqbIRftXR7KKsJ7RHZhoDC5OETkJQCoa/L7AU/rol8tIjQKsqZXU+Nvq8C9Qxlf6zlgy0zWX7 DmqSQaqB4gMe0An982JRbUsnMwBp1WGcc2ZeIq2CEXv8cldJiSEBNx+1ZmfKzv9f85CviowFjg1s JAsj052jpldU7BLxZPIa6FxMemzY0ZihS4ey3EleQsykHrVtp7TMWZ2z5tFbSiD6Fiwi7+8jRmD7 reSeTdhkkeCF87WfYmJdiP0fPEqoLZM73P+7cnyyBL3uec7NxXafbO+7dyqTJGfJjJuU2FJai9Rv sdLduKyKnXodEMjJtdnd7izH+6l4+rzrWbbFUwH0abHALItnH4KhCBT1KQseNpaZAD1RoPPbtEWe gxuOiC6jumzQTsFz2lOWWrq+l9n59illnJz3VDNz9WSAMSZiV398YN88Jfn6aV1T7Y5byEKH0uj8 iCMzjisfo7f1dLTENFBypMw0QLk4MlmcM6HWQc7ZPJWoCaddDUGGwDvl3hf1Gpqb3Mm/XuNVoyEw p9dwH0eB30btFPEHhen27wXeOk95NLoxAX3Wc+utV2pIQTouzQF5NQUyLhZxSWitpgKN+DHHRrFN H1/+BR6m9hIoDG3M8lbJgoJDlA72unYriKCeZ17bF9vfx2S55obGSkWAAEhBYtYTWjj1y9ymq98N iymTmFrGocO3OmBE8a8f5wwiNLHzcXkTdjA5uFn72bKNJ6tfN1kemoK+YdAWbtk+z9ZRIdHS4N/L MPHOvJslTrhG+8jJF2WYixeCxkMsEkDL1AZxNz+7TFHCRGecpCJag+7x1sd8jpVjX9v6p0LgXha8 qqZ4iaBMPArP6vvWkbklqsCtfX8P1FTibL5px8onMf8IDh6gU9X+vMs4ftF8EH5BoWU7C+g8mtLe +YH1OO5XXIKDH/mWJ4Fg9qgI352gEwl1h0CALeScLKoWmCJmTiCQrmzxZQ7KrHPfYYintopwskJT NovXXzxAsCemea5N4FzDWIL2PT0KrU1j+JyceI1t5fP4QPV0f3U+mzHxQrZW9WWqXsisr+aq+gG4 T8ubrxtUz/9V9aBDNPVwmW7y4MDky4goAFBz5sv2Vitj4xl/PdReJhivcdAiI7YpzHRgZwFxV3Ou Rf/v8Fnc68faHvONZ4tT8GiydF4EFWARpWuv/fmoQsZA+EPJ2fn4/prghJdELo+OG95lEFPOzrXD BL3nYE7Xblwg2NE/vNo5Ye+CNzDVcV7xl4iEJcf9MECZ3JxBnIh4+uhYFxwB//9BPjnrd72tPplk zCczcPJBm2bOZ+nzvTnHAYd6qke3K+hdaZ81KKkoizDmxk465BTeaAm3LjFUubAlKS56j9Y3R79C apKXFUzYdt0KGzspyEqBySdP8zmkrCWySLSbpCVUT2msz4WYityrfzLAr8lKic5g5EMd3+JZFAME ZHFzGvLdP059vHVH+d/s9GAFOXdr2gqz6j0l7aI6AKhyYs1syXqBjk9Xj8pWnD/OTubNp0csBY2H SrTXwnKmi8w97tOUltrnvyLPUfJctZRGmBLURGXFCnv7jvz/jx5Xcy1bF35qOn0IvTwN/fvFbxI5 Yu1uwK6cTzWYryIvcUNEPC3Om6NGjOZ9/mxr2ShEwUCANFRXYfkwgqVaNcYts6zf/QopTLRdZmi9 jnosm8ggNQ2GEoNxfRXurw82OIPzcL8YUvhAua1BseKMIB88nh8feC5G6HCypb52zcyg7+KP5Vr0 aKw5Ub4jCgonFxTdzn8aIXEVpY0j8C/l5dqEc+NhG5I5Z2pp7Kf28ak71REKuRf55sIOBmlWJyrE K52/KOgpcgqPFN+PBkFEy3sTcalZotNacUg/FNkzv/ARL2HntCYtMHcyQl0rUpR8ScxnUmTtcwJv 9pRhM7L/Np8QmQm223DdIWOupNnT/R0kalRTJ7GZX9RIto/fzeHuSniZ3o96tjfCVChwRqgehUyD 4OwqumatX72RCOlQNflgpQPEBpZYZ6SoJrfYtgnGTY7eCwlahA14YaipRx6HyROMA3q2LaF24DhE rnoGJ6gKWopOnZqlGAOn8aHacw5SSlZywcy2UF454lECaR8wNUzywphWn2e8ZCIbSscAx8yUa8p2 6KfkEFZ9WkNbTmiCKciAyEg7NxjCs900ocLUR7NEwFv3bjjF+MWb624JaAOVva4Ln0H6F5gXImID bIcybftks8MZcP/hTBOO/Zdol2Nbq0OjqQW4zFjaC2zr1L5Ujjdh55qZDlpHOI8J7/9sdcs9P/lW QP0frodz5Z1Rux07tOmdx+7BR0TeiqP9LLBUoKmdo2e3aIsSLgiGHenwE0Pmb9S7LZ+T+jbWM3mD bk2smqvVDHEzcqmt8Zjn721DoqA72i9QllZBpnHj8TvqIa9C+GlYR9QPPWCBu2jXGc9UMnqnODpE eJr5jEulHz12YxuP8PMLYvmQDkIxndFJ8epIEVzFxQycCTKCKOU3i5SZqougXT9jyGNl+HMjkiku C84CbdIF5RdsBuVjPYqC2zosNGnKPCGStRcM7h4CR19lBH4QalN1dqYIWYwhXBdDLid9x5d4z8Kg QPWR5XBc+j3VlrbcHageQOMN70hzoGonFXnNZfYlfPpgzlqFgIhb6XVJTHtKvYkMBbHUCT0fhtF2 kVMjwM0RKBCsd6zsflzJttQukVnzjfKHF5c58askvQb3XqbcgkOliSe4My3Ta925urZKxvatIk1S BFQDbNORbtM/noLhsuJnt8QP8TPnsxOOIOAeScEIJ9mQ649he8syXlzmybCt6WO/0QYevZy7dwJr 3ky71KCBEqHnlDIwKkfow/TJ1tzH8J8FXywXeW56y8d60teGzZVya9Y+BRXAEG1+SkVkA+mCsHdi FifKx4vqqVVuWcUgxpCF9KG5iS4EUo1IUETlnNQzegj6PsLSOtZlnJyVlC7Cker+on7jFYNVIql2 TRE7ntmPcGjqxUO2iyWX5L4ltu52wxgoxydVY3ioznkhwYhHdJdxXrE2xZWnugqXAV4EOCZjzfQo rAoDzbGVRDJEgbcu1yUSIaxJnOxy5TtcejF/7n/HJhb4Bt2DhNX//q18jER/183bImZk1ZLHndWH KBM2Yy6SGUUF6D9zR5hbMGh9ghTU2dviXJntp9rVm70LJOQxwte4NmOwdEp5SngJrLeYVGJofywA 4zw04/ZhT9anDQ0klNfOXuZ/plCaRKgnBw8JsjAcNNBXa6k9q1H6Pl/U9nAk34xKP4ppef0oEF5K Jc4xGt0/qygVKGGCVeQmZ653kTE0LoUZXtLrmND1MW+SSP8qDOOX4T5GVaVQA7fa8b2mBaci7cqD IYC3Owzt83tbATFG2L2AZPJCNzWDtOGtPpl+1zMLbiFMdx3pO+AMbOEYvl7CiPHw4fDC9b/caCeI yafQf3ubNEHsNCkxKDaIesHnnliQXJ2WATTSAkNkFb5sP6FRX3dUQm4zXg3BFnwwxXFi60wDgaJt KmWVv8h0PoxGk0kcTudrS9MzGMU+3AmBopSlEpp+CqbxWTjsJTprKBzbDHj018H0YDucXGznriig wG1WuZi4PiLMpkJc4wGIddKT92lb548eic5WBcyi6sc4dPaCdgAQnbxlTxHdQmhfkckkj+YrNtGS xFhIeVWyF9C3eFwrSwx5aKOGunk3ygFufrvnkBmR2aQPder4Kn5M+kZlZv6sOoDW4Mbqa4ZQk1gt ys0Yxn6xfzsLXcTeKewVQ3DV6gGgkbnTRWdqVmspREXs5XJYGJZ6PjQVrjOgXa5cpxFsXTs755yA J8LcAnZdjHMZjNnNygaYCb66nexo6Ro7bWNf9HZ0y5qeHB4cQo+XxDf0wyqo1zorOhHI5n0LSTMk +SfhPGEiWDmzRPWJ0CFOZ5P/MbwXmrCsdNkMEQL16Acaa+BhyDNk7DtiJMKaQmWN0cmePh0PiK0t h2Es5QDoKVBEPwv+CzB7LrTBtf5TM7op8FFP5an5RNceeMV+g1/BS2gts+eXTq/0Tvg8Y+3bEJHa gnfxr+D+tqhcL4gMoLwFgAGI8jiglRSDWCV6sbal/H3HIGnDlSL0OSimtnBlDAq2YWa1fjfr1Jk5 NpdB9E1ACEgldYZoCuf2chhnu4kc6OEr/2HNzzgHBuZcwUjuzMlN/DHlfBKTKQ+Qn7FH32UmwCYX rqtH5fE0CfnpMpWMlwr1LR8ay3aFWQbysZmx6hAzH91mufMuQDW0fLXtBqNuw5cJO+isgH1Z5gju 0Ggj7wXivPTAeNXqvsmijOejrHDpGzqEA/rjY0wySYu/VcN6vqHduJUi3tuVAZNRUYc0EKXV3vO7 ht07QN4FS4XWomgJae4bA/8/iqWCz6xzCTUSRoKBRc/s6ypfCO0/50juEszKhgSs9zY3JPny8ts9 MwGDI/WLAo2s3EJsvyKgPbKrAaj0MFPvJ2mlV9ZIUoGbhgIWaWhx5bAY4V3GUZfMjv2KSKUQLdC6 NeMcvAQJyBx7ZcN32QZZ8VCNkksPFP/sxgHgBJTYzYSueb8xF9f9uMsIP7/6vee9UJk19bmOPH7b 68NWK/NXNNzufpLrBSzT/swf9PYqKhdbSR48RdrzlaW09ijRz+lnh442FIaCBBip9+NfLtHpyYLS qHYL76Me/Gf1I+GGl0ciAsD/rfbSghZdFOubt9CG/2R31XOs32rBFiXBY6tH95Vlp4uJ2HpsVDmI xDjyOxlaZ9ZFvAVt/yaLs6iy78aK4kdpPMx/4L0nvA43cECp1EyKDW4RUKSCkIcFrQlJCbC5duZG nsY8BIfcoYlAcE3mp603YVlt4Wex0oYzVcQBTB0nE5gmW20VaWgztGdDubBoLo7ZtaP0ZGCrJyDV DvMhBpqXjG6pC6PDM5i+rEe88scZuzXBBgE2T4hAKO+2u6QMwtBqLhwCjqOWkZogfg89yOhGUU6J H3/a+Z1QcvdhSdtlTNQ57SRw+XYGAVSbcObjFM7EPFo/ceOmeAG65AI23C9xvHcQIt4nuAUwKK9F XjkLLyURaZQM18p6ZQZ8ZalIckQNMZMfhMgqV6a16Mmebv9B+PB0uWLNWwPneYCkFRYUz9Xjl1AQ ksoplG3Ilt0dOxel6I85e3zkuAgyR7o/pAEa0B6InCSCd1XpiJ44P3Mle6Gow7LnKr+M0yVoptL6 l/E5/7J+ceHDubaQ0/PR/usD3nkJq4UdDsvVu2qk7hiF7YoOInfWEO8w3AGG7+pajTmGO9rsoV1r nPCVZiqnlmRC90qIMIbuZTiv1UBfTqAZcU/HtV8Pe4wc/W/+z23p5LwDq2yTwWZwFPclmD3eRTee XMPTgK3m0CxEPOZQxXRP1x9BvwZoKrqJ53qjlMtVl82TwmD7dMJwM0bsIQVJicwAbjizCtY1pVfK QOVbF/VEKMm8foHQ35BseR2gpfU7y5ttwbPmUX/TQrWYr64wXj59OoAq+p6WKjaqdBkXG1kN4sGv VvO9eIVhUek2Fk0CXkK4Q3wpI8hRxncoJ+220EirYbliVrAhPir09dMC4dMGIBr/LYDNFIpLqBKQ tx7JU34NDKZ6uircQ3vcMtNLFaP+sHsUmO+i1rafm6/kusho5rLMG+0ed1KXfmA97NiopPTB9zdF lw0rl062eivaMcQFS2C14T7aYqZRdkyTvA7FDYcx/u6THKSVBcVIikcmP0c18fEkQOilnY7ImpDt xLufM/k5F91G5HCyUrMCM6qIpE2ukVkkz6ZukQA+fw9ZxSooI9F7qMVrWcp5bAgyOaObR/xXZmX2 Ey9m7gPuZp/aoo9EZvXzU8ry4WKZn8kvkVDNIpH0ePbhRLDgbRc8N8QNPVpwDoXCl69gxliT1lwP USv+GeSkt1GKNL4YRqb1k3tBCw7ylqwO/21AUa9PIMkdipwdOsvWvgfKdDhd6CiHPlWprsQ/VBsi xIbnwRFyww94hzBineYibTKartxI2HkP502xt/f9h83FnpWx5z0HmGFVEJINaxbto7GQHiOnC5FL JlZMDuUv+cMnwzk7e6x4NvFq2aj+Iy9BE65sq8KRpupU0QAdBODcexvkXlJxTnmA5jBii2TcBDGX 41IUYnhVDHBEuNZInHv7FS0PIzgPeGipBjyVAv86cOtnf2d8rpghbxRmghuBouuLCXEjsSDu3AF4 VrrgOB63nzh0HRGcoxmFkws750l+Il0SDEmw5kMpfwhzD437SdB7hCfVTdKlEvmzRh8SL2etkNvp jby57mWeqq0d0uzT/t1K/snk/WOZIkVfXYT8jDndtJapK/B2ApMk5vIzWgYK/jW2EZF940g6rlIG X3igGQH/285rAFGqk3PqR+pPkiG7+v9V9TJxfWOf+yJo5rtEDZXLLVmRpou4YORYdAS1/+vBCoF4 Q9MsyUmh8kD3lH5FL8EpcMnnBJgIpDZMYFCjrTO1VyFMG6OH7IPGNElHXTCvVOwgyX7vR6eV+U1f IPPo7XLy+C9E445XRM4HDAbfS7VbHVvVHvPvM2Oc5i2gmXbNEPYa70g7w3y1AYf+Nx3YNJ2H9jh7 KWe7d+JNmd1Av+uPViG79M3t8pBWeGzGtK956UCsy3y1gPv1lCxYEuwSJBqgta0MR+ALPLPoHTyD RwSrXtShGrQYeCc6y2nIoRZBUhk3CK+UkQ3OyW+WiiuyslIkSIDZsxwoX4cwHH+VnY151F8ZybCa zx0fOsZqSBqIn4VCLBdnqqvI0q4UAFjLuxhT5oKu+BffEXjbx2R3FOagqErsW3cnV0iRZjYXHm03 5A9d6m2tvoMiUMA82DdwL20lQsinF7r32nD+jbnNRctrF6Ru20Y4rYw2qDd1kpumSAp6IiUVbsOl n/Wf3k0BS7mCbo1m4I37e0HhN7ye9WrqDm/jDSiOeinZ46fU98RVz58tkUZRnlzZpi5Zc7Non9c5 FhxqPPKRTfUzij9XPfcXz3L/PrXyX15TJz71+MY7G4Fj5qvvVuHjxxsRVb83gLZbK2KP9UgAjPMK zfW0IBsMq9oSaglDcOnbbYxQ4nLoOZwLD98AgTxjrXdwZwerkKcuWHXggt4gUzSKq7I+mRjZUtZ0 3QthQb2B7CB2FqS73QhmSn3Z/V2qIQlCx4Z5NnBRjRErJkyRH5VCI+74kK2j6gXGv0A79XJZ5Cx3 dAGXxi11/26OI+xi+hgTgE1oYkfF8uTUCMhkyko2YLLdrVK+rzFlcIuduLq5BI4xZ+QFBV+W42GN 27ztBqlLQRmg7UfJuYAAz5SQc5eejW429pGSDpDin+AYo+SP8WHc9RtZffxB/bxcolsXN63/89Q4 x8gy0Eh60WjYySPkSNBdYaLgK7hkiyv3XlgHSfnHc06UaVjGj7TNnEbbBnL7ZV8F+DIIJ1upcqkl 480ieVz5Vco/YMrnGO0MaGYpm7ESKhlvtc7IscBiwH8/FpLzYMjDeZmRq65Y+d2OrUYwKVVlVASW bvm4MWaR5kkqbYVIsS3mhvGODo04YaV/HjxLLz1OCQbQf7TwcuK2aYS+xQ00mzwBTDhbr/5vw2Z9 5sgU2WNhqyQEBipu6V5TH1Zis5d3/aylMdIUyP817CGVAuOdZ/BHxmGHfvLZanuFNW68Fwwuz3Bz iLV1KoUTbklFMMwU2iuhTNSyDh8ByP/4GSo0r4DgYrERXTcrubGOpPCsvePlC5JqKjTXE3kLFAwd Kq1qpiS9yPz9hCQ8PXLSvTrkabJUSMwV6KbHJkba+vdH7QxSMbqMO6UAuqdwgQrRjlIl7tSa8xCe T+6BoJM0Vx0nWDD6qbWdaCs7hkBfUbPJVT3wWSv5+1L4/4wR4OUNQ1A5JTSl216hXzVvxhNdPiq6 IEg4CezQ613VbCqRCa2/2E4BGPiPlM9SG/rvNBe+LQGfSvUd9eW7MapWtjqgwvCJWNEwpNIHa6fe Es7MBN1mH9R3YGDwnOXvkyc0vxFM1RCwsmy2d8Gm23aK3HmXaz3pCXkNuJpYpgksqvEJcxVb07B7 Oc4XYPCG1ag0L6LcCu58WT6eksHVZF5dueiqQsFk2vzk3UiJFyvFHvbeDhV2Ts2zXHc+/SgjFkxO CALprrHv5WVMp8W9fH4sB33ruVLLLMvW3p0z/TS6tFFoojyG1wICawDxHm/SCBRItADae/7ffAdg EOhu7h0c9X0HQbnvP/Pswq4wrkY4oeGhe+J/bWgAnFBo9uDNs4vCJCP2FoKou4XHX1nphRq4WEWW 0YxXGEG8MGBB10lu4kup0TG4DynVbm9pw8aSzmZ8ZNrJAIVJ/RFyGfsRf8AkS3+fry3G9j3hORm5 VQHdYRsNVWAJ2/cy34iLvLHcAf2zaOUXgv8EQhAn5/g7xJR5f2aDhvoT1BszusitUGTXj7zChTCX jrCusaXYcvC7EeHhrDpdH/3gljX85ZdLTtIJxoVqW8e9SobsrRvSVmG49Htq9c3W1uCzMTxiO7Fw DiYjEvmnbC1UiD3LvV+tR/+Db5DmXyTfiOqiUy6yNszpevfdIA8OnlpgBPIQS1ct5wgfcamA6xf1 KjX4xO3gY26oCWQ6f2ebFRaLz98IONxDhbOdTY7I2Do0zuzXBW66xkCVkmotzw5c3JJ7Xeg6jX/y dSO5COljT1T0+l5r1sW+4F7/4mx+yMxpywi8vLzaMLO8qXT1St/9iS8zK9m5YKbU9p/m6Oow0XC5 qfO+G1IhhD/sHfheOHg0+cE5t4hdWh5HSPZvJN6dG25QwOk+KqMwQI9mmJFxAR8ozc6qNGJMtiH9 Ql0OKhO5HuD99v5PiN4WClz4IuVzHZvouKgBe9T6dk8g5JLcQ2a688/TOgluMJM3+bcn/irP9/uT PwYg0zCnVRrupajt+TC0tRSBdcOF1EhJ3hgKUdonlwXnJUofc5rGqUO98GtVCb/WXqXSfgbjjjMe gOIFbUnpPygDBK7QMl5M7DNorJofzBLNJUs7csZ/PFdjSmC+sBlN5WYuh4XCQtY3AxOIhPR4FFcX Kir1xGPhsoAb9A8XHw36RA9YqiXMBDoyhPonBgLc+ZQ/vPtJSmahCURphpi5RyFqqPJMwdmp6n2g 0fzD32zURpmuc3gmBn4+6403ZbSRolGlp/0B1GC/ZElVUJmSP/QmJnuxPiNOsE4eRSCOAoQDBd7X 0fDXo//uXe2tpGZnfnmu0/3miQTp/Gxm2cSckdgKzkAThEQnr27ZTnU1qHLHWtOoFVm8/t7rxU7r GogsK9q+UPrExrvSoI4bRc2/Jj09LI8eUek1eLorfjll9Umk+CvIhv+aRFshGtvBA3ZpgNjk9ONQ NPznxpIu5iTH+KN6CTsqJSFcLvIzZqb1f2Lb83OkhVYza9vHlFkzg4rD9gL7glLt6QW6b2gogJWS X739ArheZ3BDkXZTohdepc3fMFrtgg8aO2deEsDsZjFnIypVtYe5xawOOGdRcbTEDHzcWZX6V7HR yf/b1q0hSRLnvTjZW52okdNJ8Rv+EcMCsntm1S6sFyuGao87DCWL8lA2lETq/aQLwY3YZGObxscY surXEWcrxkHn7Dps32ebyBU+QdL7B4rtw4VnJoYvWgBl6zo0225VWb4LsBkKAriqsT1rdde3lui+ 1VBYSlvr6OG/wfgB+v/Z2iAIcv+31g38xvu2L0Br1Yc4byuVgtQEqjGwSDeH954Pw+O1+Lh2SbQV 4Ul+rCjRfZjeJEZf6GlMHSe73nPT+4qriZUWAXIBPB41qKFq/c63TJeqlNLySgv0ZXdX3A7Zr8+3 pWhxUAND6dp00BpL1C7Fb+zKXhiTmhV+MoybTN/XxXMBGflyAqi0nuFDDyegQtkSAo87tAplJJlE rxuCZINBHxdyhXb3wBOZRgMBDtWutOudx575HAToIUDlY9xnaaUq/ezzNU3b+J0TgEd26aEMfp6I gXSC2fNBWL0yuyGOvNwizfywmx06WTAE2kXJP71HG+IT8ZWmBMYxQLTKJ9d/sfg3FSf8ZEdNEuVS ADDf7Et5bOBKqotEE3o0R5siqXWi6ySxx0Tt7W7JnQO2W+X3MVLxMCr+N9FXOK8eC30/sQZLsAHv iKQlI02Ei72q1t7EN0+i6HABIdWPsMZWyO4bQ9JkLLseOWM9mUzlmqmhD5rBei5j6jBl0RRAGDsu vpCbzG2zL97NqXOtfEfd2LvZtzGHwiiyllctjuWiCzRJigiR8ELIOE0Zs9QW2ofZcJ31XvFk8NXx 0HMi8SR5bwjxnGTlDRqhnYkXZxXIDntHaVbKDgWg/fm5qeNTb9uGFfDxDQp26bCybZ4YDa0y3ry1 KYAlB0EVVXUwj9NXAmDeoNtfFgcg+QQPIhLn5TUFUlVlzLTT2mcsKNZW4ASciC09g7e0GK/Wjsoo cVHpn5iKQzVRFbawFLexCF0c52wzxRLAoECoupK4tldE7wwqtXB4Z/KjAJR5s3ZAxOo2G2Yt5tXw QJsQlF/QmaIOiEe/ugoQwTRZu+bTB2zNXuzTqFtCUq5drkH06625bgYvSB689RNxY2QeMWEi9SAy GaymLRUz9ZyN2uqJKW93MierGFO/+O2+XaarEvQjav6H6ThiF0nf9hgoDK4OoMo5b6+1LGBsWUWA dd6AjAgXOC2SSyjrnLJ4mNNNzhKZm9tgtO5n1UMsB66VH7jhcVTz3kk8HeXnk3uwe5/3mWZznIP5 iCR1i9Q3HxEbVI6MaDK/RxiUcN3IRvZ6nUoVifDF9hsSc/AD/nNmkjtyOln5QH60gNTSsNVDQXKm RB8GaVVV8E8Ndyn/Jwx5ypO1mqfEqPGu7PFGJvJpWrQ2C15RwER6cUEHwIFOz4bgVfLpKmiQxhG9 KYYsEgeBXMxx1siu9dlyC8vyZOKkza3IuJYHTFGPanxPaLh4QrpvKi00Cq+IkqultyC32HUTZOZK Zlh58h26jSbq50FWACRU9foKNGc3jlV/YLMeBtP6wjFZhVokh1eoStwhnQpvXzmJ4fqPPHeOeJ0C /uzcn5+wYA1v4xwL6BC9qj207HBdBY2/3awnZMVpP/WFEy7nTD7RgRFcG8kMj++YYD5xPx4/OvvG t+y5hVbUzmhwTXzQa9yLt5+ATDs9wzb0bcud1GeasY+pQK1wH41vFap6OSzoApPmtuXnKQE6elgR pPpXfMRy5LBP49ql0DzY73sLGhtxeKE1VEwquSuViduMde7sBloiyF5/wc0x7iyB7Suq4dnz7NmC eHxCcHOo2rexeyYHNcxMcs5UTFj1UN+Pn3mFfY2wa0NrCny+aUYnNXk+fk2UwWTtntpNlLtcGbRl t7xOOBSfSpUtGAvAy0SXS/Bud3nQG38Tjj+WGwYKTsNNKc1iVxVXMmKiHrfk0nvQ5UWHr5BWUptg f2J6f3prw+kRnIVFubc/271F9uOlQqNHxP0W30bHtH43iaNYmGT0sf1dGzeJM8l5MGzUu6Un1Y65 Hu2Fecg7fnqZ7AjhdBqamiPcRrKSGV6PXTvUbO9n30hsMigZQpWWWQtQ2Y77Yg+727ZgyRaEW+wH 1Lh4EwzWApALBjguZ+6OcUVgG0kNW8FMK9rg7eJYcnwvOz8Drpqcl8wWSPSObFMhDZ81Py5A25mM oAF4YdnqkRte+B2yNZEWObeIXtcrQaaOcrUHQ1PYYxLfv9DlhIbJWFC24TsCl8QJ2FQwviLBCMiq +zYTM+FW5X9Wf5J5mOdk8uxF2VDDlCqQbIHFR9dOG/oddqxZOfHNC20kvh5nz1ULbaSF3Hxxcmtn p19miSL+MTWePjuBU8zR67i4wtbhE7TJynORZlCOOOdws/axGk1EsaKt0VoHzt4RcbWCkgoddXZ0 G+9+ZUgmbGuXgUO1hMlcVrbW8RPi7lZ5bE2IEGv/R/I8Z3ZAr/xC8ETj64g0F769Zm2OoOL4c6go GvuygiVYTXxoMfLgbH3Fj2ZkCRUhe7VN4TjtjtMA3QsU6X/Q3YB/K1qGNuRFzQciEHgYF0oosJRF WmWaqfuixGmPZ+JbVHTMBd40MpCw9Fl9MtKoJ20PMtbH/aTNJ2b/dV8B6GX1tXBLND96DaQMwvwM YWBbpplGUw6mRU4j8zwRXKlpOOOt3cIb04v7GdhjMTxxhbFrVovFFwG56jsmVuyj2PzAMgfwX0J4 fAh2RUupSJj83GfC0saLvjcSQ5SBcJ2rEK3R35AL+X6u9Rpby038/7pahStiCcf9HyanpgIKSA5H Zm/ALcwR+DhxoCkjhDGkaYl67RlReaBFItsucP1dJyvzMm7+jdyFzdv8O8r8t+i1pfd0H0O9jd1K 37fnshWs7pE9KiW5fLjwQe/EJv9qM+Nem6j6srHoVSRa8PDFdrtkefdUfBbaMiasYZwg/622+loZ soPrF+r7pvNd7fjiD5Oq36fv6/cweZ1Cb0oqipHas5UtXpkpXh5STuxzc8+SqdWgVKEytLhlJ4e7 5T6PW0JGDy5a0ZffrAQ+8EbnMUYGQwRgqKIXRGpYY8Tnb3/mC9kXB+tWwXBPp8lytm0B2B747lEt h7A9B7RmlKNf9GftTQDO/2j0qjUdeph4EPamrGjeyZRzZJnbevgu8MRv/KCpK//3u99ASVgc4qDl 3Ou34J40islEiS6Mee6cZZ0cRLMarJuTyneyqNpQKY1G/YlZ4itxm79b5sqnnpHWodr46SDcRDKK 3y739IZ2HYEPWuZ+djtEdlQIRKHiz2Xxq4IKata9+dLPhQqsanTJ6YI/9xn0T2NqRBx5Fi+ICzF/ 8jgqQFM+0lIMTTTsBfEfRMXDKcZzSAodnmbrvVqA4zIAIjEofsZN1zsSnqIu8wHqOzzRBVtqKayU S146eox3rirB6m8A1/a6XhC877L8e8cIi28yvE3MpZz7d5tjrbtGmZTZXnG4VdhNOmzCDEHYA1D3 dpfnalVc7JewMzP9YJm55H4TVfPlWitC1JIOT0j1JRP6FednCtvpPhKXTZukB3k5exOd/8qA1Gx6 yxLxK3s0fUDoK7Z+oXZqSYHocWImXRM87vmAEIlLxER0Edp3PrKh/aSCEOhXImAIwmzYF/xVtBFI T3g61lCKMMx64UygNl+a636hnM4nxON4b0gtjpjLRnt2fEamo/tHxaesxmPtowRWibvbr9zJbB23 Uua75xdFglnF2Uvgbirc3f8cNagfT6pmCdcA55tY+Bqi0ZKK/0aBcQsLsqHWsPTS4Ggk0MmCVCH0 rHMh0TztOtHBy7cvO9nz7EQE4GVC/2HTMjP4MvuGJpFzrAMcFPNVzndDMY+AhWWt8XWaQiY+6Hn9 5/702v4+DEuHxDvTWhK8EMX+xpVY6iJwUSbJirC74WQAwD2KD2fIdB8TyiH9/sFQQqFoXV6QWy/u jOdHcsvy30X3/LLwiu0lQglzE/TvEA5t8dYKaq9feEsIPxZin1BJhkDPAaV7aN4uAMnwDlycmhi8 2cZhI7C27oKEP+X6NpJ1sBxXKOVxTpHCHKj0ZmID0xi3hF4LsuTnvaPXzvyCGCY/twQn3SwJkQ7U lRbcqLji915c5kHjGecrue1u2CEkoPlj/RWr1/Pbir/xvDlQaWXPGADimnuNv9S6rISwuygPnMV8 zFUfHG3Yo+Z19TRR6LrsFcYD8hu6pHvSr2QN9EFeIJvSligyg2IKPfMafVxXyYMz/rS53fKWx8BB YghlSg5MXUj1PEt77b7M/oEKAhJ/cO2VB6X6Y/WTD2/0oKuaodyQGoZYw/rSP8fxTVAZ6OiuXxdP JSHRz8szcijztOCP5UgfuqViYycQR3BalWZr4tFcXEpUrTlh/SFjCFd/GV53wGdh4M5IUypwzIZC leqssjbLxP08i+XZVCX8SIqGK3rSzYNK2Ab7wRNKie8naqiMEmkiv7ivqrSNZYG8FHq2BqwAJbqj P8fmMYwxCqmiyAXhYs7SPnZ26Cyyktdz6cbPjKVaSLBaIErAzUJQDtAS5c6kzxNXz3NpZ0Lx+Dyd QVr/u4LYRiWc8vFKWvcgezhXQB7Xxo2q2sCXn1bXlGbzpFUfNz9lTHGq7ZkjPltq905zB4eWC8hq zMAG0ABbargpAEr1frkjZU3+rirU7GpvSi9USNM01tjthkTbeUTOr8Bcbcai95gnEJBK+wWegK1M /zeZTYjQ79Wy5lR4ENh1KwDSXbUXQMUZM82S9p1N8evT//OMc6BHbsgTs/xVlBLTvNcxHnzH3n7l 0LOQL05D6vI/49tnjB6mns5sAhIIwRO+WRKjgM+mKIN/LFSzHN4UOOpNrGlVLK/AEhpmRJ5Rej0d uDGP+EagcoQXy9y6VlFjAj6y9dJd46eR2DISNyhNahjyjA3YQQYACkTPqbt/NvdHd1C/gr95vEb2 tRKObd0WHUBmIRs52P4EHZw0ct98lFVSHHDHWA42Wb0FHkgPzyIuVlq3x+YakEaF2hroq9MeHMbS DX8noEkmY4ySW6xhNv2naK8sEmEj2h/fyfxA2LbLsSIx1+nlym9hx7yB12e3KOihzaS/RPbdM6sU TZAxNVRELG03/rciZel0pvUcD2Wu17f8qQZJtFgfvSiQjCQ8hoZOG9/CWB5bIMtiDNaU+zucmnv4 Ux0xK4drhnlgPPabU5pWLjBCooxqUAwTUNJi/oAMai4vtAEhW1I9op+QWEjVYKj0Qry9piFImO+d GUGliLb+ntcGC103gEmc+n6VW0HYu+G5T8bmhpQRcvtDL347NK8EoDPEwurOPqr9K02kj/14iH+7 56BjuEcBWhvwiVSGFQompwdeCgVgDDqf7QjuztXG5aiTfW6AofxQd6xeC7RregjtKVAqQnN+rt0p 6WophOwQRrIcUV9o6V3sBGN9CluPdgdcAwTj80oIqSodi/YQHSlt42qPoyMjOKbhGtmU9/oUrUMi UhjQ3qNpCx1hKwxqHyr6V6gsYJrxW+ZQF7IztQvQrIgW7JFjpu2Q7AQUNpT/3+fdg5IlnsKw81Ne 4kB/bf5TBeroz1I60IZbm9zilR150sYg5OZnBnzk23eZO5JojN/ZlhK7IVvs29dGHtXZNS9YTSA3 pLXSh6GggrZofdgTS07SpeUAZ+YXbnTx5QYkl+JAJZFvgpXLE6FzzUTgkRdNBjFCYVaRPIh+MvZO dfl54TtOaebC88bs7v72+7hX4c9OTTJ8bCFLrTaEAsyJjt5vJmCz+ISxNbmBIwzCzMZkEvUP7ec0 SqKp/3xt2pWEdQQNPkLItFZ/uKeQhIDzGH7eNaA4EvmMeheC/p6IrSmbKPmwI84hAcYmYfS9s6R7 k5UDULWf5cva1R6zww/6nUUgfprtVdRLZjOx8NbUPAnSI8V95wZ/bmWgf1Gxqi5nee1SfwAMQYXp hTG4tQvjFsvfG3acb6nK2J5SPYc9AvcqGPCX6IlZvgGw7Bp57EcfufA8WqvfyzzPX6QeS1uNcZU2 yDfWvD887OihJSKVPoa8UTlv95YR+PE4hjSq2hqkcc+Sw0DZB0Zlo1+EfjmFdpc1yVvZG7D+DD1R nUJ9M2DKGyNeB/Da6fJEhMJcwfGN1Mvlo3bpGncIK5P2q49Lbqr/YJZ4FKhbAeqWiohVCEhQGD8e ByyAVtnxRH40AFLjr61uwFeeR77xToyVRxyOoy93Bn35hAQucmmlSqzFKZZocGO1pxHBBzu8i/YX PrT94Uf2Gc3lJAZIxMnCa9E/YRsHe05MlvTdT2hwYfu8sbJqWdT32TJBLETTzfBBtDNKoegDK4Jh 5qQ7coqmTBeuqouF0scswbD8P2sjz1HD43rPNumvoHqq8LIHFGCM7fyBMoSN8BaKVQ7KYWpgUvq5 zlui9VNSv8jG/q+vDy+Dhez7u2u0bMU6U35eq2VIBfNsGr8ClT/fuCiZ3/aBkqycSk1Ad9V8ABVr clqtaOegcnVHy8yUyQLwov9yIpINW7W7RorqjmpQHHUTWddClf1zz8y/teNo7FWGaHLe038L8Rgi Eb4NO9aFcRObfTEBBRDJ8nPPTQZVYmWddAeRsc7PHd+mygRAhVxn5D32fwokkL8rDtTUMvmcE0Uy VwrAz9nPnZkjPNYYZhFA+D0dzIMhO1cNqeU+tT0OtgWv6pVwN3tr0lkJnsw6bpanQ3dGx874N6GV M0X9RKvurPPCdYmiGiK9XeOKUH3HoLjqq0S1n7EhptSxaYY5y5UacIZmUtjAaI+dPBeq+V7TDMT5 o/QpoG2QlSD+AE6OuQYlNwgqFn8lBQw8Z+iB3aIFN1evaYKGyGjh7TA1iYxWC86z2YdZ82cJ3f1L DhLvxswHmSJBle+blT6hv+ZEAGolPq8GULtJrGej/w2TXwMfstbxjsRkYbxjgCj/FfLl03i9FcTW THe6z3zTal97nBfZLIsAQMCALMXQIZtYavv8YXloFRdIFEb32I3UdTOQhasYC0ldJAW2VX9Z5QlK EZHUu2lNYw4Y2EqxqtOyqBpdwmSPgynQ1eD+whLxLFlO1oUBlqgKokFF19wtqlwIHSiLFBrnD/63 dzslMSdesFjnmaUwJLBq8LSqKElN8JhGJ1X2RIXFOIOgBrQCa48ZaNshebs06PGlr9ibdMH1LyIk g3UdrxA0tdRKJXM/lMX9g/U34shQQNHkxDr7qWsh+oX6Acf5ceg3EbRmasYHmLfHT8Bwnc3qviyN +fBEz8DLSt7kAHtGA829EiR3iTgAioivz8GRslgffYlAwUnn6tnJvehtfl7l5PRfej6R+qlXl3Fn eZBPe9YpYF5CsGfNRtnYoM+xeKTL7uOFLobwooEXK1Wy4DdL5qi7kwY/RQOGxX+M+h/wIQkmQPzW DZsoPQPjMud8m9n4MfHDlN4rhsrsr+wsa2lzFyAIuRoVa+qSY/DUoEzV6uGF6ausds3cjMS+md6g 3qK2MMkk0l7xE29HH2nk/XtAWJ29GEZAj5OsPT1gGV5eoFgCDuDtgeSaW897xiYuUyHZYOcZi6NB YNRXQObQtNUAhdxjKsg+kDkFSPEeoZXeyLFNh06wnvY0l5Aw/w/Gp0kQoa1PIa3CL3Kmatp0WUzc GpC0Q7p1HxdF1XT+lHAtc08fCWjW9FqtMUUBoZNz/Uj94foUugjUcnD4ZKwlLIRRmoLw/YKPQe2r vX1gmiS16/tOARMdT+eBRwfou+mgipV9OVlA6E3xdLG3veIvFBJznkWHQKIRzq4EJpVbkwk6h9HW esOoYmb1rgwKG4ReK0B9blIURRf5/+62ATh9RYPeWnJOgID/i85Idqrx2sOLjjBk1oqIyHsGUdAL re04z5B51er1UBG76zZvdZytSFftLicNm+WWZYBoD2R1944m+sS28i+A7SA7gVkSebtSZnYhHc4p YVkAo9cJr6nSMh7qPFYc6ha4EQHftf1R5F/rKLH0J5Ag0hj/p0AKMT2H43fR7XptL2afoUP5A7WT jSxTpepxMF+tWzi5s5RJaN1z+H78uvmlopZlh2cSXwSEV5Nk2Jp+SyzYJb67jx7tNcnB1nF3F1YZ 1KUP50rUcJvY4IXGy4KG/ViYzfSFybNuTYHCDVrEedPd312S3QXcNodfoK2dVm8bbDqatnmZ7sV3 FpIgRZ/fDjB00sFZL/WzL3O52mb9KCgdH0jdf8AxF7Wu2r9eSs/UdFWbsD0MtDBz7I9x4JNsGjGo o9XGOhF3qdTeDqq/cds24otIPFvOp0LUZO0N3ZpuWULlfjV00vZolyJZjHpjJA4AFTYs5rga8Pyy MMft/1jqjvhnsp9scxSSM/i9bX730KBzwihDQLP1/IyZMBOD6CYmAPi7VbAQu1g1ocBqR2oUn3Bi CTqchBC9BT44RvnKIN6hO5NegMkBAynWaew9jB6CRQzCLJafDnWHqY4NHmv561fY175c+s0stZ2f zfwXSI1dOWtzRyWcW5PnpAgLtmsHMReEFKdSu+pVD3pQ8ooxeFQDtQpD2o++SZpeE6FVXeQpPa43 K5NubarCo4XzElG8a0llVWH02CdekF0jD1kGN5YDG7nyxe127XA7g9uR5w5OhTBVJZA7lKq/bqH7 lkMKAxFD1fChLGN0uRFaY3n7x8KO0Ca+05OFBgqAMQk14qidA89X6sNVTcX/e9YiYQOm83eQCWjB ULT3/oBGhKlz4xADw5vqB1odMtabzEccnlapq9QF3gpiwjcxJiyFJqp72tTR7DSKcNq9qd0WaZDH e7fFe8+qbzr+CwPc2VH1m+aVLAGFnq0lkAGMKPm+NEyfWhLWZHoLFPj7whnRBKgTrEB6izvpfdTS ka/KkjAP7fRqjw4EpUXZN0rSZ23rC3mzJeJhaZsFI0A0uqdvfG0kJvlmIULK0eDsu3PeuT3+Oymz FbeyI79zG7gDE0AsHiirbEzTy32oF+9rlV00lAB5rlbKKBnbaYiD/zO69f4/8e1qeUwcY8eNbMXv 9vhm6Oh0n/BFB1+OlpUAe2yOdaOkbBfiuJGlW9POdQf8hFl0Pgt76c8sEGrIu9DJjGyZU4hYa6Ar jXCDN5jPIMnrUMINC2fLU2x7ynwq4jSgQ6xgmmQE/f4zwSJ0jSOuVdAMKm/Kbhg8BbnoHYEo9YPL 9fdM+dcxRwZ4Optxanu20lCMlfzsRaDK2gbvfNnbKqBHh4GQeR8m8IsVjfCQYMq+ua626Of4MmRm Vzbf0B0EsfSx0pXXJdR7L6SUhjhSbIeuFi/Odz8jNhN237ailF4hdEZWVnE7O/zmlyOEUh/NyPtS a+d6hBJ8lYN01Xju2IV13aWrNREyw807be0jKrcFNs+QZt7bAERb0YLbtXF3L/ZFXEgNyI8WiQ/C kU+EBOiqQU2iqcn08PaDo+UP0qR+aUMadC2yWDwkqYvWlFOGQ4l30Lbe1SSdv/zhpvn5LMKGKx5u HoWQEWmlzMVHbGNcbE7yaXRDW2VOALhO9NGF58+bx8EJdPtTmtsuMbPHZFobIpnIlByqwPljCrjP pYX5uJtAGEJGvVtOXo8XK278/raZZkOiVfERgsTWM9J2e3MfUyoCPD7sI+Ao6ybHHy2UZmMC0gkO thoT1yaMI0jZpleWlZbXeu7xUEGmgH/yHxqxG+YENLz1BBKG1JjoSo69puWQHgEK7fBtM08emmiI HbBtvZBgQwxCT/YxhXmH8Bzr18ZC6GWZ6lLV+Vhx2lYBTCxIaktlJ9Njqz6y1rRLAQ8NO8VI6R2m AdG6o3bEMdQKxxQVl6KQSPmCLx201zdJ/tuYiB2iH1uyhrlPdjk7jQcnyElDQmgUR46+Xq8QhdJV c5b4mWPyulIHD9EnmBiXpLePCE6YwCeLA/K8JX/bvKrgX8ou6kjkmEMwuyYN8snFmoFl93NUSzuG zrOWpWXv1+sUx2NaAbjss2OrfTOIyQ2dzdpJcfmJbvPwG3teuK950j7vzODVg3ltQ33HBkT7/20v gXLEaMYgDatjKuDE9kzPaNs6J2ZiHsek29LxUwB4JHS8FDvW9vtZCJLMeq0OGBlJDE4W9tebimi4 btlQInwdpCjMtGvQdsxoqfCyHK1I8Flzzl2fvHMGuxS/NqVwS2ZtHY4jvsVmRMQ0Pl6Wun7350pB NrUMh7iqKZZ7T9xqTRZMKNR75swucg7uCSm3U/eZQsHbvO8P/4Rh/O3vP44kMBl6odPGWxQJGCKL pzY5kKmCz+Bh6QPaygOj3J26j1c6cuSe3qwFrXcV8/WwwCyK476kq+bCQfZepO+fikZVwrWwW5Ck Vb4OS5JY7As4RXySPzFILL9wWGif1Bw0M11YdTORmOAWFrCQv6SaLOg5/OT0CjSL7oB2QJhKSY7n ehnC6ENhjuX7P7Uotfz51vLu5blvqwvE3b20JnQmeXUhVeEW/ruhcdEyZM/Lton3rxjnL/J1cBdZ Q5Ht+hnEMlKA48hYIvRy7i58Uo0Vpowy21ttCw4ikWYdwkOETnnLK7rAa+H8sleSDinJjA5s8YQX M2ulKJX8sYzy7/sqG1FRaZtJ/QH2gkAiqAgMDP/tuGjfiPo06MFmgpf3zKL1M38d9smavuaVaHXV mTyfK62XldfTcA5PNLOh0NF9Bb+9pMWYWl5AppqPcMM63pppMWeqwY7LDDHWaEs3AFNqswh4njY5 tat6zmsh0JgEPJ3C5qPM/PyUyu3cX8snOkfSJ9ePQkHJRFObSjno9XdIsmt2aPuTTZPdKDUYclXo 5AI0V6E+DyKICzbXddGN+7e0XPzyeyrpANCJL9O3UybwmWVW6p+/8a7Pg9WoWjOXCSrN6Id/4nAh o6KvZE9nRqBeDtYMNBviMS3w5UwunxCAKBwGUs5rZ3BN/g5MMF8gJih5tA3C8Wi658Be6BLXb5sF I7I9EU722tzR/fQBVg8OoRrqCcN1lc97LlJHBAOq9l/4o0w6+4Zi+N0Fpk2MknfAYeaQqxDnCjk/ +vuh2ofTJcNC+8eTTlewzkXRf/sR3ULdxzMgg4QwHqjfJg37W4xMTUh8Ceb56Tww0I6xM8Ksbcm2 PjKgDOD5AWmnYN8db+Vj/yqnOlOF03v0En0aduwLZMsVHWpvCBpRY0vifPZrlAGwHfoQzTBx9k4r tujcoYkwTrKslcygEIR/CJYH9/9aE/+z+hl++m8LCjmBM/2DLm+Mazot1T+T8FYeIvgpqkQ853E1 Tf1D5bOxoAEeFGu3/bDMRFnEP3ocN48JZagxh2hm+flijrzAE3oAdaZm47sOhteoyMCzo0RR4nLO trULMHyOg3P1ZN2Pu/P8IVVxVfVgpJ/mpEucPmbee0KRCMHgGxNGg2JIHMCvRIxnaiyLPLxTkbsW wP73sjhpZAOoRe0pr2feIFJ+NwG7rk3B8PRm5jNSvRQhaX1q36gnqBJoRsDeL++s52PjxaIhJf+Y 7Uu39eiBiLvCE5/aNj4MNdY8AWoo2l0roeOVu//bfuA2WAablanE7CqLYOT8TlEJaRquDpjx8LNG ed4n+FR+Ejh0AIuv/QuOLO8F/7M29eUQ8N2IfKDzjvJTDdGLPqizDS9ktfNTX8pKWyboLEU3Hg45 L3aHunGAYqqPwqqgDlV0yLiaxmXAeqSVuLH3HfSULyYO7Hh76kixijCxrfHTvq6o/0HbUQGb8KIh DlIQ6atwAtmzlK2xDctpeDxaUcyI0BbC2OA1clXj+hj+pugbJNl1+X7cOFo3SQ6JyIQ1jZ/Q68j7 OGg3Tn9RPivOcU2XUlw/j+2wa7dw6xRXXu4FKG0fI2FJSOpShxACcRQOh7oXW7tothQPDT8eNRKk yeVc72+SqBNoh2UuAzF+3+Mn8ysGQXxo+s3/84WgzTX8y6x45LlPmzXVbdIYP5BJjcPGPKpGZv+Z 8ZxsbfqKFuHF6uMzqCcQACf7HCKnl21Mj3LeXClkwnKHbbNalamhmDjULyV5tSLHb3r0ljQrtJgy U8LeWTTPR4DBSsBTlgx+z7JzSDTzHblnc0jSHxTPxfc6Kg1zoxzSSIfa+RZ/XI+1mpeoFDEh1Dxt Byt4JlFdyUtVfsj6bfKED8kEIJPJsLf0Jfzqcwf0/ancNkpTQlxylaD8CLNICEbbIDZLWrPMV1j0 tbT65WVp6cBiIiB1K/6Ng7s/5mGIVc1BM6RIMIYuQXRVwHeTLOM/w6uxITkBbqSmP7ivA7r3g0ya ZJY4YyqJZerfH5nGN4igHvpPYRQ44Ihm3ESrYeZUR9qt8z/lQHhQg+9E9IUxWsMm/9uBhaFqvYuX I2rGM9bOwok+6SsvipNN/n3tzXi31ECHhVyUh51GtH6fV04rR1PZt79AYsuAqEYxXRHdAY/S1KTC mv5SnskM50rG6Yj/Ki2GXftTj7H+VqSvldVYpkMx5XrHz7w/hatpcEaqVqsezCQLs+ag4PdDgs/5 b+ibDuZEV5UkKORpP4Fpp7RvvA4t85S3j8p18EKBAjZ2JxX+L7t0oueygR6qyouDqM0i+TKbBxeO Ovle/DoyHFYJznToH52oxMa5Z1NrC1kq+mQX9s3sfdQJWUoS8ycLAnpafnEfcOxTB5MGVKRi5mRV EOEDiRJjkeB4Bcl17QS2N+760rHGsHksO4oAG8CZqZaVPbVvv7dv3uDBCw2NR9KdCaqM6KQdT0WC 5FSDPdrLUQpwQPOIcqQWdQfxbVKKH5DvKQsI/wYQar2PRtWTr57U0vDSihbcV6xjlp48VeMBXVYL Eb+Nt7NojEM2XVnjcynPaBF76yMaazNRKOmt0TOCm/776HLxifUKe/ZNqxqNqGMHe5FJZXyB8Bwp r1Xq9m3Cttv/DITfASf0gq8khBZANIVbYRM7lc4IPBNbYC4elTkOA45RRKAYnS/SZXqt/h25S/1O L6mrKr91QtcvhrGr6WQjvfswzd5rkQ8rYV/ysBN3Bz5Xf9F2uSXOgMMdwDL1m/ivjopm17waVoyC XNumK88vTYVVqJGeXyqYZPR7Gq+c3Bzp8zzlXUaeBEmlLQcE49IU0ZZjAK3A6c0W9iygjLUnucGp B8iEPyUtB8DaIlzqP+9IaZChlPwiFhVAjTaqJTLbgZX+a4439qYfBinnHVvt3EIJIfwe2piIlLOn pac8sB8NbXOdWGQJX9erawa0lHxaXIQndcTtlL8QD5qPNWKCdXm2Si3frDt5mVq/hNvfp/mgC+kq 29TjsgiE4sxv62kGlZi4NwnYRc/XwVMjRcVhOQZMIJg9luDzmvMRpDciMhO38yJ0S7LWgH2RTImj nccCTVQpPFb4ALKRQpLF+k57WAs+nxCFmHco3CrCxZJ2MAc28yGTHBBiW5EDFCSVGx3AVB7Y93eW CG5BS+Jn9hc551zpenS4I2JI6f0IXUV4SAIW29ooqV5FL0zMkAsMdgvb9Vu2ajo7bWpSLmjqZY+F /VIGoQJ6DSdLyLTxyPmoRe+fUTipSXnQfwC2wRIYNtbCyXuuApiIgROfzqAGvxnozvJ8lHwV5ohE B3rrd4YMXO+uLXjUWb3Ojw2JjmilwZdsZPJV7iiAOinYXMSK2TAx43hJDfJeFnNo3XAPuniP/Lq5 OEjHUpCGwACY/hrl5u4v3LyG1g2ssOfxjBaxWUkdzetS97Jc7lz7++RrtLHqGP8cLDdmRPzg1Wnk 5zpXWR2pRedaB1yen32mCKCQYTOrT7PEcKylIAisKU+82cv2+xiebGQQsTimZLYPLtVI5oxbpjWd xVPMx8D+FgOOrXruAix5gWCG4nPeYCY9FGTWU8lJytjsr2lC+FdJ9r2qLifKcxgGSVOqEU7OuPOd iQ/V9cgycp2dWbwIDpAymthA2OD+TrnFA4JPZdsrQ4EK5BGhxpOqDO31iKIt8TXHgq27Nma2u8Mo sS4o4YdEQJchbdVq5pOk528vL/xb/gpAaC9i9uRJKh+FNz4foFRUKNp8fC8ttOvFGLC2dIaJD68i Qj5901WGky8d7Qram9ejMUmkpZEz5bL9ABZBwM4+3M+BTqZxUcL1bu/oIqBmySl6M89qYn0OfLLC FiZxCS5zYbkUmKAfuZeZkFBHOXR+d/iEkncd5dd6Rkjj7qQ2OjoSXcBiEg0WYmbV5zmS7PwBLTJE g5eTdvYI+D15L7wJa9eXDEh1xhc7ZJyP51uBNV1F3BM5wXhtK9B40bEbk2sCZLPwRVR9nrRldSKA 0xJL3Vh3CIHJU0xYfEdf7SdZmNaznJjm4llgwWZkRMyZoOFzCVzJg/w0qtdRHRJdU9mXVQewCRRb UBTwv3HaXwtaZ+0+/1utz2FSKBvUY45Uyg2s45ZY5mxwit7wCZ55UMaMkR/oxRvnBIDqwJA4kdip lQqSb8s1LdTtmm7JDJPqXqPhhd6v/9MKbgGE/qLYXoYV9AhXm0ftmirXEkWi+puH04Bc+Sa6h1CO rWLIjkznLoIC72lQ9ZjELo0SyERqchlzM9zsz1osVn3VLNc76H86WzGhu/WiCIxi2OAXf0MU1OKk qSVVRoT7fhgMNjbxJP3pGBdjb0jdEIJt1EoVebERZ3yb2Oj6HZCZ5OwegEmMRGaajZmvUx8AnZei 0obYWhaMpbbvF6HtNo5wL4UphDulL0JfVSSJ3p/wmER3uAyOw+dTvLAAxry2Sh49+E55H1XERJuJ bWRdrUcsQstbb2aqpHHLqKjX2gcH3tZjoIWEGKTR8G64/+u+uY3a+jdo0c/QwhUOIPmELRhR2LgN qE420Af7W6L2AQ51HQM6br+iwm/yuKcnZ5SnTJ642KleE+JIGT2cdCNBoN/taXxxFu2RP5I3VwdK Sz1Ax8WNAduddLLx2co7NaCVRowtePFm7RYtznU0BMB1tMYe0uxV2Bn0I80KOSo+Nl++f4kZEHBs CVjJo3skd0a3+s+p0QaD63nAziq3xIzdC7H6D8HBO3xgR/hASFiIhzTWX/U3zGk3RsKmLD+svWF1 QgPPLonhRw2OHDUVhzjXAySv3Vrw/X4G+nJwdH7enPKm33UqCqDhKuN3Chvp6O6nyvg5GW/PWqv6 VR5tLk2daRZ6g/XFWm3v6tfpPQtukzpjgdTmPcVDUBtKicXIWgfHeqzElpue0Fd8l+pWa9G9n3ne TjLVKPbHzYemQh17LuxxiiIvNkv9DIkMV7Jj8SBHlhKigEpwrF4i8fu1j9X9yqXhvkY18+bRN3Gw uBrWNIS/1YF/gR7o/NosY+ROwaE7uJQxDXqoR/3ywlM8/tK/1Z9GbSiFkiUxX8bnPplo16SV3lh2 XH0snq29jaQWwy7SciTxQsWStVeUsznA90FhQWU33nGJWMuqOG5WqqMgo+C6G3pZF4BLuwI9k9AB liqgP7U7H6gz7rudcR+xahXCp9J2EUXowHkro8lZ3ERFVURAKeF+30bCV5u34g9WBX5I/qE1IEct ay1jcqOe0Tz6SRW7oBAqpfxqGPSpA5A9y6i3senHEBxYP8KUoXrpb/Zj48WsZ+MvFi38nXstbuv7 p18fnck9aNHrl6RZZuah7PBpi0HiJoOuXJLN647VD7qytEYof995f3yNj0VMXvc0AxyxtsuHGLYc M58qVYW2xIikXw7mzF95DXCqsXiMNxrCzIOAZqH6YsVwqnjri9g8niYjg1OPC2Xaru6+ohWMpUc9 KVtqvP01nbemece7CqFVPFyWiFutDrUT4kbsRS5Jx4YM9aTHxi/TmZ9+fZ9MhgJIKREpRmGSgd9m oO56bEQLz13CFArbz9WRUAaWftwV1czyw3i2ptn3pUbKeizZRX0C1n/VXqxGCMPJYQ5nm78qYC2W wGQBEzWp22ny9RFpL++0gG0SxMSrYKvlWxxsN9NQW0kalYEH7v7ec+bl2XRUOm6cieRd2Y9Xd2rT 3T+vvTY6NqmZJQWBwGYmndMUfAM2Mq13Ni0nwPO3QvuCgC+1GKVcFHNjSdN0l95ZGVmTKP93rxW/ rRPE6QHwJRW2mnBYyenu4SApjNBfeQ6rD/PKTmebRpOeoa6UNNKeqNYtRL2B2FfFYFb9jZprNZAZ BC6yx1tYUtBIZRaCIpGeFljllm/Vw4QkhBG2OPeV8JwAgegm5l2tZAipbHFPxw2ixORWXxxr8EJb V7f4thcC1g6zSrZ6Ik63JKo3HzQxfakBxO0JOh9iHkmYSblMhfX03RBytZu8ngmwdU1IkxJlMlbC WO7gxkxF9Q32lyBfoyXFkYD/PesPFZV97DYasNwDchz+SSNavsXR7j33WRuo0w+05xwTMW1k4TFS A/m1QPMZWJTU+sggkYQIZNHob9rHBVZi8C2FSQHfe4hNLacUlfrbSc4r5yHE5lquOsJyA8VUhXkM Gkccf2p+3xlKm85mIZ10NwqWdgb11fUt9JXc13iomYC/eW7rv6wFDB2jx9FfNRtj6tx0rusY4bf9 o9S+mah73qudHPHxJWSOcROhu2cxMC52HCaMbrKiuy4QJcd6qUBikFNCpvqDzyLxaLgRksb5hsN8 US7r0ofmOflKSfUSgl9Uvxw7ThS9T0adWfBBXE72krbW9MKZlRGkWTKK5JmlLTBUUqqtuW3/fgc5 kU3dKpVuV/fEKt91bRp6QTPnJMal904FkGSwDopaxhdvDlVhR26SueGJDQvTTeIe9qgtZ1FD7BdR MDbjGNzPrFBN5nMheR3m3dfDJ2hx3vog0ct+n+O22ZJjM+3Z8svqWX28nRw+Vn0HPF35j2EK4YC8 MuyOqF1AbJ+pzaM/59sfGZ5jmf7XPMcqFV6PmbSvWHUoOPT/I0HZelXnW9CFcX9DPeeMNyrThbFB Fqk1DnEUmCswazX0XvrlB6y66Fvpii/lo8vQyvx6rWwWbpZl1RPC8+511MebOhBPnciClMUxS1iP MO2t/zfD+VXdFk2/55h2QXuxdvY2TM9ZbbCkjnf0jmFlj5KhJX7uSEjzpkUOAVzXMSdtYhxZkz4A g8YHq4BlrVWNdnr4IxQZkle5Klof0dHVN4BYVG9X3Ife2wPhbv+Jc1UFoss8OF5j6X2gIsnsyb11 uzSwmK89B+VGwcd0gz4NN9vjxbZyuYyFCp6WwX/IOVqZ5YYOcGkm4DRGvxTJ1CaMXm4C12vvWzkB jOSPrxhzXgpBDoUm3jHfsKfpy7O1v1gHgnCVBN/IvMVmNDyF7YTorXSw5gHfDC9aP3xq6+P17mc4 K783Q85qSaveVfkKeGzVPwr8tSXXQ60ws6YKc63PQmNQMysOMq2FpqExvByVrHF8+CCLkQzunUiG vWvIfTfXgEansf4C7QMYMwwin6EN2x148yX2ZfbA+ls4TtKXlZ0WQ13GmidlGxjQ3LoJmvxkI/gI vLfTeXNNqJesBABzJqcQxXJFNXR8M7Ry/OwQx0bXgrinBPtH8AibILgE41d4u7f2Rt2J1Zr7zyoB 2tz5wwrfkRoCBW/Jf6wvHJaIKFEYDx/IcWmuEuIJ14kyRreaZNDsxjFFeHsYS9M3SbnkSrrjmcWg huCG74N5ZI+k3yNSr/lENYpWLpMg13g6RLSGaNaRQ72+hWnzgu1F5Rz+i683kN8vAJmVsUGWRDKQ mzF6zXLgPCLraHJD65Aov+fd/ovktuP4w65zndGShx7Q36wScrvI47xBP0XvYgyu/l1TAjXJxD0P LqRn0pKbapwO2GgpIBjWeL3oqYq9Mq6/fXSvSPJVsbpzZrnvSBD21fbHFo0fsT1i8DLF0wWChTXt 6CJKKN8XecO7znQpq3FduC5kuMOLq50lC3PE0A3DDxjgbfpr1UI/QDpVWsyQFh9d1mP+kJMLJgmu 6T6sKZiS1nIn16vu8uBRSGk6sFJP9Von6KN50oSMKBoHasyInB14W2CA4s1VWCucqWScOps49J/g X8JZ0ZEMAlQqhSJW/WAtDlYZ1bOHS0cG4uKM6bfJGTIGXoPj7okSlRSdNZAZ6dqCN/f3WHgXRY09 0W2TNtbpJGdvvNicA3kChoYmesXlU58v3GJMy4cw+eZLwJ75IOgRvfkThReTX7VI1XRcNbagslFD PGICbcJYbpuOEVgaug7KKOSF3OrPM5vleOVlEsnA2oYFh735ddf0LskmdG2Wn9ifuhq3GuQ3ULyV i4kw1jw1cA23zG92tWSilEigd+VMlSARMZ+awwq6mfgi8IZromCIajraOGpsk9bEhzsojTQnaTb3 Xn8xuiE3lMvUWZnmnAs1GSKUkAwbUzgn2QvDVi7ulpJP62AMmvURkIBBw7icIO4btzcfXmnjZUj2 /ynwFOjLXQEL2vbtVi98aC2zxVmTqqTncClTU5s/Sxjc0WOUJXPY6ygZToGi6iymg2VoT2jFpg7M ys7JYsNnjb/Cr9DQvLczaUl4+puwVXBJbXlkUCSXm3FJkedasUBWlV6KKA339Te4jKemmCDGcbN0 Jh2YbxxSQdxY32lZm8ccwvIWiSQoXOLlWodnOl6r1YmMsbAJbpRRNGd7yIcjp/lAqXyf8ZYejnqP rlM++HSuyTCw9wWDsI79zFdGTfA8G2HagCh4y38zekbplznA8EpZZ7UQccXWrLcq5c4UiSHaNu7Q JpcFnJpHo3CCby/c4Pau2A8Zg4THSBQlEB8M/jjTxnYIakHqKuiYSDyJis+fV1zJ+xZBDg1aSRlO u4wNJuyubGcS8DcOZ6KFPyr6X96KZvoQ+wUPfZ/onnkFWKdbx7ejr4r3PXyL8XjuZ22dJR65+8p9 FFDtYurSVCBw3RUNqMkE4cRDhHXdQYqINuLayi/zAQB/C8fGx3/AY3RUTs96XMswAmTY7IUFjBbJ YLK+6iwZ7qwoKwsnV5HF3ThcrjidNJdAYfMmd8vSa5lCCMyEj1WSrcLf80Y9CvygziJoxIK4EnGw j91eSyuXSA3hVXqoJjj98GxvInjjhIq5hdzLE1OQJHjzZ8e65EFj84arO0/ojfJgZBg7Tr5wCmE6 eiNhzKDJN/0Cl+y4xJBV9v8qukSGzkg38GuQs4DpL9gxPrrvIYqPIO9RBoK9l+vvBjmyUJzxJGpz 3RJn5GS6s5KwYWWrcx1ErNVpayfDPJyzIQV8YoLc+dOkKN8MP7RtmFyYjBiC1T0z8xG4HumMkQRg onsP1kCDh899VBx4QqGaW88b3BCJrsmDtW5ZzA0N8bRofgBN2/26McWN1Wl4CJypbgfk+oWDHEN+ Ko2PmfdiVWMz4tHJ31ieh8JXG8idLrRUa33YYPI7HLgfRvV1bCjcdl+hblqajNw272Ce0eT8bx3E xWA68LXkgMnVDNCgLqbJXKBBkBKWG3/o0SlI0Jxkk22ccmQWjctO1TmIiP+4Tgg57QO69gz35nFR GhmtTMx0bSvA5fnUkQkRkJLuL0roxP8cPh6zNNwsLozC6jUyvAopA/1o6Lt37j3/1jLdVK/d4XxU alfz1qlHWSEjVX0lEt0EGmwXf4loDOO7uzf/IBRwJX4dld7lgMkoaAFiVUpjxh/69HCYCoGlP8Dv 1KsVf9b+EaqoQgthLFOZdk4papxTq+KQJwDB5EhZiRRUqD6e1sO9NeCWFVQt5CJGkUrn/JgYnEsw IlDGRmREHoT7h3+JmmsV2G8HxAillxusbVfRpJGmgjctI+5UJeioPmEyFi0j2NkGCmV9ABBpZVLT IMs5R6SNpEQeGv1DaaUYedpHl3iRlh71F4BwH/XTtCzCQx9DfLWpLCTmzDj7x0LA9m9QE20JugZK xB2gYAW3BcstAWjwqwzzVpiOsVNtLvMo1bR1nyxPjAUIrgSQPM4ITHZWdtPlRN9DCQsSUg5Kyo2k BZsydI9S5404JL4LigYKj7cLHrLHZKG0/Q2GdQ+FJTTe4euOVvjxW0Cd637BFZHDdzN8l/ll5Ghs B80kbSYmZpmiFLbb6b7paKzRBE+AkZHzjCTFwopYj7I4FY0B9bA5gdBI/vV0neEf0mhhzGkxx1OP mM+7/gJA11zJTU8EsiOKO7hG90YQuo0IrwmEUdFuFtPdGLp+br5jfJocP+fA8pViOnroQoN/fL/j d7TDb19P9+nzs/3ij1UiaTsgELG6TrEiXy2DMJ2W8/sY8n8QQnUBt/F/RHmAEzLON0oCKz5rFl0a 9a6rmGfmjhnFl7yKVvkSvQ6kl4/ouJ8IGNZDaJ63DAWeXZoO03WrKfxk0p8nunfzXRlaPR2w95Cq 1GOwpgT72I8Kq6fRop9Hyn50yunI+yO33fXTdO2vJPoMC4tsYEyiJDnM3Zs9VmEWpJm68r83HQ6+ frRKzng4bgWy32JlZL4UBHEmRTh9m7QCoUwy1jBWDVIrbav14f/VhFEVeqPPzyjQMeCwiEB+Ws8K KReWOMK+DhvyR0fS7+rYtzIc+V4jk0QFKh5seQrimBUO2OoZqF20HeUiYeOygiQKtM6vj1SYiGs6 TelQ5JoYqcrBPcKfPDIvO/UcER6qX0j81mSz4QrbijToMxD+NstKPEzGn2MyOwIx5LdBXhEsc8vq +G9zIcXxko3/VVD+BiM6lopCN+vpdEVttFg7yTCCKzO8SEw0f007xr0DGFk/1KxDuxmhNBdnBk3f bR3Z1DmnetZ+LbrWsWfOigAb0S1rzcDvgfMzWtz4UAdiSllo7usgACFJlwxi38r12oLVY2m7Vk4w U8Zb4U7SdY3LDVVvy7nKnnA5Nc9IBI1najXesq9fkeXDheZ6qzj+8Bq9s/2WqsTJXYnkiMsek0JX yE1dUkvC227Qt+hvqUwZgWPkwLZp9mL02X7I9Leh3gH2AMLYAdIbb0KegIbN+N6dlVJyjcZXfOuX jT6rvA11GSV8i6F7TwDufziMvtFtNVf5Pv/dzw7uxSf6AramZ1zTW4Nc3nPvc+y4nAzEcDBsSV4K Kd6wnm2JxT1+lthtctVKi457dxKmwbxGx1Evydn3h8WPsWFGYDHloosdKLQRo4ICMS6rxCyDjuzY 9PcVVW/k4tj8JO1gvSKhIewm+EsJ5oAz206zIQa44mmPiBs2I7kaRKSl+254KQ/XPVLRkokn3ya4 T+wbY7DNqENAxFzjeImQeomJ6Z9lGDZRmHLzGigbLmg5YJe5TcbBBJKWBW8m/1MbVN6ulG9/tlDL XWsXnKCBPh8X5p7ThoyoBeuBadi0B4Ru0ABASvVJJD+tp76WSDpEQktbK89tSBS12jVHbYzkCqZ9 2A9ssaQZU640AUef9VmgGCglUxmph1v3Bqs+KXDBWw/lndxTuJFxK6XRwzqi64q/wPi0yWaNYNPE WYFzpO/zTstKq2lO2lN6MFzXAhzIGUbOTOyv4mDI2bXKDnJtCgTZEcF66wV9Ngb0505/sUNpzOz1 S9PNHUf+qPzeZgTI0ydOsDr2BMXQ/oU+KU9q3DsM5fisjCIZaeeVyvERE/kcOUVaGTvjtjruaVw2 3ZG6CIji/MhTtTV9P6rNq71ficMct/ksX0uv8DspX27LlCMBjkuP6UgNJdfAVPu1R3yiBtLSEfgQ LIxZK3jqRgqBXJ9JzTz80/iBoXkqeBqLVZLOwQKgr2ZtWa8YahS3ZRDX2R7Bjww6LM/7PCjdP5iI BKU0GXWxmHZdnVeSqQpKREy5CYLbNfVgpmeLe4g0RElkq1bhSAau665iX7Ohj79S6n4SARptGO2V FB8aVLDyFzpQqkoLxmIP3MyYug0a8RlBtVtJQwYPQ/o2L1TY/1UeJTujxVwVN24m3qLs+Xw2Ettb yfxyKgPxJnSY61kE3lnkM81+piZ5vuDLMimhNWOaxOiMgW5kQkeZMMUBCLcRVuWGVK314yvnudED 9IcCsn2QPbtjmF58s6I2CUPOLTRgntor7UaonUSe9/xzgj1pi9MI2OcbQIzhrLEEK/MKvlAf1xPD yUl9Yj9wFzOqXaENqMrK8ASsM3lfrjIPm632WCesRFCnKsxQlDC00DQJoXKtN1DZUAqd9PB2KT5U DEVziOLstIomHJTM9lywRMta5zQTX1GXwd9YHTeok5QS3t0+auLTsmpaZPxdwllemJxR0j/PM0so ANyuuxr60yl8r7ApQGdk3yYEMeDLWvSkfeVqlEZWG5TlA4xygoK1V5G1zv1vEBQ5l6y2YXlgHvin 92txFNqNirxmMV6h0Xtl5+T97J8FoeQS2KJiwOALelTZtaBTBkLTBNh0ixR8bsiYo3bEgjBDb7tg 9xEjOX5ZhekjUyFtW6ltunjJLB2Ds3iT7Ua+Y8EmH4Tr5DdvWrrjN6nKaZmwIxyvDlDSvX5qFGRR udMHDrlFAcBL/lDwfAg0may9ZfF4rk7N2v3dGrr4uxYXHUeU6JUzjHVnO96XoBVOMEVOYn2YL5H/ Hdb/Jx7R4Ff0Kw930WwvqU0bWX4bRWlBoZAYPIuYhvZNJGcXxwDIv2dabuakDzLF2oL23Apluoi9 J1tSEb6H8VHfQeU9dtTlDVzkFUDqunbUAmpQ1VvV4lLaJbUREzPTj400JYP5QA6RAVABnF6EvZS5 kpUD/pYuY/fn4eW3cPNj8t1Eyed2FdaSpySRKAEuUmrrrC+Upu5p4ZzTSKHCBO/AlFv2Br080IbA QVEIgWGhTmkFTqbSQ3kecT/wbK8YE3x4o8X66qmA+HxuvsNoRg0AbeDWlMWWe2EyiJ+XWJGfMP/r ZppS9TtVoDkpdWY40oCOSzXI5Opmrvi5+qZUX+lSKcITt4Thki75QlOreBkVI4ZprQATK26R7Upv N4qNHZegb14Lc1UH4L7HksvMHpfFpk5a5f5BCP2ohA2DcuAJ2KopebjZ4HFuEJAYT0iMQHuaafJK J7PLB2J4E2bhYW+Hv5Ww+GcovPIyQVPq0kX/IFBPgI/VoyBIC+rGJZsoXPqbIYBKZtsl9GCTMyFp qAsFenm1Erc97GEHWuiTVobu9S9XMkTAAyZN36i0hERNyUsG2jPMqsS1JLMfw5whPDRc0+eZSf2x 1GelOi8OZWIH/ZVKZdvTiKmdaMLH3lzNMSdtpnsltxKSbzD1CgjLiuutEAjRbH3o70Qivtfyv/Nd g5kPro2JkDLxhRN+3eFcr+UmdZNKrHNk2lZ0qUMIGWAaeWZmFPzokxv3wtKSmCQ5NUeWka352nEO irhRFsaiEcBBR5jUXRzU6Kx6Jrgrn1q4YqrA+KAz5ASvoXB7lM2Wwbfzwp4JxV6qTEClEBo7AziP 62IFnZxhvRYUyg/FFepRq3ZpC7M8SbX/68sEIDiu0LwkXgzFtfGm1R+LVT9eFgNZNGpJaPag5WIV Qgsj56n052xNmxDV+0CfG0DA1JShEvCoHNm2Fnr/738vwGt5/expl1+vIoioVGj6Vbf3KZJ5HGMS /bFwK6H8Xs2oY4SDg5xSCYPeiEIU1U8s5ZOkfR8RYF5rAVX46jYUO9zAwVPNQqUt+LDs4W8rdNoB b1gp9BWtiSFxTnOpRREiwVDAS8X4AjbP6ARvRjWeZPTfW58RfpglcQpAOxmTbaO1t6bEm/qffN7R XQqL1YV4+37vwSpZ5LryMCdM7xkUAAFycSQyutIgNgHgCzmjOwCmFJU4Cujg7Bz8qFqMr+09WHac XzxtFkPAhbHI0W/mTrLS24r7V3bFF0l2WzTuDsqFhOeXI+zwTcoPUz6sjWJdtRYQADPB1XnU3UkS VhSADMxpSN6xM9xvTzzzm0Zw91w4mfrQLy7pVUxDgJJo5OjcGUhAmEer3rQlpGL1ZcYFctSqyOoV iT4DfWRKyVkq+AMPOoHQ3lCB+OtlAvHbe54l68zOoftGoTsQ25hzlL9k3jG0L572aQY3qGm3uCDY 5R5xnc1BpwlGVJQX+O9Oi6/Mz1VGqxBayKgXUXd1xj7hLNVbx6abbGM9u+lpOni7EzJ3UY0XJm7c B7M8siajJlXJl6hGL+dsOiNtNYrMiWOzZ8zn/zyGkeg6TL2XllX9NlbStyyDwgTB7AuH16EpQVT3 EPzuac23gNbKKJusE70p3KMVb5lDbTPQY6Z19pRmcDzVHMOcaI7AQ0M5nqL82G8Uf+/l5TNiqSrP 5F72zHreyr/frFXRQ9FAV6YDILEvW+8KlHQIrFZmLBXRKqjzOiLZ3Ollom8OS1e9Ip1tNOlknzKT IG8cAzzM30MmwOfPhT85kRQGkHBNAo7Yz1hndJo3UJd+9sZ9XhWZVRwEGKVHfZA/qU6q0cXfuWsV 7WkGJfe8OnibPdxQYTbaZhYwQnvdZYLsECXZHGQh4tYWxP+MZnAcYA4OOLGghytdzQCjLfWj/SkX z419aj6r9QBsE9ajZLetsTPqOMcBotorNe+c65BGydjhJTFZlAqigxlEczX4ZQ8xUCPDBwuufAe5 eK/Mb5j0cvK6TL4u3TdlU6pidVOeCM26I8aE5ENvncDm3bNKDoeuTt8qULw55hkYlcAhpnSgmcMy w47l85NQVno2UchYm3UhYa6rclghrsCkcVYaDJdFbc1gxMACYMytsE4lDIr5/IDBeiom84wHj6yA knjHvKkH275+8Pmqx9vsItvsdYFnLi6cOzaEQKvlaNZkFMkCmOMh9oJ/us3626bA6uEDHzaLv/Hm XbMnG4mayxI2Aj67EduyYBla7dCBNzeM/Wfm54C3i5/SllIP5yo0XHLVS7Fev0TfNT92gEg+SGPZ 5qf5qNsxQ2TG+EIxeHEQTHjoAG0IApj9RtmEQaFtpkDVYhUfptQ+4BaT4I0piMG5NxbMfpO9v+Jg Swi0RWHjgAqxBcRTzuqnZUWRLPwCoDlem6zdLit84QVvN5eeKZ+GP7bQOC6uCCUZIgiLKX75y2sh bNal9Hvjzg1Bk/3sgbuU2DA1DyAWEV6Kv5E916JkKnxzMcmbhgmaK9zAlBSUskxt812t5/c6ZE2t d4TW4m89nhBxnh/ykgV3Sfl1nPCEBWpmX0mWfbb07NdUZ7wsaSW3aP3i/fgRpS80f6VrJiWAXR82 vTrWzeEfrDgEHcaPl+cIpcOW6k3bNeTxoXkhpUTR58W3wFnzF9dCMeaQHmkfGgnk4Jeo0rRWw55u VUwzwQ5gYRt28KQoJcAh3cR6Hhw6XnveUb5esFfVOlTmlbk6EBKUg79E1lZ8aPZGqJ8G2ov5OVyr 4b9xVVulBEOPa26lcuAnEao69wdEO9slq1k0ftZv3AWi54RY8tkog/Y7MDSb09c5JZls0Qa96P8/ Gd/wzdhxBjPxWE2LaRNdY9hWUK9wJToDPEZ/bnU0/YAd18j+RVAjUBazgdQNB4fOO2BsRupzTMh9 E5JFgEPZ43AFoOSCm93RK3IvhCygUggqFs1PA/yX0qAztpXdaHAMelIbq8bSk6sOi0OMFzIPcDv2 6tf5cNEP/s5PaTb7V2LZCDQzQGhdGAOUckJlIut5h/QNN3O001kBr6DH+kg8ugEJfBqoilzb3VlY TBPnAEE70F1h2ibfkmcrajUbVyWlF0c4frIm8XyiOxfijh2AkgOqzRbMLWCGzE/AmoriXWnZVziW HT5tXZ5FdfwUtJhJ1xK38uoQZi+kMN+9U5Ccc+ptnYniW5pWQu6F9KzJarfbW+lDPMzyjObbIz7e Cie/5OXEMii3S+m/HENB13/J1WFNfvLmVXw8baoqy9nuGZ67ryvWPf0gSVPTxaGWawAOywJAlv0Y 6Dp9wazRdnfWFLFgdErVjWoOk0LCrHUytoLpaMoBn5bDxx5A3WROxt6dUESG6iu7EnFeWVs5e0+y vGnoQXZT9nT+mk8DvtL4KVNJqiFRC7V17/fxaxb5yyrOjCFskS9aqsLLZgafAyKbNKfuYcilElja DubR+Egrnmqkml01vDwnIClaQIsiB/c95M2Pb6lzPneDkRBiM4/CreZfwICBGzEBEJ9YP91zA5Fz sTPNBPZwYABinQXZU/fSRYlBxA== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/clk_x_pntrs_builtin.vhd
19
43418
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rMj+x3ocDbJ+0HvlMPtFLLYN4V3iOWmu0i3VYcvwPU8r9dUqilqv5BoOperD1z/j12cu4ait0bNC TvgieQY6qg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LiFkBwHJvbvIRsrs7TuS9x+hbpgzWqPRKAN+86jD7W/DWOy2HiTI+Pr3kejl0F7PQ/wd2Tf3u0hB l5PFI7Uciy5uXiQA7fDmYLdPcNoMNQWm9hohp6Q8wB4H3kSwMFgjlrwYcv97jBF9K/DD+f6kjMEJ pjxxREwM6oJfyPhyhBI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mlNr/JQ7BAznEw9Lq2hOb9T0FUxDG5TxOJH6VJoPGS12EjdrVMK5Jwy/CrH7dSOtWY2eUHhpsxFO HZJnPHkoY6pnOp56kFqNAyiHJP+z5BexlWOYCHMzTTDXl5ecpknkEs/jFqX2DjV6R1MuxPdeXOjM JpDfpA+rd8xFCgAvhOcvKEKjw2lJmNukB/NqmGdLZU9Yd/iDC6mJcVuTrR2gzFDMoFjQUitH7TCG r1krtYbVQjkm691WyHmxufh/qSc3KdzrpZqycBevqxjmEqCq0nMXCiMyQRHMFNk9XLymhnx09LIk 8Ck9EeU7sTUKIMhZ7oB9NRbr0Jmue7w3V7zoXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jcrZIuGwyVPSe4eEqA3CjxEN8wKBf64m71qLvmqrllZ8mLFeyFjj3f796U4fol5LeUOSCUITklpk 5B0LZiT34IugfACCFG6eSa/KnYkpqdaiyFEJag2zBthAbQTJIoKzv4hrVDSwoJffRhWS6ZAZmMOH 9HJ1Z4KODhrBj2PMMOQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block He/hsXsp9htM4v1ezeHFxTi8NbCInK4GRCTZh00v46syUmSwf+mXhIjhLm4sHKCSUqmWt1TLUp0m CWcpoGxiawBF6wEpl5GgUNyVTq+T/CrlV9Oykyiw8ESh1/7hqCFXSES7D6yS14KOyEm1cr2UmC+u X/NTzDDvOd9e5R6zaiks/z3Qdqxiq6f6jnMuQiSiMBsAMCHxpq5kEezVTATURKXvDebBjGkSTomU Wve9JRKQPSiMHuUURnaiqzi8t62PeJzIwk64jI0DQYpuyHeGDNIZt8qQokGYPimAYp9IilmsSuGG FM6CnM5XioVenoNWDUkk1F8M0K5I/5eHgYEnkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400) `protect data_block sy6Ib3lMvpwhxxPC+M7rwVnxAGXLfvjhwSbL9qwbP13a47pbcyAYAtiBiXbPyjK/tyIySUtf7vSa /27KkY7mREwqrFv3FGqB88Gpqs7JFiB/I8qWsXo8R/PJNcQqQiygxZGy2G++1VltjcmVUFKc+iUv lEZHWhwQRBs7d/5w140Her/1aydzCPG8TA3vwh4kFyhOSY/8Z2DD4Rp2CHXxckzDJfuNUp6HVuBa A8AbDVOTOsxchTyOQRSiZmdfzqybZrGXTHJC4zYovBp3tl0VutkvVyeIF94iJ6T7abwwNkAcl8/2 RoGwAux0t5btLYN2qnqAIFKIMZRXAlZcJjaKHVglcoNCk+N+phnff6qAFFxQlMyVf17URMYPZyyM lsRoXvydSzn7hdhaW2OvlP5l+jygneHTXx7VvZbnVHnuSyfOdAZcb90R5h7c5lGYCrzU/EHIsZPo KX6vKCQs2vBRPiGPuvdaFTKZfLzDYpphdzPxb5tHgWA2St9MQ1mD+4aNCsnc7DoZTrM+19MPdck8 43fEcZIxkuuhCyOHtkkj6983PrOJoBxOD9YUDq0ui9lDSW+JsGxgSx2UeCcMABONkikLU8s0Xb84 DyPWiL9LWOUkr+LEQ74ZUdR56X5KSkwMbWnGcJ8AXnUJ3mXVOcemsJ9IN80r7BPF0GcCAzCgxV92 0Xpz3EhgZ/LnXHsLiuYyL3kqTxWZnKZ52HTMlp2BdLUipDlGVMpWCPWskUEN6+FOBAtecdyKhL95 1DYrnqpMd6EDi2HTpRq4ce04Sb6VqB9zEHNfSYkAt+DAqXPf0Joa0yogWUGUkgT4hhWaqwDhJNuA OAnDBvsR4zSzeGn7p7Ir7cLQWrvQ325DbnG9iaHDiWnTdCOsmyDb7iYiV+3D8sQARCTsUeCev/+T tgZjvYaOqGyCeWptTqX23MBZgLJaccitbRZkv99YdqPqutvlF7hHIiSaEmaqKGewVZC2AfYEsuk0 EWi84lVcjFIWJjilHZ1qY4CzJC8TBMLdqltV6HRa5DgHTWxx/ywZoIIlZrTWDn0EiGtfYmLU4vSO e3NBJi8dsMTgmxgZxQ4QGlxrtzTeBadmAcXtt+q1Cpbq53p6kJL177TdFuLBBxgzdr9vqNR3ofum 6aZTHsIr/hY3zyHnFTU8KvBSOZHe0YxYEscT5GyTJphX8CdPctwdL9cJoWrsW3IioqCbdFrBgHQX ghyg4RlalRtSWpH2m8iYMJD26+Yj2bLKRVYYURBVpvW0gIMsF/W6uQTbGs7znaiBlG/psMy5PjXB EFO/XKUJ1aJRp6DnlPNL4JXqTur/mBFpa1Q3C6qwZ6mUJlII+gHNz+BlElm9KKil06oqrjZ557U2 uSfiFPB+1DMsw9I5aiVWub7CwrNCJ1GjQf2l4rhXQQk8W4PSBSU1aWps/DkoDpmxuPBxnZGzTAYM 5rRlMUJlCHeu06M5hdABQrfJis+JZvTja7DLwEyDM22foDCHGslsbTD5P79n9QqaVPTPCxQAGXap zcHImTHEmIqNIqjhtKQdz5jsf1fzj7V3O+C6dR6l5339uy62krkKoaWxMSe23NxjpZvyIN+ZXslu Ut14jDmDnB1yt3oDNqxw9/1jsvlGaksPQb7KAe6Y1YmdqSF6T0qUuPjTDi0bL52deji5/E6aJM97 Fj5uFMDNdmRJPA/ZClQWCKUMyU21SJE4j7LzFGHu7H5KzL0Klmi0E90Uibe7D7RG4P6UX4Tzsht7 xuAChliQpGZmPqyQI6BtpDB4Im7RIZgAP3z2oEek2SgtYwvF/4yl5a8i3D77NXZkI6fjgP4uPurU /1++eUdayhI++MU3Cs3jF5gtst+L6y44uBT+qsMWSseXP+Wa+MLilquYht61QvheoYHhJv1QdZa3 sLdkoaL+OkAzrZt71vcfFA553xyKzTiIVVmmLlNHitWxPtIyiIM/1Y8pIhU8h4L+3r+27TSsnd0e +UTacvz7WQBFcQwdzcm8s0Oburt58IZStDB6rNgarrFDJcYEyZ9xA4qZxzKD4yLVp0StKWMUY/LD G/AHB+POEF5eF4WZKpyIk4b4Tzz64Z9Gpe2jaYnAIINYYi2m6tseXK44vBpznGEHAIR+/Of/l2Lq il5B+JDVCKUhcERW5MzBo8TIZGu5ENuB7t9rbVwzst3dJN0xO21qSKRMFUyscisEFjeJDCTgV629 ZJxXsqJlmIKjw7EqP6sIbfynCO+4ImGHUDCKLVxg44of/QWZilEBY7OmGvTL3g6TIXHhgD72EMsk 0lA6//OcqHe3qVHoO5wnDhvw/ZywoJlI89+QyB+7RkB37M9Q67jr3ibcnLnsuNdDelqtB00t2Fi1 7g/3kblRjJIIJ8vMB4jj7cgbHwd0UhXOXBDw3u/TPk7sLX/A39YnhJpm9JAqcUJhdGeMhbJOjvAt Edqg2bqLGxZBp5+qUk5KU1iwtvmrP5kehhI4XrnEEii2js5cqHTgRe7Sno8M4kvqekREvmIHzBAS 26cKGInnC7dcz8a9CENHJfq9r88vU2dRA9wK2q/dyoqzVi4rAor3DRzjb5LEOB2LglTiKspORVxf HxK0Nc46GAiMJv35OXEifO88atf+L3QWpzkV/pDO3WTorGYdky8L7AaTuVvQ7q4zI3lhYk1hb19i UucW5ILLIjlu21XtENc5+3YyAJnyHoG9/xGR2tiRI8u/CQtRS6Z1VjjTDVk85vg+owAdIAHjQ7Pd NknKZPI6FKyxuamDrp9YmORb7HLI+i2oQuID8J0BDF7PLaAH5eYnK7O7F0jlDf1Ilvmw7gZXgokA xCqQC3syydnwj7a6kFjKsg0QIy0LHmKXr5tc/ZTIurS1V9gHbTA7vuyx6L+nqEro8tNwPt+fPQ/T SUwiARxvHXug1GEC8Of4oVY6m3w5Aefw3lp+J+v0K8p9loam/VkNm2flwYEjeSdy3WmImJUOeJ9I 4RLLSsWU9D5ZS6tKzIB8dmUZJpLOywPg/OzsBcLslVqb5FDqbpfcpE84UFPTAG9mP5kHnYDbVrXG 9TQ/fADpzBkZs9WCCWJMLXumLGFUQLnRuIUWG9sNxfd/SfZM11xMR7Nniq4ntyZat3uNTdSYFtbc i2DvhkTD1l1jd+rjtAmgjyBqjja0zicn8321AOAymmybJi/6NQoZm4fWaSAGuBXUllmzaU2cP0HE gGxLsntOJFvcMvQ6+9TwDahgCPabd5ajG4Zi1IsdsnaxWk0AgYlXP+QcDmQxEfb2I9O9IYjcMPLy omff7OM8NIGL4xMUB5xEvmleb0+/LiMyOj/9FOn23+SXg6O5hzvNubqzOe2NceyMINfXxEzRXKBK tVPanMg4T2J6bmy87lAekg+2H+nK/Cha2G6wZrIH3UlUPp+TRINnPTzUA1eF0qHpnA+KPSKMoPt/ zKE1Ogi74WYuetRLygCKLUbzWLhBoYNAMqN749NoeGNmlZ79DazehTbTfso5cegb+g6VXNJ888D2 +ZWQixeGRcam1Hwq0ZLdHNBpt+hOpTTzvX7kXi/X1QAsH7wN055lPpzZZ54ekcrcG9sbGTNvBAJs GrJj5hORkhoNlnAy2TRThQfThUsIp39hC6tS8harbAt2mpjUex7m3nsllJocx/Yv3f6/E7kka/Z/ kLzYEmarDIHB3O+elMzn06xJTmkLE6MA0B0EFtvGVEG5oxIx0cOr2F6Umz8NV0atXTHprXlt/RL8 VvnJXDIrWxlsRqQoKlG9Q5udhmIvaa4TJlE/pj4/EA0qpf/esyRGAdcsUdGfdbja7vRHXE7oBWEm 3VB7xRrrCYSAj5nXjJbS45Ll13tr9Y9bn3EnPOXqciBgsrsbx0gDl8Nouw6eOWlRhUpnvI5BeRSZ y7zsy0RYvkqa817nrjBsiUE4vmScNFuGbPqX6QjnXYFIDceJ5JpBf+rOkPo1ROPALqOIZI/dDOw2 g395I/e6mYLxkvWp5R8HuNtVKvn7el53h1XNXTSEUxcqzZy+SOOOYWpvQY2cUmQi4n+uN+OhTwGS HIZcedAQwHJ9CGJaaDsyx9zo71gvE4YiTFi3+dHxjkWqzSM54N5Xh9fpzjaMcVZG59Qut+pVo1X6 V1BYdWKWKRSSL3kAbvWL7K8FIfbg0icRq/j6SghFslwQ2L32ZcjbZqWeYMix3TPFPqxB7OozI0Z+ DvEbgxswd31hUyw9PG0bI4g9PokckHUa2GcFkraG+aD5HuHJ6y1Tv7EFvPieFGjNPPVq3sFrzdfZ cTkg7H4kMUaFF4dJ2QtuR942wnsU+QiMA4TCf8NDLO23frbtmBVohZW8vXUW05lmHjUlV3E4+wLN 6Yz/e4rV/fzp7Phv2UCF3eFN1ONxCIwiiirMp1ZR8huD28R4AFqUFH40KAH6zRqgnlaXANGJB6jE o3D1+1c3vJMAU84tOi92pGuILR30E/a7ACIsn5VBkClyIqaBFofE6VTGpCfgDI8azDT/FgwMs4Mx 9xHh5lAFEYAwJb+QDKh636mpigmAPgxy5UR148wSw4uNXq7NAM5ltddE8qbgbgp/4dDuqjdiVIUw Aot14HgrcMvSO7ho6IxPfUVVlLZ9aoNzeE7PjTZMHC9cHm1cJQYcMyrF5KDmby0Pd/lIC3DcCnHE xKMFiiOMV+Tn0tcg886cpfB/NHk3jHPzE6zkzjowAzgYLAp4y2w11ahGRgk/a6Q+nlxlNtKSyAUd 1bx07c7FBJWd2PwVW5f1ZBINoJYmxRctZT8zN0Gp5CuDi5VKi0D44hOMeVN8paKrn2K8wuyRL8Kw f602wXoZFxOZdgrdt3G1O2C5+MmEOxKpT9mdpTFPMQ6H2AJViH1JrMmAShjTLQ/4CKdCNagFkUXl SRHwEt2G/szL4I3ewwXz2qbdp090YUajjpCex814fGPCTaVBYxxfxAjEkfi1R+1ZplCl7eT9jA0r ZymIyty2wtVXXLz5tJatCmhgsfXuzH/ZTLwbaBZfsmYoIgJJapVUvHP+37IXef+7JrAWgt4w7lFO 0rkSDA5lpF7W/lWhTZkWPeHguuRIom6C0u+Lxf5HMiWegkpgLh6HW/Jx5VgeEi1WDr8kznGzh9WA wEZzSIpOYBfW8dYvptNqFlA8PMZYljIJB4O8q0EyuBYk7yNWeL66QOde87O7XZ9wdRqvEoai5MLY QyyFm3sOOQZ6/eFA5+dcf9ssE3q0rCc4AyFlF/hZ/tURIYawzf4EK5Qrj4djen09O8kXTZZ45efq 4kMcPhNcGhmYT3p1kaPWN4ddNtaB67emAeFpJf2D0hS3BUl4SEyTWlLpZ6e5AQWQiAluUQoVnR8u wPfhC/8ryvtmpbt5WRj/ghxCL2pn1IWOVm9CzORkuTGEqYvG6hZ47zauSy3jHnl5q7Q/sscbDEmG prhJh6n/7+nrFN9SnKz6LH/6UY8/TQgT5kWXxfiXma4BVEQF+uZhY2G95AZpLj0duWNUuW/Ywsgn F3xPqbIRftXR7KKsJ7RHZhoDC5OETkJQCoa/L7AU/rol8tIjQKsqZXU+Nvq8C9Qxlf6zlgy0zWX7 DmqSQaqB4gMe0An982JRbUsnMwBp1WGcc2ZeIq2CEXv8cldJiSEBNx+1ZmfKzv9f85CviowFjg1s JAsj052jpldU7BLxZPIa6FxMemzY0ZihS4ey3EleQsykHrVtp7TMWZ2z5tFbSiD6Fiwi7+8jRmD7 reSeTdhkkeCF87WfYmJdiP0fPEqoLZM73P+7cnyyBL3uec7NxXafbO+7dyqTJGfJjJuU2FJai9Rv sdLduKyKnXodEMjJtdnd7izH+6l4+rzrWbbFUwH0abHALItnH4KhCBT1KQseNpaZAD1RoPPbtEWe gxuOiC6jumzQTsFz2lOWWrq+l9n59illnJz3VDNz9WSAMSZiV398YN88Jfn6aV1T7Y5byEKH0uj8 iCMzjisfo7f1dLTENFBypMw0QLk4MlmcM6HWQc7ZPJWoCaddDUGGwDvl3hf1Gpqb3Mm/XuNVoyEw p9dwH0eB30btFPEHhen27wXeOk95NLoxAX3Wc+utV2pIQTouzQF5NQUyLhZxSWitpgKN+DHHRrFN H1/+BR6m9hIoDG3M8lbJgoJDlA72unYriKCeZ17bF9vfx2S55obGSkWAAEhBYtYTWjj1y9ymq98N iymTmFrGocO3OmBE8a8f5wwiNLHzcXkTdjA5uFn72bKNJ6tfN1kemoK+YdAWbtk+z9ZRIdHS4N/L MPHOvJslTrhG+8jJF2WYixeCxkMsEkDL1AZxNz+7TFHCRGecpCJag+7x1sd8jpVjX9v6p0LgXha8 qqZ4iaBMPArP6vvWkbklqsCtfX8P1FTibL5px8onMf8IDh6gU9X+vMs4ftF8EH5BoWU7C+g8mtLe +YH1OO5XXIKDH/mWJ4Fg9qgI352gEwl1h0CALeScLKoWmCJmTiCQrmzxZQ7KrHPfYYintopwskJT NovXXzxAsCemea5N4FzDWIL2PT0KrU1j+JyceI1t5fP4QPV0f3U+mzHxQrZW9WWqXsisr+aq+gG4 T8ubrxtUz/9V9aBDNPVwmW7y4MDky4goAFBz5sv2Vitj4xl/PdReJhivcdAiI7YpzHRgZwFxV3Ou Rf/v8Fnc68faHvONZ4tT8GiydF4EFWARpWuv/fmoQsZA+EPJ2fn4/prghJdELo+OG95lEFPOzrXD BL3nYE7Xblwg2NE/vNo5Ye+CNzDVcV7xl4iEJcf9MECZ3JxBnIh4+uhYFxwB//9BPjnrd72tPplk zCczcPJBm2bOZ+nzvTnHAYd6qke3K+hdaZ81KKkoizDmxk465BTeaAm3LjFUubAlKS56j9Y3R79C apKXFUzYdt0KGzspyEqBySdP8zmkrCWySLSbpCVUT2msz4WYityrfzLAr8lKic5g5EMd3+JZFAME ZHFzGvLdP059vHVH+d/s9GAFOXdr2gqz6j0l7aI6AKhyYs1syXqBjk9Xj8pWnD/OTubNp0csBY2H SrTXwnKmi8w97tOUltrnvyLPUfJctZRGmBLURGXFCnv7jvz/jx5Xcy1bF35qOn0IvTwN/fvFbxI5 Yu1uwK6cTzWYryIvcUNEPC3Om6NGjOZ9/mxr2ShEwUCANFRXYfkwgqVaNcYts6zf/QopTLRdZmi9 jnosm8ggNQ2GEoNxfRXurw82OIPzcL8YUvhAua1BseKMIB88nh8feC5G6HCypb52zcyg7+KP5Vr0 aKw5Ub4jCgonFxTdzn8aIXEVpY0j8C/l5dqEc+NhG5I5Z2pp7Kf28ak71REKuRf55sIOBmlWJyrE K52/KOgpcgqPFN+PBkFEy3sTcalZotNacUg/FNkzv/ARL2HntCYtMHcyQl0rUpR8ScxnUmTtcwJv 9pRhM7L/Np8QmQm223DdIWOupNnT/R0kalRTJ7GZX9RIto/fzeHuSniZ3o96tjfCVChwRqgehUyD 4OwqumatX72RCOlQNflgpQPEBpZYZ6SoJrfYtgnGTY7eCwlahA14YaipRx6HyROMA3q2LaF24DhE rnoGJ6gKWopOnZqlGAOn8aHacw5SSlZywcy2UF454lECaR8wNUzywphWn2e8ZCIbSscAx8yUa8p2 6KfkEFZ9WkNbTmiCKciAyEg7NxjCs900ocLUR7NEwFv3bjjF+MWb624JaAOVva4Ln0H6F5gXImID bIcybftks8MZcP/hTBOO/Zdol2Nbq0OjqQW4zFjaC2zr1L5Ujjdh55qZDlpHOI8J7/9sdcs9P/lW QP0frodz5Z1Rux07tOmdx+7BR0TeiqP9LLBUoKmdo2e3aIsSLgiGHenwE0Pmb9S7LZ+T+jbWM3mD bk2smqvVDHEzcqmt8Zjn721DoqA72i9QllZBpnHj8TvqIa9C+GlYR9QPPWCBu2jXGc9UMnqnODpE eJr5jEulHz12YxuP8PMLYvmQDkIxndFJ8epIEVzFxQycCTKCKOU3i5SZqougXT9jyGNl+HMjkiku C84CbdIF5RdsBuVjPYqC2zosNGnKPCGStRcM7h4CR19lBH4QalN1dqYIWYwhXBdDLid9x5d4z8Kg QPWR5XBc+j3VlrbcHageQOMN70hzoGonFXnNZfYlfPpgzlqFgIhb6XVJTHtKvYkMBbHUCT0fhtF2 kVMjwM0RKBCsd6zsflzJttQukVnzjfKHF5c58askvQb3XqbcgkOliSe4My3Ta925urZKxvatIk1S BFQDbNORbtM/noLhsuJnt8QP8TPnsxOOIOAeScEIJ9mQ649he8syXlzmybCt6WO/0QYevZy7dwJr 3ky71KCBEqHnlDIwKkfow/TJ1tzH8J8FXywXeW56y8d60teGzZVya9Y+BRXAEG1+SkVkA+mCsHdi FifKx4vqqVVuWcUgxpCF9KG5iS4EUo1IUETlnNQzegj6PsLSOtZlnJyVlC7Cker+on7jFYNVIql2 TRE7ntmPcGjqxUO2iyWX5L4ltu52wxgoxydVY3ioznkhwYhHdJdxXrE2xZWnugqXAV4EOCZjzfQo rAoDzbGVRDJEgbcu1yUSIaxJnOxy5TtcejF/7n/HJhb4Bt2DhNX//q18jER/183bImZk1ZLHndWH KBM2Yy6SGUUF6D9zR5hbMGh9ghTU2dviXJntp9rVm70LJOQxwte4NmOwdEp5SngJrLeYVGJofywA 4zw04/ZhT9anDQ0klNfOXuZ/plCaRKgnBw8JsjAcNNBXa6k9q1H6Pl/U9nAk34xKP4ppef0oEF5K Jc4xGt0/qygVKGGCVeQmZ653kTE0LoUZXtLrmND1MW+SSP8qDOOX4T5GVaVQA7fa8b2mBaci7cqD IYC3Owzt83tbATFG2L2AZPJCNzWDtOGtPpl+1zMLbiFMdx3pO+AMbOEYvl7CiPHw4fDC9b/caCeI yafQf3ubNEHsNCkxKDaIesHnnliQXJ2WATTSAkNkFb5sP6FRX3dUQm4zXg3BFnwwxXFi60wDgaJt KmWVv8h0PoxGk0kcTudrS9MzGMU+3AmBopSlEpp+CqbxWTjsJTprKBzbDHj018H0YDucXGznriig wG1WuZi4PiLMpkJc4wGIddKT92lb548eic5WBcyi6sc4dPaCdgAQnbxlTxHdQmhfkckkj+YrNtGS xFhIeVWyF9C3eFwrSwx5aKOGunk3ygFufrvnkBmR2aQPder4Kn5M+kZlZv6sOoDW4Mbqa4ZQk1gt ys0Yxn6xfzsLXcTeKewVQ3DV6gGgkbnTRWdqVmspREXs5XJYGJZ6PjQVrjOgXa5cpxFsXTs755yA J8LcAnZdjHMZjNnNygaYCb66nexo6Ro7bWNf9HZ0y5qeHB4cQo+XxDf0wyqo1zorOhHI5n0LSTMk +SfhPGEiWDmzRPWJ0CFOZ5P/MbwXmrCsdNkMEQL16Acaa+BhyDNk7DtiJMKaQmWN0cmePh0PiK0t h2Es5QDoKVBEPwv+CzB7LrTBtf5TM7op8FFP5an5RNceeMV+g1/BS2gts+eXTq/0Tvg8Y+3bEJHa gnfxr+D+tqhcL4gMoLwFgAGI8jiglRSDWCV6sbal/H3HIGnDlSL0OSimtnBlDAq2YWa1fjfr1Jk5 NpdB9E1ACEgldYZoCuf2chhnu4kc6OEr/2HNzzgHBuZcwUjuzMlN/DHlfBKTKQ+Qn7FH32UmwCYX rqtH5fE0CfnpMpWMlwr1LR8ay3aFWQbysZmx6hAzH91mufMuQDW0fLXtBqNuw5cJO+isgH1Z5gju 0Ggj7wXivPTAeNXqvsmijOejrHDpGzqEA/rjY0wySYu/VcN6vqHduJUi3tuVAZNRUYc0EKXV3vO7 ht07QN4FS4XWomgJae4bA/8/iqWCz6xzCTUSRoKBRc/s6ypfCO0/50juEszKhgSs9zY3JPny8ts9 MwGDI/WLAo2s3EJsvyKgPbKrAaj0MFPvJ2mlV9ZIUoGbhgIWaWhx5bAY4V3GUZfMjv2KSKUQLdC6 NeMcvAQJyBx7ZcN32QZZ8VCNkksPFP/sxgHgBJTYzYSueb8xF9f9uMsIP7/6vee9UJk19bmOPH7b 68NWK/NXNNzufpLrBSzT/swf9PYqKhdbSR48RdrzlaW09ijRz+lnh442FIaCBBip9+NfLtHpyYLS qHYL76Me/Gf1I+GGl0ciAsD/rfbSghZdFOubt9CG/2R31XOs32rBFiXBY6tH95Vlp4uJ2HpsVDmI xDjyOxlaZ9ZFvAVt/yaLs6iy78aK4kdpPMx/4L0nvA43cECp1EyKDW4RUKSCkIcFrQlJCbC5duZG nsY8BIfcoYlAcE3mp603YVlt4Wex0oYzVcQBTB0nE5gmW20VaWgztGdDubBoLo7ZtaP0ZGCrJyDV DvMhBpqXjG6pC6PDM5i+rEe88scZuzXBBgE2T4hAKO+2u6QMwtBqLhwCjqOWkZogfg89yOhGUU6J H3/a+Z1QcvdhSdtlTNQ57SRw+XYGAVSbcObjFM7EPFo/ceOmeAG65AI23C9xvHcQIt4nuAUwKK9F XjkLLyURaZQM18p6ZQZ8ZalIckQNMZMfhMgqV6a16Mmebv9B+PB0uWLNWwPneYCkFRYUz9Xjl1AQ ksoplG3Ilt0dOxel6I85e3zkuAgyR7o/pAEa0B6InCSCd1XpiJ44P3Mle6Gow7LnKr+M0yVoptL6 l/E5/7J+ceHDubaQ0/PR/usD3nkJq4UdDsvVu2qk7hiF7YoOInfWEO8w3AGG7+pajTmGO9rsoV1r nPCVZiqnlmRC90qIMIbuZTiv1UBfTqAZcU/HtV8Pe4wc/W/+z23p5LwDq2yTwWZwFPclmD3eRTee XMPTgK3m0CxEPOZQxXRP1x9BvwZoKrqJ53qjlMtVl82TwmD7dMJwM0bsIQVJicwAbjizCtY1pVfK QOVbF/VEKMm8foHQ35BseR2gpfU7y5ttwbPmUX/TQrWYr64wXj59OoAq+p6WKjaqdBkXG1kN4sGv VvO9eIVhUek2Fk0CXkK4Q3wpI8hRxncoJ+220EirYbliVrAhPir09dMC4dMGIBr/LYDNFIpLqBKQ tx7JU34NDKZ6uircQ3vcMtNLFaP+sHsUmO+i1rafm6/kusho5rLMG+0ed1KXfmA97NiopPTB9zdF lw0rl062eivaMcQFS2C14T7aYqZRdkyTvA7FDYcx/u6THKSVBcVIikcmP0c18fEkQOilnY7ImpDt xLufM/k5F91G5HCyUrMCM6qIpE2ukVkkz6ZukQA+fw9ZxSooI9F7qMVrWcp5bAgyOaObR/xXZmX2 Ey9m7gPuZp/aoo9EZvXzU8ry4WKZn8kvkVDNIpH0ePbhRLDgbRc8N8QNPVpwDoXCl69gxliT1lwP USv+GeSkt1GKNL4YRqb1k3tBCw7ylqwO/21AUa9PIMkdipwdOsvWvgfKdDhd6CiHPlWprsQ/VBsi xIbnwRFyww94hzBineYibTKartxI2HkP502xt/f9h83FnpWx5z0HmGFVEJINaxbto7GQHiOnC5FL JlZMDuUv+cMnwzk7e6x4NvFq2aj+Iy9BE65sq8KRpupU0QAdBODcexvkXlJxTnmA5jBii2TcBDGX 41IUYnhVDHBEuNZInHv7FS0PIzgPeGipBjyVAv86cOtnf2d8rpghbxRmghuBouuLCXEjsSDu3AF4 VrrgOB63nzh0HRGcoxmFkws750l+Il0SDEmw5kMpfwhzD437SdB7hCfVTdKlEvmzRh8SL2etkNvp jby57mWeqq0d0uzT/t1K/snk/WOZIkVfXYT8jDndtJapK/B2ApMk5vIzWgYK/jW2EZF940g6rlIG X3igGQH/285rAFGqk3PqR+pPkiG7+v9V9TJxfWOf+yJo5rtEDZXLLVmRpou4YORYdAS1/+vBCoF4 Q9MsyUmh8kD3lH5FL8EpcMnnBJgIpDZMYFCjrTO1VyFMG6OH7IPGNElHXTCvVOwgyX7vR6eV+U1f IPPo7XLy+C9E445XRM4HDAbfS7VbHVvVHvPvM2Oc5i2gmXbNEPYa70g7w3y1AYf+Nx3YNJ2H9jh7 KWe7d+JNmd1Av+uPViG79M3t8pBWeGzGtK956UCsy3y1gPv1lCxYEuwSJBqgta0MR+ALPLPoHTyD RwSrXtShGrQYeCc6y2nIoRZBUhk3CK+UkQ3OyW+WiiuyslIkSIDZsxwoX4cwHH+VnY151F8ZybCa zx0fOsZqSBqIn4VCLBdnqqvI0q4UAFjLuxhT5oKu+BffEXjbx2R3FOagqErsW3cnV0iRZjYXHm03 5A9d6m2tvoMiUMA82DdwL20lQsinF7r32nD+jbnNRctrF6Ru20Y4rYw2qDd1kpumSAp6IiUVbsOl n/Wf3k0BS7mCbo1m4I37e0HhN7ye9WrqDm/jDSiOeinZ46fU98RVz58tkUZRnlzZpi5Zc7Non9c5 FhxqPPKRTfUzij9XPfcXz3L/PrXyX15TJz71+MY7G4Fj5qvvVuHjxxsRVb83gLZbK2KP9UgAjPMK zfW0IBsMq9oSaglDcOnbbYxQ4nLoOZwLD98AgTxjrXdwZwerkKcuWHXggt4gUzSKq7I+mRjZUtZ0 3QthQb2B7CB2FqS73QhmSn3Z/V2qIQlCx4Z5NnBRjRErJkyRH5VCI+74kK2j6gXGv0A79XJZ5Cx3 dAGXxi11/26OI+xi+hgTgE1oYkfF8uTUCMhkyko2YLLdrVK+rzFlcIuduLq5BI4xZ+QFBV+W42GN 27ztBqlLQRmg7UfJuYAAz5SQc5eejW429pGSDpDin+AYo+SP8WHc9RtZffxB/bxcolsXN63/89Q4 x8gy0Eh60WjYySPkSNBdYaLgK7hkiyv3XlgHSfnHc06UaVjGj7TNnEbbBnL7ZV8F+DIIJ1upcqkl 480ieVz5Vco/YMrnGO0MaGYpm7ESKhlvtc7IscBiwH8/FpLzYMjDeZmRq65Y+d2OrUYwKVVlVASW bvm4MWaR5kkqbYVIsS3mhvGODo04YaV/HjxLLz1OCQbQf7TwcuK2aYS+xQ00mzwBTDhbr/5vw2Z9 5sgU2WNhqyQEBipu6V5TH1Zis5d3/aylMdIUyP817CGVAuOdZ/BHxmGHfvLZanuFNW68Fwwuz3Bz iLV1KoUTbklFMMwU2iuhTNSyDh8ByP/4GSo0r4DgYrERXTcrubGOpPCsvePlC5JqKjTXE3kLFAwd Kq1qpiS9yPz9hCQ8PXLSvTrkabJUSMwV6KbHJkba+vdH7QxSMbqMO6UAuqdwgQrRjlIl7tSa8xCe T+6BoJM0Vx0nWDD6qbWdaCs7hkBfUbPJVT3wWSv5+1L4/4wR4OUNQ1A5JTSl216hXzVvxhNdPiq6 IEg4CezQ613VbCqRCa2/2E4BGPiPlM9SG/rvNBe+LQGfSvUd9eW7MapWtjqgwvCJWNEwpNIHa6fe Es7MBN1mH9R3YGDwnOXvkyc0vxFM1RCwsmy2d8Gm23aK3HmXaz3pCXkNuJpYpgksqvEJcxVb07B7 Oc4XYPCG1ag0L6LcCu58WT6eksHVZF5dueiqQsFk2vzk3UiJFyvFHvbeDhV2Ts2zXHc+/SgjFkxO CALprrHv5WVMp8W9fH4sB33ruVLLLMvW3p0z/TS6tFFoojyG1wICawDxHm/SCBRItADae/7ffAdg EOhu7h0c9X0HQbnvP/Pswq4wrkY4oeGhe+J/bWgAnFBo9uDNs4vCJCP2FoKou4XHX1nphRq4WEWW 0YxXGEG8MGBB10lu4kup0TG4DynVbm9pw8aSzmZ8ZNrJAIVJ/RFyGfsRf8AkS3+fry3G9j3hORm5 VQHdYRsNVWAJ2/cy34iLvLHcAf2zaOUXgv8EQhAn5/g7xJR5f2aDhvoT1BszusitUGTXj7zChTCX jrCusaXYcvC7EeHhrDpdH/3gljX85ZdLTtIJxoVqW8e9SobsrRvSVmG49Htq9c3W1uCzMTxiO7Fw DiYjEvmnbC1UiD3LvV+tR/+Db5DmXyTfiOqiUy6yNszpevfdIA8OnlpgBPIQS1ct5wgfcamA6xf1 KjX4xO3gY26oCWQ6f2ebFRaLz98IONxDhbOdTY7I2Do0zuzXBW66xkCVkmotzw5c3JJ7Xeg6jX/y dSO5COljT1T0+l5r1sW+4F7/4mx+yMxpywi8vLzaMLO8qXT1St/9iS8zK9m5YKbU9p/m6Oow0XC5 qfO+G1IhhD/sHfheOHg0+cE5t4hdWh5HSPZvJN6dG25QwOk+KqMwQI9mmJFxAR8ozc6qNGJMtiH9 Ql0OKhO5HuD99v5PiN4WClz4IuVzHZvouKgBe9T6dk8g5JLcQ2a688/TOgluMJM3+bcn/irP9/uT PwYg0zCnVRrupajt+TC0tRSBdcOF1EhJ3hgKUdonlwXnJUofc5rGqUO98GtVCb/WXqXSfgbjjjMe gOIFbUnpPygDBK7QMl5M7DNorJofzBLNJUs7csZ/PFdjSmC+sBlN5WYuh4XCQtY3AxOIhPR4FFcX Kir1xGPhsoAb9A8XHw36RA9YqiXMBDoyhPonBgLc+ZQ/vPtJSmahCURphpi5RyFqqPJMwdmp6n2g 0fzD32zURpmuc3gmBn4+6403ZbSRolGlp/0B1GC/ZElVUJmSP/QmJnuxPiNOsE4eRSCOAoQDBd7X 0fDXo//uXe2tpGZnfnmu0/3miQTp/Gxm2cSckdgKzkAThEQnr27ZTnU1qHLHWtOoFVm8/t7rxU7r GogsK9q+UPrExrvSoI4bRc2/Jj09LI8eUek1eLorfjll9Umk+CvIhv+aRFshGtvBA3ZpgNjk9ONQ NPznxpIu5iTH+KN6CTsqJSFcLvIzZqb1f2Lb83OkhVYza9vHlFkzg4rD9gL7glLt6QW6b2gogJWS X739ArheZ3BDkXZTohdepc3fMFrtgg8aO2deEsDsZjFnIypVtYe5xawOOGdRcbTEDHzcWZX6V7HR yf/b1q0hSRLnvTjZW52okdNJ8Rv+EcMCsntm1S6sFyuGao87DCWL8lA2lETq/aQLwY3YZGObxscY surXEWcrxkHn7Dps32ebyBU+QdL7B4rtw4VnJoYvWgBl6zo0225VWb4LsBkKAriqsT1rdde3lui+ 1VBYSlvr6OG/wfgB+v/Z2iAIcv+31g38xvu2L0Br1Yc4byuVgtQEqjGwSDeH954Pw+O1+Lh2SbQV 4Ul+rCjRfZjeJEZf6GlMHSe73nPT+4qriZUWAXIBPB41qKFq/c63TJeqlNLySgv0ZXdX3A7Zr8+3 pWhxUAND6dp00BpL1C7Fb+zKXhiTmhV+MoybTN/XxXMBGflyAqi0nuFDDyegQtkSAo87tAplJJlE rxuCZINBHxdyhXb3wBOZRgMBDtWutOudx575HAToIUDlY9xnaaUq/ezzNU3b+J0TgEd26aEMfp6I gXSC2fNBWL0yuyGOvNwizfywmx06WTAE2kXJP71HG+IT8ZWmBMYxQLTKJ9d/sfg3FSf8ZEdNEuVS ADDf7Et5bOBKqotEE3o0R5siqXWi6ySxx0Tt7W7JnQO2W+X3MVLxMCr+N9FXOK8eC30/sQZLsAHv iKQlI02Ei72q1t7EN0+i6HABIdWPsMZWyO4bQ9JkLLseOWM9mUzlmqmhD5rBei5j6jBl0RRAGDsu vpCbzG2zL97NqXOtfEfd2LvZtzGHwiiyllctjuWiCzRJigiR8ELIOE0Zs9QW2ofZcJ31XvFk8NXx 0HMi8SR5bwjxnGTlDRqhnYkXZxXIDntHaVbKDgWg/fm5qeNTb9uGFfDxDQp26bCybZ4YDa0y3ry1 KYAlB0EVVXUwj9NXAmDeoNtfFgcg+QQPIhLn5TUFUlVlzLTT2mcsKNZW4ASciC09g7e0GK/Wjsoo cVHpn5iKQzVRFbawFLexCF0c52wzxRLAoECoupK4tldE7wwqtXB4Z/KjAJR5s3ZAxOo2G2Yt5tXw QJsQlF/QmaIOiEe/ugoQwTRZu+bTB2zNXuzTqFtCUq5drkH06625bgYvSB689RNxY2QeMWEi9SAy GaymLRUz9ZyN2uqJKW93MierGFO/+O2+XaarEvQjav6H6ThiF0nf9hgoDK4OoMo5b6+1LGBsWUWA dd6AjAgXOC2SSyjrnLJ4mNNNzhKZm9tgtO5n1UMsB66VH7jhcVTz3kk8HeXnk3uwe5/3mWZznIP5 iCR1i9Q3HxEbVI6MaDK/RxiUcN3IRvZ6nUoVifDF9hsSc/AD/nNmkjtyOln5QH60gNTSsNVDQXKm RB8GaVVV8E8Ndyn/Jwx5ypO1mqfEqPGu7PFGJvJpWrQ2C15RwER6cUEHwIFOz4bgVfLpKmiQxhG9 KYYsEgeBXMxx1siu9dlyC8vyZOKkza3IuJYHTFGPanxPaLh4QrpvKi00Cq+IkqultyC32HUTZOZK Zlh58h26jSbq50FWACRU9foKNGc3jlV/YLMeBtP6wjFZhVokh1eoStwhnQpvXzmJ4fqPPHeOeJ0C /uzcn5+wYA1v4xwL6BC9qj207HBdBY2/3awnZMVpP/WFEy7nTD7RgRFcG8kMj++YYD5xPx4/OvvG t+y5hVbUzmhwTXzQa9yLt5+ATDs9wzb0bcud1GeasY+pQK1wH41vFap6OSzoApPmtuXnKQE6elgR pPpXfMRy5LBP49ql0DzY73sLGhtxeKE1VEwquSuViduMde7sBloiyF5/wc0x7iyB7Suq4dnz7NmC eHxCcHOo2rexeyYHNcxMcs5UTFj1UN+Pn3mFfY2wa0NrCny+aUYnNXk+fk2UwWTtntpNlLtcGbRl t7xOOBSfSpUtGAvAy0SXS/Bud3nQG38Tjj+WGwYKTsNNKc1iVxVXMmKiHrfk0nvQ5UWHr5BWUptg f2J6f3prw+kRnIVFubc/271F9uOlQqNHxP0W30bHtH43iaNYmGT0sf1dGzeJM8l5MGzUu6Un1Y65 Hu2Fecg7fnqZ7AjhdBqamiPcRrKSGV6PXTvUbO9n30hsMigZQpWWWQtQ2Y77Yg+727ZgyRaEW+wH 1Lh4EwzWApALBjguZ+6OcUVgG0kNW8FMK9rg7eJYcnwvOz8Drpqcl8wWSPSObFMhDZ81Py5A25mM oAF4YdnqkRte+B2yNZEWObeIXtcrQaaOcrUHQ1PYYxLfv9DlhIbJWFC24TsCl8QJ2FQwviLBCMiq +zYTM+FW5X9Wf5J5mOdk8uxF2VDDlCqQbIHFR9dOG/oddqxZOfHNC20kvh5nz1ULbaSF3Hxxcmtn p19miSL+MTWePjuBU8zR67i4wtbhE7TJynORZlCOOOdws/axGk1EsaKt0VoHzt4RcbWCkgoddXZ0 G+9+ZUgmbGuXgUO1hMlcVrbW8RPi7lZ5bE2IEGv/R/I8Z3ZAr/xC8ETj64g0F769Zm2OoOL4c6go GvuygiVYTXxoMfLgbH3Fj2ZkCRUhe7VN4TjtjtMA3QsU6X/Q3YB/K1qGNuRFzQciEHgYF0oosJRF WmWaqfuixGmPZ+JbVHTMBd40MpCw9Fl9MtKoJ20PMtbH/aTNJ2b/dV8B6GX1tXBLND96DaQMwvwM YWBbpplGUw6mRU4j8zwRXKlpOOOt3cIb04v7GdhjMTxxhbFrVovFFwG56jsmVuyj2PzAMgfwX0J4 fAh2RUupSJj83GfC0saLvjcSQ5SBcJ2rEK3R35AL+X6u9Rpby038/7pahStiCcf9HyanpgIKSA5H Zm/ALcwR+DhxoCkjhDGkaYl67RlReaBFItsucP1dJyvzMm7+jdyFzdv8O8r8t+i1pfd0H0O9jd1K 37fnshWs7pE9KiW5fLjwQe/EJv9qM+Nem6j6srHoVSRa8PDFdrtkefdUfBbaMiasYZwg/622+loZ soPrF+r7pvNd7fjiD5Oq36fv6/cweZ1Cb0oqipHas5UtXpkpXh5STuxzc8+SqdWgVKEytLhlJ4e7 5T6PW0JGDy5a0ZffrAQ+8EbnMUYGQwRgqKIXRGpYY8Tnb3/mC9kXB+tWwXBPp8lytm0B2B747lEt h7A9B7RmlKNf9GftTQDO/2j0qjUdeph4EPamrGjeyZRzZJnbevgu8MRv/KCpK//3u99ASVgc4qDl 3Ou34J40islEiS6Mee6cZZ0cRLMarJuTyneyqNpQKY1G/YlZ4itxm79b5sqnnpHWodr46SDcRDKK 3y739IZ2HYEPWuZ+djtEdlQIRKHiz2Xxq4IKata9+dLPhQqsanTJ6YI/9xn0T2NqRBx5Fi+ICzF/ 8jgqQFM+0lIMTTTsBfEfRMXDKcZzSAodnmbrvVqA4zIAIjEofsZN1zsSnqIu8wHqOzzRBVtqKayU S146eox3rirB6m8A1/a6XhC877L8e8cIi28yvE3MpZz7d5tjrbtGmZTZXnG4VdhNOmzCDEHYA1D3 dpfnalVc7JewMzP9YJm55H4TVfPlWitC1JIOT0j1JRP6FednCtvpPhKXTZukB3k5exOd/8qA1Gx6 yxLxK3s0fUDoK7Z+oXZqSYHocWImXRM87vmAEIlLxER0Edp3PrKh/aSCEOhXImAIwmzYF/xVtBFI T3g61lCKMMx64UygNl+a636hnM4nxON4b0gtjpjLRnt2fEamo/tHxaesxmPtowRWibvbr9zJbB23 Uua75xdFglnF2Uvgbirc3f8cNagfT6pmCdcA55tY+Bqi0ZKK/0aBcQsLsqHWsPTS4Ggk0MmCVCH0 rHMh0TztOtHBy7cvO9nz7EQE4GVC/2HTMjP4MvuGJpFzrAMcFPNVzndDMY+AhWWt8XWaQiY+6Hn9 5/702v4+DEuHxDvTWhK8EMX+xpVY6iJwUSbJirC74WQAwD2KD2fIdB8TyiH9/sFQQqFoXV6QWy/u jOdHcsvy30X3/LLwiu0lQglzE/TvEA5t8dYKaq9feEsIPxZin1BJhkDPAaV7aN4uAMnwDlycmhi8 2cZhI7C27oKEP+X6NpJ1sBxXKOVxTpHCHKj0ZmID0xi3hF4LsuTnvaPXzvyCGCY/twQn3SwJkQ7U lRbcqLji915c5kHjGecrue1u2CEkoPlj/RWr1/Pbir/xvDlQaWXPGADimnuNv9S6rISwuygPnMV8 zFUfHG3Yo+Z19TRR6LrsFcYD8hu6pHvSr2QN9EFeIJvSligyg2IKPfMafVxXyYMz/rS53fKWx8BB YghlSg5MXUj1PEt77b7M/oEKAhJ/cO2VB6X6Y/WTD2/0oKuaodyQGoZYw/rSP8fxTVAZ6OiuXxdP JSHRz8szcijztOCP5UgfuqViYycQR3BalWZr4tFcXEpUrTlh/SFjCFd/GV53wGdh4M5IUypwzIZC leqssjbLxP08i+XZVCX8SIqGK3rSzYNK2Ab7wRNKie8naqiMEmkiv7ivqrSNZYG8FHq2BqwAJbqj P8fmMYwxCqmiyAXhYs7SPnZ26Cyyktdz6cbPjKVaSLBaIErAzUJQDtAS5c6kzxNXz3NpZ0Lx+Dyd QVr/u4LYRiWc8vFKWvcgezhXQB7Xxo2q2sCXn1bXlGbzpFUfNz9lTHGq7ZkjPltq905zB4eWC8hq zMAG0ABbargpAEr1frkjZU3+rirU7GpvSi9USNM01tjthkTbeUTOr8Bcbcai95gnEJBK+wWegK1M /zeZTYjQ79Wy5lR4ENh1KwDSXbUXQMUZM82S9p1N8evT//OMc6BHbsgTs/xVlBLTvNcxHnzH3n7l 0LOQL05D6vI/49tnjB6mns5sAhIIwRO+WRKjgM+mKIN/LFSzHN4UOOpNrGlVLK/AEhpmRJ5Rej0d uDGP+EagcoQXy9y6VlFjAj6y9dJd46eR2DISNyhNahjyjA3YQQYACkTPqbt/NvdHd1C/gr95vEb2 tRKObd0WHUBmIRs52P4EHZw0ct98lFVSHHDHWA42Wb0FHkgPzyIuVlq3x+YakEaF2hroq9MeHMbS DX8noEkmY4ySW6xhNv2naK8sEmEj2h/fyfxA2LbLsSIx1+nlym9hx7yB12e3KOihzaS/RPbdM6sU TZAxNVRELG03/rciZel0pvUcD2Wu17f8qQZJtFgfvSiQjCQ8hoZOG9/CWB5bIMtiDNaU+zucmnv4 Ux0xK4drhnlgPPabU5pWLjBCooxqUAwTUNJi/oAMai4vtAEhW1I9op+QWEjVYKj0Qry9piFImO+d GUGliLb+ntcGC103gEmc+n6VW0HYu+G5T8bmhpQRcvtDL347NK8EoDPEwurOPqr9K02kj/14iH+7 56BjuEcBWhvwiVSGFQompwdeCgVgDDqf7QjuztXG5aiTfW6AofxQd6xeC7RregjtKVAqQnN+rt0p 6WophOwQRrIcUV9o6V3sBGN9CluPdgdcAwTj80oIqSodi/YQHSlt42qPoyMjOKbhGtmU9/oUrUMi UhjQ3qNpCx1hKwxqHyr6V6gsYJrxW+ZQF7IztQvQrIgW7JFjpu2Q7AQUNpT/3+fdg5IlnsKw81Ne 4kB/bf5TBeroz1I60IZbm9zilR150sYg5OZnBnzk23eZO5JojN/ZlhK7IVvs29dGHtXZNS9YTSA3 pLXSh6GggrZofdgTS07SpeUAZ+YXbnTx5QYkl+JAJZFvgpXLE6FzzUTgkRdNBjFCYVaRPIh+MvZO dfl54TtOaebC88bs7v72+7hX4c9OTTJ8bCFLrTaEAsyJjt5vJmCz+ISxNbmBIwzCzMZkEvUP7ec0 SqKp/3xt2pWEdQQNPkLItFZ/uKeQhIDzGH7eNaA4EvmMeheC/p6IrSmbKPmwI84hAcYmYfS9s6R7 k5UDULWf5cva1R6zww/6nUUgfprtVdRLZjOx8NbUPAnSI8V95wZ/bmWgf1Gxqi5nee1SfwAMQYXp hTG4tQvjFsvfG3acb6nK2J5SPYc9AvcqGPCX6IlZvgGw7Bp57EcfufA8WqvfyzzPX6QeS1uNcZU2 yDfWvD887OihJSKVPoa8UTlv95YR+PE4hjSq2hqkcc+Sw0DZB0Zlo1+EfjmFdpc1yVvZG7D+DD1R nUJ9M2DKGyNeB/Da6fJEhMJcwfGN1Mvlo3bpGncIK5P2q49Lbqr/YJZ4FKhbAeqWiohVCEhQGD8e ByyAVtnxRH40AFLjr61uwFeeR77xToyVRxyOoy93Bn35hAQucmmlSqzFKZZocGO1pxHBBzu8i/YX PrT94Uf2Gc3lJAZIxMnCa9E/YRsHe05MlvTdT2hwYfu8sbJqWdT32TJBLETTzfBBtDNKoegDK4Jh 5qQ7coqmTBeuqouF0scswbD8P2sjz1HD43rPNumvoHqq8LIHFGCM7fyBMoSN8BaKVQ7KYWpgUvq5 zlui9VNSv8jG/q+vDy+Dhez7u2u0bMU6U35eq2VIBfNsGr8ClT/fuCiZ3/aBkqycSk1Ad9V8ABVr clqtaOegcnVHy8yUyQLwov9yIpINW7W7RorqjmpQHHUTWddClf1zz8y/teNo7FWGaHLe038L8Rgi Eb4NO9aFcRObfTEBBRDJ8nPPTQZVYmWddAeRsc7PHd+mygRAhVxn5D32fwokkL8rDtTUMvmcE0Uy VwrAz9nPnZkjPNYYZhFA+D0dzIMhO1cNqeU+tT0OtgWv6pVwN3tr0lkJnsw6bpanQ3dGx874N6GV M0X9RKvurPPCdYmiGiK9XeOKUH3HoLjqq0S1n7EhptSxaYY5y5UacIZmUtjAaI+dPBeq+V7TDMT5 o/QpoG2QlSD+AE6OuQYlNwgqFn8lBQw8Z+iB3aIFN1evaYKGyGjh7TA1iYxWC86z2YdZ82cJ3f1L DhLvxswHmSJBle+blT6hv+ZEAGolPq8GULtJrGej/w2TXwMfstbxjsRkYbxjgCj/FfLl03i9FcTW THe6z3zTal97nBfZLIsAQMCALMXQIZtYavv8YXloFRdIFEb32I3UdTOQhasYC0ldJAW2VX9Z5QlK EZHUu2lNYw4Y2EqxqtOyqBpdwmSPgynQ1eD+whLxLFlO1oUBlqgKokFF19wtqlwIHSiLFBrnD/63 dzslMSdesFjnmaUwJLBq8LSqKElN8JhGJ1X2RIXFOIOgBrQCa48ZaNshebs06PGlr9ibdMH1LyIk g3UdrxA0tdRKJXM/lMX9g/U34shQQNHkxDr7qWsh+oX6Acf5ceg3EbRmasYHmLfHT8Bwnc3qviyN +fBEz8DLSt7kAHtGA829EiR3iTgAioivz8GRslgffYlAwUnn6tnJvehtfl7l5PRfej6R+qlXl3Fn eZBPe9YpYF5CsGfNRtnYoM+xeKTL7uOFLobwooEXK1Wy4DdL5qi7kwY/RQOGxX+M+h/wIQkmQPzW DZsoPQPjMud8m9n4MfHDlN4rhsrsr+wsa2lzFyAIuRoVa+qSY/DUoEzV6uGF6ausds3cjMS+md6g 3qK2MMkk0l7xE29HH2nk/XtAWJ29GEZAj5OsPT1gGV5eoFgCDuDtgeSaW897xiYuUyHZYOcZi6NB YNRXQObQtNUAhdxjKsg+kDkFSPEeoZXeyLFNh06wnvY0l5Aw/w/Gp0kQoa1PIa3CL3Kmatp0WUzc GpC0Q7p1HxdF1XT+lHAtc08fCWjW9FqtMUUBoZNz/Uj94foUugjUcnD4ZKwlLIRRmoLw/YKPQe2r vX1gmiS16/tOARMdT+eBRwfou+mgipV9OVlA6E3xdLG3veIvFBJznkWHQKIRzq4EJpVbkwk6h9HW esOoYmb1rgwKG4ReK0B9blIURRf5/+62ATh9RYPeWnJOgID/i85Idqrx2sOLjjBk1oqIyHsGUdAL re04z5B51er1UBG76zZvdZytSFftLicNm+WWZYBoD2R1944m+sS28i+A7SA7gVkSebtSZnYhHc4p YVkAo9cJr6nSMh7qPFYc6ha4EQHftf1R5F/rKLH0J5Ag0hj/p0AKMT2H43fR7XptL2afoUP5A7WT jSxTpepxMF+tWzi5s5RJaN1z+H78uvmlopZlh2cSXwSEV5Nk2Jp+SyzYJb67jx7tNcnB1nF3F1YZ 1KUP50rUcJvY4IXGy4KG/ViYzfSFybNuTYHCDVrEedPd312S3QXcNodfoK2dVm8bbDqatnmZ7sV3 FpIgRZ/fDjB00sFZL/WzL3O52mb9KCgdH0jdf8AxF7Wu2r9eSs/UdFWbsD0MtDBz7I9x4JNsGjGo o9XGOhF3qdTeDqq/cds24otIPFvOp0LUZO0N3ZpuWULlfjV00vZolyJZjHpjJA4AFTYs5rga8Pyy MMft/1jqjvhnsp9scxSSM/i9bX730KBzwihDQLP1/IyZMBOD6CYmAPi7VbAQu1g1ocBqR2oUn3Bi CTqchBC9BT44RvnKIN6hO5NegMkBAynWaew9jB6CRQzCLJafDnWHqY4NHmv561fY175c+s0stZ2f zfwXSI1dOWtzRyWcW5PnpAgLtmsHMReEFKdSu+pVD3pQ8ooxeFQDtQpD2o++SZpeE6FVXeQpPa43 K5NubarCo4XzElG8a0llVWH02CdekF0jD1kGN5YDG7nyxe127XA7g9uR5w5OhTBVJZA7lKq/bqH7 lkMKAxFD1fChLGN0uRFaY3n7x8KO0Ca+05OFBgqAMQk14qidA89X6sNVTcX/e9YiYQOm83eQCWjB ULT3/oBGhKlz4xADw5vqB1odMtabzEccnlapq9QF3gpiwjcxJiyFJqp72tTR7DSKcNq9qd0WaZDH e7fFe8+qbzr+CwPc2VH1m+aVLAGFnq0lkAGMKPm+NEyfWhLWZHoLFPj7whnRBKgTrEB6izvpfdTS ka/KkjAP7fRqjw4EpUXZN0rSZ23rC3mzJeJhaZsFI0A0uqdvfG0kJvlmIULK0eDsu3PeuT3+Oymz FbeyI79zG7gDE0AsHiirbEzTy32oF+9rlV00lAB5rlbKKBnbaYiD/zO69f4/8e1qeUwcY8eNbMXv 9vhm6Oh0n/BFB1+OlpUAe2yOdaOkbBfiuJGlW9POdQf8hFl0Pgt76c8sEGrIu9DJjGyZU4hYa6Ar jXCDN5jPIMnrUMINC2fLU2x7ynwq4jSgQ6xgmmQE/f4zwSJ0jSOuVdAMKm/Kbhg8BbnoHYEo9YPL 9fdM+dcxRwZ4Optxanu20lCMlfzsRaDK2gbvfNnbKqBHh4GQeR8m8IsVjfCQYMq+ua626Of4MmRm Vzbf0B0EsfSx0pXXJdR7L6SUhjhSbIeuFi/Odz8jNhN237ailF4hdEZWVnE7O/zmlyOEUh/NyPtS a+d6hBJ8lYN01Xju2IV13aWrNREyw807be0jKrcFNs+QZt7bAERb0YLbtXF3L/ZFXEgNyI8WiQ/C kU+EBOiqQU2iqcn08PaDo+UP0qR+aUMadC2yWDwkqYvWlFOGQ4l30Lbe1SSdv/zhpvn5LMKGKx5u HoWQEWmlzMVHbGNcbE7yaXRDW2VOALhO9NGF58+bx8EJdPtTmtsuMbPHZFobIpnIlByqwPljCrjP pYX5uJtAGEJGvVtOXo8XK278/raZZkOiVfERgsTWM9J2e3MfUyoCPD7sI+Ao6ybHHy2UZmMC0gkO thoT1yaMI0jZpleWlZbXeu7xUEGmgH/yHxqxG+YENLz1BBKG1JjoSo69puWQHgEK7fBtM08emmiI HbBtvZBgQwxCT/YxhXmH8Bzr18ZC6GWZ6lLV+Vhx2lYBTCxIaktlJ9Njqz6y1rRLAQ8NO8VI6R2m AdG6o3bEMdQKxxQVl6KQSPmCLx201zdJ/tuYiB2iH1uyhrlPdjk7jQcnyElDQmgUR46+Xq8QhdJV c5b4mWPyulIHD9EnmBiXpLePCE6YwCeLA/K8JX/bvKrgX8ou6kjkmEMwuyYN8snFmoFl93NUSzuG zrOWpWXv1+sUx2NaAbjss2OrfTOIyQ2dzdpJcfmJbvPwG3teuK950j7vzODVg3ltQ33HBkT7/20v gXLEaMYgDatjKuDE9kzPaNs6J2ZiHsek29LxUwB4JHS8FDvW9vtZCJLMeq0OGBlJDE4W9tebimi4 btlQInwdpCjMtGvQdsxoqfCyHK1I8Flzzl2fvHMGuxS/NqVwS2ZtHY4jvsVmRMQ0Pl6Wun7350pB NrUMh7iqKZZ7T9xqTRZMKNR75swucg7uCSm3U/eZQsHbvO8P/4Rh/O3vP44kMBl6odPGWxQJGCKL pzY5kKmCz+Bh6QPaygOj3J26j1c6cuSe3qwFrXcV8/WwwCyK476kq+bCQfZepO+fikZVwrWwW5Ck Vb4OS5JY7As4RXySPzFILL9wWGif1Bw0M11YdTORmOAWFrCQv6SaLOg5/OT0CjSL7oB2QJhKSY7n ehnC6ENhjuX7P7Uotfz51vLu5blvqwvE3b20JnQmeXUhVeEW/ruhcdEyZM/Lton3rxjnL/J1cBdZ Q5Ht+hnEMlKA48hYIvRy7i58Uo0Vpowy21ttCw4ikWYdwkOETnnLK7rAa+H8sleSDinJjA5s8YQX M2ulKJX8sYzy7/sqG1FRaZtJ/QH2gkAiqAgMDP/tuGjfiPo06MFmgpf3zKL1M38d9smavuaVaHXV mTyfK62XldfTcA5PNLOh0NF9Bb+9pMWYWl5AppqPcMM63pppMWeqwY7LDDHWaEs3AFNqswh4njY5 tat6zmsh0JgEPJ3C5qPM/PyUyu3cX8snOkfSJ9ePQkHJRFObSjno9XdIsmt2aPuTTZPdKDUYclXo 5AI0V6E+DyKICzbXddGN+7e0XPzyeyrpANCJL9O3UybwmWVW6p+/8a7Pg9WoWjOXCSrN6Id/4nAh o6KvZE9nRqBeDtYMNBviMS3w5UwunxCAKBwGUs5rZ3BN/g5MMF8gJih5tA3C8Wi658Be6BLXb5sF I7I9EU722tzR/fQBVg8OoRrqCcN1lc97LlJHBAOq9l/4o0w6+4Zi+N0Fpk2MknfAYeaQqxDnCjk/ +vuh2ofTJcNC+8eTTlewzkXRf/sR3ULdxzMgg4QwHqjfJg37W4xMTUh8Ceb56Tww0I6xM8Ksbcm2 PjKgDOD5AWmnYN8db+Vj/yqnOlOF03v0En0aduwLZMsVHWpvCBpRY0vifPZrlAGwHfoQzTBx9k4r tujcoYkwTrKslcygEIR/CJYH9/9aE/+z+hl++m8LCjmBM/2DLm+Mazot1T+T8FYeIvgpqkQ853E1 Tf1D5bOxoAEeFGu3/bDMRFnEP3ocN48JZagxh2hm+flijrzAE3oAdaZm47sOhteoyMCzo0RR4nLO trULMHyOg3P1ZN2Pu/P8IVVxVfVgpJ/mpEucPmbee0KRCMHgGxNGg2JIHMCvRIxnaiyLPLxTkbsW wP73sjhpZAOoRe0pr2feIFJ+NwG7rk3B8PRm5jNSvRQhaX1q36gnqBJoRsDeL++s52PjxaIhJf+Y 7Uu39eiBiLvCE5/aNj4MNdY8AWoo2l0roeOVu//bfuA2WAablanE7CqLYOT8TlEJaRquDpjx8LNG ed4n+FR+Ejh0AIuv/QuOLO8F/7M29eUQ8N2IfKDzjvJTDdGLPqizDS9ktfNTX8pKWyboLEU3Hg45 L3aHunGAYqqPwqqgDlV0yLiaxmXAeqSVuLH3HfSULyYO7Hh76kixijCxrfHTvq6o/0HbUQGb8KIh DlIQ6atwAtmzlK2xDctpeDxaUcyI0BbC2OA1clXj+hj+pugbJNl1+X7cOFo3SQ6JyIQ1jZ/Q68j7 OGg3Tn9RPivOcU2XUlw/j+2wa7dw6xRXXu4FKG0fI2FJSOpShxACcRQOh7oXW7tothQPDT8eNRKk yeVc72+SqBNoh2UuAzF+3+Mn8ysGQXxo+s3/84WgzTX8y6x45LlPmzXVbdIYP5BJjcPGPKpGZv+Z 8ZxsbfqKFuHF6uMzqCcQACf7HCKnl21Mj3LeXClkwnKHbbNalamhmDjULyV5tSLHb3r0ljQrtJgy U8LeWTTPR4DBSsBTlgx+z7JzSDTzHblnc0jSHxTPxfc6Kg1zoxzSSIfa+RZ/XI+1mpeoFDEh1Dxt Byt4JlFdyUtVfsj6bfKED8kEIJPJsLf0Jfzqcwf0/ancNkpTQlxylaD8CLNICEbbIDZLWrPMV1j0 tbT65WVp6cBiIiB1K/6Ng7s/5mGIVc1BM6RIMIYuQXRVwHeTLOM/w6uxITkBbqSmP7ivA7r3g0ya ZJY4YyqJZerfH5nGN4igHvpPYRQ44Ihm3ESrYeZUR9qt8z/lQHhQg+9E9IUxWsMm/9uBhaFqvYuX I2rGM9bOwok+6SsvipNN/n3tzXi31ECHhVyUh51GtH6fV04rR1PZt79AYsuAqEYxXRHdAY/S1KTC mv5SnskM50rG6Yj/Ki2GXftTj7H+VqSvldVYpkMx5XrHz7w/hatpcEaqVqsezCQLs+ag4PdDgs/5 b+ibDuZEV5UkKORpP4Fpp7RvvA4t85S3j8p18EKBAjZ2JxX+L7t0oueygR6qyouDqM0i+TKbBxeO Ovle/DoyHFYJznToH52oxMa5Z1NrC1kq+mQX9s3sfdQJWUoS8ycLAnpafnEfcOxTB5MGVKRi5mRV EOEDiRJjkeB4Bcl17QS2N+760rHGsHksO4oAG8CZqZaVPbVvv7dv3uDBCw2NR9KdCaqM6KQdT0WC 5FSDPdrLUQpwQPOIcqQWdQfxbVKKH5DvKQsI/wYQar2PRtWTr57U0vDSihbcV6xjlp48VeMBXVYL Eb+Nt7NojEM2XVnjcynPaBF76yMaazNRKOmt0TOCm/776HLxifUKe/ZNqxqNqGMHe5FJZXyB8Bwp r1Xq9m3Cttv/DITfASf0gq8khBZANIVbYRM7lc4IPBNbYC4elTkOA45RRKAYnS/SZXqt/h25S/1O L6mrKr91QtcvhrGr6WQjvfswzd5rkQ8rYV/ysBN3Bz5Xf9F2uSXOgMMdwDL1m/ivjopm17waVoyC XNumK88vTYVVqJGeXyqYZPR7Gq+c3Bzp8zzlXUaeBEmlLQcE49IU0ZZjAK3A6c0W9iygjLUnucGp B8iEPyUtB8DaIlzqP+9IaZChlPwiFhVAjTaqJTLbgZX+a4439qYfBinnHVvt3EIJIfwe2piIlLOn pac8sB8NbXOdWGQJX9erawa0lHxaXIQndcTtlL8QD5qPNWKCdXm2Si3frDt5mVq/hNvfp/mgC+kq 29TjsgiE4sxv62kGlZi4NwnYRc/XwVMjRcVhOQZMIJg9luDzmvMRpDciMhO38yJ0S7LWgH2RTImj nccCTVQpPFb4ALKRQpLF+k57WAs+nxCFmHco3CrCxZJ2MAc28yGTHBBiW5EDFCSVGx3AVB7Y93eW CG5BS+Jn9hc551zpenS4I2JI6f0IXUV4SAIW29ooqV5FL0zMkAsMdgvb9Vu2ajo7bWpSLmjqZY+F /VIGoQJ6DSdLyLTxyPmoRe+fUTipSXnQfwC2wRIYNtbCyXuuApiIgROfzqAGvxnozvJ8lHwV5ohE B3rrd4YMXO+uLXjUWb3Ojw2JjmilwZdsZPJV7iiAOinYXMSK2TAx43hJDfJeFnNo3XAPuniP/Lq5 OEjHUpCGwACY/hrl5u4v3LyG1g2ssOfxjBaxWUkdzetS97Jc7lz7++RrtLHqGP8cLDdmRPzg1Wnk 5zpXWR2pRedaB1yen32mCKCQYTOrT7PEcKylIAisKU+82cv2+xiebGQQsTimZLYPLtVI5oxbpjWd xVPMx8D+FgOOrXruAix5gWCG4nPeYCY9FGTWU8lJytjsr2lC+FdJ9r2qLifKcxgGSVOqEU7OuPOd iQ/V9cgycp2dWbwIDpAymthA2OD+TrnFA4JPZdsrQ4EK5BGhxpOqDO31iKIt8TXHgq27Nma2u8Mo sS4o4YdEQJchbdVq5pOk528vL/xb/gpAaC9i9uRJKh+FNz4foFRUKNp8fC8ttOvFGLC2dIaJD68i Qj5901WGky8d7Qram9ejMUmkpZEz5bL9ABZBwM4+3M+BTqZxUcL1bu/oIqBmySl6M89qYn0OfLLC FiZxCS5zYbkUmKAfuZeZkFBHOXR+d/iEkncd5dd6Rkjj7qQ2OjoSXcBiEg0WYmbV5zmS7PwBLTJE g5eTdvYI+D15L7wJa9eXDEh1xhc7ZJyP51uBNV1F3BM5wXhtK9B40bEbk2sCZLPwRVR9nrRldSKA 0xJL3Vh3CIHJU0xYfEdf7SdZmNaznJjm4llgwWZkRMyZoOFzCVzJg/w0qtdRHRJdU9mXVQewCRRb UBTwv3HaXwtaZ+0+/1utz2FSKBvUY45Uyg2s45ZY5mxwit7wCZ55UMaMkR/oxRvnBIDqwJA4kdip lQqSb8s1LdTtmm7JDJPqXqPhhd6v/9MKbgGE/qLYXoYV9AhXm0ftmirXEkWi+puH04Bc+Sa6h1CO rWLIjkznLoIC72lQ9ZjELo0SyERqchlzM9zsz1osVn3VLNc76H86WzGhu/WiCIxi2OAXf0MU1OKk qSVVRoT7fhgMNjbxJP3pGBdjb0jdEIJt1EoVebERZ3yb2Oj6HZCZ5OwegEmMRGaajZmvUx8AnZei 0obYWhaMpbbvF6HtNo5wL4UphDulL0JfVSSJ3p/wmER3uAyOw+dTvLAAxry2Sh49+E55H1XERJuJ bWRdrUcsQstbb2aqpHHLqKjX2gcH3tZjoIWEGKTR8G64/+u+uY3a+jdo0c/QwhUOIPmELRhR2LgN qE420Af7W6L2AQ51HQM6br+iwm/yuKcnZ5SnTJ642KleE+JIGT2cdCNBoN/taXxxFu2RP5I3VwdK Sz1Ax8WNAduddLLx2co7NaCVRowtePFm7RYtznU0BMB1tMYe0uxV2Bn0I80KOSo+Nl++f4kZEHBs CVjJo3skd0a3+s+p0QaD63nAziq3xIzdC7H6D8HBO3xgR/hASFiIhzTWX/U3zGk3RsKmLD+svWF1 QgPPLonhRw2OHDUVhzjXAySv3Vrw/X4G+nJwdH7enPKm33UqCqDhKuN3Chvp6O6nyvg5GW/PWqv6 VR5tLk2daRZ6g/XFWm3v6tfpPQtukzpjgdTmPcVDUBtKicXIWgfHeqzElpue0Fd8l+pWa9G9n3ne TjLVKPbHzYemQh17LuxxiiIvNkv9DIkMV7Jj8SBHlhKigEpwrF4i8fu1j9X9yqXhvkY18+bRN3Gw uBrWNIS/1YF/gR7o/NosY+ROwaE7uJQxDXqoR/3ywlM8/tK/1Z9GbSiFkiUxX8bnPplo16SV3lh2 XH0snq29jaQWwy7SciTxQsWStVeUsznA90FhQWU33nGJWMuqOG5WqqMgo+C6G3pZF4BLuwI9k9AB liqgP7U7H6gz7rudcR+xahXCp9J2EUXowHkro8lZ3ERFVURAKeF+30bCV5u34g9WBX5I/qE1IEct ay1jcqOe0Tz6SRW7oBAqpfxqGPSpA5A9y6i3senHEBxYP8KUoXrpb/Zj48WsZ+MvFi38nXstbuv7 p18fnck9aNHrl6RZZuah7PBpi0HiJoOuXJLN647VD7qytEYof995f3yNj0VMXvc0AxyxtsuHGLYc M58qVYW2xIikXw7mzF95DXCqsXiMNxrCzIOAZqH6YsVwqnjri9g8niYjg1OPC2Xaru6+ohWMpUc9 KVtqvP01nbemece7CqFVPFyWiFutDrUT4kbsRS5Jx4YM9aTHxi/TmZ9+fZ9MhgJIKREpRmGSgd9m oO56bEQLz13CFArbz9WRUAaWftwV1czyw3i2ptn3pUbKeizZRX0C1n/VXqxGCMPJYQ5nm78qYC2W wGQBEzWp22ny9RFpL++0gG0SxMSrYKvlWxxsN9NQW0kalYEH7v7ec+bl2XRUOm6cieRd2Y9Xd2rT 3T+vvTY6NqmZJQWBwGYmndMUfAM2Mq13Ni0nwPO3QvuCgC+1GKVcFHNjSdN0l95ZGVmTKP93rxW/ rRPE6QHwJRW2mnBYyenu4SApjNBfeQ6rD/PKTmebRpOeoa6UNNKeqNYtRL2B2FfFYFb9jZprNZAZ BC6yx1tYUtBIZRaCIpGeFljllm/Vw4QkhBG2OPeV8JwAgegm5l2tZAipbHFPxw2ixORWXxxr8EJb V7f4thcC1g6zSrZ6Ik63JKo3HzQxfakBxO0JOh9iHkmYSblMhfX03RBytZu8ngmwdU1IkxJlMlbC WO7gxkxF9Q32lyBfoyXFkYD/PesPFZV97DYasNwDchz+SSNavsXR7j33WRuo0w+05xwTMW1k4TFS A/m1QPMZWJTU+sggkYQIZNHob9rHBVZi8C2FSQHfe4hNLacUlfrbSc4r5yHE5lquOsJyA8VUhXkM Gkccf2p+3xlKm85mIZ10NwqWdgb11fUt9JXc13iomYC/eW7rv6wFDB2jx9FfNRtj6tx0rusY4bf9 o9S+mah73qudHPHxJWSOcROhu2cxMC52HCaMbrKiuy4QJcd6qUBikFNCpvqDzyLxaLgRksb5hsN8 US7r0ofmOflKSfUSgl9Uvxw7ThS9T0adWfBBXE72krbW9MKZlRGkWTKK5JmlLTBUUqqtuW3/fgc5 kU3dKpVuV/fEKt91bRp6QTPnJMal904FkGSwDopaxhdvDlVhR26SueGJDQvTTeIe9qgtZ1FD7BdR MDbjGNzPrFBN5nMheR3m3dfDJ2hx3vog0ct+n+O22ZJjM+3Z8svqWX28nRw+Vn0HPF35j2EK4YC8 MuyOqF1AbJ+pzaM/59sfGZ5jmf7XPMcqFV6PmbSvWHUoOPT/I0HZelXnW9CFcX9DPeeMNyrThbFB Fqk1DnEUmCswazX0XvrlB6y66Fvpii/lo8vQyvx6rWwWbpZl1RPC8+511MebOhBPnciClMUxS1iP MO2t/zfD+VXdFk2/55h2QXuxdvY2TM9ZbbCkjnf0jmFlj5KhJX7uSEjzpkUOAVzXMSdtYhxZkz4A g8YHq4BlrVWNdnr4IxQZkle5Klof0dHVN4BYVG9X3Ife2wPhbv+Jc1UFoss8OF5j6X2gIsnsyb11 uzSwmK89B+VGwcd0gz4NN9vjxbZyuYyFCp6WwX/IOVqZ5YYOcGkm4DRGvxTJ1CaMXm4C12vvWzkB jOSPrxhzXgpBDoUm3jHfsKfpy7O1v1gHgnCVBN/IvMVmNDyF7YTorXSw5gHfDC9aP3xq6+P17mc4 K783Q85qSaveVfkKeGzVPwr8tSXXQ60ws6YKc63PQmNQMysOMq2FpqExvByVrHF8+CCLkQzunUiG vWvIfTfXgEansf4C7QMYMwwin6EN2x148yX2ZfbA+ls4TtKXlZ0WQ13GmidlGxjQ3LoJmvxkI/gI vLfTeXNNqJesBABzJqcQxXJFNXR8M7Ry/OwQx0bXgrinBPtH8AibILgE41d4u7f2Rt2J1Zr7zyoB 2tz5wwrfkRoCBW/Jf6wvHJaIKFEYDx/IcWmuEuIJ14kyRreaZNDsxjFFeHsYS9M3SbnkSrrjmcWg huCG74N5ZI+k3yNSr/lENYpWLpMg13g6RLSGaNaRQ72+hWnzgu1F5Rz+i683kN8vAJmVsUGWRDKQ mzF6zXLgPCLraHJD65Aov+fd/ovktuP4w65zndGShx7Q36wScrvI47xBP0XvYgyu/l1TAjXJxD0P LqRn0pKbapwO2GgpIBjWeL3oqYq9Mq6/fXSvSPJVsbpzZrnvSBD21fbHFo0fsT1i8DLF0wWChTXt 6CJKKN8XecO7znQpq3FduC5kuMOLq50lC3PE0A3DDxjgbfpr1UI/QDpVWsyQFh9d1mP+kJMLJgmu 6T6sKZiS1nIn16vu8uBRSGk6sFJP9Von6KN50oSMKBoHasyInB14W2CA4s1VWCucqWScOps49J/g X8JZ0ZEMAlQqhSJW/WAtDlYZ1bOHS0cG4uKM6bfJGTIGXoPj7okSlRSdNZAZ6dqCN/f3WHgXRY09 0W2TNtbpJGdvvNicA3kChoYmesXlU58v3GJMy4cw+eZLwJ75IOgRvfkThReTX7VI1XRcNbagslFD PGICbcJYbpuOEVgaug7KKOSF3OrPM5vleOVlEsnA2oYFh735ddf0LskmdG2Wn9ifuhq3GuQ3ULyV i4kw1jw1cA23zG92tWSilEigd+VMlSARMZ+awwq6mfgi8IZromCIajraOGpsk9bEhzsojTQnaTb3 Xn8xuiE3lMvUWZnmnAs1GSKUkAwbUzgn2QvDVi7ulpJP62AMmvURkIBBw7icIO4btzcfXmnjZUj2 /ynwFOjLXQEL2vbtVi98aC2zxVmTqqTncClTU5s/Sxjc0WOUJXPY6ygZToGi6iymg2VoT2jFpg7M ys7JYsNnjb/Cr9DQvLczaUl4+puwVXBJbXlkUCSXm3FJkedasUBWlV6KKA339Te4jKemmCDGcbN0 Jh2YbxxSQdxY32lZm8ccwvIWiSQoXOLlWodnOl6r1YmMsbAJbpRRNGd7yIcjp/lAqXyf8ZYejnqP rlM++HSuyTCw9wWDsI79zFdGTfA8G2HagCh4y38zekbplznA8EpZZ7UQccXWrLcq5c4UiSHaNu7Q JpcFnJpHo3CCby/c4Pau2A8Zg4THSBQlEB8M/jjTxnYIakHqKuiYSDyJis+fV1zJ+xZBDg1aSRlO u4wNJuyubGcS8DcOZ6KFPyr6X96KZvoQ+wUPfZ/onnkFWKdbx7ejr4r3PXyL8XjuZ22dJR65+8p9 FFDtYurSVCBw3RUNqMkE4cRDhHXdQYqINuLayi/zAQB/C8fGx3/AY3RUTs96XMswAmTY7IUFjBbJ YLK+6iwZ7qwoKwsnV5HF3ThcrjidNJdAYfMmd8vSa5lCCMyEj1WSrcLf80Y9CvygziJoxIK4EnGw j91eSyuXSA3hVXqoJjj98GxvInjjhIq5hdzLE1OQJHjzZ8e65EFj84arO0/ojfJgZBg7Tr5wCmE6 eiNhzKDJN/0Cl+y4xJBV9v8qukSGzkg38GuQs4DpL9gxPrrvIYqPIO9RBoK9l+vvBjmyUJzxJGpz 3RJn5GS6s5KwYWWrcx1ErNVpayfDPJyzIQV8YoLc+dOkKN8MP7RtmFyYjBiC1T0z8xG4HumMkQRg onsP1kCDh899VBx4QqGaW88b3BCJrsmDtW5ZzA0N8bRofgBN2/26McWN1Wl4CJypbgfk+oWDHEN+ Ko2PmfdiVWMz4tHJ31ieh8JXG8idLrRUa33YYPI7HLgfRvV1bCjcdl+hblqajNw272Ce0eT8bx3E xWA68LXkgMnVDNCgLqbJXKBBkBKWG3/o0SlI0Jxkk22ccmQWjctO1TmIiP+4Tgg57QO69gz35nFR GhmtTMx0bSvA5fnUkQkRkJLuL0roxP8cPh6zNNwsLozC6jUyvAopA/1o6Lt37j3/1jLdVK/d4XxU alfz1qlHWSEjVX0lEt0EGmwXf4loDOO7uzf/IBRwJX4dld7lgMkoaAFiVUpjxh/69HCYCoGlP8Dv 1KsVf9b+EaqoQgthLFOZdk4papxTq+KQJwDB5EhZiRRUqD6e1sO9NeCWFVQt5CJGkUrn/JgYnEsw IlDGRmREHoT7h3+JmmsV2G8HxAillxusbVfRpJGmgjctI+5UJeioPmEyFi0j2NkGCmV9ABBpZVLT IMs5R6SNpEQeGv1DaaUYedpHl3iRlh71F4BwH/XTtCzCQx9DfLWpLCTmzDj7x0LA9m9QE20JugZK xB2gYAW3BcstAWjwqwzzVpiOsVNtLvMo1bR1nyxPjAUIrgSQPM4ITHZWdtPlRN9DCQsSUg5Kyo2k BZsydI9S5404JL4LigYKj7cLHrLHZKG0/Q2GdQ+FJTTe4euOVvjxW0Cd637BFZHDdzN8l/ll5Ghs B80kbSYmZpmiFLbb6b7paKzRBE+AkZHzjCTFwopYj7I4FY0B9bA5gdBI/vV0neEf0mhhzGkxx1OP mM+7/gJA11zJTU8EsiOKO7hG90YQuo0IrwmEUdFuFtPdGLp+br5jfJocP+fA8pViOnroQoN/fL/j d7TDb19P9+nzs/3ij1UiaTsgELG6TrEiXy2DMJ2W8/sY8n8QQnUBt/F/RHmAEzLON0oCKz5rFl0a 9a6rmGfmjhnFl7yKVvkSvQ6kl4/ouJ8IGNZDaJ63DAWeXZoO03WrKfxk0p8nunfzXRlaPR2w95Cq 1GOwpgT72I8Kq6fRop9Hyn50yunI+yO33fXTdO2vJPoMC4tsYEyiJDnM3Zs9VmEWpJm68r83HQ6+ frRKzng4bgWy32JlZL4UBHEmRTh9m7QCoUwy1jBWDVIrbav14f/VhFEVeqPPzyjQMeCwiEB+Ws8K KReWOMK+DhvyR0fS7+rYtzIc+V4jk0QFKh5seQrimBUO2OoZqF20HeUiYeOygiQKtM6vj1SYiGs6 TelQ5JoYqcrBPcKfPDIvO/UcER6qX0j81mSz4QrbijToMxD+NstKPEzGn2MyOwIx5LdBXhEsc8vq +G9zIcXxko3/VVD+BiM6lopCN+vpdEVttFg7yTCCKzO8SEw0f007xr0DGFk/1KxDuxmhNBdnBk3f bR3Z1DmnetZ+LbrWsWfOigAb0S1rzcDvgfMzWtz4UAdiSllo7usgACFJlwxi38r12oLVY2m7Vk4w U8Zb4U7SdY3LDVVvy7nKnnA5Nc9IBI1najXesq9fkeXDheZ6qzj+8Bq9s/2WqsTJXYnkiMsek0JX yE1dUkvC227Qt+hvqUwZgWPkwLZp9mL02X7I9Leh3gH2AMLYAdIbb0KegIbN+N6dlVJyjcZXfOuX jT6rvA11GSV8i6F7TwDufziMvtFtNVf5Pv/dzw7uxSf6AramZ1zTW4Nc3nPvc+y4nAzEcDBsSV4K Kd6wnm2JxT1+lthtctVKi457dxKmwbxGx1Evydn3h8WPsWFGYDHloosdKLQRo4ICMS6rxCyDjuzY 9PcVVW/k4tj8JO1gvSKhIewm+EsJ5oAz206zIQa44mmPiBs2I7kaRKSl+254KQ/XPVLRkokn3ya4 T+wbY7DNqENAxFzjeImQeomJ6Z9lGDZRmHLzGigbLmg5YJe5TcbBBJKWBW8m/1MbVN6ulG9/tlDL XWsXnKCBPh8X5p7ThoyoBeuBadi0B4Ru0ABASvVJJD+tp76WSDpEQktbK89tSBS12jVHbYzkCqZ9 2A9ssaQZU640AUef9VmgGCglUxmph1v3Bqs+KXDBWw/lndxTuJFxK6XRwzqi64q/wPi0yWaNYNPE WYFzpO/zTstKq2lO2lN6MFzXAhzIGUbOTOyv4mDI2bXKDnJtCgTZEcF66wV9Ngb0505/sUNpzOz1 S9PNHUf+qPzeZgTI0ydOsDr2BMXQ/oU+KU9q3DsM5fisjCIZaeeVyvERE/kcOUVaGTvjtjruaVw2 3ZG6CIji/MhTtTV9P6rNq71ficMct/ksX0uv8DspX27LlCMBjkuP6UgNJdfAVPu1R3yiBtLSEfgQ LIxZK3jqRgqBXJ9JzTz80/iBoXkqeBqLVZLOwQKgr2ZtWa8YahS3ZRDX2R7Bjww6LM/7PCjdP5iI BKU0GXWxmHZdnVeSqQpKREy5CYLbNfVgpmeLe4g0RElkq1bhSAau665iX7Ohj79S6n4SARptGO2V FB8aVLDyFzpQqkoLxmIP3MyYug0a8RlBtVtJQwYPQ/o2L1TY/1UeJTujxVwVN24m3qLs+Xw2Ettb yfxyKgPxJnSY61kE3lnkM81+piZ5vuDLMimhNWOaxOiMgW5kQkeZMMUBCLcRVuWGVK314yvnudED 9IcCsn2QPbtjmF58s6I2CUPOLTRgntor7UaonUSe9/xzgj1pi9MI2OcbQIzhrLEEK/MKvlAf1xPD yUl9Yj9wFzOqXaENqMrK8ASsM3lfrjIPm632WCesRFCnKsxQlDC00DQJoXKtN1DZUAqd9PB2KT5U DEVziOLstIomHJTM9lywRMta5zQTX1GXwd9YHTeok5QS3t0+auLTsmpaZPxdwllemJxR0j/PM0so ANyuuxr60yl8r7ApQGdk3yYEMeDLWvSkfeVqlEZWG5TlA4xygoK1V5G1zv1vEBQ5l6y2YXlgHvin 92txFNqNirxmMV6h0Xtl5+T97J8FoeQS2KJiwOALelTZtaBTBkLTBNh0ixR8bsiYo3bEgjBDb7tg 9xEjOX5ZhekjUyFtW6ltunjJLB2Ds3iT7Ua+Y8EmH4Tr5DdvWrrjN6nKaZmwIxyvDlDSvX5qFGRR udMHDrlFAcBL/lDwfAg0may9ZfF4rk7N2v3dGrr4uxYXHUeU6JUzjHVnO96XoBVOMEVOYn2YL5H/ Hdb/Jx7R4Ff0Kw930WwvqU0bWX4bRWlBoZAYPIuYhvZNJGcXxwDIv2dabuakDzLF2oL23Apluoi9 J1tSEb6H8VHfQeU9dtTlDVzkFUDqunbUAmpQ1VvV4lLaJbUREzPTj400JYP5QA6RAVABnF6EvZS5 kpUD/pYuY/fn4eW3cPNj8t1Eyed2FdaSpySRKAEuUmrrrC+Upu5p4ZzTSKHCBO/AlFv2Br080IbA QVEIgWGhTmkFTqbSQ3kecT/wbK8YE3x4o8X66qmA+HxuvsNoRg0AbeDWlMWWe2EyiJ+XWJGfMP/r ZppS9TtVoDkpdWY40oCOSzXI5Opmrvi5+qZUX+lSKcITt4Thki75QlOreBkVI4ZprQATK26R7Upv N4qNHZegb14Lc1UH4L7HksvMHpfFpk5a5f5BCP2ohA2DcuAJ2KopebjZ4HFuEJAYT0iMQHuaafJK J7PLB2J4E2bhYW+Hv5Ww+GcovPIyQVPq0kX/IFBPgI/VoyBIC+rGJZsoXPqbIYBKZtsl9GCTMyFp qAsFenm1Erc97GEHWuiTVobu9S9XMkTAAyZN36i0hERNyUsG2jPMqsS1JLMfw5whPDRc0+eZSf2x 1GelOi8OZWIH/ZVKZdvTiKmdaMLH3lzNMSdtpnsltxKSbzD1CgjLiuutEAjRbH3o70Qivtfyv/Nd g5kPro2JkDLxhRN+3eFcr+UmdZNKrHNk2lZ0qUMIGWAaeWZmFPzokxv3wtKSmCQ5NUeWka352nEO irhRFsaiEcBBR5jUXRzU6Kx6Jrgrn1q4YqrA+KAz5ASvoXB7lM2Wwbfzwp4JxV6qTEClEBo7AziP 62IFnZxhvRYUyg/FFepRq3ZpC7M8SbX/68sEIDiu0LwkXgzFtfGm1R+LVT9eFgNZNGpJaPag5WIV Qgsj56n052xNmxDV+0CfG0DA1JShEvCoHNm2Fnr/738vwGt5/expl1+vIoioVGj6Vbf3KZJ5HGMS /bFwK6H8Xs2oY4SDg5xSCYPeiEIU1U8s5ZOkfR8RYF5rAVX46jYUO9zAwVPNQqUt+LDs4W8rdNoB b1gp9BWtiSFxTnOpRREiwVDAS8X4AjbP6ARvRjWeZPTfW58RfpglcQpAOxmTbaO1t6bEm/qffN7R XQqL1YV4+37vwSpZ5LryMCdM7xkUAAFycSQyutIgNgHgCzmjOwCmFJU4Cujg7Bz8qFqMr+09WHac XzxtFkPAhbHI0W/mTrLS24r7V3bFF0l2WzTuDsqFhOeXI+zwTcoPUz6sjWJdtRYQADPB1XnU3UkS VhSADMxpSN6xM9xvTzzzm0Zw91w4mfrQLy7pVUxDgJJo5OjcGUhAmEer3rQlpGL1ZcYFctSqyOoV iT4DfWRKyVkq+AMPOoHQ3lCB+OtlAvHbe54l68zOoftGoTsQ25hzlL9k3jG0L572aQY3qGm3uCDY 5R5xnc1BpwlGVJQX+O9Oi6/Mz1VGqxBayKgXUXd1xj7hLNVbx6abbGM9u+lpOni7EzJ3UY0XJm7c B7M8siajJlXJl6hGL+dsOiNtNYrMiWOzZ8zn/zyGkeg6TL2XllX9NlbStyyDwgTB7AuH16EpQVT3 EPzuac23gNbKKJusE70p3KMVb5lDbTPQY6Z19pRmcDzVHMOcaI7AQ0M5nqL82G8Uf+/l5TNiqSrP 5F72zHreyr/frFXRQ9FAV6YDILEvW+8KlHQIrFZmLBXRKqjzOiLZ3Ollom8OS1e9Ip1tNOlknzKT IG8cAzzM30MmwOfPhT85kRQGkHBNAo7Yz1hndJo3UJd+9sZ9XhWZVRwEGKVHfZA/qU6q0cXfuWsV 7WkGJfe8OnibPdxQYTbaZhYwQnvdZYLsECXZHGQh4tYWxP+MZnAcYA4OOLGghytdzQCjLfWj/SkX z419aj6r9QBsE9ajZLetsTPqOMcBotorNe+c65BGydjhJTFZlAqigxlEczX4ZQ8xUCPDBwuufAe5 eK/Mb5j0cvK6TL4u3TdlU6pidVOeCM26I8aE5ENvncDm3bNKDoeuTt8qULw55hkYlcAhpnSgmcMy w47l85NQVno2UchYm3UhYa6rclghrsCkcVYaDJdFbc1gxMACYMytsE4lDIr5/IDBeiom84wHj6yA knjHvKkH275+8Pmqx9vsItvsdYFnLi6cOzaEQKvlaNZkFMkCmOMh9oJ/us3626bA6uEDHzaLv/Hm XbMnG4mayxI2Aj67EduyYBla7dCBNzeM/Wfm54C3i5/SllIP5yo0XHLVS7Fev0TfNT92gEg+SGPZ 5qf5qNsxQ2TG+EIxeHEQTHjoAG0IApj9RtmEQaFtpkDVYhUfptQ+4BaT4I0piMG5NxbMfpO9v+Jg Swi0RWHjgAqxBcRTzuqnZUWRLPwCoDlem6zdLit84QVvN5eeKZ+GP7bQOC6uCCUZIgiLKX75y2sh bNal9Hvjzg1Bk/3sgbuU2DA1DyAWEV6Kv5E916JkKnxzMcmbhgmaK9zAlBSUskxt812t5/c6ZE2t d4TW4m89nhBxnh/ykgV3Sfl1nPCEBWpmX0mWfbb07NdUZ7wsaSW3aP3i/fgRpS80f6VrJiWAXR82 vTrWzeEfrDgEHcaPl+cIpcOW6k3bNeTxoXkhpUTR58W3wFnzF9dCMeaQHmkfGgnk4Jeo0rRWw55u VUwzwQ5gYRt28KQoJcAh3cR6Hhw6XnveUb5esFfVOlTmlbk6EBKUg79E1lZ8aPZGqJ8G2ov5OVyr 4b9xVVulBEOPa26lcuAnEao69wdEO9slq1k0ftZv3AWi54RY8tkog/Y7MDSb09c5JZls0Qa96P8/ Gd/wzdhxBjPxWE2LaRNdY9hWUK9wJToDPEZ/bnU0/YAd18j+RVAjUBazgdQNB4fOO2BsRupzTMh9 E5JFgEPZ43AFoOSCm93RK3IvhCygUggqFs1PA/yX0qAztpXdaHAMelIbq8bSk6sOi0OMFzIPcDv2 6tf5cNEP/s5PaTb7V2LZCDQzQGhdGAOUckJlIut5h/QNN3O001kBr6DH+kg8ugEJfBqoilzb3VlY TBPnAEE70F1h2ibfkmcrajUbVyWlF0c4frIm8XyiOxfijh2AkgOqzRbMLWCGzE/AmoriXWnZVziW HT5tXZ5FdfwUtJhJ1xK38uoQZi+kMN+9U5Ccc+ptnYniW5pWQu6F9KzJarfbW+lDPMzyjObbIz7e Cie/5OXEMii3S+m/HENB13/J1WFNfvLmVXw8baoqy9nuGZ67ryvWPf0gSVPTxaGWawAOywJAlv0Y 6Dp9wazRdnfWFLFgdErVjWoOk0LCrHUytoLpaMoBn5bDxx5A3WROxt6dUESG6iu7EnFeWVs5e0+y vGnoQXZT9nT+mk8DvtL4KVNJqiFRC7V17/fxaxb5yyrOjCFskS9aqsLLZgafAyKbNKfuYcilElja DubR+Egrnmqkml01vDwnIClaQIsiB/c95M2Pb6lzPneDkRBiM4/CreZfwICBGzEBEJ9YP91zA5Fz sTPNBPZwYABinQXZU/fSRYlBxA== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/rd_dc_fwft_ext_as.vhd
19
12811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPpb0sHtYr+7D0Z/NdHkBGKHFj6bPnAk4zCT9Qd9jSi/NZdzqHWXjKwgFh3NrYG/AQMVJcT4R9KU T1kWm6bsuw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PM0w38wqoKZTqxD5ZMv+He7u+x4mAKOhS9vNWqYsLtlMu2ni98hkp4Js0D7iFCQdcFCu3Jaj2Vqe E0m1H+UGB6We+zPa+TnTKUC9+mxtEW7xpi8i+GVKfIfe89n3euEibIBIS0WLtZypuPRjuzr2TWw/ TpBFYS1oUTQ1qwWguI8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OIEbVz6QJBHT228fhImFLc7Q94gbSg/QOSgeKpAp1zRCxot1azeNL0EHN3pwZU9Qs6kuTNEAn7+w agqdilWN9rl3uQlRBfW5KbIj2khza90rK/4UYrbcPGQyMxF8l/LBS9RaSzH8pqlJgQ4YfgwGNaq6 EHHkNL7CBEprP8VBO3A9geAIYBWstNirz3P/01jzH8PT87csZHkt/KV+1ancvBdl8zy3Pi5RrOtK WdR5qLkbXJ6m4DjaubrW8HdK/fqusuCVkVGxmajuQw899iRpx5AiTEwKYKOor3msJGxdK7STL4ZT S1m+Ec1GdsxDwYBgiKT0A3c1/unIYBS6y17V2A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y9qoE+tEhAFEsAZgxeFxNUflksEoY80RYly6rjz4X/QwncMYkOdY5w8AxmW4IYZfWprQfyfkxMrN 8JuXogLHC84iIPhEFIhJ/+RivFHW4gCUIf9NTOGEkQza7hd31B0/7LZttbZHcfTR5stmYGMhB9xi VCriwe4C9iR9zFvOJxk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eCcOM7HngIZB2JCDRQ//SPPOptJbtDQ6WJM03A6xR3t8OhM7+MFavTdB4aR11UrppwUsYiZCHTBc 4AdaSSNbTEcILhRaZMNZ85hgqiNgFb3YTJu8ZIWifM+Ad5U1zkzbH1xsVssRl/Sl+cf+TCDh9Psd UOpjIzWfsyGgyfaSSbczC/DMklBqFcyspqzOP0YGdgI4It3e5xnwDvYeewRqIZggj0RyjkJH8PxJ o1XlyTZFQZIIFN0x8sDbcPdsUekU3pOCvI9JK89jigNzKmLJRotLEgZQt0B8gMiz/gm5u0+k01OA f/7Xo9TSexSaZ5evmswsNTBQhg4v8j39bgkh9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block 5c14H3XnHn6oO1IeyUW2iFvZzZ9cCnGgzIUu3xjh8e5xy/i+86IXlWbx5i2mlzzGRsVALU6t0f5I QeLGf6AbkZ3hlwUCPMwVm2NmJK02qmRODGZiQlWi86n7suSZCs63ZjJ8pPnlCAQn8FaAgD+sgU+U LVJSO14ZQJdmeB9GkCpHLzCfmtn8G9pebF+4tvm+oH1Uj6EAIKVfWPjUewpmjHF89f+d8S2xkvls d6F1pZhG0Miog0UYG98SqUR6cD8feORy/6KXrmhEBqlx5N1uGyRpBmcg4JnW7T4/l28UxSeLzrgI 4U4PONALlPBLOVnyVaJS2p9a6dmHqVwxrzpygh4ePWkz8aQCV23fCBCRex9yZdPwsXD5KBoAtTOV e8m6hpUgMopGSCe/ZgYGMJb5h5Ug1v77eD5d6E5Tec6ZV1BBzld41Me5gj4RFU/7nM7Zeqx65sQv 2QrqR8YAmfROrLQk6fvAiDvNCn81ulN/k0mUUx77ADvCzqU2vNzog4QhdiTvV6BwSiQyVhLExOAT RP9xun9p56pDh5dbI507yrklnIOT+S+ZwHcpBNEswTZsn9Tz5O8Izrk0z1HfI7y5064+Phb4LNAg iJ52UgStrHi6fIcPLqF+nI0q4L80u2nS4VwuaD880mWYCx0rB89Q8U47GVQFUwE+Et3pto+6HnDR zBJPxRBs0/nBwZrTyibxOTfQlrVttYxiXEEQrBs75MmUf5VUnPUcvumnaaBLLy3CnPHGFqUV9hyB 7QfbtWxmBfEcFZpLIJ3DEEd6LBdklewU8RePNbtw6eSxJIzz2qg8Z9nC538q0h3Tl4QrcEO/Mk3V /EdZ3Uk2f+62flnxW7FfOJYVt97Spa9FVTDxZ5Wgshd+IBYPh7nUc7xSe2mzU7VJxE/GLzavxO75 I3XoeiB1XDWZm3TCQE94c2E6WW0IaO1MptHx1atHjIn4irTOMQHFpngzfn5J6Boyhh4/Yr9VB2NK a9HBu8cqZODx9oW+/jH9/7EEKhTeQebSHO79mjsqRG6LZdS/sk7qo+rEyAYv7YZohW/cVu8bGZIq k1cXJS1QBCudOnZzIJh+TaMtrVAtxdlqxUsTxCA5vuyf6weMiYdFIzna751iKfkRRDLJ83dAyHmz 9iv/fXQGP90Xi85xe8AC2gyzrDQGCJW5G/x2uLokQiKUu7NzqoPvLWQsLIXCjPOaHkjtcS3ncdiA vDhbCIys7eWECB3nTAr9CFCaXWi9Sia5MQ57SGoaRP731iJrqdQwPrXG+2fczOptpWA5jjtHEO9S H2SuBg5ZHOgBHnmqNE68npxq5dX2BGoYV77/gOJjNMT8maS0ZPmDN/OHFuqWL4uWGbI1xogG+exe WQygKkUbkqedsoeKd0mwWsxUenSMqmILUkjYEoxz41pevqFRkKbHuX/mO+ZxaBzzZ0ScsYPKGDGU p8+i4m2c07sQ8f7PmgkR5x3zbQNNNysim8a85mNC4KYxHMXTFc3dALcIbUpu31cLczOpb2Q5u2SE Snl0l8Hd0YWglpJrBg8NpKyzXFnTtK/1fU1x6HhObOe3WE4kKXLv4iFvAHWxRyMUTeDOTa0mdI6C Pqo+ZITRzKpyG7k9pSp8gN95su3ofhmm37L7jWqEilb3qXor09HAuJTld34C7obfC2OWRTaj85L/ SE46aTHHxfb4MQx2DcVYtaqeMi9cBx5z0BgvqwNcIQxuYt59WgWH8QV3zi79EDB8bhwTuZxNSdGX FfcnCFvq7ntDqOqUoy7PRPo0zTeywPqzDWQe0LCSW9qQMSkCbjSP2JKi9bVsPW+V8avobRCE1Tgd jxTEFRYiJWrNXgo961FaMuyrxs8215iMEN5QTdpeqxTbdU2MtMmMHEiEqvjlBrhDiWLw8GYS90dM sb/IK53ooAF8QVxjWp9tJpodQigYKNwEuMl0inrhMAgU+SRXPPWiavciUPCb5drTK6BboVdcXHil PNmBSF3HniyDVT3iDkPmsgMseJewzXdJnkrlT3mNfLKeNP1SSEjQVbbUwU/iS6vuupbU1YPjmb5G QUmJ0sX9O4xS8VkkcUSMGtPtzqXlulgXV8CM1VKzZrNa/C2uFyU3y3xuaVkz9br/Tf4O8sNIz3t2 9wtU0zkoC4RuJbnhn42zJmTQU3OZtyX0tiPQhBC9lPA9bUjqUVj5epeOdamKSe9dzb5vw1iHIDS6 kLRuRSbCuOCOtzarozdpmpZIMu2vkiWJQ8ns69jgiiMctt0nH6dZjc78GcXZj2eUG7ELRJMw2oXH IWGGL7X13RNv+/ih1RDuJ7SfPtb+vHooCWwmH3vZsGb/oITNg70+PpuXZU/Wi0Gv6Vrqr868Qv0/ n8O7U1ki146Rgd5tv98JSv0g7eucYuENzW1UbfAeuexUD/tOMbifJMg4fdm0A5ugrRJqt3V1qRRa y5YHSOvhbVQYQtpU8qeLw12NSwedrQrKbT3EB4bfVsDHeFDBSrIGO/RrmNg/2ni9g3MI4ExruoKL +GmlIPivWj/RWL4zjOErkN8paHTpER5QFduI+OoQP96NLOBEZeeUjEWjq5afJSCGv+O3NIDsYLWr PcCZu2iHkLXwLC/JP2KeBnbWpAbouXFuZOIELIb2R3EwoafsvDq7gY+mNJH8VpzbG5Ie/j6UTXHC /ZbMIz1vnQ4maU0hAoXs7Keen5bx/D28sS1RsYPHq+szPSGdzPNhGaqeQ4UjSYyeVo1nh7+Gr7z0 CdRzzwQSHUo9PWG/0IQ4VgTk5mQ67AcMGSiW2734tpMPS/GKsMe05uXX60mQdEkMBQFWgKKwKiKt xs747yhJUtH2HtFEZ7THRs6rOUdOC8QWNhFJ9TAhMRKzAUziNvq7Biek9IN61T6+xDQiPennQyTq p9S9lZmXx5wtHgyR84+5m+dLUaJMaK0o0gqYgrfzyr5J9uHaTYN8EkJOOCwWD9yv2UIcuWkrWvth bwQCq0hb8yj79Yf94p9eeMjvjAyYHgWN4xiXAyc6KXa+nOlreuZTGxQKrsuaEuw4flmPxy3ndyJn Vdka7+vsnvYL0Fo3Ne4rTXkzRK7XbYa9UR7P9U7H+hnnpoXPTxA14SqYsRnIt3pFysdd2VtVaJw5 T+WVzWUH78db5By9zm7zlv0p90DwecdXN6rFnVuwMmpm3Nq3NiGvGSPzIe58UlQ2ZIJy2J0dIJvT XdHQMiEJ1vCkE5i7hYBs1pl9TlsBVwKH8XhL8XRmJTojX2MU3HUbgjdF+09nMGaXbr1oHSgwXUwC xe6PpkChpsha7EKQrdeu7C8B+VxStvT/oRGbDVTiATcTaSE5B7Dk44VFki9JzQ03wKJBzjjOyIQH 6N2zoejjGs2v51NwsTILZm1EAqK4esOEvcIlrpTUMISMhFDfNNm/2LAsiX2HG0YKJdZbJeMoS/XY RCEk4nH8MUOyr3ZcJ9tVeoxuza+OazXeGObr+ayKHrYCOwVtFVhBwtyFRQYoKt1qKHe3Kp9jvoIA 0mrKlB8ZyPqCVO16d8Mr3UP4T7t1EBUwLRcXbSVaQdYqkAoMvpShdBA3hLLwRl3NYWD+T2LuK6Iv rLO7SLqf8Licd/wWToT5nZ7azrfVoZMgLaR6fx2dBGBNZoqtjolgQVWRZPzTIWjV5YAWQby39A4V T8p+BROI4pHZ/hLe55oA8L9ofrSU9vvkADrSnecLx+rRiZeV5DBmF++OLvpga0X9y+v2HWnt5PpH S5TVI8sAQjG3MEWcXLVRkOGFbd6fR7T6UhNe7j6391Jv/igk/jVWZUlmtAwp0XEf/cPbCLS+Fczh vJvNIGhvduxCogdW035A5riPJPvBlFXrSnrAPJIgKVVk0YOyn1pG4SCGZLmSb2R6xmvzjYyvGmmf OhU6PK/PeY18z9DGhXm3lj/+Aj3ek6GY17iPxD6d6uEIzcczThaC2t4p/TClmeOqsj+Axuo17y1C FKPtpHrELNbVNf5ZCt3b6syz9V3TFjvhpWd+GUYaSVae1pNAElo94JoeG1v7daAvFp+FtW4lq8/u yCqiTUl/YtiAfmdj86vqnQ7XEEyeXewk3zOudWei8krlH/QLvfjBkZe7iHnuDP4dfZgmzCMo6sXu O8lj3ESK9E/WQU9R3NSDj3KSysqSv9NuylPipDkvTtkX2vqJbDBA4pTn/c8mgzTI1eJwssImF43H zjyX0HrZMR9R58AJIlbRxdVxG4buA8K7Cc7R9fZqflL24G2y6CElejZbM6QsgAO7S3vCm2sfL9pz w/KHPZeRai7ETCVBHtxiXTt9uDreie2HTU26WpMuzhlI3s2mErCDKqm2sz5g6HHfPmUsyPvHOsSJ Te6k0AW4N6afrKTwFKQPRPlN032D2wgecoUE8cGnnnXPWO3g5pb6bTb7SuMy7rMX6EqDT86LY4RL bXYZx1kjuuup8IbmcNSp4QQIvq4oekb08RFlrChM7KDB9825jLf3ZG2WJJdBT6FO3E8S3tIgopTC nA2UR7hUsYz8wb1ZrKLtAz9gSS8bCVSLYixuYQerLQV/khG6d1L8BEqg+BRwaXjQsT0htuVAxiH5 CjoC0vJOtc3N0xu+6o14vOSXxuYqXjpHFHRjFmLtPn9AtsIrhHmo2uDAgj3sVRYUTYcwUQv6wvXP 8CWcQkmflKhjZsZFZ5ANpgpZW9vh5mnCf4kN18VP6YT/QQycckDVOiVIfhC4lQ5SrwLlzS69J4q1 Y4UExQ/7aGCQwYsF52EluNq4rK0tYNpLEE3e1oZwbnSYePh/vXnbp0z+OfGeP9jucbIrBdHJIpuq zia6W244IruLuxZs1rWMU82qmq07mAqLHXy3fYHczahoT98piGVU5ORBYwEJHuDAiXdFCfjpQeo0 GGK1bEngb/fBTda+6wtnUfVZRwidU8B1QbRTkpOiGOcZlODOvxxcg9sO3aHhhQkKyYQ+0kvUMSIX ePXgoOIoSDcSDPwpmrS3YTE7hAV8FzeJuNN3JEdjnmTIyKwBmmZKj/Kcfxmn6nILMYkl95rFKIlx ucixorWznlAqkiGWaw3MAe+khdZAMba4j9uDqR8jY/BK6LCer81zay20OQi5XjmyYJXkxad/tpij O0g6qPuSGF76Dq+wy8SmYWJ8AiAYbW1UHQHRWWRR5L2qMt8w1B+g3LKilAr412mWzRe3FQo+zZve PJw4k6mj4A723Hm8c+A/sj65++XedC57rOS7i7GOozhWW7H8KFIV0CWkwXmCp3mHFn7SNgaCAp2I 35RTFhim73iHVHUot3YkQRA+Ut+Mc1HDjOjYAbmuE5pyjK+Y9/5dUnw4jamPxC3RhE0n0b3xD4kI Lc/sz6PM6y7NxmItSDysysgYZMp5WTKOg26zz+GTiGIQg1r3bcSjO5+huKjxTosw9N652zNOStXa +M443r1syNPbCyYPN8xh9XHQiUkllgL2WCzebcOt5NqPtjkavdYLfFnyZ3WGpxfvRKD117/NiiBn EpjkaAA7NV2gcRaPHt8iE8xFSVVZdGXl98kIIi4NCTe0yvIoeuGSmKS9kZSdB7QNj1X/WUVmNy4V wKwOcBEoPl2o3AOhOXo0/pp1SuDEdCxhYvk45E8rpEYgD0NStdtllE9gQxyW5XnOJUuYPQinDYwZ VVpuNiLPQeZhKGNp/UoCY6xQCvMnFgyeghOJjFFXSY8wM/W2YC0na1JRqByolrALKxAR+To+OyWl oaS2rOGB2Zk2okxrF0o66GZimJRFfyuAebNPTQQ6le8EsXUFzCBIdKJFKYO8rz5v36h4RraDjQE0 wx3FjQkZE1ce9HZkqXNSRfa5Z/kmvFbz7+RZ0AGAWV5NU71CLyAB3FiLbDQ/4U6AGaurqxfiDYrB neRSmthA/Eoga7cBwEFolCDn9JnCMjq6pvXrhXnaQGbfzPUJcMW7DmAVa8Jr0bJzHdjXUTv+Yg0S OYwFAGpUc+41XHT5fNc2y5suN4XpfJigB13UkyIyPkKB9WSZsomMjWkb2xeJ0gAymkHt2sRaYkFg uzE/t8Roam2aucumMnM6UfMxWyB7F9gQ1Gzxsz7z26ps5hv7S3kGJ+AvbVMmeXmsrVTTqDAuriIZ P/DFlRyCmQkvQUU6+sphav4OqOjRqyrgTEMTN0qNjmdnNJ0ZZtowQ7i84GqL6XSWl3hHzXO5PLKx IVgRh5/Z8cJjrbisoKBzGTXSRobRK7yHMnFaxWL6N+5sgttCYe3JUFMyQo2IHijaob583vYVpFa/ diSJyyaIczwPWodU9ym/+zJwiA6tAZSqPNhFjKxna5Ev1KmWDx/ZQ21xbF/C0fzr4gAljeYZW9RD OTCqo6LC+gS5x9Kl5yiL5E2nK9pk/SEToMDBA51dAMr7eiZOdi/2xITDyYNSLhm3wk0n+354fPS9 L9HQ21eVgCbemWhcC8n8OIJCrCSwdeO811qkD5SC7wCUZdY11nM1SVwf7eVLbwPrsIDgJg87H5WD iYQIXJEchoUMJycrnaRPsxjoU3GiGvtu/GuajAj+pN28G/2Jd6w2pGpAqEgWFOPZWDftfs4SdlwS nUOO9vZhp4Aj8NF2/fQ3lPlTvb+ADgVMQoaxApv3Q3/6+X2LfyMq6FEHEy2ffniUc3fgTKYxrbAA vqyANbkKRbugnfQ164Q+z6GQDIaByEwG55YnblJbgNWmFt2kBgEfvrFNj0RuTMxFFXK/uzG+4Hea wFT0F6wX5mf7zMXOOL5yyfbERfFzQgJbfaI3LlzPzJOhfWINxT+lS33HP8KveDoVEhnyySCrSsi2 Ek+vrluOEQSi3nwTKhTZKDPKJHxt2J20Y+XlRYA6Euc9zMnghzUUg0mEnAaUyrzKF6lWAdoGWscR KMgD323NyqdjTJfR8cR0VOZm13XDnC/TXocrGbcoLxMFmeRBcVcQIyq2qmFk19ebEecb8xR6kOWf WgKFqvW9e8H2wH8xu/IHXKc3wkygcYnUAoLs6WmsLQOCS1DpOb4jEsKDlpqTQAjxCklm2nkxHxa4 07v/IHSzgDXKEAW8qfgespZFAkrHcw8NdQZyGvG7uZoz/Ob5kyn5lXktenwRNcOSe4IKL9D07nmm wSqlxALhQusodxjwBkb+Kbp0V8zdYCQ46gfja9Q/rPlTyS3yhLXE/9zjkF5fMkYHmW+bVUM5h+uh 2YjU2+0Y/6l2pbUPeEex8PSnQ8L5VrNtLoZbbO9ZPKtZ6AW+m0t4C2RLVt5vtTYFv9vUKuhA1xAE 0xOepgSWrcfP5xzZg86bAlCIKjm3DA3ixk/r2cCnVLTfU53lsNZ74yG2SuOfIc9XTt7EIh/l1VXn 6K21wJonLoQ4bDGuN58O6ilwD43jGh4aHoVwwRsHTJObaIH0QRPBXiwHkOks8cAanwr6tkbJJrwo vmpbdIZIG/qjhYjCHRWIFxjMr+WOCZbX0MYrwdjIqBlVtBqOmLqJF/8qgEaLttJ/Ir9WJOVaRj0/ gPnOReiNZYtXVbNeLVqqK4B/+zKgwTGwwA2x0ipsQAlq7urGUv/vVgrN7J4i62dIH+FaZWN2xqNG ddzu5skm10AQenGFziR+e+afKugv3alwWotxsFMcKgAMqu4epdqoozWU9KXVQHdMRXR82VfzYMjG 1qbPuNdtqgXbZzifl5gqcEVy1p9NntD32Q2toFeK8bHHsGVfNE4Sx+9UphoUtqy++OT6t4SE4x+w PA963tWa38nzJxvRUds+0htKdnn7qzO4oyQ7JOqm231H8noezbmelvFVxrLwvIgWnZUVyPDFELj5 9+1YL3R/w/O2G5jnQk3ePSwcWaR3hA4GN5hjdq4qUzwy4LUANroHaF/Oi2rQv75yVjrnL99pr8WQ TSuo8G0kpYFW0gPF2ztU0sL0MtWxdMaAgqh+9TgGke6pkZ3r+tt7nGhzUXiWYg5BRvSDt8QYEKfA XhAqm1D+xlrx5vPTui1Z3JjI9bwEdj1pS6nQEIrOZuXj7jf8UHsAgUmAdOB/Xf2LhLdFLwVgk8U2 Y3tNX3u38ZY81Z6QvjH3kSBZ2SMJgMMaqvolD4B6I8hMwn1GsymtNP/ztKbEqZyctJ4aub+ZKcE1 SidTHKQsUd8ACNsDRv5ygo3vyA2Kjc86L9C+NJanh02douvEB1iYpf7dmpQ46mjQ0kbgRzFKNUrR ExrKWpbnn6b9+texHWPgW8vtFievGdhW2Bq0ltyiekerkAWQTYzKmY+KIWQQuZup8c4HSGmR5qaC lamEsx//IDD+fpfEFI9/1XiOnk6TgJAbjJAjLoVQulr/YvlawYkBvnX3Q9wvwNE7ERpS3QQdoLr0 OnYuTWiSiAR2NtQyEAar9Q9ePLABvE8ImNxVm7Df9zJx5qk6AJOyVJO7Tvqfhy6Aj3nuKjSMLUvf BySS0RJUS0P828vxO5wHEiB3jSUNwRG31StFVoBavXKUUVCVAc+jeFJO4xbRe0O1IomVZm+mjy+u DW6eRqHJTziKi7j3OieKePHAZRsoy8sBeZlTOMBzdER2rtxxUgEljuwSyaZt0/Hvya2H4zBqAG3J 5Smmq1GpXOq7lFbGO35WH9BNS4xo0japSsNT1Qiw7D5/JsbSPvgu8zCpqYc7LMp1ElhGUSzGHaFA WYq1YMKlQW9QYzICkPZLT2x/RjNWMtRlVKxKl9eb6oc/qAfrWpUpVJrp9Y7HY+/GATCQgWZlQ5GA JFvyxR0MdEnG66pRtW/iMQDX2H2vzkuT1NwfUgHa0KJsVRAaFBMce//VaGHAV+HwcfNxNJS/86vf n71ykGC8q1G87IFrHd9BE93XBjDEprUsXIYecX1NiRHFn38GP8UBgdy6cwn1ffrJbowBIRs/bgI1 +dd89/eRHILUI9d46RLu/ke9TCY4aNSvSkfcIJ55nzjHt1k1zvoWAwkZ8VcdKh/pA7zC2OWOQbiF cE64XodqJigiCiTKObeuk63qVU2Z5W+0iW2i8raDj3WhEWMP8xQBwMnzDywUaybHqKgUUv6ON1/j PjKxpFPWH+y39Czmw2EoUVAfX6tuO5nexLW/iVzVNh3b+8V1UlgPJW9l3KQ8Ry0CC4nz/x4+3WxB 0RwLM5FfS07rOoZQI5nyvb74DXBpaMChGmqOhODl6L6GVmXpYNZOWvtZhSXGhz0pJ6Dq5abBzlDN JwbfFI/ukXxp4EZ7XMmfaZPHVFnmI15mSvY36flMTWhMQxMz/EupfEO1Xzw0TCAdbSzBQiuGC89r Igh/23m2t0JjHqRUddahArwhvSvcKqBczPVBhO67QuCKM3FGDsdI53bHD+Kq9VvouyBfeC+SYs4r GNa/Q8lBjLxZsp+BHNmyZ+UNvNhEKYPeRhc5PAw234qgzeNu7gYd0Dbb1JgJNiJtX9lqyUeLdNdw FIN3ZK2RiYzIHLplUwgBR356mBdRaVnDI+SLC9T5p/VpB+etSBEtCUU085KuCIhgWmzKgutEWKz6 ewAXirM8ZUQJrGiZzvHd6ElWfyAIlZ7rJ05hDyhEvspiESruAU73qPoZIiL5i5MgQ5msVNe5JkWg 0aJIqviCKY95tR2hq6NbgU1YoUZWAfkkBth7TSGrvLjdsM1XTAAAENQ9ssd239uosyPbIVd0dC3/ A3bkHFm6rRBxmLVpC4puGDGbvT5HW5Cve2F7rxa8Xx5qLCXcseKEuoNWigur1qhS0i1p8k0YsW64 el1HNn8TtM/rIx5W4VCVDfvHE684/4RmgeVB8PNKJG2W3HfDJti2rWJcWN0sPvWjAp8xNUYW9+Bg 1ic4JUzewiWIS+UerL9SbTWhlQE0An+JEZj4Qq44almoIAdi9C+7Nkaa87vzcz8XImzeEGz79LR6 q2631nl2OPLNpolhQHSt99L8RSccocA9dQRJxgRsLH8Z1phXT28i7LOVIyh0Aw+GnvY2s86LpAkF wp7rSALP9nRbIf7NZdaQE/mKhBqF8C9d4s0yrcpRVs6uprjJoHjD9lm4z4EYghJJ/lrxdy/P9wdQ HqRIykK1pThCk8TjzZ3Lxhw1RfNCMBRQHu3bmfTC1VkdjA1EZH4wy1wmfKEUFjZnUwK7UGTUAK9x hYChfrPX13ou98LwmQquzbZX0ddQMUGatu60lsciXydQ1rU6P/1c2Wfmx4SUDMPtdwr2NCFC8KV2 lwrn+TYRv+9xUsZdJs3fNcNDfVcwKVyJHZo6c7qhc3s5hY6JusdJAidO0EpynCy0YH7Y/OX0bsfu E1dgD0HkYKQn+mQ1tvrLGxNh38s/WkAGsQMjN1/sHKYW89ADUEw9+fP1U1F7Ufe2RRgFW+douUSM YZrAvEhoXTH5UOOqTXVnLR+h01PD5fNtDnNoGM7liWqFB+4FlzVU2aKfjopHoKZ09g== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/async_fifo_fg.vhd
12
121997
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v11_0; use fifo_generator_v11_0.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; --use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and FAMILY_IS_SUPPORTED; Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and FAMILY_IS_SUPPORTED; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising wr clock edge to issue assertion Wait until Wr_clk = '1'; wait until Wr_clk = '0'; Wait until Wr_clk = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full <= '0' ; -- : out std_logic; Empty <= '1' ; -- : out std_logic; Almost_full <= '0' ; -- : out std_logic; Almost_empty <= '0' ; -- : out std_logic; Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack <= '0' ; -- : out std_logic; Rd_err <= '1' ; -- : out std_logic; Wr_ack <= '0' ; -- : out std_logic; Wr_err <= '1' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v11_0.fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v11_0.fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/rd_pe_sshft.vhd
19
17676
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SOOYAbmSVdMSmEhVcX6OANZAlRBhIeIgp+j8aWie5qMiZZfkKWRKGFlDj4dOK2MxGgpLi60kolAl iwo8CvQQmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XO8hvx7ayNrMYNs+QowHbS9oiS1GjnY7XWvxUBWvS8S0pBwgguPJgxI5Jawjx75IEBra9z6gur8D +8bJ3wjB5uOzP0Op4TufbsYZTMy5/IRaR1m1haAiZDNWpnRaJY0iGIl1ZfXnFFB/FNm2d6rg/H7b +K1wV2KmxNsYmhxGeUs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qrXPktUjITPZaeyYovMGSvjyrwEeWSEPCoXArB49zu0J+taotc50izauZkw4BvtuT10+TUqV3pWu H2Y4+wBhbI0avNdhBTQ6WysNgxNkl4xSoIMSUDeWLPrThpvXqf5EM2xFWnYEsoSt1fOlTzsbNp4Z xTF0/8eRzGcTqQK8goNirFS4li1yNxnvMyocM7UB0Hgwd4r1WhVfwqexmsE2F2aKD0WceDfUKvzW BkaD/pggzoFKe9ZBj4krjm5QO6MJe6tmyETtklCe5Tp5KFVAoUG5SSUacYfOW5JRRQQN1B29KV6+ B/PXOjnEprmrDoW2/GvnZUOJ8iICUgvcDDx9Gw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RfdpJMuL5lneUspdc3THLHWNRfMy7ZKvo7MAlgXNSeMyJ16shj6csIbQx7zWlYY0s5cmQ5qBeuky S0nRybRR8cWMHwN/9rEo4V+uesao4mJ5GbtqRFTH0pGXUIW0hSA/qLXBAZCtANiThLFmTTovXGQx QWChhP7QcQZsZBRuEUY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KfAPtRUOpYg8KaNj0Wxd1r4Bcs5Lt64mregrxrObBeYBNNIje2iGcuv2d5+PQzzomKwP4NoGlbzx CSYz6XLlhFat5X0Kad65Lvso8ilyZLrxVgz/cQQVMyGtqJsflyi+jbqMWdWQzDlLboEzDolIGqLM T16l7bjdTv+UHoBJFQNNpgCUB8RCwZwGjuOrDkNOQRBxFbXP4ewZBD1TITGRJ+9yag2oeIszJxFS OnxOibAvqbpn5K7zetHoNiQFD0HLxODP6ACT7OZWy2QVwDRr6smLhIBBF+7E8S7up2WgvZZ778OW 7Swo175PkHbmEfmpa+y5XkNQNOq7GC6XNCURkg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344) `protect data_block XeolcEv1XcxF/7A6Cf/Dp/bpAs8ntvHlmi5MxmmgThFenKkDUOe0vGxkk6+KPD+i0agVeShdlmul lMhEPVzitkHiQwmsXhdtyH0Dng6XgzNj4avaL5TqEPpu9Xajl+B2bCTGRPcjUMiPxZNI4MMFHqO6 tDdxK9pjDipsCZOeCNolfFGko1pVMwWAFlRIR4D50wi9T/+J0yVYS4CYGj5qYhO7+7TTQK1thbKn kM62L9XgNsvCbmrircZTugxc9SuDEHzFq0r9tcze3bIUqrFd3nyvLpXbgCHhtRBAl6j/kabk+9Gx QKoms8bbim1d1wcIsXw5LhAgHKy3qF9LgDygsJkSclgn1Hh6VJ/2MyQRpWIR/D40H/ryvbLbElnr zrPnbwPob8ezkMHZK//tJHJjmU7iRaV+2fOQJsYFrZJ7X3cpnfOyp/3zUqc7vYCJ1UkXucgyDvuI IUxc83x65pJIfaLA1JJ3goouXc3JI5g4tbZs+FTPJvStbhrPkLPtwgWJVP3iHPvcKOA9RmniO/l4 ROT1kH/TQFBlDTq5ImEP0Kf4hwSSN4yI/VaHNlSLX9ZT7S5ujkM24mLDX5Td8mv7pxK3BgEwKQqA pbyEagqVnZ+R4ngF1w0yvzosvrABbvmIPD8mf/hier8WUNmvV/IzzWEWNjp4aQjEPnFQCkDMEgjO BaL9mwiZcqyOO+GGEHzVLrWSKloFI6QUmdI+MYhnaF0pKmEcgrbBagOP9AplMKUEIkasT7DHZ+ux Ns0LsSPZNF4XEhx9aOjh3zgTyFStvoTncRMjayJBXgui1tBZJv8Sd2LzSfICoo4tHBKmeiP8KGXL 9J3yZ+bH1zd3DX1UmO2pkelXNoUcdl7Q9qDq81oS71Hpex3QjIX4fEp4r7iYsWpaxN9MtKdMbo2u 6W40lf9UE9zYWHpzc0CDjMFWxHr1r9skErL0ekCBsi/+gzLShTn0NRkD+I+jG+jXuIgxklh7N/Uo 70KyXFWaNj1b+I5leANORV3xOZqog3EaG4+pMn7ybhVGEP8WJsTsnZ68FhBFI3J87nbUeT1r98h3 rLOUWMa9XYTNKczQeDItxeh8soTh14w7eGcWc9rPQWsQXxLfzEbBVeXMVE9Dg3HiwljH/hATwhXW df6Yo3FnoT/PxrVTsvQKy6tZrSiym/INej40rpFYW1YS7fQtsXSaWE2och0I6Su8pMHoVeK9Hzow buchIUa1iw2I2gm7VrtusU32HEnH8pAjmUR/PKDJ8Lb6R4wrrGODW4wSpZoQk7Z633Y8oiUULEkl wO16HKTMY6Mc6d6qpaXvwzK+JyrdOZPCdCtiSWd6+CIBPcIV576A8CVlyhg6Lm/DpPDsfLC+ZKJ1 wuCqt6MH42MFe0u00v7OD+mPxXxe+hI5TlEsHArXkPwpCR0r0jLlzA1/B3qIgeww1V6wz4bryJue 7CoB8T13mmzje5npARdb37cYxH7Vmm9xy+lybrBrhLuzMle+Ba0MuSvxYBLCA7H517od4+Jj7SoB o3xTvbV8ySynOhqPOScu6CfZkjqHuzF3kbM4xdtCWMwCcB0qOpE2kGqJj3aixx5SyC9aGsP6irww 4Oc1vaKeOPr1ZaCyhmLlZ8ABseCPB26qPGbUOqs1aEQcd4nnVOdNTsstuCI9iTNouOdt0GNbPgFo hejT2gCPFeAYKu8rAJ3xRgtH5qngZtFxuNiudWRQWftoxXkr1ZnwVl3sni3+joorOEaeeO1D/Jm8 t4gFEdTYq4QH70SJoGaVyJLrD3WmwBZhW7lNjSgfO6OdgL/6YEElNABCS7HYa5bCuZdqcemfdvIY 5huyHnsUOIVAdy6NLNWGjc1nnMSbV7uZxVxktikifGkMb3awY4LI6wr4Cy/f61AM7A0fLwCifTET h6nF3W++aXIzlamMzNS4SFj4L7CvA8RWMb8jGp0rMvA7DF5sbabIMNL34K91OUrWUyIjkprqrY8h PawFRfjDAM9rNt3WqCiC2Q7QztJ50v2hsFqO0nX/Uxg9dPShUtF/3MJWYCjdy89l5CBmwBVt6T8t wvBSigwKP374L28g1dfd0I6qEU1yfx/Qfb0RwNPCCY46Eq39FqAL5yNl+PMCk7LtCni40uq4cBex 90wz5QuXl7a9a8U2B/N464DCm/1JIg+HD8yDLtTQ/CByhEt8Add9wLeaQrHT0+YHcb4GuXBW0CmJ iOoO53OTF65OEu3DJ7Lbfb/MBeja0milR13Ph3ouzJxpIFwvGPJ5kMRxDSKgvP36bf/RmDeG+H9c jLjPaSYm4VPgYvR3iDAr7xtZz7UPbQisL8mW21Vl/OT1J8bJ6S/FUytOqfxUoIB435IYYfUS7Yeq baVhVSBm+r2vMcvSyLejKkyqdds9e7Yw4lQ1hJ1U/qayBgyvgQAnANln2DPZkUF+N4sxsDXC3SEp 1qnRysP0Ys19PErcjFlh+jLqOUGerKRUC6jDqujo9fC20NTor2ekDTNU6wJcEKZzVXWyfBZ6dT5p zz5LoBeGAnpRN+Pj7kiRKfs0g4vE5SMq7YY+Z38/DSUfPsfoEr6J0IERQKr7f9loUSXK/GRzMWke XoTHzeYzQI7xij0RFU1Ua2IW5RW9dkDhyd1V/HWIjQkXEeCybNWMtcwoDA1OtZpqG5uGoKhvBj/P gH3oG+m7tPEtOl9s6pMWAAZv5QRkCQGeDzz/nzoWhygMaXfMsKUveoE0Cc0XB6rk3LrYOMfdYurz RER0/iJVWaI5Sx5iGqWgjsMJGUqnOKvmacuCihTCAv0J9LlhRGv4LZaowff/t8iLh1BkPGWhjI6K ffyqYz+p38eIUJbL0bA4AR3O5670We76LGJbtVLXYa8tlKDTZFkxmw9RHqTjLVoheH9dchJQlGAv rz5xaCtScpQw+bb1bpOpE7eI0nRzYlPMkmX1DWpmtJ3O8OA9m5Q9OrLtld7dMAUvb86uJVJqEgUf 4apH/QJgWWs+ncSl7kp94zDEX6c8wgjf5dyTBDB6OObzhnewcBtuz88bZ+CfyFL1iKYzCjnIOpdQ vrplaPXcAQ35Z7JsAXI7yN5YhURXqaNNEk6YZ0Y9zxqB9ctseJsiegyTZ4SGxZwfJueLGZJNiYuf I9pI8qfeOA9UiWtePLInJBHOa1Vp7QVBTGP95Vh3rdF7gqffkyx1amQvMD/c/De+qLSlWAQtmcBo fBpIvOICxQaHJUf/p6fqMJW2dyguDoCPWAJtwoAz4AtG27wVB3yHNQuoT8iJW1TLVIWh3tg3gD4s yzbRMl288A9SUVhNKw2P/UQGPCsRoMk+6SSyvxm/2xN1e+UOe31kgSUyeyIXn5qM4t7Wr3jQJQT6 JLOdoRe8omx6RzarvpUJN2i09PRM/IBqugWKkk5rnDO0qSxvtMqNYNEnboq+1wyOHN8kLSQFCCtR KTRkvvocmo/nk0c443rLCB0IB5/RH0yzsuZT+nT3qgHs/BOCp2nVW+1rN5rxriOyB6YiyMgV9grX 6gQpTxBaB+CZzu/MWszLT7Hxljb03bjpbc2OHmv+Az89XbYvHhCX9F0grKoVFaHF6MA1R1mCUF8Z QOGYkS+sqyTnV+0JtTdRqn9L3wbbTX25uXUY5DrSgDLkFFCLoY+C5Ahw0hwpXv/TllHND8jiapFC Fg7jFcg83cR1RvwCMwB/GJkGreQMYCassYgnH4SAbTueUad5o+yPvwTGiumWF82+nVK9ADa9CKNt cNjER4C+LIxbHbUaFfFv9ThTZkyEjrNpQRGOvGw0W85Uo1yIUtWBhFP+BNc0Jfuo/2lzvWeMiWFO 69J3jRmhBB1uB9lDI8OIHH2Y6Ic8vf5b/+bmbUKU8xHgiuGgUprj+4VLCHfaNBHzqkoWpDiY5wkF AHFkfr6UeKfIqlm9utGfcmnS9Trbb/bgpMAiL34E14VPCw83tegYsUVhu4fdxD4XGbPaqvqEw7fv 6+SUSP8mZM5hFnE0BfnhIzHk2gbWR9FRTg+ZFVs8vzv0nc0HDzh9d3fODHlDjKjlWvZz+cdsgaJd bvB83ciIv1EKYtWb72pReFwpD7tLkPzoIz4FA8uCAh47z6ItGXBBgTDcNiY7vw196io+45HllCL1 lDO8JJmB945/NciIkVekN4S16ah767SVrYdyXNtqi/Ifs1XBZZJLD1zIoNy0MHZyJbXpTC0FP1Vf bd/6e2yKdSTDSomrZv0YBvj/gasF+pPKJX6kjnQopmxG08iHB4IA3xTnOiMDzo9XhiKNdfys6kd3 9ba+lRtW7/IhegCkQ6EG5PGFHkHwOaBAfP2DzD4up+tVyP8ZUsf1cX+100p5yPFQHdRiPAYnC52x kGxqIellkIvmDwaUgaT3OrrN0EPm56qkFHgfzpn7arjUyOMlhRmZC1QOr8tiadZHeSn4jobU3vS+ 8XhoQ6Tu0h+zdu/IhyH5V2Jl4d2k6rWmB+CaCj4jWV6GXWKZu9r+r7XxAS+21RyzsL8NINaW3Vtm US1TdBewsXWdte4t1fkFGsF24y3hnYxbnapPqlk0OH1Sf+CZFh/qoXatH91kmlKHtk87lXabIrYD dAD7xfRR0vXFxamBqxJO023EV/DFc/6uYTkgwMjVAFimb3gVhvZd6ednrIzBS1VoB6J+Hd3ZqKE+ wbLWOXZy6hqP2gU9ZCp1ExvcrVfpaJ8jCFvpF+eTDnAdQXZkQqJOO953JgQ0vzQEEf/c9QVdSaJX BClFYFLqijEQMe7SZeun3SSt5rFxByBjtNk8V4gWy5VJnPwycZemfwDH2tkiMRCo96PdOZCWB4a3 GhStAn/E8WOYjaAcV+aMC5jPKrDhCGogbDfLWW9tij8Dz4So/opIlrDy2bnotKwSR2XHpKNS3eLs Mvf33ce1T2V598uEpEypAItLaq7z2kf4ITyJaktmmlT5FBvXtZiUegOetSbJ5xPKeN0R/3RQW40k gFfN46ka23xHVwxjy5g9+H6dbKygqyowkhcikSxnM9zQD+4/td9IVWfkGdv1iuQPGfXqWXDBRL+j DwOxJM+BoFjOU/D6wrTVjdkLkALiDiwWrqIo6ToVoj85eqXufNKhE9uZ4BRxULb/mfNAIWSxdXh0 a7J1nY7JatgW34QbxFKJQaNEMgsvg4iUhIj0sSyNvERXGC+LOAnBGPif1js9GOJHXWtBMQpg8J0v lndKa62tIv+WwyMQHashAbfi9cxahuv6ZLmMXdjSAZtyOCbjYpQwTt8GJNiT/oM/7wSvxIIrTgWj 2qRy3v6ykY5cAsCUcspGptZhCd2rEKwCJnpHSyb4OKObfvFbSiBkTypBaJjNXIwpXtHKWyD9xi0N pai4AFlvanFVznWWGqYZbN/VuZMwhtfxAFxcVrMcsW8GLiAzg/kOPof6mXniiRuVpIZl6c65mswE 4PUQG/jbkZng+IUWQara6ftVZx2WlYHJoJXIWtjzVrdXnjmqA0zzisnTmDHxuISxzLU0eKrJiP82 b7i1TtXdbpAYBXkBklxH0NKfAp/PXPCLziy026Zi+fX0lMvncFaABgw04tLuexYxRySydPZA2ppJ U2pK1m2cCKYSUW4IBSo9bd+MG4HCie6R8s/6lq7vlTnocyewlxSFP15NLtHCXo0GthZHdgVV0zHM jIaABAP088jiWtIAaL5jXPbM05ihY2u6KC4P0GcjzZ6cl2iMen+pF1iRO1VcNlH/95JvmATuh4Nk Uj6R4/BWapkmi3l1Oa9IE5jfi5ymOuMTsfPjzw+JeS01clSXCciw+nmpLmLZscXkFZ/J0LD77F1h wzkIHCv41nntqMUyQX1jm3GGhUdXxq+XqQh6Z85lTdgpRSGmMRQyuR+YoAkzSPZuWRJ7Rw3MpAI1 RpyAOCHczfRTuEyh1H7Gw7HOXqYFX4ZitSBdnVvnzzu1XKN4p7v+zL8e1CPi9nYQQ6P0v1C9A2Su UEiPTBPGqraj5daUnuXXlgNudYpJLcC+oTxXLH7Trel1gfojQXTughC/H/elqybGtE1fyOisiVWi 0Pqu++rZ5kLwiYc3tie3DU7SvTCgwtmnjqHuzUIcfB49TtpPO6eMsZSH8xdX2J3sYSgGilAWyVq0 SrPowjYUqwBHH96xvhRJ2ly3LljsWB3i1xe+/hxi7mCcUz2sIEaa9rjga71y4EiusptYQiNxD0SH w2gcO2+yUDmJhRNA9RHTlC2WSeHWSI4kpvKswljP+HuhxttCy+Uskww/gDlm1I3nVPNGFxgwBsPA jcVr+bOrqj//xtR0/rQTTVyWXrrPEaVa7ByGtfT+cHEvAUEIHn9/Esmt9Oddyyw+oUCStLIBiYKL MGIrs1DcRNHUaNTk2EK6gTX6gL+gT0YtQS+0Y04/T14le2x2YJqT2ocxltSJ5FAKerdVfaGT/ztK CLk53UtdPUczBV3HOKOAhNPFZeyKYrDMXm6YKO2IKQ3DaZN77d1Mi04fTjUuoQuuROEdhXg3iaLC FBfHnZP8lqzqRAf8E1J4paacrnXbGF1KzsPlvIdTn7HROC8dvslm7LIn+iH7EoJRt/9EmN+EN5BU l15L/NONU3Cp7NgGhg1cM+VIu6Q1xZpiaLBba8PV4t0x5RExJEztZBUkbAPi76mYdDGQo43CvkJO pJSdcmZKMRE6tQLG4Y6sTrPsn2+kFX0ZUJXPnCyhQ4ROgQ4EVxk/s85mYSnUH29jXS4tew9Q2vyC 6Co3vN2T0NeiLG9APuS9uOPBXSRAu88wyCPOzyO/wgqMPAf3HwpllASTVjIHvts9aQfBPLDTGNon 9n2OPyq6us7v/iRY2EJPYXjPakBgVb8wx5wVIv+wDoFO7URMYHlAvMSJf/pPX/FkYlXMO8tKpCKY NrDFbbEEsR8kQIkdlT12/OF86qNmsE6pxYzMrRuhfFJs3c2UbjoP7eipL0VHrm2qBY2riJxUZuOD o/5MQgOHM9F+t1aAPkQLZn+HJUhZ3aYfkIWc/VgHwKTNIQrBDslJOearNSXT/SamX0S3c7a22WMm 41MZzGDS8kqrrcWORJ8J/lD0LDYiH4fFnfdQn3x9xsaJPAJmr+Xv9QulJZ79WCw1G3PaYfhWtOBc w1bkE7qMB0XDoKUt3aegQUoOLlG9rCe2CczWjji8tk/YNxLy9thSvif2SQ1U+BDBov6y31hvhqWL ZBysvpWErkL92wpEVekICY5HZwWoz1FV4u+COOFG+paORI2dnOvDRy6EZCds281fNe0ALnOeWQ1b W0eaZYAK8sR1rh95+/g3Eb2kBwATByMa9+1KT8DAaKitNVrA+7MOdIaY5QiBXWZ0jkXlRdOYTloZ UT5n3YrMJaxQvPL/eVwhg2+8kueevo8BlkYRxIJ9Fvjd5g9LRSpM3LAO+5d7awoFtb47sQ/EKC6m kKlMkCerRo+0865ZoJJdCIRQUSotypil71QED+QBqxOHgfyOsPIPxI4x9n49a7KpKjHqOxGI3oTh nNWpyCSu+EZOSp6bupnDob8J4KdbMtYjKsqL5hZzaxRcMNZ1B6Gw+6ZgFD3ktdoxhoXDse2qB4so YxoRgqdbYsEwPxXlQ6ceipdFvOah57o6UAuwiEfatvm7Rcbu6YDw8W2JWVY4NrfM9h2KnjWPUUt+ s1AQoilEnLjjrxwPvU4aqI79rE6BSzfhzdNyqnSu6mfCoscB3a7GHmfIqIiol8pDVvncwwlSPMd4 kGUT43DMlW3dbCozFFTVy/eooW7m+ojIZftIHwaarSC9/N+CfFZz0hnTXa34dn2avrDPb/FpK8aV ktRFPb8j/OlFnliRnCxxHAlCUeNWICUxk4nN9iQOsj6ldHB0n1Yn9ZqlQlz3ps+4EnrJJkcEhxXx nlYz/S+dUSxL+/cSou05eY5+ElN1EvRo7j6fdekD9xX1vPfV5zbwX6YM9YNOX2PUt+iaQEgMEcoi p36amET95sNQMdBTfNG1KHpEDoM5P5GfcAaDIUrxsglJwwZ+it/Fzz+bW3MSU5+TieROr2JhypVK grLmPkyOhjc/m2seMPRgjKndZ3MfFj6WD+PZE0YCEJ+kT4zof1gBelDaTDCByCkKa1kEL28UiNbM tfY0b+quh2wFHCVL8/wv/HSGr015myjkjE6vxdmoXT6llbXvu9IPYzMWC9c2SbliEOQJvIlIcB4G lOf+3JQn6njyv7HKOZYYQurSsErv7owht4FvgNxoV6KsX42+ew50VUwRFeT3tpM8Nnx8Js9x0sHP Kkmk3QDuf4dCmItOxbVr7veLWSZnl9zCGspiA0Boxms+H37uf6Zwtzxbkk8jbLlKxeCmFDSludcv e2Iarou8Fk6jughFnYf8SZdAJQ/WxiZOzS5H/fqOOUMB9fc8wd2vmzwFN77x+uPTfYMxcbU1ubzi iT9cDAb5n6Mfrc58+2Drkh862VSngQN19Z4OTpRj0QtvEkJN5ro1qOIrUj0ApMHo4YmpBXp6P+i4 V2H7LMVuh5Wi6eI9q+VEz8Z+GUErD60CL97IAesFTfvKfNXBPDXSxGf6SwZGnehseLuTGsVHmvVI 3RuKx/UgPC3zOZFZnGzKJ472H66DQX+2TNmmaq//VQnXQJ3nOV04WqUHgZUXbWDhAhwJzDtriSbJ ZBumiBmBgcQhXY7ToKXug65BFS2k5AGgzGfUo36KC20TBbPGjf7/MyS+9LIqGVRga7Rs+qNuHNgS a0SelVoSE4/4fcfwe5/LIdi6haCuO47j2wkLorGnWpv6nM9r6gu88shdTZX+UJm0Y3SL/LJGURao Vol+iEG6sjx2ePkh5zGKlM2sPlPTuxdcOpWcWQ3AcWGdDUEzhTfiDF3HgLUyi7itDNl/nkFO1Mv2 IOasuYfF0S6qMKgZLEuvEOIVwTFANbZT9vGsNjZhdr9iFgj0aRDwm70CXxmJ/TNzS0sZs/N6GKDn CgbiYSTIKNracrppaehUM/8pVWA6XlcURKrzJW22K4ntPUonRIIKG5IjmuZqn6i5NoqI5hsD15c6 qPLkWvSaZenYhCKQkJmqNsZ64HQSMtT0QkEScIVoIChSxrq8e/FbXzXSZeDO4bFo9ioGH5cKn4DI 5IH/s6kQZLNOMdnNg1NZSu6+hHffFSFJMNYsK68sxvf5meb3wlGsxq1yNJ+aHXn44omlcxZajMUr s/DVoVAAJEejuLIbaWyRAGDy+quFF9sHIwfq7KKw1UdkOq8Uy2A3dPKW1ErkN+zVGO6VMV6c7izt /TYLq6Ky1sikViIPoI4DWYHCTJJHJuegV5yKV331520notITENCTpwoKyA3eZeI+0CecNpHYnUKw RIf757tBB4AVFghHBbDP7hG52jsD5fUHvTC1wmVKTTCvEQwinIgj6hVVASWc6WjG+dAnVbr+vBl3 LZcGRkIP+55ZLp2L7F42pimj8bu2VExoIb3G7ciU4JH5lU3nlzx/BB6GpGq9k+r0IWjgPcjEKB/i NZzgK1t1kGTS2UrXdA0VGRYVYk6fo6K1lcA000Kvjewo37GS7mU+w6wuwsnPUTG2luop4p+rt3P9 9XNh+C9CWttjppNnCCrpl5hDMnALxUm2Tqupj73KYs7+Se0d5IMX05WVX6qVVPpV7Le1cjZQyxvj RSGol6ooZiB67LSgQiunUG5XT5mq70vFSqiKyBXWnCg3DG0XlJPOwWw3sl/dY62kPjz5js9F8e7/ JduEnSs2uxrlYWdB2e/OlpCqNwm2Hj84jY8XQErFqr3GTD4OQXo11AEWZ1J0n7yMqZgM2G8qYDdG +IWrTS+sOF9D10zdEYAfu/tXekpwTRp5Jns4Q0zksqhG+wpesq1kbvD96uesZsZZpbEb7hXwFtDj 3hgXmhmhPduax26KFMdOVGpJlnH72iGZAQfN+nV+OKTWMBxJK0PuGOzkY+sYnn9BZk2BPXS9MGC6 bb+yzU3Fta+zW/DxWOvtjaQ86cdjc10S8ljriCC2L2JpnGhbRBHmU6LbtU2+Q3Qpg7rwRBs876b5 0gfDz9HkIBpzuMkXSNMuHszTqlLxhvM49eVx7orF3XidqD030a2R+QnnqClCHFJtSk/ug/9I5VoT HFsV8WqqiGIlIcyjfjAHf85pYSUIbkmOWPxqOkIg8JoYqLmhNsrdaKNNtRkNnplLDTJninucxPgE ryVVP+Ol0qcy2KKoDZW71K4x2ZOFReI7oT/bRfpLoaT6mPV7+jfeO8xHp+Oc9Ez7bC7vhnmg5Gb0 MvdfOBziuFjrI58IlJdj0bcOCMmrYGLjdS6XUTEyhD/1JQGo2EJdyiA3nVwfVyYtwFg/8o9V3TwV GyPgnvV8hlNsHbtstAK2Sq/G4rA6EM5j1+Ti5BlTKhabNK4nnwuXu2OCt5tok8efCJa2X9YQwpQD uDmGBwCwD3NAH9cPDHWBt2OgLHZAnYG19E5NhdWzpadnzwb3erlfefi7pe2SX7+SwXYw/OYBv5AO ZmFm2pVBOjrlgdzraDb4ZMI0WlffK8xPBqJAn2s+54wvZ9ALVCBEoVGueEzSAPo+UINSxgprQA4/ YyB23dXoLhdMsTZLkqxX1YksdWsCeI6NTMYQRZXLf6dngrLjzcy3yNfYF7YiGVi3mvuFyuYpKVoP BZecaMEuxzkc2GXCLUcwSsDjeF01w/r1GShaGoNnUOK3LvZGZUScdLBZtDrv3c5XtzkeieCDopfi lzchLt2GQ/SjnJ1Gkhl6eUBEk/bwmnMnQbD+LYgwtDdCMfidkWybKMBU0rK9v8I1oYZDZYmcN8XH nHUpg6/0PSZyO4Ibt9ySgRE55eeUBhESBx2dL0xoQFMgKWGqM2TWz711ALe1VV/Vwv8JiOFjJOyV hScwCnJ6KfFOhUvGs84Gr2d2KGgN1VYw6PWl3S2/ZTTi/rK0adNuci7vV3h7BdBue1SVvzOnhWm5 fxPUxj2TH5tpGA77XqnmlW0LWZgpFkUzta8RTT6cB8ML7RRDWhySWCEyen0ZiqlgzG+adLMakmT/ 7GP18HYN1S0/kpYr4XVV53qgyATmxq1+KpLPlWUwpjQ77GGGjyiQz8y/xG6zpW9p/syAxuZRcP4D s+6qmSRm668T6lWs98HQar2qykvi6PfBrHXX12vDvUz+g30vPVZ63T7V5qwJRyBb46DMXDmXnBD7 97twYlSqjuMzqu7kQmemeWg6CLxNPGI5sQreS9QTDCCHM2c/SZyTTsK/13kd0Nu7vWBS/Nl4A3RK LAfYAp8OoAgWAib1HnIApjXUa/v9pWseM8jFgSH4VXub+7yh8y4q4sTKwwwNi91Dm9IRt0b4iASp Z1YdLDhs/ZrTP0CN9bTRdS9fe6mhIr0YL1ngQmRFwzAvwN/rZTlTDzKJdcY5R6vnZ7EAGnnFtPFg Nz1L0fiegQQLrzyqes1xXCD2ar9WVVa38lN9ZoC5IIK+3rxIKb0MZ+gwbQkeV2/cgHB1eUU5hLTH Ro7QjLRjnuML09RT89r8ACPqBse0elXhO8QTRLrHNNUJ5sdINiIYkLRSeXQtU1I3TM/lFw4vzx48 ToG9X49Y8YK+1mL5M5sesr2hwXVrLEIpdEvNRHjDSjZNuldyq4D05LdxlKHDXO9Wt0okOvebwtie RUyJcNyWLUSDtwL0zFLWQZ01d6c4fmmYYL2iaBMuUdM4Due1pheE+KKDKkubZieKEK8J+VuTwtoN eWfIoZmahDvIxcvciNtadMjfcMjAH9D3J3BVvHX1WbfYLZndcd4ChJYEcSnbgxLf4J+ulgflvydA uKM1Qy4FE5XSvZjOLikW7ZXsLbJwSKZb7xgQ6Vp5gvPFgF+MroyTW/frpA8rBq1r04XVU+tTIeJZ 102jsjKCB+Gx87TSwLkYimH7MX+OWCg+Q35TnyhOv6lIBTSan6hAMC75rE5eQ//R4UF+G5JWb0YF zafeNEsPgiqOQekdvPB1+IokNY5/ghAPwrUiEvv7aU6L0Pnwsmkuowfb+utShi9yPvNa21HscW7t 9/SLwMeu139kNtN+v6tj4Nq9dK8eWzlU+Qv7fCAwUSB090fOTSboHhzi+lqy/RvxM05XCYpUg/BJ ikLO0Wl0yG//BWZLT5BipOTlk/fWBwwhQpXTed5ovGNWiUzlZpoCimSJ/yNUmv6EjJ8bK/RCLDz3 CF/RehrMikd9VLL9DdxTEoTVa3N7sIrXBgu3ppXYKo9fP4+OC1qx6JClcMKit7zWwNyX29oqNGMW lN8gJJZF7oH7YMllfRtmWz76K8CgRgPlGPhelT1HiaL4s4LrvL49GvxWz2ms1dYRcG8OfnDbQQ9l AirrCWSdQcN+TBMmQgWc6m6ipyWJK+XcsM40OnV4s5s24d6geM1FJm2/yX2lL7m8W7umwSU1V+HG zKGuiYbVVnhfvoZFsuIItmzsMb76NhDbKvhmGC+8FRUkMKvVdezgIcrFdQ1WuorsudnlZAO6OnTx G6XthRrfkEWoNeYxyVBW29V1qQuyAxwbXdZazJeDTcfQUjYg+9y6cH3apF5Azq5VrDf2VgnStjGu hwK5ls/YWMZEjTx3cDnHJCbQT/QMBzd3de4T7v9UxpwIYaQQ47/NdoUVVDXsl/7PMuxuKoNhTeaC 3Awge9XAI12Aq4OtQXYXBuRzQbK777YCPx/xdMxVC0t/4pDpi3LLeB8awY8YnftMVU0RND0DOKtG LFd8Vag1tsBLWLmL/TGNu+Pk4rG00KWYhaXtKBI1HcsrriGvTWKRHu/R/b4+Oh8P/zNEQa7N2bj7 bZpR2ALSHlHky1qQnZBdXQWcvrAGHtj6KbRvlEjHZx7GdyS5MfTVmToawMTrLbuv3o15BFC+aeGP kPF1AYs0J5ccxLd0dBvu0+hjj7Ah9coR2f/o6E9B5A8sLIwRSfUgvPtg/W8c8sl501003CE0ufTC Od1RQD72gvditdONgBdgS2uWpeYFHfHPSO8RB8SP+aim5JBd1/7Vqspgu+UQkmxGagZFCLRCI688 a2HWoF1oQ8WsjACX006LS/gYvBl0zqPVV36yNnx46CCUB3TCwsEFsUg1sup/3nuQ/oOv271FHEc/ 8bpPNh4ZJgzXcaNB/+vjc7cswYwAAKg4KktO15YwG5qIP9liGt6/qXXZSuCDzCw3bg4QDmuDJxTj fQsBl+ttV4ju5zDoIUyfbyELesJ2rjGK+JhFSgi/aFqt04qHgakT4Q91ineF7Vj47YfFWoxVcg+b Hu1Vz+HPp8FaGFpIZqAtoODpqHX+yTkf0Rx/biYfON7imVMPmNKr7Sa6ZzYkPSXfX8liMBxlOE4H 8XIz4fZQEO/7nGQgBMWLeJQjapYsQVysLOu3NtNX3jAy1gZ1ZSy0Y2jwgQCCaZMwu++3z0eEZtOQ 0JcDQXsBKp1jkOAf10I8i42A8nsM9FCUZrkLD2A2wSEJoNTlivNMEYMrXcElLMAJWvqhPskPF6+y 5h/Ui0SicXgpJABqGYXTuQqLky/0kGg6siCMK7yMFFtruXeZceFZLelXffxS+IhK+4OZWc10YP/R IaXesT6UqkqJooPDONlW2EVeyOr0cI+ZnxAiJECsWYPD/AY1Hn4iLkx1mhfdKsX4czzu1DFC0Xbx jYt+S1EUW1UkDW9YNmdcMYSxj2Jb/CJcG5dproxphBjHwvgUAB4OBM6jr9Iqw+TGiD72mGI9HVaD CnPbn0NLSnBZNsb95D9bd7QRh05/ZO97Rpn8RPJMDjPRJgWkbmqUb859kvLXaMIsyX1BYtG1hfOz OEx7/h9qsAXssWNuk914XGmi7IWIGLpPJa598m3Z8KreIcThwQrPvWyT4BCZMe87OK2Da1bnt98B 0kgyZyIXZJ7Xw1huLb7lrpcme0tktSnddll0Po2qcFfgbY87q62mwaxijaj9kJo9FCiD6V6IRry5 mNTxK2pS+faayARwOB3e5mVZmHVqUiGKEgS6x2vDmgQQq2xBZJw0TwzsICwNJ9BrvTPbvf94W1ws yVGYfXnEJConZonHbqVOtAK1+KDILocnZs1z3wsbUYAk12sWEakmaaS2sdbw/DXe1CHnoPliILPa o6au+zwwMJ5YBrYiKn5fBGaj2jj4SbgvHI73OUlkm99y874D/Z5ZlihOVcd4YOMm32jYi0LXwKMV mSh8VNgli5ihIv5+MVc/Utyqqgf1tfuenzSgUdVY2cJBJMJMjCeuDztLfODv3LRAm7KJdlPNpbsW c8gJbx1EBiCSc/7jF6TFonQ5otJ/sazFuoAsm/Dm/5ybHCitzpXI2EpUET1LbhDuOFO8CUQXSDo4 UnotPRpgpuBhkwcQckQQ2I3Q/3xWo7SOSg6fE29Ysvm52ZUAi1FDaLT4aZDL+xGJx3QbZN8dTZ/1 n8O4EaG6umNaIWCZHlmSf4f04cemADlq3Eqj+KZQW0tO9g70eiaG43jGwBclwR6OEQ2St68flRhd lusqHkKKb77+62DamdGCuJxqL6dIvT21VHjJ8qkMlbQ4yd23pGgrfdACQ+9o85macB7K+rGkoOFO es3nGn/HPiPOq+4x330RU9DzfgmSxAijmMBBM/dTDYTovHZMpHEw9U90tVT40yIx+XGgkyLP1zBZ +iau5msgIWmrbQRM6yFaQBRTfCOI165rZIgX+wcrkttl8rNuD/zFq+jq2nMUmM5rtgA/N4YwZxTB +2qqLVF4IFRAtuYLqoMREPYoFm2CEDqGwp24f+79r9eCBKvtWZDxehoBjf15aMDwoJJlaEfc9lyw GFKNOuTT3co2DrWnMC9fRoy2NsC3wfKv6h1pUagpsVMsBvVUtAsj8iegV8Ju4j8ZqTNvbCoM5RXI ahddM3TSJ4yt6pRTtnS/hWOVL1wV3961ZYTRncV/VGv8Rm7cGWO40E5uya3qhUuJFR1C1FMFUW4H 9jHMcHOHUQ6kr837W4yBM3P+LXsWJIc/bwigAC/XFDbLCJAgWN7R5bGGgZPSUH7tjrG0a+Y6d73R q+wg3m5IWPSRfx02YouE6QcAnnBaqV2Po0wvqOUHGw2T3MROeHWqIj00sshA7cnzhJx61zl+bZvZ sNrASJqfzyYvhqe+4qUOdk1glzr8VkXJLdQj8gA7RpL5ynBIUlm49Lp7ETteXbm1HSU+RNxrljFb 7E5q8ox79n63hE9h9EsxmJRgO0bPaaewqqRHnhNwEGXOkk5h7jGrmuNKumL189w2kTwhwfJxOvbj 6g== `protect end_protected
mit
dsd-g05/lab5
g05_color_decoder.vhd
1
791
-- Descp. decodes the 3 bit color to the 4 bit needed for the segment_decoder -- -- entity name: g05_color_decoder -- -- Version 1.0 -- Author: Felix Dube; [email protected] & Auguste Lalande; [email protected] -- Date: October 29, 2015 library ieee; use ieee.std_logic_1164.all; entity g05_color_decoder is port ( color : in std_logic_vector(2 downto 0); color_code : out std_logic_vector(3 downto 0) ); end g05_color_decoder; architecture behavior of g05_color_decoder is begin with color select color_code <= "1010" when "000", "1011" when "001", "1100" when "010", "1101" when "011", "1110" when "100", "1111" when "101", "1010" when others; end behavior;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_prim_v6.vhd
19
37128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cIiYfk3Xy6N5OP4pq3GmqGiiVNUZ6H5+UojetFJBvbKolIu21jc4BnJQVK6clVlXeOqxCwUuMeWy 2HOHrYFv+g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lIziGPDmnLk8lYYpZIaDaMbL8fBzq4Pr1Jhh0ulXet+pjCJLyV5jakxS1oSptZ+tHYCT5i9DwoXk 484l0YBwGxIV/F50kQ4mY5SmovR5v/32XWyGw8Sob1+z/rA/iYbfy53jpQjBFTMhONxMl2jPMKOr 8b4lWHN3CKPgzR7gpH0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block htRzDc7r6AHMWLJSZlCSE/9tAboPhTxPArTqmJzMnfBntgIxMOX2YAPT8iZ7gZlglNlT/Bmc3ZIa nj4bYkmP/Ed/Ze8J5Af7OuS/hLPfbdPEIMVOJrAzPKtgRUGYzZFakpIpDVbTLnXVCXGbnWwhbHOl N+MoLyC3ep/1xGkMFlPyLgKVegokAfOd/5ePZ6yal5L+KR1ET32v4t5eGaONowzpG0O9uY8LtLQU iVJDGAf4BzpePmtzOyeo5v68FfUFTjm1d6csF3e9pbQ9fEwJazksjJfyX2XYuUZH1eu5bhyJMU/O c9/o5sfORhKXoxNo0FDKepouEYzneEXI8uuD0A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FHtFxX6m7YezwdeWAQ6jmWMHTTCQ3ATyb5990cCrfHVNkzUwGdq1shf9GRL+uR3C20sVQ7v4/+tb aJQn0JjlSYvQTO2Q6FVyjXNHAr7wpM4t4p6I4KuMXkNXuNp6PVpERQgKViWQe974sEr/n8wacl6w 0ZeeyAlvAxPvOHeW8Sc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WrHRD4nLu8DRRwrUtiyMH2ZN6Vs3L2kgyFgp5P9DMlNKTdIDDQa1yTQPpciIt64OlniyoYCatBqg Wt8N5KlawExwntwLmfujXap7EAFuw40uyJX+yki/gczIgekz/25Q1+NPVfIAzqSReCro4UUW45VQ 4oIxLBIF53PvEJm3CGD200yoSxIl9Szkkq1FCyNtIufy0im7xj9CnEg/iFEwxzn8s8Ge79lV+lhg fO4H7eA/Qsx28fzoVv2RYnMwC/Ln7iTt2527VU0KjrPDX1WGbNCJ5ny6IM/daMbuTMvJb5fz48+S KUNyOcNxuhu15WGxxGlN6mcj5zB0r8XxgsnOfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block EufbohqYXYXdSKgd2wid85QAz6nmZQlgwpxyaOJ6iU5HCwy5KcUzMU5Vk0eG7GRTWbqqwaELdsbR eWwJt26/naD594YSUwZsF363lEqCh7g7mw2Tjh5wKmzg21ncivZ5TADtxkHoaKrLrtNTQmfg6Y7K fch1+Fcv73dUCyijN6KfpAOQXPtfIF7QWZrdJ6k4NDCetzr7iK7HUssjfCYfK51WEKNfZRQiVWaW z+RFZaWjJJe6UlE/0bL9T5/97oJa6aRIEz//oQnCMqhki8uMEuXo9dWSlqaHm5Gtqq3dVScF3nVU IryEMtjK1gA0odj5PDQuJ57gNpNrrbvt7AKvlimUbzRJPzEcNWeVvl8a0iEBuJgWOmnAWZzrxZmn 3ya869WW/KAp+p3jtqrqtCcadMac1lWbKWYNJ8Q+zd2HMOetPxJGCuQiEc9sAD+oQoePJsmFI5nd Myx43TgaFr4PoR+lcjEVvhf6vwQRxrVf+SedzLBPi4HLXn4EPxdZX4nLhkivAY/Mvf9G1DraNrLm wqtLse9/LqIGOlRgnmrddSSjtJA+J1DJQGo+70iEFiliVIQjBThbm4oK8G3lT0bVcQcaXPKJB5tt HuYsa42fkZpvQzPFZ9ef/S91298m4ZyCBiOM2RSb8lUtwT9DaIsDPcS+TIMnpfO+CA0eibRUsmwG UnKmkQMjgOvBMqu2nhIPVPHtUwD45SDrPQDVCL+hJTQwWzL6Q3i1tnS68VRNtWTy75p0BgIZVAw+ d5KINDwwT77oX3MxIE7rTWsSDi9w9QAlmHf2bUA/fHxsuOxVhCCaTBntGjd9ZFGObkoPd8JDkPN1 AGb+rcl8iWOTlfiaApc/dL3/WKx5PsaKnAPa8wm1+6b1vgkrhLqPOJ5b1099jh9nitFbjmxRONfz itpzL1PMEaLmpwiSVShcWG2MhoIdI0plXu/rO68ia37tVbb3xyarB+8V2jUBaHh+yquKJdU/egPc P3rMVXqFBbWhhb8mXxO6bAYHgTHKAhTvBoxWvsKl9Uw96apSUF1dSdq7tt/AUfO9PL/bsIBZGwfl vCKf5pq1UG2iWInMBJ7hod7Y/wg9xx19xqkFcvHubFHjHo4eN3mJx7f7Rr5fht7Bbf/het+kVbW7 kBZoCqNjehW2PjqUPNw2EyCLwl3mAp0eLNYcVNxqGbSex0XdefPpw0xRlP52d2tUabAmitkITope Kpwyd28XQKmXS7Bug9S0XPb9r8OcMkdN96J1RaNlP4VlKEqLOUAfrP04e/csu/SawPSDFJQIjwih 7K/HKz3FCSHnxCBT/9KMbdM6qcIaYOU/ud4lCBg2jh9YCyjWHtDt1bEaf/lBuZUXthZIpCK+l9Kt wSZdMHwnkSthvygcbC2g515wUoCaPuhRVeqg6m3oXzS6Wi7qvxJtA5RZoP0hlHr9n/TAVEhzVrkN RjT8VkJWyDN8OKBzKijilyL72famQUekMyTE4gaujs4a1y9Vdn0Ll+tQKURFFaxFI0IZ3k7rGqTl k4NlqS/mMydRScIAOVlUpZwKJudejPOzCHijyM9MEDZ1SyLJ+Hx4f6inrINpcC/Jwq3dGT+EeYfh /0XMhfq2c6iYAVvOUyEvoJ3zlSEOPzWJabBKv2Qzoo5LQzcdq2dgLyIYTC9WsXqzxAU+hinta3CK 4nYoKsYZgYJVf2ruiJEpkb+gaa2ywKaRxd4TywuQsNgtdsg0yO2MrWVZe61smBZ8ptdpxYyGSvDe VTFE5D/a5inntknJkiINU+hb8qDoxaVUkFb7WEsmj7TZ2G+8kj2R0qxQW82fdrOjQ08o9HSTHCKG AnTABQ7zLVSJ3aBCXZmhVgUiZLZQS4HRFkOr+CxVhHLaLSpvvOVoJpNU0owVXwPsBbivWgDQJjzM D+zsmzCD7qd1n6a8rENnADJx2o7qq0YPJTtu33FTvsOGOU9K1xXyf/rFEeoXUA6IG5CoY4v0sIo9 BtYnnIqNmFHBvwsno9vtRxvRy9oeiPVwM3GRu0TJidKdzBlzaY8N1y8VK1A8lsdYCClXBz8Vh3OH YHMULtOPbLwBlBUjTtNIP3wLJX0QoKLuWjbnGl8cAhkLkcYONB7nVHEe+5EhU0OjQ2yn7/oNFJoM arfnv1SAjAHzHeCa/ZnMkYMu0Oq7sMYh6CioIJHe6TYV7GjBef/WP8xL+KzDexcR1pHl8dLcAZiY yy31/tGt1YcSDZsIB9xxi38eUlV4TUq07L160GoNEGTmX0EsChtLYYnBuI/TRULkd7ane059AbZw OGG3NSO8O5sVeO4ogf50PNpU/Tcq3AVih8LfzKaJjrX1E5QeR7Yy8W2wBqzjB2R1Mv//TsfViE+i 9sHJS5GxEjIWMaFrTXgY0HS01360hR6LXsSHr8YqQerKUV5f/G8ozWyjayQ7pTwsNCZ2TGo/NzgQ vriPyBXwfwus0Dz0HgCe9Jqr0sUVDBi4JZ6oywkSbhkXxRmKVTC4/arRMqyH5QVgp6YdrSfJdqCR bnorb6nQ9kmR4xagorBZhRVzg6jySLqVwuYBe1tbZtpqkzb4Qhv1U/XtbSVi1QPufu0utw7qcuH6 gvFHJfxigK26sE2TAGI76t6ggD5k0yq/VYHnLIHDY7WElDwgtsdjEOTylRxt/EDezvdW7j6+iamk tBNrlJaum2/f8D3QFqVlOZrAhrMhIWHsyqfsOQQL0wid2Ycu/ncgfZJ6lAcmuMkiw3PS5A69Fig5 woiZcu8O3rRsgMY2XDR8EwoxJBE3VDad/Qd8HCsP5+Cxv9eauUeBxYqaGirlY2DdA1LKznFjNNNZ +wRBtTRFO3EWJkA2B2ADqIn6JcV1dQGN/pqhaFGla4R2OFno5EMZdUNUSBlScOl+DfE889NCEol6 2SJpmjjSMJkgw5dM6h3YgatJ2jracP4AP8kg1iZepUmPYvAM+BfY4O2/FUOGII/sIwnuSK4ES85i JB0o9QFi0nxij7e3xzrxNN9A/VVe5v4ZcUGA12qX0F+Nk77yvteQxXpthfJd1SQ4Ekr1Yi7P995D lBq9hkwqeN/+m/StFNjjL1LAWXEueLA/eAwa9gcgssvqVFVyKwLx6svVNnkLP/3wHhEANeVv6Feo yqHPsW6Tf1OKu3skd7r6oynfBNSOEQALsDLzlK2bcTK07Fk05ET1xxMNWvQNOiyK2cSzQKeulG8c U7GkBD+aMjAtYC0B9OPNj2c3a7UM1Bi00qI7y8AGRz99BZYHd9BAyTHes5LUZHtGzCSdGCkXnUG1 LoEezNxelj37f4K7jexsYqH49gLHwPR4avS3cDkVn2ghaAE22n0iTWu+ax0R+JB4Jt/RGEgPWxBa 0LqkNPKZ8zOT6hsS25jnhFwAIckbjs8qkDQcw0ary37mPLWvCadjxs7jKlDHWGS+D4VyIKmUaO5v wL+NVS1xU5iP5EMM3uttLPJfoYYpCCHshnNT6UZwzS7djU/wChNpoKRiv4n+uD3LgWR8akMq2OTV t4eV7DfQd2TA/CU4KOR2cWjB9Ao5XA6Jp7RgQj51ZT5gL4GmBQYVkwSQNamaG9F+EctTe9FRsvhZ 79L6K1jrldewaWDPPCbuO0wMqZp1alAXcs12pvqSxQhRPeTO31LhSVUWW4KhXGSnQ0ObJcZL2XHh FMQ04dOjxvXU92l7OCjDlFsZxPNJzb7+yywM2bLM1AGBm7dNSmu0WRdIFeTN6iWt0bWv/yzZs2+B iRnLuSwT80ON1foAZJiVoEb05jF/kyOIp71LTd8GvNeo11DTFhXOokgnZHEC7RA/ybqJRcSHpGox uXbZGiuyGkmTFMsZd++uh+do+xX6vnYw7UHT3Qk9aCrdvw7PC1a1S3YIMTYe7JhEWvEdoG+SU8Xl McEa92GatgEkfRQjGicEwoC4Rp0GhtWqdaiiPhKZYKAWaf8U/PyUhpox2QD+vcnm4T4wjzsZIQzZ jj+3wq09qH0ioygYki9NGiBefzfzxUuCq4s7jlbEE0xUeWHOLEpFkBLbYjoJrsY5KjePUOkY6K85 53zzfQO/UFuba3dJGUOB9RBXHXV9WyTAGRbYwUE8vSUXQ0m6MSI7vfxFMPwWz3+z77/7qTIgiax5 0crPX1+lraifiN9UMEqmGLkW8qxTrLyNwW0LzVq/OurQcNuKmAgR8VX2j5fBafP26VihfXj+w5HZ t/bOXPQcUtFa4MQaLgZ+Mb+nHEc2ilOn2yWMXyP6chLMuguB37vZEeoU1xNeJCe7bSWbd4jqZZeS ced0jXLo29W01GyKGvwmF03HBfgSY9cA7oOaoUt9P+1u9N2Ah+6ptjaEzvE3W/7T7nX2B9tMZZOp +v0KkGEF/BvXlboS2DR1xzWbSdQO3y9U5yM+JQayqDlhNW29lF8eGmMTtxITby8CRgHqsyoeYTRK T1KHE/3gM9l9dEUPri7H1Emqne/yRNWDG0Zs0kVGetEXKzDOAPLGZxk8ggDjnBJ2hs2ymqOubxHp JZK3xVsbMQ30y3/suX0+pE1zv9/EInsqM1hgpTzQzEtZf6RqnJEpGx9skThs32tVvy/ofR0yBmIa 3XpdR5oHyuUAkNAT/2Sv1Ma9bFL6P2PfP0Fnv6KBiJUPbJzyvkHEwoUK0V3bBoKimaZaAPBqWHyw 4VcozHz4PtERSXsszXoi6ok/VqE1z75jz92VlCtFiu0nYuUP1hEtLmD032kQt4bAhSn2jk1Du3IC TaLdcM8aYCQchVFGPkrEEINjQYcfUCRdymuNUQyAQBHnbaF2H1RaRn8fUP7dEIq1SIt/uQeJEdY9 lDO67omDl8A3+QTwvqUINrYsd+OmnQb7WOHd0QKb3SxnJX83SESyHrRxtNJs0XPJeOlR8FBBB/MN CYz5Nz5t0sV7MSreZrWMNG5BAkD6Pa0Q0h+VAa4sufjsJBEzHrHcSNUGNy5UDVn0Qos/jBPbhu+m pEA2LANA3oerkkua+hpNLl7mcQqn7dceFPJgZr8VkxrxG6cXpIlq3NuL3AsdVJ9jMhh/C/NUPwaO No83RPXpDQBlMeklHcEerRAnajGU/DS47egHhXv51d/KffXGh3DsX4V7c9AqZZEj+o1etIOvYLh4 PhRrbR1QBT4oWdte4BQtInpB7dnXkmtGo0SpWbK/YYqz5c0hgJbqqO+nRS6yoD7adzhR4aRrANmS a6Y3fpqHFMD0Ij3V96cheB2c+TFKrxmLdFR+mDyP8pNfhWM9uNi2bwCnoFPBZJaIRO1k6Behq00f WFabdiE87uZD5aLz/Cx9OarwkRVj/wc2zSzJXzMG7sljuU2GI9EaStWEx4jWrgx/nQZfi+xL0+KJ doelEKtWvFwnUwY1Ur4eumm4cdEKqtArFuYOuy0oEqfa/K1xSsErPiDVyaQeeZcWklTspPB5RO8t FtUUl7YOqrULKy1Sb91X9SyeS45Gl2pWUNJK4y+29/5MjPchJR/2l50HbBxt3inFMPePIsQaXrtj MYWI9nYFrg51GnAgzTC/5L+WnBUo3sEuw3Y34mwK0aHrG99UtKgUz5ynNuXQTSEf7ppPRyDgWK3A 59Aukovejs2T6X4fksqzfa7u3W0WIncqhXy8Fp4pTKwEqD+bFNCsgnJJXftg9mMBqncXa5bUMvHG nHciTm+rfByUQKQYOCQ3pxPop1SzkM6hC3UEfBdW31MMPNVn6pYj96AkPtKvdIGwaXFxpkdgWf1m JjiwzCWUh5NTEDchCFxp7DPruXGz2ByR/pkaejHu79sRNDFnDqF3RD8NeykQtYiCMhPQp/1lU9nw Fkz9NN7p6jQ+DK30HMQPUaSofKFpHmVA9l23F46OhZWRGW/nPu1t1q6QhkioYA6NZrefiGe9YmAu /rboi0qfX6J06ZcJnM5U5q5IN5Vq/YTe9NtGQ2u4liD44gRyLyuV/GrosTXndlHCjHly3J0Ld5rR QPR5FoUUwofQzL3e79Z1KTJI1mbgqbf/To3gHW3boF7V28XNB1foklTM/jvMcm7K4Fweoy9veKKH H222yRfYvq0qn4afD6lF20e2Qt34qgRptytfYDDaWVBgJnnGXm+FUt5COJX0ULAVPwHNWS2vJfou 1nB7Wf+pF6YNUx/uKWJgp72LkYtOUZrReqXsJBBHsqRRW+/Icr169ak+0mTG2zJQ19W9GR7th3FB DhRB0Zq+naCPRNXqE1/uFkIqyQPpWnx2dvMI4sErYPUHlw1dc8BXkZgJjiw+Iqi4dwx0V4UPZH45 vdp+lJULOuBXR1ZuMB1z9bOUDHX5jkMPu7rDB7+hhLPGf1y41GIK+LKGRZYpjako3hAqsx+6WcY4 2SyvOjrceAUT2TMnEi6FfLEdaQStEag6B+HMS1UQGW7kgziOUCs/+/pUw0RYNHRdZehA65slmQ+i Wbnrm4mxo5u2nGqu1uqFLhhgC7dnwn7yYZ4AnCJhLv4MpoDlB3DhPui7Wunp6/vUXVAlqhk1CNMR C3mIX3soJnKSW7SNAnSmjE8DJnW+FIq3zWd0o0UGsl2wxSMYIjx8FjapoShV1wG97ni80AAwG5Tk 9aduEhPCphvr5b8PD7ZWWoCT5NDwcL4zrCgKXoroE9uYMW8oVwhyJWKMnM3Y2+uFGd02Mz1qRUQa yECfWufKo1NJL9RK8YigUqJZrMF0R95Se7JKOcQBtsQmJ3+cZoI2WCY0oZmMIiEcE0uj1bbXJm7S y+cL4hJ66ThHizfWBk5G2Fjg2NFoHNydV6Gn5dlejq64RPRGS9SmjS6fqAfB25Ro8SoVlnYByDT0 hxtFEzvGLhFkcpcOTOsG0RI05UIeHE6+vD8uNPYTXq9kvgs+a61uVjauepnqtYJuDrDPhVm74pMs 10CJWZ+PUOdpZN8usJYVtspWXDtch7rN2hsojrNr0vN5/DZsdpCtIa0weggEOui+II4fjdWC+D1Z BUnhor+RztGV7GLExfNGGhfDsziEE2WA1wpNjpJgw/yL0Q7TlWPO7c1xhYQRp2cKYpxBIgPYegGa MCRbt2vSdGQpY48kAJjI8t0+VQvxsLfffQFxlNn5TWvE930KpSFH/g9feuUln0WytvmxURDfgnYO joLsq2qKM42HaX11SeYfBkFvJurvT1nh0M6ZEE2KBjBoxXf0+GJy4EjXKwQtrfDFSTtvzkFmnkxG DHsoRMTPdALEGEiZ8Bi84IXB0WfgRYtC6aR09VHuL/FGaPCrfFTD4jrspajjoVg0ty1VbudZ+3Fa 7uyPni/enGHLSKL203X8sx2EKaRaBZAYg+pMZzjGjHLXU7xXFvzyPkRuWibEj7jDjAgIMQgzkSMN WZi0dEGh/HvEDuGNzXAAYSwNGKQQvQyBInvbEOebMXJgJq7b6CWLcRhwGQXeLIfiOqzzkxuzD9Qs 26WDvm4NBnDfeUM1IsvT0jX1c5xF283FrtVSLKtdhkFdWi9j4fk+7DLQ5mOqG9I27ncWOjZgYW4/ CdPJb3x+5fMugeQiA9rgbTVz1Hob2ebqdncFET5U94ZmN6nJouZN2B/bvqv53zTbz5qqQn+nbIqm 7u4BXAlXD4W2sU4DvhFob7Nr0/d1l8LRagjeuwFd1cMTB0s7AWconPm+BSUjgtLcsujyGUaQgRK1 QoDDV9nOs+xPCBoKcJugwM3thkeqHKqiL35/IYTyxekUxwFuIe/gKUf8G3GHvv1k6Ri8EL1ze/bO y1iRdrPYTs/W3TJD2yZE4EOI98i4PMfsxJR5MLPihnj7AowKt62yiYaZdgHISG7MsAjkVQUfI0rx A1rVINhUXiWC//LCzuv44sXWEySmSgFYvsQPA/QCfFDeK9qBPZo3Zu/Y40dJeT3hfgSezkvvPMm1 zTB10hTxErze7xJhQhLKcj94E+n4IGLxKS/1FyXaOMIWdjI7lgnRk91xr7tHf0YOqcAXkWVXer0u ly/nkx7J8/xf6WUz4UkKBo7UBQA/0t6/Vq/psIU0uxA5pq+bZuzc/jVhvi22z1iFJbN23WcHenSJ cCdRlKe8tH1ja2tTLkgm9QqcMzNBOiZZCFzXnw5wodDwJLcK8EvlAukJK/oWX0nztxilJ44LLl2x yerHNm1ILPDBHZfGHjjtZOKk+y6u+LB47D01ERah2hRSL90NuyzHfnZx4HXPgsKOUnPh5fenEpiW Vl8bH3Jwe6SmqY/tK74ySVyTxG3/ZBE7zZZttu/0FbtPrufw3+ZF7P91PMu3djQaFOxBk3PSIhw0 vlWpFObJSgCqWqgGi4lfj1VQkGKlrP3M8qBdPlii1de4jTwpzriaA7S4/5jXDYezCSZRK05aMcIn ybDGwvRKFeDm0tw6M327XmVFlXcQeJqR3Lcdg6PLpdwO/G3RYxIfL00GHQl8DWow+6xL5Vft3ihp G0+zVcp9icWK+Cr6lhqDL0bRT1WnjzZxvaMNdo22HlwMu8SSy+ZHgs9Mh4blUJY3MImbuJL7q5kr xjolMeik4R9M5ETpdt8M4j9TWymcFum4UFRF8g7kXyyrnSLCa1iQ+8NuMHMfAf+qprxrBEvOTsj+ JteDWyz6illjV3s0UjdH5qMGxmWSqbRgTvaUZUKzARhuLtyyD+2zj8yuSo4dfhnFph/h1fOBKKvA D8dN0CKOQsY4mth0kIFTCgN02QNN8PhvDH/NNL3wJ7FUnDrmbebgEt+ahsasQNUQcRWCSni0bQvo N3mhs+3RRX4txqFEeLLHdWCcsTlsPSEXPK9k9pf8U83OEpbyJGkuiRfxtf6/FYNMrHT8MNWnjuW1 t0l1QrO3Jbi7wD3N8iyo3V4k5W05eOPuAtiSDQ7O7dqbPKgT07pIp2Xl1garDsv1xFdN1m3cQMa6 +Gzar39KHTJ6Gx2vFBfCXB/68WRV7lziE7n0BZCPK1AJ5aWhzzUF27caTzKkgCUww5/D2y4d+fX5 V65As3sqG/1uEh+wKiuJr8P962C1O+/tgceS+587oe7+b5//yNmwhYgX24NiwqQ3LJWAmPMU02LL IS3nOj5qceZIXYNs87OUjQhK0KvZC7TRVsWLr0hr0ZdwHhGJhqaJrJPjMcCBhLBfBeBhP3Ji4ffD qDq51Fr9Ksf+DYQV8fOsZq1WD2EFtayQkLtBLTJ8OvewdCTC9f52JadCvzkm6YYuPkxf8/XetKLY KXHIQ/o9iaNNVstVrl0j2WVs0NZc/1w5AwbFygl9ztRfSoHmV/x2CKsM6qNIjMCf8RyflqQt9+j5 BeEDcAuq859NHHs3ljzqka0Zd7HjjdNE6I9gHb8JR82sUqOGdqVW6H4Xm9MibeK08IyhKKYhzCN/ jKundD6sCnX7L6Iixq9rhh1S5oQAjiLTvPcapp+FJMsagyO5jnfTWakK794XLXZ1E13EtnB3gcRZ IwYYmzd92cUh32y6uN3iy9Slt7wBA/tePPJvF6E507+coiBOTVnheVlNyOAh8GQM4Gk7mXg8oSHj bJtAWshNm2z4pQAKEe4NT9JBWXSuOlhkwlLdKbGTwA21Ju/fE0WMa+9Ao9mPc+DHqO82UPX9KJRn wv3kjH+iwnBnQ/vAoUM92JFH1w8wb6OF1/xPrMJVNRtOQXSUudgCDqc+gJxF54dGQjGER+LIoG4E UHAY0aQjpFwozqbpLvPpO/WKr4LGz1ZUldkbjJrBzxePyfbW47janAd8wxiZz0oxByP0SQe6JhrX EW/eVuI3n8kcD0l/dSPBRseoXg3B75SgJpnK4pENmu1WyrA/ntLGl02KxvXL5TlReaTKzkF5brOL EGCZA8yADZdOZoUqhjqUR0rkwiXiLTPhyocnrkFLKYmlOd5+fhjAPmrdepx/2mwOex2PF7PJvURH yeqNh28TvmwheQccNqp+AxBgGA4XCoBK1wJcres6SLxxaoFNb3W3PVon/OPMBWx1cy/d3B0em5CF rrBurq1tBhg3S5tBjtqVo97rn/OHit8jL+wEZKhdy6rvw6TssQHByQ0eNJTjHIqVEaNbA4xPWEaC pXeMfJrbDsNzDAkv+d0fgwLTgpWzty7SzVyf8hLFdzo7/+A6Bi2KstH/cYG6I/Bs+Kk2QmDDgtff L0rdCLtxVu9sGil6Dk/2HoOuu/f3d9thE2/M8fELlVOwT9Buqv81GOIdR1eudfbb1//neebIolpl xOmN+jYCT84g0UcBcXJsY3nCb7D1pLGeYbeytdVEU40dE5rpzQyiDJ5v5x35Z0ylkE+Q1+iIrkHY rxTwh6ifz1XrQDQe9BVDl1KloGoi/I23J6iakqLCiQKGHed7+odIoPWRKv//4GoKfxosGLEoOPhS cNbaRn1TohVC6tMglmehn+WQgobiAxvCB1t0gsYdNgUdPGlp096Kii21zfMU9ergkfKCXYZGj0o2 P2/4iiOfMoxORz9G0gsgSLhHl6bFYExAi2kmL051u9bhNA/+kg+BR9lppHbpjhZaw/bqBgrYcSuU e66G042G9i7/VfWSQQyLaimNz1uMBv/GF3PUMuvG44Iv/7yTIVxUJcDYMVvRSJr/FZ0c50wxCTjl yBjHpcjV9VVG+oVb7to+JhghUoMTByNQZfRrNoQp/0XGwXFrEv/LQTSY4A9gvUGo6kX1pTs1os+L 3HrO1bflk85x0ZiDE4GCWyGOYspc+L2ikxau91sPrHZbGsAjPshX+34GH1JMU5hhYaR9Jj017bQR watNo++FItAs1R5coRtNCyD8rwyBIpEpV/0T0+Ouvefyn/RUcH7Y0+c92ngXjJEACUJ3cn7oZQLF laVlqOh3MQvqC1TVQAdjOXEllqXJptict/h+TNdBTDpBWq/WHRc3VsN/qABHG/NtiAjTPSgC+3Vu 3zovaSurCo6eZ+Rr6qS9WBT1d1HlfgDDHLxSKWsNjgZ1knM5aTzidzil9dVSbrSYVQDhRbhdgBPo QISSR61NgJTal4MDVj3yE+aXh+Z8oL1HQY9vHr5b1xoaLEda1IQGAFo/yDEQf1ZfDRT/0V7DtwH8 YRIuawd7vZb54cBvFzBYSJMUIyNmsWbzI/WEmlW8bz4oEjAgf5y1DxNb7ccp2dl5aukPmQUO3iwl 3PAcWTjVEMGsQ1JR/9ZT/DRYvL+cy/CfUYGN0KRcTtzsixqO2A0A57omtSIYTSC7hJxdYhlB+XC2 Hnmfc4Aa/iPTc+c9Ri7Ngj2/lpz1DGXbxYjYjhs+wQD/nsfFB8I6sfNNOpb84W7PRYQeWgjA8FW7 Ix76EH3FIJU6+cL7bqwEjq0S5L94cTsuYNTYmUyuMkeGOVWtQZQmyY6xra6J/jSjzJkQVb0PpPlH SwhzLiiizsnbMVo1RyfsjzdyoB4fa78QVRpZA9FNhPglf0ce26Vyl5pM++jpvLQF0j+NjwpSQOEt Rh4SE6KUK6nVtIRtqEsGHT7qWu1zp8scw230ZD8cPThDP/kPhHHDTXcF6BvgJoIGHIMsHLwQjBHe Dy/f9K/Oka7imaxplO77ixV1VdxEtPA6RK3SOVGCyB0K8jJRSinGq+gGL4Jj6CjRJBBKYovUh7Rv Gzbsad7OvrrmXtZuaOYOTRtkwlVYwZDrAbDwhr2azxL0I06pkmwO90QB/a2RVKyealGY84IT232z E4t7X9klOAM6FpI5leFrBSt6RVAKgI27JLmMmIFLq8SmeYMoEOp+Raf8/M65wED+HzW4OYBc4WkF q/90CjNjh8pApVsV2ZJvPTMa99mKg+yiuz/hL3WSRyjR6apAm61YmVWZhpmOG52JFGo+Ow0Dn8Iz jA5PUreWAo7S4WFC6vOej2fOWIcQ1Q3OsvML1thHmJGyskAXZeUGbNzEJP3WHy0gXRdrslI6ph2X M9sNZBjYh30qes84AJ4V5skYt/aCVvQYWXqzar57tVAd7NTojtp96KRGOIk8tSfLakwcwFDitsxI zWUWGS+Ms8C4oTgOvX1uVmmfbrhDrWbi+A6JnCFk7XR/DSu7YTAHtOWKeReCWQia07OBwfi2O50V p8JlADtBKFieyLJhfOioEjjuZi4YqO4KcGdc79As0OY4Vg7G7oT4HfT6EqSffqUHjITBXqi5wO6E vgD86WSa+eYOe7/EjPpO9cOuyb6FDASdBPqo5WcY2eofv1cQ1z6bAAQ7VS9kQnf95WxaYBdyG+HB 1Y2RzIXRKKpPg5xLWN5WFVJNCEtF8y4YdH6e34yByEy9Kelq+etx4226q5w6w3bSi10pSoygtURv OXvlRY3BBUINfiIZwbbIH713Azr/bS84Y9IFUmf+J7jzVUouzaqMw8QRlVOle4fsS+7Hu/gDGnJh 1/QYzUPH9j+2ZR3CLAOA6KtViehg6kd14f4xdJSg7UEmoN5OohE4054xXNyHNYCipwa9Odz5p2ju xNtyhYNdEkxy5Ww8N1nZUwbdGjjt/DDrtmkBd1cMWXHJ4hxUEHH4PFLde4rk/Dev37RDZBBut0BW K1tYDqf1L+Pecmw4ldf/ckx7Z5mBfa/F4hV+TQlgir/CEb3vh2VRlKqyhIM+T+z4Th4GjD7F0gsF PIFi7X8a5nRqGXFKpCqddenWkgujisRdqabaUbq25dwdhVHiBFuYjL64FKYJkwqhcNnW6pGGlFTh Kg2O8fFG5hqjRTmanGkhtUsWr6yp+oRyXLaeHMlbgsN+VMY0EQ/tjnWbYDzfVthJxdYu80vJV54u SWCDKRU4cBwQcSw+mskB/J9QnTMJQ03Jp+DjOXbAsmYcVRX4lSaj6E1cgk0I4xB0F3qcAgryR9iV +Trxpn64GLc+Lzgk4N06vJeQLUw/urW6GoDiilWJpYr8qDImBTgjw36yT5UtpzjC6s65dN96YXKb Uu8sA5Feq4xkXKMfv5hmb5+JlkkJihdt4IO/lgH8YJ1o2Wit1xKp2XjKjZ+Kow8H8vSw/116jUbY wXBioA9Rigizi+yx1/aZ14fpTMnAfN6t6KdBJWN12bUJaoeZ/AfKF5os+g5cb8LyE0ySTvWorPkr wjjhYda7w9cIN+RpNoAyeMd8Or4olm9AqNAXHsegAC8ZAQnDxB5aBuFh198xiFcxbpoEi8C6EWei It6d0XflDCNku2cs5uPLfWyIuH+yCgBaJkkCWSPgHjmdLHXZgSGzCat7tjNVQ/WPgmKc5M1Wc3Dh 9NxoHJ5/TakqFLeBOYyK3b8rOTbCAjIeE6Bvz10j0Bp6xpGNJuOviL60KW3N4STusQrYxwejsVoy b5R9fM2sqS0oDOT6ohGJxnkEKASrTNinyzxFdaq5ubP4v4v1J8X7jgVZZi62WWJBewadI1GTX03a fVXqPtNhdnUCXFiBMkYeCZPOFlRu84wlQ5b+7yKbVcn4hWH4TPfsJghPOBjmx5wVhP3cx4eSQtMs BafqcWMbsJVtCgG34c5ghS9Q0cmtivfjsdAhaWc2osYy319v28OSr7BBXXaYo3uScTmFU074Xjc9 zYMajRLSvdznyxKGGBahVYjMb4q2+hDW/dMk5TsSs8YL02ezleUkVsoMOIq4GXyXD47YssfBN1Uw 1zjLXUJAGqQdE5q3DimuW/EVhnGHGgJFSKAqWsWMu/D64gfW5vpLlJUbXKSVlfGsCdpikhDvsaCF DIaR6HC14TYL1WgQViz3zuUfBLntiedRv+kkixxXASPHuRKfwirBObSCEbgn2xFmycuK2xCOyWWb 0UQo5wvqIU7ZtQKTth0sW5j/yNXE1xvuGMAt2AMmm24O73dpUXqMQnHVZROWAllphy3mTh8TtvjQ JSFt240jW22skHAVV4XbCfR+1Wim88vjWSAM3K4DujsRF4AgT117tvkuEAb9GjOvKzEx8Z9MxNoE C+OmbakxOg0mq8sh487GIJOG7OW31eZo/4dfKOJfG1QxAgro6HpoaOUTmcJz2eg5Hn2ZmJ+c2Di2 gALfxK/aXLa/JaW/Tw5ygMKNgxVmzFx6CNP1FrbLjMR1QbRuzAC8z+ieBAmK7JHWfoJo/dBSIHM9 OEAOZ5w1WXveTRcWseaJhw5W2EBeoqdUBmbYajn4cb1TnoumQB+eNMA/GfS7+ZuJifnlp+RCJrFd VZwt/HF7+NAVoRfUF8hxf4TTTJksH+YBs6NFYIZDocNTmNHeMUplHADkvDzM+anBzNBVR6ffX0L9 qWw4hDQGUZFyGwWQIVu8xHjw3tMPx8R+38BPPzVLR8XL/EQb08OrWWdjPw+q7gK9p5xoz8zkH8Uq sXgoq5t0tc4/HbeCzV5hmrQqQDNb2gmUjTLyIY5R5p6HK7rECHXi684EoJ/GC7dfGprGWwrqah+g 3qM8CjyQyN2oWgRddYhWXU8obNzG0U9/4bhXak+BEzi8SR0qsCsmG+PQ5hc7N0LvMHWkKSw9YYtF ZCUMICUNEMGeIoS5M17V5fyQJs8jFCP1XLHr3jQWHg5a9jI4Me5p7oC0VDytmPOWruKPeYeZAYTz Kqle6AHOmuuHzimy9N5YmPZcIe/y+MJtqsky4f7rk17IIYdfKPLfivQUIyChkKvi2CZA874nIVOX ue2BEwhjYOiKv+Xqj0ZXNdwdRAh17OEGlfKnnQy2c7YSMSgSugsiRe6rHi9fZ44gI3xe20OCvTiv yLLLyLxMI8bU9rokOFxmCNowPLRiYeSYcqkW5DvKudqklTjW8mQXZcHQsO9Ce5yuPcOz5yk0zyxp 630x8AzS2tUfHfuiZ1RaXCe3gvkQ0r9hTLv4olzAE/stq8nmLdwwQAHGYHOy29SRRwchV1fWBA8b wWaPf9A0d9l05U1ekG3iW3gMMyj6rbDDyeMFcf76LhAMdZt4JegiRFTmUMfzXOB7LKr3E1KtxyQr Fq3mQiyrdJck9+3AqI4IpziNQtn70Jl8t5S52jT8vyCu2yBHlw4JYMdQqWZZwoNW4MYiQnIQiur3 0ZrT8yMbRkiZCg0l5ypAbW3q2JmoJLDJwp/hSMx9DPYQu949ZyXLP3eyjizYVD+Zy41t4ueFQo9v h/Y2JPbOcZ3k9QJLyilQ5EUZBCbFmaknZnH9t6+qJSLH9lhZrvTuczh5/1BFA2zd2EJAOzlBZlVw G856lLk630RhZ+XGRO0d428yGyh1UGJJkruGd9rUHwsQqfiFgY78IKMWner/3RX+fql21RZXjWWW xcEWGMlb7IFoN/5YoivjmeYd0jumvBgovFtEAe2Typ4R/gx/p/HZ39bPOdmrGouOKfJhLGIBRFJR RKV+9j5P/fGGmb8YzoJa2xa45WLFhiyqzWuzIijN7SS5ofnA8lYRVx3HIe+el5H4XbLJqyZNSfHD 0XDQWWuyLYXlnu/juRfuWFo2R7yQXVY62A4SIikcEQDSf+Id8cEXl/IctdXLY6M1SOQH1yZAb1qQ v01muPTJFbDCggkZsSTZG890oD4I2RJt5ugt8NXh2waMhn263qg5xiTEhZKYcfp7qrqqk0UN55SH IBq4NSOUo4PUQZYkdP5NjpekOa6rdrIQ23KgKil3uAqiHKD2xz3G+2xf8eO1rXOBwIdFS+DZTVib wESriOIpfiF31VhaV+/cFxK57/CEueloRECrbAOgha5ePzztVES2Gdcl2DwQHznzVAFcyG3FF6ug 6twRXTsB7zCWyNMkS3vc1HUKscG1XP+tLvqdhdJnrn/xzGxnR/RCd4HwdW+GazEsT9Q0CGLzBHGA rEAn6mE0pAEUf15GEzQVlh6g58hjkVxh6gV+88liBx/kfVi3Lrgb9cdkTmSx4UKkURO4KskSaxVa Ss+VeM/OFkrRnxa2Qr7A2Oh9x8bxbhsniza+PFMR62cSh/1Z+axpYL/gpdhgfgFIf/wVlSMHyU5N J5ERF41M6VHqylKDi7dbguElqpI8B8egtewT+XK6Y9cG5cyZkbRRlIqAT99sBpDx9nZe/DLLoVNs 7x4r+r6LqOLLrO6gTv5xNFmW8g/8Z1Ruwq2uKvUGmcBWgxyequhMBL8chFfImnS1Ga8ud0JeUqFW yYRuzfLWdVM1IGhbaeRQ25hcyd/MrNuBaAabSydrdxy8k1QFCDp/mtgr8EBnpUw9b1TgTEbm0i4C 4TN/1m9fyuqJxwZHMXNPAcIsBFqRZ5a5ow6dQQUThYaeC5/hK5305sTAvRKgzQXCzPPzjNhkC/R+ 22PdzVU3s6ehXg4HTZWO+PVJk28leRtpHIPRYefw9UPl5T5I8OLxEOkIm3Um9c5OW+LeSTbqlQsG Y8N0nCSExZftuLGQPc2kt3D3CIVUe3QEQm7rSMBryP9nJ6WhzU5x9pYvs+DI8nzsblrwS2KbxzEc eEHsOgTYFRimid5MtOoZIetxWqClMLfvF4K0/bl9q+UmY5+7VuiY4sO/J/V+H+C3rU9EvGva0a9Z D8TDYO5UYnZ4MlVl8h4zZcnmOlpvSVjhpLualxqi+zE0c509uZY6696sC0PHd/cC+rcN/Wk/RLO4 IG8eXqdfyA+ysdDNH31rvUQDENcxbVWB+ulYciLA20/xrQNIM8ItpZyAL4kV6YKGFWq9us0eVYWg LiIDkBI6w5ZwLUDJByzm2lfb0WomTOXWxkG/4s4XiKuawBaZDOxm4XhWC1JxGrgaoYejKrfp7X3v zPsWGkb6/P0h8CEzwkZ41+JBs3fhcyv+BTOdJq9VHy9m8/sGQvp93cYTkUKVQhlyw9GT0kvfUtZW anY+mQEew2gd+5zOTzRiUeJSVYmUZy5P9SMW5vt4EXCPn83ZA4i85YUN+h2QWzzjS4/ptSTMga+0 /7FMz4YdQOYHGcfmA5DJAMZemsYH+rWfp1UV/Uk8mwv0IL0rrJmew9HpnXFsbx8l/qldGJpmVIfp XRwycA50UXgOb1zmAMSFKOeGspYgNp7qHJasPcZN4m7JVRf3ngiBJrb9eNqX/YyYMOnafRfookan V5ywMR2rGEiFqFEWAGs/vOQi5zccb6h16GfVsCGFCvx/gDJ59nZt98jxbWsCMF6ApgCbDxcHZ2CW JNARVyrgMPOn3i81x96HPgGoohrgw+81bU6pxsu3V5WrZD3KVZu/WdkmN7FLipC0fu30GSKjNaH0 5+ATEkl8D0v41uocIUh1x0O99mz5lM0SNBtZBakVChmJlI32UzeJAKk/IqEQlaJHl74JmKIVWKWP MshDlUwLvEW2uxW6nGU/4eV1Ep4JZ24p3NQnKH587epHlsBSrLQE6K19br6fTLFhDCT4SGVTOWMz n0BMcCbHojKPITrHA+o6U/F5smir+6W1UFpoeFoSQF+qvuQ5Ymc55h2Et3AUFf3ZspAwID4PiXaB jCYUL3PIKq4XYSRxOwlnPAHdArkPrvMjFofEaxJIB/1IeEYwW4HuVdhk1RndGkGGE2GsLL/hhFc6 4BPE9DneGG/Ge1xc+dkGrYGRVDlDD1SXPRzv9kP8b5qXzI8HspPrYYBPBeTHdao5Cw5eWZFaD9sy YQHsLb0UzcVYYI2jvi/73GOFqgaUDPeX/+uGP/MyxooUAvBaRN5pAI2cqYQnUQfOGWTjwLoCxGDK DyCQlBJvOfoQEwBrrnZLLOT/bww8WS/RQannROZ9dfwsnQdp73VIe6JqT/tjbmazVA1BtaLWiFwc 3YHBF1baQgftOjAC03SW6Wi+ZpuOXvO788sdYVkPDb5DLz2bDRpWFoCKNyu37EqqQAsAA373Jy/+ GFjXNTqf/SjPtXshsyNPzD2pKbcyC2M+nqP9DiSgLxoMKHrmVIlOiczgfCbHw8KbgG0jgYXtOzEL 8NhhtWHM1tUzAU7YLIreoCKYsS2Tc03g4vY4B/xPgBOLiJy9FtE014SRq/AidTIy1mv3M9IG+CTo dLAmaLpXNhK+hV79YINmWoSDe8kvpPLtwmQAme8866yy/iAR04GvV54FYRVEcwEucPAPE2ICftFU AyBeNuaMr5uZ7YKJ/0NnG5G8g491rBJUedKBy7NyYVj4sjAezbf45TNoTVScrBVI2FNdfRt/7fHj +49T7u/kRhgKOdDfuMIsLjXBJFACjR4RnT8C1iH5rThsrFCy4a2icXBMyYl5j9UZhVIsmn5Regwf DvHdBKvS0//Gy+6j3d3haxyP8XgvQRReXRUxHOeO2esZzUNAXHCT4IW6ote/HbcDLrNiYAhOyd02 Hxfl/40al2ldzOzsqRvt/pOxlbpJ5k0B51+92aJGUgYNb/Ib1nVIIXlobXTxP6v41roH8u49y1r4 paiys+hHOOKENbUKbdQAzaV/19J6NTkt+5hfqSYIGdp8Lt5zrKYg85vBXeu0Lry9YlexkVUl0Ntr KpfA4VCF1JzirKYpQ5blPnJcej2xo/tXwI2dnxfNBPx6IaDdYgchsf6uv/GJNXJl9SMCHkNb5Nm7 B4wkN3ZiW30mUhnWHA9lWBTJfP2uPI0xJtejQ3+y6DHcpuL5KjvjmKP0WplSEJEYMxtAFdcZA73l CUqgnrfWCMNkgqPUPK8qgTkDxSusAEQUQg4HfNWimRRsMuBkISgIC6a+DRDZQNMeORs70ZH5mNAC dcDVkFf/hl9XUNLGfMTaqzfxqUyvfS5HJarmdhLIBgKJeWafCRSUEjdY1y+ekyfq3uMfipGDeFL5 FFBZvGhyU9xKxjyj8FizZZkUbLPXEDHAa98tltn8JJG5xYBShbwcBJ4WIUU47xbvm3kwVKWWQXOJ FuG4Mbx5mQG3xbSxk7ZZVa0PiCKA8ozI8zTGOl2TUYydTAap2x8CPR+lWu4jl2fUfexKWGzZOC9C 1hDryMtICGcbbiUGMZCINsTWEEcnyoe3Mc7oWvHiSiGvqSGa7wdTFiOPAt9aT86QZ7KhO7PMAxcA iXXxJ5mxNWx/6IUGy+JCznZ0NjrFIUbatewkPSvjfEqd5CvWpzeoPQLzZxui1asFBHeOPpnRingg PD035lTCKUXMGCh6VSg3G0va987857ELRITmWyGMqoRA5OIJxRENl6iSxrx5AamSVnO4y47JlqdD jM1uzsP9Xw3HqpvshAnmKS1tXSGmo/Vl2GfO3Cc8o/5SV+qIy8HODbM0Vmm+6LS2FsbGkTd0UNDG nKJu7fNyvHrXgZh+CMZgX+3Uft/K8eSnCEsR0VOIifNJMmNE3VqzhN3NKcov1QYwMC9ecASHkybX 0IYSVFrTdo3FUiqtEFUs5nxBlJ4+8783yZzKDO1V4bqs2w52P6rOkXFpAUJfekiIs6fW31DMBOrC UctdestckjL/lTL9qRa9bWCh86TnK6vMEBL4FFqwl6BaSjC0DoxXsdLz+CSSRvqmj5KfhL1p1WqI YshW8vi3B6VLbWutmZAPLCP4nURO3iVvb0buRO8ipwswYEtegrvuVUfOh+S49KEFQE5pcFU5vali JqX3Q0OUEPurV2BQHyWPXq+UBvH4PC7ImdJzMNr6LLqQ9Bvj299QVhcU60y2yPUPSmP2B6iQbshl oe/b+p8G+u1L08qMfm7AbX/iMSGyzhRpfDfWvZ59RMgqOUqn1sogCQ+PzgfuX2FJWsmbONgqtTed LV90dQBvwbDshPcFw9Ns7G5C+ix4BGAnw9LN8XlZZPnA6IxSObXuDr8YBeP5I82Wgq4ypV2nrcWd f3HWS4x/CCKh5nHh7HAdad21mPIIgO9HZZrkUwnwLmru8IkXMzS/OP2iuxQ/FAAqL2Ifs+Cp6eYX OyP+aGxa4+/mj4aENIFWIc1xiz7DWM86KlVzu9/dT/m2A/kyUiR2Im2YCy9btoya5w6fakwzQFdL UU6XubYaoB7W7Sd9+H+HbCai+X0c4IuAnGN6UBTDFOsPazc9HIfyqwo8Sy6Nk79gRNyhI2M6Sx3G CQPAYMrPGQYRW9jizixpXkSwz6T2rUQYDzqohPnvRF3GWhpypRqF1eUT0n0Aqv1Aq/+JVLTYkLc0 o7WY8vmbyVLVCO5I0q8vpMFwzvmAgaCgueRytncdlQIKM36lakkFxBVrfepmsVJxx7RkJ7+X8U7D GZlOSZnoPXdZ12P0p7g/of36BDJyyMu8TQqhyQimpu2MqxtcuweCP//J1W159VNUf9nY2kIf5WnD FlOKmU8fgEtSOYWvisRYN1eSi9G1wZzmBm/V9q5jxToijWQgCZ4kDPFUze9HaJ+eq5sybHe2aZBF JQiz5CNKp9t4XZbP4Uwhv6FEuKUZouXhuVBcFvej19MRdildKXguoBQbM7RvVs/CHU4Jd3jEYddQ dzCIOQzZjc17GxQcYmt1BTa+hNPsdnQQbE6LbPC8BgjcPVeLpFqBkf+qV6EcQsoWg2a3Xwtyfbki +PPX3DFyvQ0+MmmBvMuH2Hhcq3lVPIy6KmyLbXGJYFiF13DzLUXzysfSV2f0UfKoMMohTDEvRFJW j9s7MVio0WPCb9i4drg8VcGmbB5BcsgqFCHayIag/u58dd6AYHREiUO6UYblam906/gK02Gy1hL9 eKa212YLT7c6g5WXQrOfDly9GgIk4jMaEY80zH+owR1CSH0dUb6IqJrOqZuLLFFMitUSdP4j0sjA jvGyLJ7t4XIFVgBQf5BKLRG3beILvl9IQ/qx7GtyvwwOxO4Csj/prBVWcZeZfjr//7WbxjnulhnT PRHbZ0r+4VOl7Cp7YV5jbYlGgkZohsj8PDsGQ22/jxlQvdEnPcWXSBPRqKrX3J0SPn62EhQeM1KZ m6PqvnDMa/YhY7+iSp5Io3Mj1g8AtVG40wigf9MzH7Msy08oDeNmpKkITRR91l+q/MUf5gTPuPv8 zW1X39ToAr9AbN3yZisJtGWeKHopAS6cAU4bCDYSgU/g/NK+SSSxMAlmJ9eL0L+YqrSnEhH48eDm GMYmM3JM6wvmy9W7XAWliiZ+eRCk8FenOkD/TkM0pkiDpqKPDT6N3BHe1Mj8xFnHjBL+Tynvnffi AHM0dmHkqCVg7stf1eGzxtULWczeASEfWQjRa0FvD5XR+AIob8+4h0XWEpsTtzWQIQ5fY5FKKcFa ptgzsBvGfvc5gRl5teoFHOLyUWJrPn3wyzIKmxufk9wmIRx6zxO4uWfni8pOGbEEJ1sVV8SbLUgg QwfsQ6Kb/ZVt0O8Bt1CQ77rKcYH0O4nfSc9FzOetGdgFNwnehKni6ENZ4N63gFhb0jPAakcZ+L4s UIntg7AiWG9qpPdpmAsAnZkk49SH58jztCsBU0y9+2xlVZ2RDjl4U022V8OR4YQKpToYdBQjhGUJ 5XvlGeV90KUXVqp6kwDA3pFThaFkoFExO4mFNoDd9YPJ8NueP+zcjVVUV+1q/ebZCx+8FyMyoSUV A7MAhB/hdVnfAvVY9/LEgKKAUIVn9wxG0jZUQUK03ViJyEp/BAZYXbYfIABr3l1T+kA6vLVFTZhK kXQoDlPUVBMSo35awNWfwJutimS+UHMWcE+WjZTY8BBcRSqlBscZcs5NSeYvRuUARlcbQ0Y98xBs zkiCdsvKZsZB1vv1iIoh+wibcvjqOhItHQzr4vJ5NgWON2/qa3Q/MVbrLx8r+T2O1PXw+VVHn+fE 2966FSDxHbq/44Mm+cfQRZJ/ObaBAJJ+WpmbAXyjrUSu9rnYGsYSAboxTWbF2mY5uCtMVNkdJ7mj +94YTe/EWsLCjPVLb5iJWPHNK88FJqXwj8ttz9DI5h2I+FJq2CgW13C/HgSdlcLMP6BOji8poXfw JWX1LhlxqWL8Yv7s2neTvhPMkHN3a13HYL/QR/iR8n6dZhmjp+tqCZcjE6r2EQhNbE3Br1t7KGQa FWpM7eNehADIKGGowKPSpteBAhvkykyj4OudxPm21moeJOfK1lTB7a+Q6AntSVANbskg3sNztcCi Z/KeQKpa78FMvrJBusRLFoh1i3RuFObnLUSeLoeYawKYIWy/ci3QYwPN2wiVHx4LZGd523G/IU51 I6to1MjQVD7ceNPMIoo443+OqUQoiNGkxa63+uuSvGAbNGutHvjjOtQ9F+xO3aWqiSixcgc7VLGY xrpghYMhy22zAXPF5cP+RhkLUEfgwQQD5TaKq4H9DSVsowbUo6+0emIS86ZlkJAunzgs/vcchqIJ v6qpphwbcX/U2LBlBEbKinoVSCqC4imEDfQY1Q2bgFB4Lk3p8Ce8ga5uBZGhRCp6aFDfM+r9syDA gRKwwtQ1wWYYZA9VwOXpOM9VLIi3+onlSzqchfrMSzsRcPKr8fQ2JZxLfgtmwfAJ/itjYWtTN//0 yQ+6GSsScJsTnqyNXGW8GQ2SdGhXcnBBi/WPx1SGMt/ZA5g9Hp2toHhgPJl8blkPLn9Z7LD0AvJg lrl1iyq/mC5GnsuxKm0pG0wuHh1F9r1UccoU/C8yTh2h91sNNGKMYCwT2Vq6Gh4Ny9nwIIKl1RGi aNIuFHocMgDzXmPlpuBfrQDh72efVDzM1y/hhXQ5XzdCs2zzvxbPda2QnkvnsdND8riNRge4GbGg EOcHSAXndvAxGJ2KBCktVsQdbcKt+F/fSVoi8HfAS34gMHi/GXi9rg8u2mj+9HzpDEzE0DKg4HRf PHf8Pl6H8Ct133PxAaTb9xJwzqwdqMWdSfHNz04VKnELxt6eawj6/pzmoT1DrEW/pI3Y5HojUo1T nCe3wHXo0E4JUj4/8HQJYWlC5LERDxSyO/jSVOFOM38/zPeCR+inSBOt6O5Eed9CI/WwXdHCnVzV Ky9pzB4j5l8IWip8iBjG5YK71Xg/4eauRncYcWLLJXGxXSViw9FOT26IwFFYlzVSNS+cIFJtLGqr 4n80fEKHQncCR/pI71iy/0gO1G8S6MLFBkfWEdT4i604lG19mu5hVkO0ic0jJprRyKWfLvpHvxMh w+Uf21v5SG2QPRd7b2xDJe0Rla6klsNyLM7tuIZd0E2vynU+0iYiOQX24OQup8vMparqoo0DwK3m O+zAhuSNuyInF+6EWSz1ZT9MXlXF8n1rpWCw1Jtx8pty7rqjqUbYXoQABTUZc1avfBlGdCnxiYIC 4f0rMYaVUr65IKHwJ8A+iksDSVcA/KWQLQwJgT9C8YGYeSd/cVu9CGEnbmOYySFvMVZdfKHfC0Vs pgCVjpgviM/fp85PE+mVD40a200+mBt04rr987n5xcd/2jvQD8CH/aQrkLSVj8Tzjp0RiN+cnqlp FkSmleG93FxwOUuWG5Tx7O1hkAsamXyuDAvf2ADRVupYIj/GxhgQUi8pwx+/PCy1yai2avHv8Z/R Y4VsW1ZzpVXhTiHCDUD7BhKHkZzLrJMp82ziuw5kr6Gs72E/3XQU6XT+3t00ss5F353EJULGIME3 jcVGNVq+4/3GOEf48j2AUVEEy/GaudPgtYedG/wKdqaMhJEic35y/QsnnRS8c1ZIxI8wBLfVrgeD iy2QThZvgTnG5T1I9uZA7Zb2Ac8a5dRlkKrXr/aR/SPiUwVULRojBYqN/Luav658prV340AlcnOm HNvuJfdUnO1UElhoIU9sQw+JcZ1+jPAKl+l+zDVAIwhjnK3qG8JYhNpqMp9by8DHB2XeLEWbcpNI cBt5V01birZjJ5/B+/+AfNYzSXFXc37chmiZuxpPJ1VK+LLgau50rC5AqvUvxVMOiw1v/lmXq3c5 ozJZP3hNQe0ECPh58ddQt80SoW4x9xKH15Ht7fYU1LOCmrhPDxJUGCzuEyOkyDXJlmUpabMD51A+ 6PFwKpedodpoTS5eBzuL0BvKD3MF/EzdLKmTu6PX0M53Ir1aptQhXU5CETB++nMDFsY164+PyVk8 bcRhFWIo86xIfI3qQqVsapCDqJKnB8aNQGJoXI3hmHylDC+Fzatj8HoH6juf19Ruac5j7XuTjJcz f+O/rSCwNw4Dg9XBT7dbI39fh7XNABvqkQXvOCPjU2s3G/fjDQpgd6BNKogY/yNNVcWNpzhOlmCx nM1yXd0AMz+uwIfQHoSUuyyeKnBF49j0clcmndDXgw4vTo1xoQgOEOarRKpn2AuuZ51Xq2A++jy8 WYXkiE9+2yijLpEYSFJ+/UaJRF9K29ZgVcoPHWoVzp7Z9eE4HTIqBSC4H7JLVDNDBK66xUxingmd ag7ao5ErS1QRwSOOtjs2KF9ibUf7PKAd2tMZOeRd7Yha76uQrA0NsmBCZ236JutQ6kMcLOy/pguP c+cPsXdP0n9qk8tFFd7wt40tr5Shu51Z88ubLeF5OyLxeay0Ov/94LGLfyYiX8MyNYzslVjzC9qD 0ED3x6/LaUTl6HHf9ld4UjBWbesMIXviaRKpBsp2dsU8ckDAUILm1I3V+XUq/mhYwsLWRvVuXOO5 /8TiRv6ejQ5ldsCJAk406e46yVJNJJUR2X2PDQxpvxrhJq6Fjh/wQCDqogkJ2u5A3ZYDfOdHsdCx 83aVGM9SH1VwiSF3MdzNSb+dNeNOcAp3Yod6GdKalF61CjvezHY8DG4ba4n38g5e5/7S1LVDY6jR oXW7rpoOZgtmWpJlNtUnKpe6g1pjx2lzlVlrIdlgN5w8E0q0MymH7iPvhibFD8GlPL2AYFAtM75R qiKXcD7QghANT0xaTJPLacVI/08GyUCwqELHejbX8t86opKcs9ljvBqVgBIEZvvNjPkzUs3a6m1D WkT3FryPemen90NEGRfsTzOuskW3e6ApyA2qeCLz2Ezf5vUEhlgz58NujiujMdpIsux2UlACrSmD TZrZAUuE5S2sA/OqF5FijCL7GMdcvZ1eU8Sc0OVnRPQKFktK0MNVLhIKrrO2e+APCYdHc7CwoqN5 ciHPbaqGjQPrBIS7ccqJFda2euT/6OkfCDSbVcThoMO71EM2ylFCTmz6MeimBOnK4qa83po3q9Kn 9GEw+x3UIBPglUEsublg5dJw5HZwDmNGsydVz07oYhBmgQHyAduWhwjzEz5cTLYtQDS6xIZY/q0e //+ygppAmRKd6RvDJZQ/sa2MFobCLJYHjSAmsacAs36eZN9oW6jgTlINk7ieTSC6Zm98HyqS6mEc yLaJMf+k4b23f6CE87PEJTiobRVBoM2ZtwUavGD1Uv6Vwdj29ZpzW6yKUUw7HmfhGGPXnWgzhCuY +JivV5BnhdnFtIkIj/d2Sbav9jHwdqktnIDr4w+ABlsJSJWSItNfn93uIr1JplVk8KT/wzEcZxkj M1grILlWs3KLE1A9gBnCaKLmrtIzMnuT67biDDOLZZU9cnsOia246SVe4WWFdDGFV2MAHC2v63ev chOZDoCh37OuIxb5pbcE4Re7E+FAsihmdmTzjk2e0DFvdd0VlM2eOcejHqgVpxezy3Uk2MFwOPij ZuCdDo2aRUELYLXj2MNEW1tDacpMzibsqHxS5m2WMj+hfQRXEztbsCROl0cD5GlEhKB1iQ34JJvE Vv8C9oyGbs/BWk/sphMvpP/j3eyzgCgSwL2QzEscNJh3CN+JbTtnwfWv3JbF38XmIdv51jk52nyK g/OWBCzc12ASjD2ZBb3SYNPU2CNoTfk082VbwnBP1mTbbP2WUAbUtXlbRlD4McpWW5oYvUWwcQDt nCFiIZpFCETASoQNsRwR3pOigLUtjOE3iwXuFQ7uCRhV/mKlMuvcLJda/s1h2fRCp0U1PqK38k4q kRADDwO1zsNXr/2bEF7bhdyktjLgxE+PEOOdb1oGDtSWitUFnJR0/qzXmfpa3O4XZeat3eU5oeJt K/RTiK4KiSRd57KTqRF4nqsV1vbSx94tQsOsP46ChokQaeoFKDVRMujEmTGdOUthvHScxLstMBVE 8fV9mgOaOqkw1quhTsuAkhrfxau6YnsL+z2iPVJCgMtJGC8ixbhlW5qMPFUnZ1QqBu0V/5VleyEY NIxw03ANjSOejVl5GHJydwxdMGZliKfLQyoR4jV/cqvShybV3WwTbwedbLq+osMYK4deQmSmTICg 6xl5y45ggj8ciSKR2BAnDXSR1KLQVyz/5A9fYUJdLEQSXSmNAFMqV8LZg3/bYSvOGZO9/r8QPyQL ivoYkSHV7VH4OT42PSacg7qUoRlu+pMEzFTfjqRxMZR28dIAqbbVAL+h/PgbZEvs3dxld9OZzNcp oXLtEKESOXqSt8Lu3K4L9JoxCDEfp8uRZkpZrbPVH4Y8VUaw9LxJsT6Cabcm8ULvCliqH+1yHO6H Rl9jL8WF62cNQxoRYuezlmiudV90UMyq/us1rcGAneCFXqOF5DPvPf6ldgSjHzCfxYbxrLV/9rIt /3bUt5xhTEeVIi1TC4s7oxFb/PMOpLWqy702FGOlEbbcwCwarmC9cSR6W8wULFGIZ0XyJw7P1qFu rte+5ecj41RBYDN27OxmUWVJ4ccjJDTHxd52uecwJUGpsXfxe6gVx6hNSJwTEChy/MWZ2AS/35WI nzU1PKSnaC31G36Iw8gglU90/KvESwy3ML2vzGxNy5Vh7SfcKCWg8zf7iM6YhoN7PpH/BWY0cFkI bTWnoKXxYNlpDt/i9+kFoNh8UiWlaEOroQcokkuob3i9al0uVchawZGtFJVctVfhlV53JjVJSg1S sVkj5JpyygwBqtS9/WMgOz07KSKAcuAZvs8KK+mpTdo4RD+VNH7y8QghVefR74nZ+MzLezCeM9gJ +VrffljCsDq6M6ga+55rywzq0de/8FW4KbO30b7Ld1U36PsN9VFWYyheYIT8A+RQwGz5E753r1g8 2/eFrPxbmNvsEs45138Fvt53pa4QCIxO0j004plei2xCjz+/eUVovk04+xT5hy3qE0bl+xN1qQGP 12FZAGjhQQ9tAwmjTVAP1/F1A41Q9fBM+JrzOiY87i5sdWwO6Ilrn/xvDRyAMd7PXQTq+YgLlM7x Gi8bN6MW2T2vkr6aXa0V+6e+IBJ1cJc0eU/Vmo5E63LvNZts9G/x/6uPmuVEb7ijh+v4TCXVfzmJ 4MWdL9KcoS+3rRBN+AdWPBRbnWFRe0hCTZCzyJt2vnQvUnCwE0J3OJlCnBbV3lASrG+wgBin1ofB fLj0+8b8zOT7fHqL9QovZwcI7sYZBIO/kKzr9PhkALlEEUpu4E+B0Sa2/8CMlPXfPYYJuUUETsFF Ps+BDoPVWh6VZH+dtHuf6bsT+IFzCPzHG6Ri+JBUnV2g+uADAtcEqRYn3sqIMLq9RCxVxIVjEcdF 0Y/Oz5/H4n7/eeJX53spXG5MedpxJZpcnW6fJUQGIaIsitpoaaEPJODoOlY8xupgYwRz7tG+Hkas pFxiDfHYQdNt5xSIQqPfpyVQ57bdoA6UW9Bo0VFkzxM28st3KcVPpNLENzNZmKvK+OYXRSGdcQKq H3owHc4iHxd/DVI486ANKzOS5iPIWGcvyzKLg62t5bV29ZRc4HiCc27xAV/a33EBQMhF/m/6r4Cc TTE4fsUOoxyyC14didlzm3F1iygQUklHZsQJEa7vFi9390wnAetAcXqdIM5BAgzEwjk8SbhNg51I BPDOVzoSYXAIrgmEVm6gjnvc9VPDYxEIv82R5sRwuunY+OfCg5XzrTF4EE6yZtyWhv9bnaiJXIDb 1GzofcHH7INJBi7d1VA39fXYvM5UnM29lBrFYClcGNrdZcn9sXVSK6I47e4a92dUjWj29O8B11VC P/p0GQtNyrk98vIOkVy2u/GMuTOy1JohlxUEZTM9rR9zl3bzO23ayQBPLHxpUaLwMaN3JUbRH1Df MdOfDCf3ueBkYyl9SppPIzJnOWVc/nOneqqECp2wPHtRIhWfyA4/lWM+aFU4O/1Vf1npZySbFLtW JhuFWrURsT9b6v6K7OEZe1c+Ev7Ga4z/B6EHbYWLVWbvgyL/VJzr8sVOYyxjVTIjHALLk3aXv8N1 hM2vldJxH310aFCPJz2Md5MDR/Mgqy8g0ChnTMFrrpau4GMOwgTM0LdPKZbfil4lU42a9fl/wuoh hGflwWkWQk88Lt0vXh74I6s9YQiJ+nX89bnwjzf+mt7mjxnGQNfMXntsPEfX2Hn4xMQnjjeYP9vT PoAexj4cKrICw3dhN4WHHmxawv+Mf+96/l6QCnxn3dWxshVkzHr9Hcbx3bon3M2pIp0IWO3GvIsX dRiywEd/Wd07OvsTWfhYwTgSGqg/qq1Q08iTPIQrcamWH7UjuojIlwAvM6GCRFKaQ9ieKhIdLWcY B+CMpb2JXCNnT6i96rdkl2L8hZkFXHYv5IGbU3a1/fgtpeL5V+y3/lXrt1XhE48ZnlvzWMU6FM12 yDK4lu6NIc3GNnFQIWD5UtQVTR6dAK11PMfb2DUroM9lx+I+yyY2rY+TyYhfaM9QZ0KiSec6r/VY I3RFqqTD2o5oftLnoPB1pAMLfT/pye3wf4PxCcuFED3WGiKXcRWgpR0BAy/gpE7U81MlMVWVxt0P sj+Cy2GWJQ59TioxR4/r69egUjvqxzv3jXF+n8WOnCcPyf/5iBKEX9gUs3QTAA2utRojnMAS4hWx WzfW7t7UZ0HpoGBn6uf9PxIBNYgPGOdg9bUDTgXXe0DEB/TRpIeHlEi02UMOxWoAFSem7rAPFyhS y+wZai2tN3NfozI3uWz5YfyqaRnN7AHezlkUVrx7qfPrqy01uzAQ9bdb6D2kr/lAq420r6f+YD2N tbAlV0gyaTE/LgpbI1Y9T83r2gZ3wM+jPVyH8o/VTZRzCVMR2uY41gVGtlMbnkBZyFnSggwuohZd wG/lnYOYa/PL1LUpv20IQmkJcWSU9e9YZmWuBjyU9FddNg3drpABHZ2Onx7XED912M/s3A2Z3ilt PzF37CoGoKIQmde5tNLz9Vr16z1h9zE/viINVnXxCwpsb0k+JjEyhQtwJGaps0EGej5WdNyuXvQI hU5xWBb0ct2HO3au4r1UlIJyu1AUmj+IfU9kjxgm9RWviWE8JjXWEum2p++3AQNqvIWYZtEksJy/ CBZZAyHou3pORCTVq6ApvxXsIj6On2CAYmT1z/wFNG11oLx8VQ0kEgNjwlzvGRKTdGiHy4nOvRb+ Nh1RwA4bYoetIHh+9aa333eRsboo/h7jRAJn9aaNGrqEvdL1FZlK6N/eggeTWwDTK2mMmFaIabPb xSU2YHbk08iJxXfXBWMBjy/JpX8PVaTdQJWh/L6Uo3240gUMEHOdnnFqlqborVSB/OD/u3tTLJRD Vwbixi5AEONF8uWF+EwDxEdcW1l/j6GaPHVDkMFskO07UjJaGsZBwarNS6hUDgoUw8l3FDblQTxL advhFcq3DZQTxMntCqdVMXtcH8qB3F2mNWUkI4IM2h31yKPvhQDHSQkhv1aSZ9zta2W4q22GMwS6 UkiyYd58pvN5GNidSIrumVLQiIZRMYesAEyJH3rUq+ErEPTnZJGsxsLTndd8LZRcVGvcjJYTIlJh HAGoYnBSAntNVqnYIYe3V4meGSJWbms/dL+FSaBnTW14vUJicU7B/1REYH37U6SePLB5LtKSm2wl gwS+NKPAOASSxIo3w4RLHchWzoAvaTdS+RNPMuUOQ41q6HmoNjaeAeCsnrnzr+yUKg8Y6feQfMRq vhPJ05z2uwxIiG+IAnnF/ormWE7Bt8TXij4BSw+knUkm1fJ/ZE2wq8o8FB34HuXquhame4f7LwQ+ kk3VFZYZrg6JVuXSpDQUjAbJfk28OytJgN7MMhIyxHCJ3ApilWmtoms5rRWZrpHNYprEGwoaTppH +75Wtd59ZPy67SQvAFgJ6/4yS1v/IocmLB+TJ675pZkM9nqNwVP2tH4PBztWktCZ75vcyIxH0Ya6 YZY3bYU9iy0FLguC3YPlBO6Ov0e/e0DjvWxcuzZEbyEjvGJ8pNhL1OCM+ROptosmeE7h7m97Xhhr eWYj3R+3cvgTuq384C5XfE50DD1SGqayqyq2locdZg6FuOUJNZCB0om4NGY/9KJYgmE+wZB77l1c 4zoFOcGd+S7KGwgW8z/0ilpKF0OWA4+xmcBRZ6OTfRd0/A+0zyl+kL3lZ99doF9mTBr4s4XVZt+p vT4beshl6ww9QKamJDNGl9leI1NvMDcRWCHeO+1OqnoJFok75eYAY5XFhIRLL85bUGKAHnGOJQLL svi99CKVzzvmahp3cLXKQdKxO+3udSXarJ5wz/a/7W5hUCowbsWlsc22I9hcOUceduAtvF86KGXp GYk0l8g6ODfV9QMROFycg/xqNe3ukgGuvvw7P8we8cl2S4Bx8GKJyK2bBqM79c4i8QKX8sEvRvqM wXs7qWFRaSJhn2TwuyttfheyOZ/oQmCS9sVZqyx8O5ItQRvgoEc4K703ctuBPPq6ouzjlzloxC2w B/OStInnAclFyZRdvgpz50rn5eCXiF5j/AGBJ1FFG0jshcwtwVVXZ2YEtl8yiuWyc2boWXgO2F2Q /tn0P5VJFfNs0oZib2WlysUPrpGOQ2PqWRmKEbkdabAYsunL03P7jyBvdEw22cvH0TunhRsGGdx8 llYwL+iw0kS7pqdnTQwOu5rSChvdNwRUZGndb1y7d7jQKJbbUS54vyKuow6g/AEjsoFoLeAs35EE fXCljRNG32vc9FWfAwLspDo4jhBwf+VlNJiTcIrz1gUZFt0VdkaGrMuBfgGOSAZ6FXlI3JeUWnMY CLsGyHM4P1UccMN0HiS/3nOxEFaiei3owz112u6dhEBjy0FDoruUB+I7qQWgiylxKgwHeQSRJgW4 U1lI+sKfpMA/vmYU1K1A/lG+N79youkqIIY6IFq49C6o/FsoZB76Q3zHqS6zcGPrZiZX1nPNDXKs jTkeSbxVDX7jNyH7QoKnvzWmk58qqLR5ish1ENidEJsFP0w3uvTLmtHcrifKtOpw9AE4+vZA8BmI IBzJHdgN0n80iYdyocXBqCGWg3xGuroqtqwm/9UfK9xO8w9JXcbsyYN2Wvidwsxl/ufQtfaj8yPg QP7Rq/HhEqhSnUclXbInD2zFbY2brb6g85sYRati3wutNKyenp/3RD2ENMZYqaX4OLUvTr8OXo3s FngrGNxZUg/kTp/NWeHmGTvIhmhDvkt+xOw34TrlQ9DBHaR4h0+N1rFzmgT9Djb87cXEAtqUCf2O Tjkr2O5vopPkkAhhMr8x4K7tXfv3yprh+h1qAYEXQqitk387WxOAuXOCKo4w34lMHCbj7FcN8DRq 34nkgPymDHALbCL0MNhmrP+juObR9vATFUMI9FGBVbYqaTyIelQmd/RjfKw8MqcSpqvUQpSGdm/Q LFmZFR6rKpO414OD22wP9qIk5lApfC5HgvBe91aVWH/sPylHeugiG5f2zZcRGW3ClvUmg0BtRbM1 2N30hSsqVfXI7rilpQ3vaQJDd0JbXm5cFSipOTs2MoBjLm1LiydRlmxVCxwhF7WoF8XZNq8kcqiD HMbFPCLxbX8zCLQJPTdZTr0i4MGfkU6vyiEksYuozDPx7WYuTR+aiazK22dTK43pA3xr4NR9Vb/B q28vYjogyVFQHHBqWlqHKRgAHuD3l9+Ci/XEEeNGnQ9AHxzkiIqxfXejiuqWe3PL0FYkwP43E23r ggjsJfIc93l1MCBfPiFCc76wxTALbNzn1QuaBDbYDU0m6c9/0dzniI15hJ/fXiOTVwI9S9C8ikhc oGv6moLvbv/Lm+/5avbJ5r0lE4pw8qjnC4CbafuXAi7bHenonFAkjii+YbODGHyhapQPg+z69PYw Tj6WOKzE1QEyoVcmn9b2uDtQPohlPyUuP5e/9l/E3PvY6AnmZ8Xu0tHNtv7GVzrjQ9lcPWUVjpm6 15W/EJsEs/5FOoiUSnYnbs8d74yRjfVFfPIfaCp0JwUPdImYb8WtIa1wnBUS/JgajJad6BsNXO1b f4omnEBmHunu5GxzMdzAgPfv7gtyhAfEkXNKBwE7uoNgXgNhkhsVwrc19Q+trvJ4PwtxKWOwRuB4 CogMsOLKSr71e5NxHIaCz9vJnKvGJsjgKg+o2jJrMMDpL7yKXvqXs5/SzuzahywpoZzscRcqDS+7 qQido9yCeOPwjfW+r1lMuHw/ScECn8ELSMO6bTBA3jUs5gB/bq0w4+xBRN5gWBjNseEGqn55nHjn 4MVDifffX+rDTlpmj/GMkTWeYApZVPOmnwVWfsN+ubL95//RhAvnDokTKsRVmSR7yFD/kTb+09CU yOpE3FE3n1RvyN8sGSL9rxPbQwOhwojYwV+SChXRZ/dUDXTewgUfyXtWxyrbBAhfzSPEWD6nubv/ Bi5qqj9umY6XWovDxYj2G5RjU2H9liGn6jg0PqeqVc+6Yu29R/XgXI06TpAfJM3Dv4u/d+AkuHpb SmDQ1eQWuMIfdT/YG1hOG22LegD2RgBXDMXH0CgWtaltnbgZs4ejcRmzeNpW/+mgixqg6eRkVhXG 4wcnvLQECMGmNX2yC1TCqhqx7JtRA5z6JgW1LDDBkXZfxcLaitJkPT0DPqxw8vClaI2gyIP2zA7f MDghDLxgzViDXUc1LLUMwiLaXHH36h53xSLx/U8W3/LaYVQrDAW/Utu5E2zxl9DryrB2SYqfzD50 pYCvLDqSyu7mS6f86hbmQ2x3XCpJkYRwx1PAB8QEuJhe4PP/01LDfalCUXr/VRSZHvq/HoBIuJ8I XA8alusRUOaCZmkIIkjrH+GdAdr4vKhD12d5/bYw7NXx3+x3QH0UNSQntiB+V8Cjb/N1A4tBOLkL ta/r9cIZAQ0r5GU7koEWfLbFZDJWLb+gHL47QzpKVAB+vqiK4mvOpjqmgU72vYXK3KQRpWFzleGE +5SsiqWBWLvbESVhf7wIT9TXZB9eQoHAITUvmQmaXuKSmNaa0+TP1wWOSmt/qZwA05p8be7IXh+5 L3mCbBg9QdXaZeDxcI3iC381UEeWUXTzn5a8LSkAyBUbHSIAkQ5Qsg3VZdUgxUFN0s769YevIYFn JAfG6nxOfa0eD+/8U1FuC2pk+A/Pvg0vn2Z0nOtP01lyRqcRklYBqyqginrGrfHuFaJKVx8YrZt3 W7BwPRu5G2vovQU406IZsG9+XLN2DPYUk1ssbotS875JQiVqVH1BHYC5A/9FU/scty0Xs5tShoqj BLFfMW6ImWMyoG3mXNVh3vERYOIFJeSKbLhx+C1AyaRQGCFYW9MaCEatg4WH0lyaQmT4IIcMEg42 q9tWVyM1yPvSWJCzOqB7lFwlh13uOXbU82X5j4KWKWr+HQrUGblqoNSa9d5MX54QV1xCxMiq/0ha u6q6x8WZyFgJP9XOmpQvHk/7IBCxbYA56nTFLVU+RdCH1USdAw/4zCs7eP7aMAjNpNXJby/u1qac onSIVvcEU07Ym+clgXO+jbdZzYU3AbxOYLfKqYye+o8v1fSxRBgnwq4pISuzkwLJ8wigWlmrDZM/ fX1lD3ASgnH3kah+efAZkBQbM6mfvFYd8UROlRY40u1D4SKAHr5QEF2DFA/x2Gms3q5q9mC7DcKj Ed1/MvfxZnGMytM4cKn6CLgFhYchXk5vwpD9o/CV9ElPZEm/klwGc5A1I7zq0ClfuspX3Du1Go1h Kg9J18aeroc7l1RL5DysLeBRfAilaP9Bb2PotEnrpHOUg95dWsqf28cBTH1TKXupcAkAFGhCbt/X M1VqCmhttBxlaYm7r+JxdYNPs7Shb1GuJ2qwfwzxljMN3SYUgiIljkDHIwf3IMGScUAS5koj5E/o It0Mj7qc/cf/wS1hBiMq7GK9I4D+KWdytDol8Cq8IkVKUCc+qsnxza8OI22NJT1c0GbUHOSwKTgF yZy8GpTtzxDWjbo8cM/kh477blDmaLePsu8/c8WcajKCGQv05VgmQbCJhq6/55xuXcPua7U2KoL2 w/Z9ccgcPTTSpGwdPNHWy3Ig4nbZV2aFLJN+GTQUrMchyD6NT5Afuiv0gWMjiBb8pPMGenmfuJv8 cWZFypBZLOmlVGQqZS7RXkOx5JRHEtYbUF0Q7++rISZ0MDtG83+1z6madoF/jDdtrasETn4p2Qz3 53kJ2CFB5a3i5NIwr0v/gzNmk8ZOHiyuXVzX25Y0x9b9YTDmn8ksM7zi5JP2fD7HisLGkGhgzdtL 030Vefi+4sajB2+76RsfL7DNz8h8qVnhFEr5DkIcqNSLplgoXlx+ATPZhBw7ysBVr5hl5+gg7xgc F9UIO5ukjSgcn2q32bGjw6/qwANBaoatxZ+ajVsgqzGdvOQ1fCO0eUK1om/V1oJqqxzF3uwXAb6D or7I16kT3J53hjGWdTLWPaVxdYdzViMiRM9NKMGmdE4OzfsEK1UW/o8efnEVmcxVWokXSlSnf/NT pHdrB44xsg+HJzaa3+PsBi+waM4nuC9xGQnBlQDMUTKX7cQ05da9gBdeZ7PJnBNAO1BD3Vv1KPHk oJH/8T4ylPsV6gu2K+bGezjdb+/H2kfJIs2/OsK7HyBJfcqnrJ+gKUpflK4LWvJ4cZ/u2ll+7zYt YMn6HOkEjQQsUhcdZ1cqpkdiaEKQSmDHsd9V58tEiQAn9Ncj9uGC21Ie4EcBUuHnLivki3ic6rfy tuVoeoBn178COMzSXDtAtfyHTEAuY2yJac0xfcBOjvojipAGR1j1QZspo+524G/DcWLkIIUc66/U z4C0BE+omvysDjlBpvm5Ym1g0Xy0I/IXAC5PB4xQswi8EujYmg== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/wr_pf_sshft.vhd
19
20160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdpNuWNv5ANxG6sesr+pii9y21Kx+NVDp0WoJ8gKKxKHNSppxy07GkwBsVP2aDgHIw9l2ULLZTNZ WthaAb5amQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kEIsWLqGmgOl8w9T2kPb2uPP5XenCQ9kpxljFoCEGisg/vUEuVE5EQlDS3+mxviS53p6zH5m8hA5 bszDfKwHD76EbEoDDpJWL09MvEqH4hbAV7G0A9Qe7ZciYDi8os/DYZvhR8zjbLils1MINgQgL32T +DXtGPXNuzJTAMDKzws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NxGGOrhc83L0V7+Qmwb6+Gi21+qsbQ+hA/5/9jysqY4QYAqiXfCrWB3N0NrVsGWuuTvZXoFNcxot Izvlkgh5KOucyz0ezFvnhsYziU+FkvqQYf1g82Syrsz8zvyVWXqii6aXcF/WSMwXtiDjm4MiGpFm yTcu8CcJgBMXYGVZx6nj+IgO08YgHCC4sfTqmgIgkxkmBrOsiH76g2hPxvXPgVWaBlJF0bS/hLIS Glmsy0cU+pqQlcfbTEV79W+sXQ5Q3KPQFXj7AhMrHHD9esRm2Isg/tuzcRVk1cq3LsMUN//vGrfM OKoYOozZxl1/IflxrtIzbjclaBUaFr5bvZYMTQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIJ+Oh/ID0KokdCrmxnp1QfFJ5QZBtIG4FQx5Pan4DTwhUxDWY/BQobSBBDXzWh1TT07UPg0V7Ui zobKMfHgBNkMD8/PoD0AIDWLDLeXLvIJje8mGtE07uncec5mJ2eGa/WSy5sFj4M/Vdtk7C/Ab9LC 9qAaWZZ72ZUoEHuysZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VglxNkzPd+guDL8DGRWVtgWGTdJbzbKKn0hBXJRIK4IuLrtOeezNwjLTIb0FIMSJGqYYwUrPN3z3 TVnjDJDaG+HA47egpMvivRkbnfO2/EAJtU7n0hK18OztWFzW+yXOUsOuQnFS20EGjEAN6HCMCAXS ralqFAJsvMtY2y3dJNuE6ytT3WYkXmZUpTrJPPJOu2l9mCOnHkBU0dRG7RNYXf1tEMPaZrHSYyvp XKWW5CTowIM6jJQxDVSVfwprGmWFUVJFtAmp+65D3ADXiHMcwre5cI/ty7nYS3euq41mrkrZyEF4 iH4/gU0xN9mM3aF9hBPzu3xQrdML35ONnUZTzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block 4EcGi+I9uDBqUSattxTQKwAQGdhGAEtr1/eJ8OL5AsVmCd5EltTDJEmL7/IPTETIu8mU+GNOOhu2 LJOtTFmvNo/itTBifA1YH9tRr0aZn/HO17uzdnUDfyLoFjA16ICglRMMIRMG30hdns2uzlILoQjR wPJZEvfg7NHOgHqXh7nEF504wuABjiXjvxD2FGWm1WchsHZC+WmajMCtN9h8PV1g6I/25OL+dDQV hlY0knO9sPHQhZoh0mXCMli4Taku4EBb1DyqZjCU6ffy5tgcVX5jPPrc6Z18Tz1QUTmxzmS1nNCi mdvxhyVoHzghvdrIK89q8a0A3smTUp12z/lkdhwyiIq5lrheaBYbxbtJRbdlaS5ox/n+HU9BAOxh OPZ9UyB8esiquBz03no+GZIeyaUNePDm1wS2osSgVI19SKGOuJVS9AtSfgZaKQF8WC9R2MWxrt5u sxfLT4lB/yNa7GOXuGE29sXBK7XxrBiqU7FZ3yyHrrhSOwlQhKiz8/1+u0fp0AqwMxjRY7kdxOnf b63Xe/ittLXUs8QJdpINWjiKAhty+yel3ovMnXvOodS95Isx9w3o6k/zm9rz0pbXXDnkLGuvSnRw CQUY2UWx1C5ow3zq2+P1jbyXXO9lXIZFzuQCacqtAuN3FElSpyq5+X8uy5zoIcE3gHUk1VMuPMue OVj8PXh46ZNcOJZKWbPwpfwlXsfNi03fv3FZoqMSOcTgOQedKETXT5ZujTcfiGexzXV7TvKCtaeg /uvZIleZovXC9c8C2rVPEjsEZXtXt2d8uEHajypGT6jKdNhHSxRkh/H3ujGXn0sT7vzuK41vrj3i bcygYfyENPzLNr1o9ncZder7vmXjKlGuF/lXkf8pPoMHH32RxMNIF7/nKrjwExipMnomJpc+RLts 6jJ1MVUbqxi6jsK55oSoEEhvp6SqP/IuufD0AlKSDybfJD1u71rEqMQ8DQOrQKlaimmP50gJ6mzB tTqbUvl6WlZKyk2+xq46KOKYeTyfSn5QNw2ctfHWx0hK+digWvQIXYr7syclrysp1ypo+BTwyV+I 8pWtu7mPVh8ogqIT53ur+oZ1z7oFMNAbmO6WfBHrq6KShp6UTWPVaz+4CQtDaJYoQPh9ia2Uu57j K4YccLgBhmbiztH29U3AyoP4A0KiTtNPZPNtxiDBTPF9T8rnu0+s9q0MEpY4dBN5Y7bNFSUbkvwa OugzPcy/Ay3yipWExu3P6uSGc8h0cE3cVE0stu8zHZ4KCVaQ6rkzX1O6CAZ0eWzgkPH14AJIlWo2 X/2+9LJz7qvA3FR/wQmx9/3MWv9tGLjzIS6BJwDS5nf7QFSh2ch/esC847sLVeS9WrQnJN8rRcJo vQ85POYFBwR/pUZzrBxBal8SufZzrdSRb9Ur/Ms/Rvd4BNfHe7ChnG8RYavUKn8tovAvNVHqkOmW 39+xCFHke7uvdOzi08rFcEq4hzbha4eWXJPJZ20brNPne3NgFWhehM+wdefhmTM/rbTHR5deD1oq y5j514b7pCtK61QFKevOjx30vWyfqgaiDyplLwhX2sKUKNBjD9Ch6FJBA3YB51tHnzoyPMEENeUE +gGYwGmcYOnJW0nujBuvBHqMVex/OqR3z/bWFaZzxEk1HCuSf+qmpOp4d6Xb1Qx0w0w/VfBO5mY4 ox1EyGara3m+T8dFSB8cGgmJ0v7E1neZv3Pl+3I4G8xvRgtVtvuQJC1+OvSF2xfc37wUqryU2rkI aQsVmjOVaPXbv3ga39qZ5Z5moZlAz1JKsKEi1IP3u6bTEefwWY8G8IOSKb52cqD/KaFbW9m1xWXS 3Tspfk2PRczf4RU4XCtBCfszwewITB8rzBrUZVeNqqwwIXx56fRadzCv17teLvaMGNRIz/9kirgs ehagNrskDDupY4sRMwrlNLzUvlphgRTLRyvn9BP7lxBnTh4EeK35ZafjJ4tHuRDG3LQR1H8yQGIs Msp83J/7pCuvT1vqDuNO3EZ7rEJiVtyUpoUouC8sXgCs3ZeBFxq8q5iJi/hbsIw3GKiGmMC8hkTP ySqdU2dOZto9CXh1pg6r+KNzbBjJBYfePvVehvfeQiN4XGXhjrNxbb3CEFHdswT+gUuHVO9jsbej Rizvx9O8pW+osrCFl3vNoYGjgPYT5By9vd7WOoWyTLcZzuEJFeeEO2mci42xdxS18z7dwzazdmN0 L7tRSEd5UIRPLeJ8NofWQJovlMDC0djykut1Dsp0XggHIEjqrz/k3jTBeBRVhNeO7FpiAu0FEaoz wpHjrF/MiTFBPGIgni29fw3ACncIE/Te/snZnmjlxt+uJJAdKHDncCuTvCWF7HCVe16DsGJYaKpU m4GzYiVeXfkkEPaLRwmPSyTKdrVybgTpBBUhkj8tYIDcQ4LqmjkkcCrpjTAu++kzP9tNssKd7BIU ti1Zfh01qQ6ugT5kyADGxqW5hPUaQ4b0HoK1WC1WtnIRRRksyVBQTm4bnRbcr8HmH04b9NVynB6b PN62xJ8qNQxn4qotYmNZJ/y5odrrAqR44vH9LzSLbN4ysx1KGRbPxseJMzpROZGrMoKhAvODw77p jKZ4PiogGfKHXh5rEgE6ugyF4Nc/xHv/oUnFN8Owu3QQrwuZgZGNfb1+VkCeZqL/tLhiboZagF1P 7mX3l7v9L8hbHhVAwb3LeAAey0cPvOfpmeHHEuC+IjZZQd66NGQ8LhAmO3RkGEYnUk54WcC8scQC 97WP9zHHUsN74BbrPs9daX9Wd/YZFaizq9qgVhNDfT/4CR/RHGU7IOHZF5AIshp7ay1ZDPAzGCbH L6Zl/8aZy2vbFmQC8sK0mL2EX284jcF+ejRTfoF4rMGxJ9uda6TkvAFVHOizDe7rZlU9P6ngfcor oyIc2DosvfheFJuHaI9EXJf5gRUnsdP7t+ZVZxS7rbCy+CMFHvlVtch16cGb/ea7CCIuvDpEHQlx WNwOMjw5MUIGcqsd19lsZKDdLoZwE2FWO3MzRq+KCI8TRiLqFNzcJ9lENnF8WuWkgdAI7tbkF4sU 3SG8qattjrD3mhWxFx0oX8NraF72cqSSr32CgT5gL3c12x7vCVgLnY0BRCcFL3TbO+DL46tVgfkL CNp1RxU+nnL22TPBV+OB0tyHf2bQugkf6212Cv6njz7Jc7EuMf5LZdXjbIsDdKnDwnAEKzLUO5fD XeE/Ba6QrerO7fVZrvi+qNaEpwgMsHoKg/eoKYBTpBJ1jKEyOctuBlrGuDwjoBlZg7J5JtBVRJXJ ikdzJAKepAzJdhZ9FjayIUzOL+Les7rRK0g6WXVl3lvsLEihBeFHZT4ts++CgqKWalUKtg6bBzN4 AHGXHAx4Kr7N410001RHLc2dG0PPLENd2OZRHM/ClGzLZA08nYo1BkTthel01S506YAYasfEY8Av fo0LnAkO+wwzSPPAN+QBNNSmnD0pCcuggsZ65uKUYfXBJYo7a/U4f59PoWZkQsmMslheblgU85SZ QH6GBpkKfLpQQTTnnbULczL2KyXa5t2Er7MVJbq7TTq0zS1xxzw8j3IAB9Ma30iKZPLLJDU0azxc dTJNAwsQ8rrNT2SSM7cbuf717l/33suq3Xq/aYCqxVrMcayRvV7yVsudpUP/itan/iWjqJuAwUP8 YyyzuIeQKVT8dk3aA2w5/56r4ZJfiLcdZmyiKFITEw/sXnHSY7E0dPZKmVGg4qgBKk9ZmNzydG/4 AfemVZMJRAp2oorl/vBe/NAVVcpmLhiCGxEQWYPwdXgwAtY7s/UpdQuoue5Owsj1SmUGJrvkv6lX vdIPSdXV2L5+hOkkq0O/lbdA8l1/IkrlJGuw7dl+JbVR8zVh1s5UfUe2BKrWkIWCx2AZNrNKHu7w fq6nfxGZMwICsGfx+Nfnqm9OSZPrjQIGM17STSiM7J6jKWtRkF07K07fEgo1Mb5g5JZCOukrlWCZ lSZBZxQJ1b9FrmqEsaNi05azsrTaEaKZElX6EGz2ZTBJQkEp+x6BwFZZ1/kd7DX2y4xYL5UMggcA jGKgSB0kfMJxG72Krw63Lm1pAUVRvy/Nd+CD2u1wfcU1A02VBUJt2w+WJ3TvXdHw6x8N01LOPf7k favkLWjng2RgkFrVRBisKI4RBS+NGngKr+Ww0Y022avy+xILty5W8iFOmbELD7m8tuzCstjh/9My D3YdDxWikvTe5XURjFlc4xbNpY03RZrOcA0EawSthAR1jyEnKru2lpMTUMlLI6bcrQurZHevTcIZ nMe1TUL0K5/mOYao5mwIVgJc7WVUjrtWGyWg8ROXRlxMzxgjhvYfpHiEJtCC/Q1joe99x84jmrEs 6tsAC0ZSvAGdXTcHsLInnsyFxL3ggMWLWEf8XvAhMYokGav3AnYbwLUoUFIa/AS4FYaXB8fD6y6L dyaUAnDqgaLmRLyDQE5O2HsSLo50e67Xe4pvHjCnZLL9rTES6OWj0wIl8xVFVS1DMFbrC7Cu2I2n NmIDSAEJ0W5FtIwJtvSGp9O10MyXRqzH/o2+xpK5/eWVarHjqT7ZnNcQbFVC5tcrQWTu/7gDMWVF HHO6SDT37gZQsOUJRZBi5owcj+JZIg9bnMeG4h2w0aIdnW+g4aKCuTpSvUDYz2JhQ0EmjyaPXM2G r5vQV6bv6v9g+1zE2Tqm5/jNapToc1F0tTw8Zhva90ukcrVeeB3mYBJJ431jtDW4aFnFw2Oqh8Pd uipgdimK7X5W3m4iqj5H09FT+q8IV0ebihJ0sw9BDoauG6zAk+08qOyfVjGhvFqsMELn/G0InaeN RRk+TmdJtn4fUYn9ZKvScaAhVBJRIudVwLqycPOvHJgssYQ4tJgeO/s/N4uSDs9pRfq2juA3pSLR V+BF8zY2nGiLv0ey63D+RTK7GW56fjDwBfjiAB2fyJpyq1og6VDu5ZG2/4qaZz3EqlA+MJpQogWx itejs0nbimPZOai9mKl7iFfUUgsmF2Kp+VGJZT0/UeLf/6I5l1c9QO6YZJfL2gCWhC+JbYfNll8V xoIvfjTfOMc+118Zv7IFJPiytQn/70uyIYuM5Y24M65Oc06w8+upDBlSRuGQsQ8NURyfIt/yhXII DdO3cmGq9Y6j23XrH7cOSx/xrYR2zMo9oZJPdwI2SbTse8gJYRmYYuLzYwHSXdJa03iSMXx/nZxa VzigqlhAcHYpgyjeGuN13ZYvTPXmN72HgwaygkyNZTxxhhc65QCzx65LALnYh/BV1Ah3S2UxzQ49 tA6XnM7DffK35bl+bBVSdUrOqper70CteDxToEfcbdXBfCls53TUU5QCWPRyVAHLXwz4XH08lg5X GTYXrQ8/Ed5G1rHau8CTDyxOAv6Sz6yP9QvfOmaok/97MwMoFzKsMobg2hNowdLlSeFpcjarei+1 hUoqqIjqGYFAh7rpxkfQxIQzwHkX9sUX2PPbNAV/OC2B/pOUp5EEilut6+1YFyDowN6hyMpFO9mc a677IzYBxd3/OBoGPiNVm8oKH0flVaDz0VoBsx8pKj6qpUzA4MMorrjtLKLxuDaMdrCZErPTmdoc HTNJIZ33JOI5AOJAqQsWaVHqz+kjwerHecrlsZkqwThiMhs442bcZnSS2mmye9Ir7eJQcHL9i+Sg MiaVJDbEWJ0ttHLvBn97x3e4qFheOb4xgVy48hEz+av/Da1wqf4RPDZH9qShEXjFw7x0iQ1VnvFf lddPnc2u4wAQL4s0n10v5qJVvgBcidfZxYJLcS1GUZieCBemLARD5eTbZxjrbFkUkPCn5CB4wPRR qCEDTVKhn3Rbzqwac+e7r2XZfodl0lxzv5TD9/cEBjUpwF/4MN3RaXHkm7H8pg3Qmabr1fj/zT8B pkAvfTlc+V2BgxZWEkEFmpIFPx3uuqWB+TAdddQUh3mEIRH5ZQ3Ntgk2QUC6eHka9fCOxTj0RZaJ 8Jd0ahPnukQAVxAE5Hb/zb38RZihQLdwd/mN/6iF3IMOL7EDkCwtLLsdBjQ+QVmz46VmlSlbpfwN O+/jBkB+F0dfRDeaEvGRhYscvZcG/zIrYlbN2s8LxhAf6123QAcZHub7i+NIl2e/GoWm47ntF/vM WMHRfAxMjMDw+Ggo9FKBEan2753JbVpYePj2o3BQb4IUfK0c5HCzOf3zkNjlOvQbKOSYhmbnFO9x 2Iaao7ZXJe/FbfytIzG6M3rsfZXhHm+adKAFS4xqZjZiX3/WBcQkEe8Yg2+TREgjVOfJD098DR5c /lbJgOM5+EkzLNyy/IoXTZpWVz9RA82HgXxqbDFW37ue3bEXx6YF3Kn11SV4OK7+pu68pYbcBzGo dwTl4XHIMsSZbDZVzmV0DBjUZ8h/6px6u2IqUemMynA07qyXmCDYXTe9jEpxGsQ0we0Wf0+BUQkX po3urt2Cie1Weby6Odgw1P2Y4slmRFd3v63KMTvQwS1wydvA76bN4VIlOMYuMk6T8b+b9lXJrLiF RVvALmZ7w4PlQYpEtM3KKHxibPkwQZgWb/EYo1LBCt12GguqsavhEbZE7TP6YLBE/Y855yKyWkYX Vf6DKVtVkUvoMViCPEWgTNTuog+Mf8PwyMQbSpD1FA3AmkkTxbkIZ1lGjhy19cq1RNjIPGsYWUCA oc2fTQv8dpu9Yufus/zgiGJRKqpP0Sikek1nj9R/JfxPI8FYQSCoL65kFVi4oF4YYBGVbT6r4En3 6c5yIaEzp6Ph3RS/DRDzdOS7lsBDR/rL/QbI+SZQVoRvf+nmPFSKYZLnjHKK89pJXTCvgn0L/V5b yJ/Bw5rHpiwAPo3sEUwB+5ao6zZx9L22EWuUn2uqmSuO6D7TYBMp3BFtd+IA4c5+vIbNunFh+Uyo hu/6uGYMg+V1qM021VGIeGwCv9BwzoN/gB2gv56/Dau3TCG8x6CXB3Iye93If0Al/y/PlklYfbGP lR8mG1ZsiolgMf/t4rwzVbb+KR3v8DD8OiCOfQvGVaWv3hVHCVaEEC0WivW7YZXBroykFxRCQG9a 5+5iVUbUiZ6L2HKzHjlSZwCkIzeNhnzpWewS2X5cVF8lQhglTAwhKZzdsLIEj+2l4eIdLUpT1MCJ 6EuzMTUf/WKH0chqKtwZWAUABOYA88u7aHOPbjoOzhBXRJDfhUcTZrgJ7nt/dvgUzIADMsGzOyGO EIoUrjEDtGgUyfdhXBEnAdNKFxNI7nlhfy4VhypWcZ1qxIUxNQwwWfJ+Y0Tuma6Vjfz5dz1kSPtZ C4TjTyCsFSFtIqPEsoE+oTsqL8jFqpbj7ULi2xJH13OZOoH4KQzepE7XyWpYIwqCmXZlRsXtOwtt 8TAIa/g4Q3uixDU9E/+6BSmHnsEJzz+ASjVJ2TqRq76vP+YlRINNBm9p2NfMvoHgkjdC1DjVPDvX pvRat2CR3sBB3FZYSuCWmjL0IMkczkGRb+rysnXqCb/uhlDF5/jDV59fgnWCEV95ME3gHojT4QZT nMFtROUrkUcG3U2nyP3Lp6e2jLG4bVcfNImiUZrZk4+8/4wuHEABYwG47DSGGa0yHWf6+SzN8RE+ mqDLWY/xwQvR5zhKCjMfC2l4nRR9Mgv1O0DjYHntLCjpo/xAo0PU2CcOnz9h8C4WOgbmsxfjYxKp zx1JCK6DDqkvNlEyBkrnJK0q8aRSlK5uVaFYPsrvdlRJCa7VNhOMhaHi8SPNVWGJbIUtMhYjuVJK HosMt5HkVYhkUuO53dKRsA4x/nQT4dLuezOfVWevMgCnKLEfBykQEi75Dw8COMdn0MfAAkh5iInI xjmT7MOk5hXSLsi9wVl1NlJtGIU4YxH0PwRx1xw9hHm0nfnjR3l13b3BWrhjqHpTVOT82Vklhcvf queIdUTFTplY2ZA9xsjXc7E7ApItDsz3ysB2ytG+IC8WJ3BA848UwvEmjkgxVev3satSXtqdGcn9 pll04wmd7HgoztJKU53wn2t+EkVaM9JuS6JeV7payAMQdbGPRm+zQYOB7bNG20obCHJogFlX98z/ wNB5uxoP187Rza5ae9UH65GX6VbLnEXGw2+6LpUG+hfeigQuiozHj2rP4SbNZlNBQs1W5lWQxKfJ HMJ6MQ0P2MAFuNHxQN2hhPfwJslAvPZjw3J4Ms45FloU4pStm1krb1B7v5SZAkmMy5440s5fi76G wlT86dmenJpJtpyotCATz3P68mtD/DK9/WF+MSSyF71btL6t2xAflLX6DTk6PjItiNOJxeKzmfhG VLFzVqs55hZm+N2b8nTwMctNvQP/H9n4bvHmHr5eMB5vcL2CsQba5ygi+uS3xfj5DNrSmcSXc98j N7NZPuZ9xjpxbX1PJYhgEu95ZxDE5rbx/f6q8uRAeW4lnu6kz8pKfYYLwgY5CpZfQsfUa+SKkYXg cxOTbdzWDYKGfv2XE0kOwPoU5dUDAdf4uN6/5NCH1SJQngsTYfABtAgF2aIgllV+dQnvetm6JYAC smTtjudlPYbzsHGu8vVfQojX95krEprHTA5/H/cc+nTOBLbr0sYUCtlyrgrmCBPtztnnjYW3xT5L 9xdH7TgNovfQpCfavsW8zfmycUKDq6rfIfuT8nt6EPwNjEdyO5QTxMJLNQZjV2uOjnkztNREb7PG uMZ1KvJrXx8bfa6GhIS2XNRYP5gY1i8gPUZ4TVZdD0gETs4No29tdWjZMWqdU+KNKcSUCs8QPUhE 1tnIPABBBik5d0wOWEw8kn7y+EGei6jjqViP3xeDrjvEfeE6P65ux90P8WK5/tvwD72wc7YA4bQV tbCZzTejk07gR0stOneTFVs+Obkw/B00eQf2T3MD46OkiZr0i95NFxOphBi/byVQHi85Nv0GLU2Q q2RNLrJm8vp1hVFw4eMQn9pj4WqlVuOeHtKvEzrOM1WE15RsnWJcI+kxpMMxx9ZvqAevKeJBA501 8UobKte5QPB+aI2MFHThkrnaIuf3/2NIX9rGbXoip4NrH5ahnpLYWSiXHpEcQbtBz8/W9U8tAukp JWu9B5OYK/OAkeG6K225GsTg1Z5uOvf31VW575Rl6oebX3QjxcUit5w764WLTv6Bdi19yFeoUliZ bCR+2SF0Tu9UEUz9KfjfGdHwuQO5Z1jKqETkWxEQUCjYMKNOoJRxjOGzs3P2JT0XxsCvxEvGyEH/ muhe46K7fI8QzWA8Q5d57/TVhX7WqcW7pd0WENBF0C3BBLs1BVgEWoh9K2gx2rF1v4dw7g9hgNlm gp8jdgQeH4pfv9TCIkjlqjF/0BN2Dpuk9MzIlCeEcMYUlQm2xiD9bq/nRMcfjItsQ3Th36QIcDXd sx3RSNL0J4ymLvk17/IuD7YYQR7yZwdNSCZl2shBUeyXM0H7ErEankcVFX+nktu4Og+4S5pWL2vZ D7vC9wQVQBaaZjY/cJ3+2A8RVs/0CV3Oq4KQFnjPEj28yYozVxctGkyNL1RPMVrrGpcB2zrIG8w9 dQ/X3fcOPbLP/oNTnzSdVKrvlgE0wQCKM/mjG73U6V9oiatSwsWO1xX716tcUvZz443yaojcrTBa gx956tTmtsscFNQTcUBkquCW0CB+qu4JxbAdKW6Ee3TPcMwAo3mUtbC/jaQJfaG4uelx3ZfJSbrz x8UclhQrkU5mmjrDJgHWLpdTb5n6tmHYM2VfK0ShWUEW1kYpDa8vSFXQgbbVJmDndKgK0RYEStck 4pKDKDnQkujoHHNORrYPTc/v+jn99keTsmWirZvfYhPkI6TTtt0BIm1rRaUwFRYJZTMFP5wNXWju lHhEFigCb8ZokUNNlbQBRsV8dWPskSLfx+Z9iHJ3oLYqcpIq2QKQRJuo/J4yXE2JdfHMlknRm+m1 /+DxeCyip8Am7cq5TsekoVGUiqCdt7qerYP/cM+kMEx2B829k/KIuziEYEJQHc6bcBU0yNuN1jpx lNyRlXD3NybYmJob/7z5TSfcchdOsoSFBlWP4aHi6H6cStmrLZvxnHKR0HYoO3InYkJhUprx/ZOT lCmMnCcqRGMP2zrn9I4k+EZ2yhpTEO2swIIFWtP/kzDBVBYjtZge676opJcvXz/6txDY4VxOYK+1 nUYA6gqXvPFK0pEaUVx20natVbRkVab6povbsvrwnyYTYH2ux1+6Y5WK+Hw1fBH3j4qTJgH2U0zK TkDTWilko8IUv/5asxUaj9IJhOQ0foORKV25P1XRl8jCW7vX1NlBcgvl2HkAvL/dPWDeW9kJFLTB US2Ch3cqTAisRJju7wCPl2x7IJEgnLot9QFydMNXtXtC6bzv6bNM1XFjYO0pphg4P8G5p4MJ4no5 cZwlQLHYQ3KTi4/RM9Z+yqKLRj4+koQ1AkuTYrGPehlzDYda4rMk70pAV96okZk7gYToe50XVc7Y ZjTciT3pkJCuIr2Ews2GB8mKn62tp2Xd6jQdXB1ErTOWL6JUPD1eRw39DlQz8UuHgwA8jlB2UOxa 76z+Q6Vqmi/2N4uBVNiVLLh6A970jXPc9RoswTgrdLw+z96t1Z9Dn9R3WBXfQ+TF91COaBrdwD3a cczeiT5yioDQfifG1Xv7Om2pNgJ48z3dd5GbF88M0Iq+1K1jnLkk8XgFB0VD+e830ZrcqTnEMz7D hFQreZYC5A4jXS/X5gjzJlbW/cXr+R/dAqEzxG7qUxWdv6YUybVS+xz5SdBs9V/D2Qlqz2dVZ9A6 aFB9Z0R/PSRvmzgXesi5Mkz2bjDi7oHoNMMI+lA/F1tGCi60PXujeVks58sPZPPFFtXwwabK4JvL ML9in9N0cufax4DaE6fhddIcaMXH9UL21WGa0gfW5MsQ5bRsFx/xB3b+zFdVzwiT5xoCB1BIK0sD 4WjDFToLaqh2m+BmHnwmSIuilg342CluKxwKL3YYFO3b/RM+9Wwc3kfkjyuMFfproa4X7hbTHrmq MaHhb8ehj2XXUGlfIFNNkaurI3mNBJjRnsTIdod/9Eb2DAznVv3yd8A22B/djqAIbamlbHZPDzaA uSF6zeOm9PZHFImn/amvA2cQbyWZpI/qSdsjAaG9x+SvDPfhIl4+bJ2qZsvNLWKMVtWJE0AsBp2G 0MfTo10eD0ImMbg4TXiiSqC7hcRS25bt7MGu+itL7V10/p9CJFrw+uE6b5VBwgvSXiT//PSy/q2F afVe4prU2noFd9bqngJ0jnYlhW7CtGf9RDqI8IFqkQHBcxjC784BxyhVjDK2bN5HIIz0lJDEzIhe 8jC8kZWijY0/ZKhcKCFe0Vzp7vl1SgRl+hQ3ixKCXvTosyR8E/BkvduQsK2+OroDB6KFLOXKu2vu mq4TO5YKrnu3iHxFhkIu17SJajKg28CIlSovYlnEkWYu9DultmByVsUZzWeV0S0OnJTNqXztDmAX l1Bkfmut+GQI0+eGInTXErNodN5QvjDMplI/lYeLOosALM7BtkC1kD50pBUktnkSI25SqFyzxJ4f 9Or7FWIS6Ja1lIVaQeVeVI73GAwPCksKCC4+DYoaPS9yNAqTnBUjn1sOUzLNfd9caiutRz1gbYW9 MZavQc36BgsTG7rb00vcI/R7nBvVpN0Ffm+0eGahmteS0ES8f6NSwSLztM3Yi54tEMQWc7lGljI1 9gDlA8Y54J6PwajYVT/ssBoFGiRTFOphwURhjNSewu2Ae8oJsDAR9qZ38rgZ0sQaXOyf1N2UOO6o bxkn0lUudk7Rl9eIbRlf3ysUqJ+nqcuSuKkfenjJAip+940UhbS4joQ//KqjH4fTHDgnEkavQ9UX JU5t3LN4udOqRKYGFVg/4m0gIj8HSpTkUTZIlejfCrqToqdAQKlFgoFrBUse6FQHJ/Y+IhlkHLGI UExa/YaTNaWE3QwO1imNeqAiB+Mf+fIe3JlaSMVIBqTiZ7fzgWCbkNQuHcuThQuceGgbbaBxkVGG Mgrc/GyB9BH1QbcOtQHvqmmjUWUUugR6kBTvuhyJXFXtco7gYX3a/EnJTsgCLj0+HF16GiMTe1Rk LZ4dgJ+kWv4vFEHY7niD9EKKHo00lbuLSjbi3nwfapVcv8BGup4dwwE+wm299NmUTK50p8B1WerH bDgRojo/fEZ8MSIprx7RlSnnoY2+pjxx3a00tEzNDBdLFDh+Bf0/rgGpoiRJi5ZjZEtZ54flRbEg HJk5k+ZX+SM0dBT9/g+8Q5qFbKzTv9/e/lrxd0UVGBmVWbm+rvDmz0N/TcwnJqjcp/uiLi7jKaU0 38F8ro04xf0etIvyodUiPcLhO/mn3i7x/sEFj15Oe6jAJ9gagoT5z8CjOeYgv3Nfkbc403HG2BiF soTzD/QefiIOrZJpOXgWDrE12FN97UjN5NAUN5tx4gR4tPPHyRd9WdxPXWONqW9epXUHvfpgcMg5 NiPP+vm5LcThUkOrZU0aSNXAK5kadhchOTa700TG02EDBlePPzWSi9vzFgLV7wFd6JfiW14NzW6b qkwX8RvACT+QD6hne/e5cX9nMjWm0/I7kX93lQhObsXnHpucncn8A9uoFfZoYVJU7q6hGJrFRhm8 f/7yKAxeO9pst1Xrx3fPrJU0YS+Knpc3jXNsy66yF6pDvPRZ//8i3OStlcXyEXbF92ICeQbsxdBf s8zXAfxGY9dEoyMXR9RJMXhrk3M/ST/0ap8JKjpASNXOulzO+3tl30+PzF7UFsMQUmsuZ7IDND9r FAV310wSNkg4wLBQBXi752tXaFqr1tdizMxZZMR8K12J6vizFO3ffUeGxPkP016ri6uDOXAl1KNe 8UohvOFdgVhptZOmUwaV0WwgLKHjraTtE3wWNm78hUavSZ1ZEUIzCJqDK3opOkrydF67dLQ2AcUX oNI2+KNQ8ISut/aylwho6vtGhUA6kif6V3KNnCYgvnArsFN00b8XhXRuTdaFlzE33GGc7eOJpqFk xyYBcjqeEtpdadK029i5MAdp6FqCGMs4s0nx7lMLQBzcSLUTeFqVVc/UUvuJkgzsiVMTeZ0ITCRs ZUcUqhSSifJwOvoN/g+YaTp6WNfYUJlSSChsDw7KbcNtpCjzqzgREzBiqaV4tYerxK89Jp6w6Xs3 1WFv7zvSsOmBa29UhHpaqNM8aiOhOOsZrdCukPzxltF5oShR081Cf9J9ksBzBUPS34RGq+CtbuBV oRDpqMm2ZV21Gl+ZqB5eGx98w1rSSASImmGE2/L3dajphtakTITRSZrpwv6bRu0q69jXX3DNnEcY izmsUQZA5fAnSMPG5kLw6tTwue7pcNycQ2iOEkaQDMSqWxdHKPMNfVqIuQEeYDbFfu7LQ6KDI05u Er6+8H+gApDW2VpIscZR2LpEM5ktQaeLorYWxsmP26whcXM0hQYxtrycSXMNM1yKvnBQ1FBXQt1k KEPWq1H8gJk5WBsBYNyY1AuDZxBKQfpxMMa3Qk9NyzUBO3rDdt1MxywOujmQKnIz77skoh+GbDlM bIGGvPmWBeJ/PTneuKAPPpHOCjGrN22WX38T2/lcWMB4qcW6mV0xH0euHRYQL1AfG+hVJ4eBCl4o uQUo2eouRuKYjUqefqz7ZVn/7gudIMDkIIJCYP9MxuAccPnHXNOXmGNpgXtOAn5yELDvREAkgJoX 43H0NGpDSrwxqdXEsEpSmM6ydzw5iDMAMdiC/vIGnH/L5jIHbcCh6U6pCiEP25xiqvrDwieG5xZB itemqpnnJfJGp4aRVN/SwCVfLhO7OWSEoSyo3EvoxmuUVFw0jEVP07Z0TWbur/AxY1g5mof/urMc zfhz9I627Uk+iiBxRMIEsnufYb9X1fl9QQwaPF4YUd+uLh6Kse5P4RTusrjF/JtTA1wcnBdr7EiW +0WoABmp/KeNEp6E671CAD0o1RmJcKvPv4cHCKae+AsOti3nIYfFrrVRkIAOG0Q2OSNCtd0J5DJT SiqGnUVW4z2B2pPv5imkn2Kd08cqrx2M2Uo72H1WiOUVcl+xPjVQ5l3UR1DugCSYjbnTHbQs1dyh G2aOAf2KQ5/f2/fhqEM+YuxtxyEqVb+LveTXFdG/BcLyQoHRxuPvhB9pyJVVjVHfbzIjphPWWa3s cXKH23B0F/geQ5nkfr1gWmYByzQ4gOElHtWAED1EzIlErNMHET2zScPtvVCXk5XfZOMtNCtAE8En SBY5JKYoEEUqE9+c8I39jxfk1IGRnjGBR6lQsA+foRAEe98nQmtD2aqVvyDelDkjKq0GgEPywEYf 8PzNHwPEavrWvOCSuZQNMh1pac2rI1Xp1SuFV48Ng2TDYQc5zU8Raf/a6VvKd6TXnRo/NszHcejS a4wKcVQZnFki6u3j67zeqw2QeEcHyUBjEvOaeGQdvlKPyj7W+NPWGtRn0J5ow7TTmoSeLiHOHp31 Y+eRuj4SfbWJTTR3eLzcBS89nhqkLXrckzTl+oapj+A/PA4CBRuBHUWtJGIOa5bXN9l44ZMNQiCm snWu5V82FHMAoEHIVtXpgG/E490/1CS5uvy7dkaBjMqKYuC8pKxh7ycjpNnFmBraRiwGYzcAzWDi G6i/zIgzchMPfzOcCKT+qUtzJx6oLeH5PpxNLeN4nOTdfC93wGvX2lGTfl3g8DPv22Y1YQo1ACkg Iit8hDPXFzWJ4SjPjMfpq3X/+k/bq1vZl1VrtJDMzOVAM+ozbY4F8Kgm54Gqz6wKSMxF21eGb+Yf GLD4HvlDsBn1oqBUR0SDqdotn7cEli2hIWUX4TBp4Ge40+dsc7XyOUK59Vk+1wcx7HYblmmqr7uY bIm0njy5cmsR4sqRCB+b27mDeoP2s3HHQFI8TmTK64/QFGj0FkrnIF7gXlWXYyfpIV5XfxDlsRN8 3d1shsZLeD6JXaSN2cKUydVhY9kM7xJGUriqKv2OaXUCZtqzoF0HYPcDCsF1xHhtxKuunfMtNVBh 3ZV5ghWvLqrZOHkLUKRNSkOxGfilauCUfSUy5H++apUifWRLPa0dchJRgl2ZmJ3BsipCs72EpRRZ ndEW6D/U1SuY8AxQUqRmCRTYCIIPnkd0PT/sqLtWmQOfVfQtl162X8oNyQsPbB2nepESYzV5b9i3 6F5jJ2wKfkTXaroVmgQyOLaEMSKHTorEAjhCOnH4CGOyycqF+We4ak7bfghGofniLpbnmQZHavy1 36tgguhAvbT74IbCnu30plwxwHyzs0o6e4Be5gZA+8HRrX1rTzRjSPPaE7RHKQHSR8BtKd/6uwU4 +hWsUpwW2Yu7sL6eHIlvgE7eYxXtlmsEGD08N6bXwzMNhLDUHzh5b9q3mseKpIsWH4tazU/NtrIm ME9M6O5Kz3L4I2vrNfxUfMfbWNST3/FKaK6jNwwTKceslAFZ4rHZUqbJdK7UML7dY1M9WAP576xC chQS8Ez3ER3hj8bAkg0HuWs2tudaeHCE5tH1ZmvCzIo7m4Crj7xeNMDY/p6dHZD36qf/BOxJiaGj fVsU5+8O5AIXjjT1zTPu6sidjuTmqWHcbFCsUeg4W16r8+cu2c/6+dcS0sCBb+M5CV9PZoYhfVXb Nn8iUOQCtYClazb2CTmtyBX67i5OEeRKseg3M2SbTcjGrtkkO4UC0P2xFqQrYPuiakWfqXY1foG9 2y29VFDqa55LU2s5zrp7JxrZmxbXKTCmt7AXFbG5e4CaedcOSCDXGH/LRMwboDNoqCY9rphdxO/Q KxpQ4auo98r1F+wgST6NBbsk+B1BhGxBCBVibPxg1dgFMOApIAHEdhDq3Sdh8kddctEm5ezhPupb q9tCSknYlL25VhwZiJnKY/sOjp66RB3+9w3nBwTz36BlkTBDd+rgjy7Kh3TJ/+9uyyRW6XPb26gD K29E6zxDZC3E2iJvIsv1yj5IOtXwi0B17SBwhujJk6ycZiIfAL1JyKOkIeIzPS6OkvCV1wrqH+sa d05EdXfMaMNmHHxBtIUiR4r6EfBrZWbFWX+draYChUBzMZfn6+PdBMZvysVCW3347t8Q+X5HqA3F DbGdqSpijfpuSR1P8u+RSc7dNrJ1uBz7MUPMrn6UqSzPZF8fF2p/SDbmStAAdp73qdt3i4sd0lkO 54MzaaBLAUPeKumFxu9x0qdMGMufM+ecuocA6Wa1WnECgUfAHeblt0On/QOBpOqTADoQo7cD7ANX tYMnMHTE6J7KqGYa6UWQblnZJ/iCujUWlOz9rWT4kfn+P49rmZKGFmB8JkPf3giA8eJRvJdBWRsi o989qY923CGf6LDjKoBRJFRlb+sT5w5XFwOoySxZsDZlaxtUd67drF7qECBEU9Obr+g72Xooa1kJ Wdt7bdNqO4B2dmzknI0w6mx0DUjyGeGojDmSgBVMp9wm0B0nmz6jQdYBn7k5+89YFh30aRN0eAmV g8IGwrnSTE9AqJWBZiDCjjda/NAT49Igtp1Fq47PRhJeui54Md8mgEnlX5qZhl/KKYpElKfDIyh/ Vvex0OvqiZ2cyzO2jKolYCDyPv37a3EtAznoVCndaAWYS8zH1Eoo0jcqDYl5KZNApPuXXyu03a3v RS/VyOmKBDRV0Q/UJ1QM+NjMlil/jEDEfEZNZYjsyYaSkvxmaM/3wO8RR5BDeUwWQTwV/8B6KX1w TG6PPwPIJpw4s8KByf+b5HPMF1Tbk4I7Urn8gra2k9MxWl0bbiwsitsxFv1I+Y6nGscVVYf3OpvX i9zb867a6vjcCeEBZQ+UpXnZ6dcyRLFLrcmE4iIMA6+YAYJWcY11tPwCdZj54AjYWKeeItmsFsnX gGrAifA16FvY3hdeiWT1iwumXnEBZ9zr8t4QEtvda+fkEaZPAV9SRbCkNuizD/UXFLIYiSi9hKN9 JsbJuLTLKthuQ4JS1khFZ6BjWgV0ubvRgPU84blPbL7rz8xUQjz275azl7xyxBpXE3oixnQP45+E q3+HGaXZXVWehFOt0Fa/osH74/0pUC13x9xJHU9RFQFS6l938Hlv9KhNv8tepSp/pFe5VX+Y5fi5 y5qHq3zZWqfvhWJkXmoxWvsLm0PC7Wuq5mRoYFktgYiVFLZKmVngPxLtlj2JwF3/o6V2+DL10naU F9zhy31lYoQ4HUO6XlEv//tejAokVLY9kkX/DPaausSlzwIE0CvN1KxMoby5EkJh3UyLRatP7G40 mHYBPvKetKjrOrOY+WaMyJv4kU06umpGzTlZnXXk+1k0Z89J8p6V6FCiQIyDAwAZckDTT6b2B7ji YmmzEWs3+KtwExns9A5e8kSrQkfo0Yit1okOFC4HXhigNkxwqNs99ep1nlhD6ALeR9xpwrPjA8Sv XPpIr0te4c1cBJaIThG7fcHuhd/2+aXCk9P5Y/owNNW7LnHsm9MJo9pIhudehTeIsYW+YZiJ7gKV D20id6kpCNnNndcrF9VuTdX1iHM3lIn12gZL9sQztGP9WFC09SRzpanGWQ3mZ+J0GXjByfUXdkG7 +pVdIMpd6KmSgkwBdzhbd9P3++7jwOvI2tHdsMk9btvhv68AnzOOiXtoK+42BoZ03XYbSWaalNdI 1h9lVguC8kJ0mf8eAfYX4m3yUebBJGPb1DAae8CJPvJ4VdGum3nCNn7CtuPGcq09NsRRmnkpe45i v5KHEpkf2FdcH/6VYzzNyDStxXg26QJOxb/7fN9J8TMum/a9DUDOL+7WvwbehmNnhJnNGSgMSKrj fEuVRkmJsV/qtyTWQAKmv0s= `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/wr_pf_sshft.vhd
19
20160
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdpNuWNv5ANxG6sesr+pii9y21Kx+NVDp0WoJ8gKKxKHNSppxy07GkwBsVP2aDgHIw9l2ULLZTNZ WthaAb5amQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kEIsWLqGmgOl8w9T2kPb2uPP5XenCQ9kpxljFoCEGisg/vUEuVE5EQlDS3+mxviS53p6zH5m8hA5 bszDfKwHD76EbEoDDpJWL09MvEqH4hbAV7G0A9Qe7ZciYDi8os/DYZvhR8zjbLils1MINgQgL32T +DXtGPXNuzJTAMDKzws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NxGGOrhc83L0V7+Qmwb6+Gi21+qsbQ+hA/5/9jysqY4QYAqiXfCrWB3N0NrVsGWuuTvZXoFNcxot Izvlkgh5KOucyz0ezFvnhsYziU+FkvqQYf1g82Syrsz8zvyVWXqii6aXcF/WSMwXtiDjm4MiGpFm yTcu8CcJgBMXYGVZx6nj+IgO08YgHCC4sfTqmgIgkxkmBrOsiH76g2hPxvXPgVWaBlJF0bS/hLIS Glmsy0cU+pqQlcfbTEV79W+sXQ5Q3KPQFXj7AhMrHHD9esRm2Isg/tuzcRVk1cq3LsMUN//vGrfM OKoYOozZxl1/IflxrtIzbjclaBUaFr5bvZYMTQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dIJ+Oh/ID0KokdCrmxnp1QfFJ5QZBtIG4FQx5Pan4DTwhUxDWY/BQobSBBDXzWh1TT07UPg0V7Ui zobKMfHgBNkMD8/PoD0AIDWLDLeXLvIJje8mGtE07uncec5mJ2eGa/WSy5sFj4M/Vdtk7C/Ab9LC 9qAaWZZ72ZUoEHuysZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VglxNkzPd+guDL8DGRWVtgWGTdJbzbKKn0hBXJRIK4IuLrtOeezNwjLTIb0FIMSJGqYYwUrPN3z3 TVnjDJDaG+HA47egpMvivRkbnfO2/EAJtU7n0hK18OztWFzW+yXOUsOuQnFS20EGjEAN6HCMCAXS ralqFAJsvMtY2y3dJNuE6ytT3WYkXmZUpTrJPPJOu2l9mCOnHkBU0dRG7RNYXf1tEMPaZrHSYyvp XKWW5CTowIM6jJQxDVSVfwprGmWFUVJFtAmp+65D3ADXiHMcwre5cI/ty7nYS3euq41mrkrZyEF4 iH4/gU0xN9mM3aF9hBPzu3xQrdML35ONnUZTzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184) `protect data_block 4EcGi+I9uDBqUSattxTQKwAQGdhGAEtr1/eJ8OL5AsVmCd5EltTDJEmL7/IPTETIu8mU+GNOOhu2 LJOtTFmvNo/itTBifA1YH9tRr0aZn/HO17uzdnUDfyLoFjA16ICglRMMIRMG30hdns2uzlILoQjR wPJZEvfg7NHOgHqXh7nEF504wuABjiXjvxD2FGWm1WchsHZC+WmajMCtN9h8PV1g6I/25OL+dDQV hlY0knO9sPHQhZoh0mXCMli4Taku4EBb1DyqZjCU6ffy5tgcVX5jPPrc6Z18Tz1QUTmxzmS1nNCi mdvxhyVoHzghvdrIK89q8a0A3smTUp12z/lkdhwyiIq5lrheaBYbxbtJRbdlaS5ox/n+HU9BAOxh OPZ9UyB8esiquBz03no+GZIeyaUNePDm1wS2osSgVI19SKGOuJVS9AtSfgZaKQF8WC9R2MWxrt5u sxfLT4lB/yNa7GOXuGE29sXBK7XxrBiqU7FZ3yyHrrhSOwlQhKiz8/1+u0fp0AqwMxjRY7kdxOnf b63Xe/ittLXUs8QJdpINWjiKAhty+yel3ovMnXvOodS95Isx9w3o6k/zm9rz0pbXXDnkLGuvSnRw CQUY2UWx1C5ow3zq2+P1jbyXXO9lXIZFzuQCacqtAuN3FElSpyq5+X8uy5zoIcE3gHUk1VMuPMue OVj8PXh46ZNcOJZKWbPwpfwlXsfNi03fv3FZoqMSOcTgOQedKETXT5ZujTcfiGexzXV7TvKCtaeg /uvZIleZovXC9c8C2rVPEjsEZXtXt2d8uEHajypGT6jKdNhHSxRkh/H3ujGXn0sT7vzuK41vrj3i bcygYfyENPzLNr1o9ncZder7vmXjKlGuF/lXkf8pPoMHH32RxMNIF7/nKrjwExipMnomJpc+RLts 6jJ1MVUbqxi6jsK55oSoEEhvp6SqP/IuufD0AlKSDybfJD1u71rEqMQ8DQOrQKlaimmP50gJ6mzB tTqbUvl6WlZKyk2+xq46KOKYeTyfSn5QNw2ctfHWx0hK+digWvQIXYr7syclrysp1ypo+BTwyV+I 8pWtu7mPVh8ogqIT53ur+oZ1z7oFMNAbmO6WfBHrq6KShp6UTWPVaz+4CQtDaJYoQPh9ia2Uu57j K4YccLgBhmbiztH29U3AyoP4A0KiTtNPZPNtxiDBTPF9T8rnu0+s9q0MEpY4dBN5Y7bNFSUbkvwa OugzPcy/Ay3yipWExu3P6uSGc8h0cE3cVE0stu8zHZ4KCVaQ6rkzX1O6CAZ0eWzgkPH14AJIlWo2 X/2+9LJz7qvA3FR/wQmx9/3MWv9tGLjzIS6BJwDS5nf7QFSh2ch/esC847sLVeS9WrQnJN8rRcJo vQ85POYFBwR/pUZzrBxBal8SufZzrdSRb9Ur/Ms/Rvd4BNfHe7ChnG8RYavUKn8tovAvNVHqkOmW 39+xCFHke7uvdOzi08rFcEq4hzbha4eWXJPJZ20brNPne3NgFWhehM+wdefhmTM/rbTHR5deD1oq y5j514b7pCtK61QFKevOjx30vWyfqgaiDyplLwhX2sKUKNBjD9Ch6FJBA3YB51tHnzoyPMEENeUE +gGYwGmcYOnJW0nujBuvBHqMVex/OqR3z/bWFaZzxEk1HCuSf+qmpOp4d6Xb1Qx0w0w/VfBO5mY4 ox1EyGara3m+T8dFSB8cGgmJ0v7E1neZv3Pl+3I4G8xvRgtVtvuQJC1+OvSF2xfc37wUqryU2rkI aQsVmjOVaPXbv3ga39qZ5Z5moZlAz1JKsKEi1IP3u6bTEefwWY8G8IOSKb52cqD/KaFbW9m1xWXS 3Tspfk2PRczf4RU4XCtBCfszwewITB8rzBrUZVeNqqwwIXx56fRadzCv17teLvaMGNRIz/9kirgs ehagNrskDDupY4sRMwrlNLzUvlphgRTLRyvn9BP7lxBnTh4EeK35ZafjJ4tHuRDG3LQR1H8yQGIs Msp83J/7pCuvT1vqDuNO3EZ7rEJiVtyUpoUouC8sXgCs3ZeBFxq8q5iJi/hbsIw3GKiGmMC8hkTP ySqdU2dOZto9CXh1pg6r+KNzbBjJBYfePvVehvfeQiN4XGXhjrNxbb3CEFHdswT+gUuHVO9jsbej Rizvx9O8pW+osrCFl3vNoYGjgPYT5By9vd7WOoWyTLcZzuEJFeeEO2mci42xdxS18z7dwzazdmN0 L7tRSEd5UIRPLeJ8NofWQJovlMDC0djykut1Dsp0XggHIEjqrz/k3jTBeBRVhNeO7FpiAu0FEaoz wpHjrF/MiTFBPGIgni29fw3ACncIE/Te/snZnmjlxt+uJJAdKHDncCuTvCWF7HCVe16DsGJYaKpU m4GzYiVeXfkkEPaLRwmPSyTKdrVybgTpBBUhkj8tYIDcQ4LqmjkkcCrpjTAu++kzP9tNssKd7BIU ti1Zfh01qQ6ugT5kyADGxqW5hPUaQ4b0HoK1WC1WtnIRRRksyVBQTm4bnRbcr8HmH04b9NVynB6b PN62xJ8qNQxn4qotYmNZJ/y5odrrAqR44vH9LzSLbN4ysx1KGRbPxseJMzpROZGrMoKhAvODw77p jKZ4PiogGfKHXh5rEgE6ugyF4Nc/xHv/oUnFN8Owu3QQrwuZgZGNfb1+VkCeZqL/tLhiboZagF1P 7mX3l7v9L8hbHhVAwb3LeAAey0cPvOfpmeHHEuC+IjZZQd66NGQ8LhAmO3RkGEYnUk54WcC8scQC 97WP9zHHUsN74BbrPs9daX9Wd/YZFaizq9qgVhNDfT/4CR/RHGU7IOHZF5AIshp7ay1ZDPAzGCbH L6Zl/8aZy2vbFmQC8sK0mL2EX284jcF+ejRTfoF4rMGxJ9uda6TkvAFVHOizDe7rZlU9P6ngfcor oyIc2DosvfheFJuHaI9EXJf5gRUnsdP7t+ZVZxS7rbCy+CMFHvlVtch16cGb/ea7CCIuvDpEHQlx WNwOMjw5MUIGcqsd19lsZKDdLoZwE2FWO3MzRq+KCI8TRiLqFNzcJ9lENnF8WuWkgdAI7tbkF4sU 3SG8qattjrD3mhWxFx0oX8NraF72cqSSr32CgT5gL3c12x7vCVgLnY0BRCcFL3TbO+DL46tVgfkL CNp1RxU+nnL22TPBV+OB0tyHf2bQugkf6212Cv6njz7Jc7EuMf5LZdXjbIsDdKnDwnAEKzLUO5fD XeE/Ba6QrerO7fVZrvi+qNaEpwgMsHoKg/eoKYBTpBJ1jKEyOctuBlrGuDwjoBlZg7J5JtBVRJXJ ikdzJAKepAzJdhZ9FjayIUzOL+Les7rRK0g6WXVl3lvsLEihBeFHZT4ts++CgqKWalUKtg6bBzN4 AHGXHAx4Kr7N410001RHLc2dG0PPLENd2OZRHM/ClGzLZA08nYo1BkTthel01S506YAYasfEY8Av fo0LnAkO+wwzSPPAN+QBNNSmnD0pCcuggsZ65uKUYfXBJYo7a/U4f59PoWZkQsmMslheblgU85SZ QH6GBpkKfLpQQTTnnbULczL2KyXa5t2Er7MVJbq7TTq0zS1xxzw8j3IAB9Ma30iKZPLLJDU0azxc dTJNAwsQ8rrNT2SSM7cbuf717l/33suq3Xq/aYCqxVrMcayRvV7yVsudpUP/itan/iWjqJuAwUP8 YyyzuIeQKVT8dk3aA2w5/56r4ZJfiLcdZmyiKFITEw/sXnHSY7E0dPZKmVGg4qgBKk9ZmNzydG/4 AfemVZMJRAp2oorl/vBe/NAVVcpmLhiCGxEQWYPwdXgwAtY7s/UpdQuoue5Owsj1SmUGJrvkv6lX vdIPSdXV2L5+hOkkq0O/lbdA8l1/IkrlJGuw7dl+JbVR8zVh1s5UfUe2BKrWkIWCx2AZNrNKHu7w fq6nfxGZMwICsGfx+Nfnqm9OSZPrjQIGM17STSiM7J6jKWtRkF07K07fEgo1Mb5g5JZCOukrlWCZ lSZBZxQJ1b9FrmqEsaNi05azsrTaEaKZElX6EGz2ZTBJQkEp+x6BwFZZ1/kd7DX2y4xYL5UMggcA jGKgSB0kfMJxG72Krw63Lm1pAUVRvy/Nd+CD2u1wfcU1A02VBUJt2w+WJ3TvXdHw6x8N01LOPf7k favkLWjng2RgkFrVRBisKI4RBS+NGngKr+Ww0Y022avy+xILty5W8iFOmbELD7m8tuzCstjh/9My D3YdDxWikvTe5XURjFlc4xbNpY03RZrOcA0EawSthAR1jyEnKru2lpMTUMlLI6bcrQurZHevTcIZ nMe1TUL0K5/mOYao5mwIVgJc7WVUjrtWGyWg8ROXRlxMzxgjhvYfpHiEJtCC/Q1joe99x84jmrEs 6tsAC0ZSvAGdXTcHsLInnsyFxL3ggMWLWEf8XvAhMYokGav3AnYbwLUoUFIa/AS4FYaXB8fD6y6L dyaUAnDqgaLmRLyDQE5O2HsSLo50e67Xe4pvHjCnZLL9rTES6OWj0wIl8xVFVS1DMFbrC7Cu2I2n NmIDSAEJ0W5FtIwJtvSGp9O10MyXRqzH/o2+xpK5/eWVarHjqT7ZnNcQbFVC5tcrQWTu/7gDMWVF HHO6SDT37gZQsOUJRZBi5owcj+JZIg9bnMeG4h2w0aIdnW+g4aKCuTpSvUDYz2JhQ0EmjyaPXM2G r5vQV6bv6v9g+1zE2Tqm5/jNapToc1F0tTw8Zhva90ukcrVeeB3mYBJJ431jtDW4aFnFw2Oqh8Pd uipgdimK7X5W3m4iqj5H09FT+q8IV0ebihJ0sw9BDoauG6zAk+08qOyfVjGhvFqsMELn/G0InaeN RRk+TmdJtn4fUYn9ZKvScaAhVBJRIudVwLqycPOvHJgssYQ4tJgeO/s/N4uSDs9pRfq2juA3pSLR V+BF8zY2nGiLv0ey63D+RTK7GW56fjDwBfjiAB2fyJpyq1og6VDu5ZG2/4qaZz3EqlA+MJpQogWx itejs0nbimPZOai9mKl7iFfUUgsmF2Kp+VGJZT0/UeLf/6I5l1c9QO6YZJfL2gCWhC+JbYfNll8V xoIvfjTfOMc+118Zv7IFJPiytQn/70uyIYuM5Y24M65Oc06w8+upDBlSRuGQsQ8NURyfIt/yhXII DdO3cmGq9Y6j23XrH7cOSx/xrYR2zMo9oZJPdwI2SbTse8gJYRmYYuLzYwHSXdJa03iSMXx/nZxa VzigqlhAcHYpgyjeGuN13ZYvTPXmN72HgwaygkyNZTxxhhc65QCzx65LALnYh/BV1Ah3S2UxzQ49 tA6XnM7DffK35bl+bBVSdUrOqper70CteDxToEfcbdXBfCls53TUU5QCWPRyVAHLXwz4XH08lg5X GTYXrQ8/Ed5G1rHau8CTDyxOAv6Sz6yP9QvfOmaok/97MwMoFzKsMobg2hNowdLlSeFpcjarei+1 hUoqqIjqGYFAh7rpxkfQxIQzwHkX9sUX2PPbNAV/OC2B/pOUp5EEilut6+1YFyDowN6hyMpFO9mc a677IzYBxd3/OBoGPiNVm8oKH0flVaDz0VoBsx8pKj6qpUzA4MMorrjtLKLxuDaMdrCZErPTmdoc HTNJIZ33JOI5AOJAqQsWaVHqz+kjwerHecrlsZkqwThiMhs442bcZnSS2mmye9Ir7eJQcHL9i+Sg MiaVJDbEWJ0ttHLvBn97x3e4qFheOb4xgVy48hEz+av/Da1wqf4RPDZH9qShEXjFw7x0iQ1VnvFf lddPnc2u4wAQL4s0n10v5qJVvgBcidfZxYJLcS1GUZieCBemLARD5eTbZxjrbFkUkPCn5CB4wPRR qCEDTVKhn3Rbzqwac+e7r2XZfodl0lxzv5TD9/cEBjUpwF/4MN3RaXHkm7H8pg3Qmabr1fj/zT8B pkAvfTlc+V2BgxZWEkEFmpIFPx3uuqWB+TAdddQUh3mEIRH5ZQ3Ntgk2QUC6eHka9fCOxTj0RZaJ 8Jd0ahPnukQAVxAE5Hb/zb38RZihQLdwd/mN/6iF3IMOL7EDkCwtLLsdBjQ+QVmz46VmlSlbpfwN O+/jBkB+F0dfRDeaEvGRhYscvZcG/zIrYlbN2s8LxhAf6123QAcZHub7i+NIl2e/GoWm47ntF/vM WMHRfAxMjMDw+Ggo9FKBEan2753JbVpYePj2o3BQb4IUfK0c5HCzOf3zkNjlOvQbKOSYhmbnFO9x 2Iaao7ZXJe/FbfytIzG6M3rsfZXhHm+adKAFS4xqZjZiX3/WBcQkEe8Yg2+TREgjVOfJD098DR5c /lbJgOM5+EkzLNyy/IoXTZpWVz9RA82HgXxqbDFW37ue3bEXx6YF3Kn11SV4OK7+pu68pYbcBzGo dwTl4XHIMsSZbDZVzmV0DBjUZ8h/6px6u2IqUemMynA07qyXmCDYXTe9jEpxGsQ0we0Wf0+BUQkX po3urt2Cie1Weby6Odgw1P2Y4slmRFd3v63KMTvQwS1wydvA76bN4VIlOMYuMk6T8b+b9lXJrLiF RVvALmZ7w4PlQYpEtM3KKHxibPkwQZgWb/EYo1LBCt12GguqsavhEbZE7TP6YLBE/Y855yKyWkYX Vf6DKVtVkUvoMViCPEWgTNTuog+Mf8PwyMQbSpD1FA3AmkkTxbkIZ1lGjhy19cq1RNjIPGsYWUCA oc2fTQv8dpu9Yufus/zgiGJRKqpP0Sikek1nj9R/JfxPI8FYQSCoL65kFVi4oF4YYBGVbT6r4En3 6c5yIaEzp6Ph3RS/DRDzdOS7lsBDR/rL/QbI+SZQVoRvf+nmPFSKYZLnjHKK89pJXTCvgn0L/V5b yJ/Bw5rHpiwAPo3sEUwB+5ao6zZx9L22EWuUn2uqmSuO6D7TYBMp3BFtd+IA4c5+vIbNunFh+Uyo hu/6uGYMg+V1qM021VGIeGwCv9BwzoN/gB2gv56/Dau3TCG8x6CXB3Iye93If0Al/y/PlklYfbGP lR8mG1ZsiolgMf/t4rwzVbb+KR3v8DD8OiCOfQvGVaWv3hVHCVaEEC0WivW7YZXBroykFxRCQG9a 5+5iVUbUiZ6L2HKzHjlSZwCkIzeNhnzpWewS2X5cVF8lQhglTAwhKZzdsLIEj+2l4eIdLUpT1MCJ 6EuzMTUf/WKH0chqKtwZWAUABOYA88u7aHOPbjoOzhBXRJDfhUcTZrgJ7nt/dvgUzIADMsGzOyGO EIoUrjEDtGgUyfdhXBEnAdNKFxNI7nlhfy4VhypWcZ1qxIUxNQwwWfJ+Y0Tuma6Vjfz5dz1kSPtZ C4TjTyCsFSFtIqPEsoE+oTsqL8jFqpbj7ULi2xJH13OZOoH4KQzepE7XyWpYIwqCmXZlRsXtOwtt 8TAIa/g4Q3uixDU9E/+6BSmHnsEJzz+ASjVJ2TqRq76vP+YlRINNBm9p2NfMvoHgkjdC1DjVPDvX pvRat2CR3sBB3FZYSuCWmjL0IMkczkGRb+rysnXqCb/uhlDF5/jDV59fgnWCEV95ME3gHojT4QZT nMFtROUrkUcG3U2nyP3Lp6e2jLG4bVcfNImiUZrZk4+8/4wuHEABYwG47DSGGa0yHWf6+SzN8RE+ mqDLWY/xwQvR5zhKCjMfC2l4nRR9Mgv1O0DjYHntLCjpo/xAo0PU2CcOnz9h8C4WOgbmsxfjYxKp zx1JCK6DDqkvNlEyBkrnJK0q8aRSlK5uVaFYPsrvdlRJCa7VNhOMhaHi8SPNVWGJbIUtMhYjuVJK HosMt5HkVYhkUuO53dKRsA4x/nQT4dLuezOfVWevMgCnKLEfBykQEi75Dw8COMdn0MfAAkh5iInI xjmT7MOk5hXSLsi9wVl1NlJtGIU4YxH0PwRx1xw9hHm0nfnjR3l13b3BWrhjqHpTVOT82Vklhcvf queIdUTFTplY2ZA9xsjXc7E7ApItDsz3ysB2ytG+IC8WJ3BA848UwvEmjkgxVev3satSXtqdGcn9 pll04wmd7HgoztJKU53wn2t+EkVaM9JuS6JeV7payAMQdbGPRm+zQYOB7bNG20obCHJogFlX98z/ wNB5uxoP187Rza5ae9UH65GX6VbLnEXGw2+6LpUG+hfeigQuiozHj2rP4SbNZlNBQs1W5lWQxKfJ HMJ6MQ0P2MAFuNHxQN2hhPfwJslAvPZjw3J4Ms45FloU4pStm1krb1B7v5SZAkmMy5440s5fi76G wlT86dmenJpJtpyotCATz3P68mtD/DK9/WF+MSSyF71btL6t2xAflLX6DTk6PjItiNOJxeKzmfhG VLFzVqs55hZm+N2b8nTwMctNvQP/H9n4bvHmHr5eMB5vcL2CsQba5ygi+uS3xfj5DNrSmcSXc98j N7NZPuZ9xjpxbX1PJYhgEu95ZxDE5rbx/f6q8uRAeW4lnu6kz8pKfYYLwgY5CpZfQsfUa+SKkYXg cxOTbdzWDYKGfv2XE0kOwPoU5dUDAdf4uN6/5NCH1SJQngsTYfABtAgF2aIgllV+dQnvetm6JYAC smTtjudlPYbzsHGu8vVfQojX95krEprHTA5/H/cc+nTOBLbr0sYUCtlyrgrmCBPtztnnjYW3xT5L 9xdH7TgNovfQpCfavsW8zfmycUKDq6rfIfuT8nt6EPwNjEdyO5QTxMJLNQZjV2uOjnkztNREb7PG uMZ1KvJrXx8bfa6GhIS2XNRYP5gY1i8gPUZ4TVZdD0gETs4No29tdWjZMWqdU+KNKcSUCs8QPUhE 1tnIPABBBik5d0wOWEw8kn7y+EGei6jjqViP3xeDrjvEfeE6P65ux90P8WK5/tvwD72wc7YA4bQV tbCZzTejk07gR0stOneTFVs+Obkw/B00eQf2T3MD46OkiZr0i95NFxOphBi/byVQHi85Nv0GLU2Q q2RNLrJm8vp1hVFw4eMQn9pj4WqlVuOeHtKvEzrOM1WE15RsnWJcI+kxpMMxx9ZvqAevKeJBA501 8UobKte5QPB+aI2MFHThkrnaIuf3/2NIX9rGbXoip4NrH5ahnpLYWSiXHpEcQbtBz8/W9U8tAukp JWu9B5OYK/OAkeG6K225GsTg1Z5uOvf31VW575Rl6oebX3QjxcUit5w764WLTv6Bdi19yFeoUliZ bCR+2SF0Tu9UEUz9KfjfGdHwuQO5Z1jKqETkWxEQUCjYMKNOoJRxjOGzs3P2JT0XxsCvxEvGyEH/ muhe46K7fI8QzWA8Q5d57/TVhX7WqcW7pd0WENBF0C3BBLs1BVgEWoh9K2gx2rF1v4dw7g9hgNlm gp8jdgQeH4pfv9TCIkjlqjF/0BN2Dpuk9MzIlCeEcMYUlQm2xiD9bq/nRMcfjItsQ3Th36QIcDXd sx3RSNL0J4ymLvk17/IuD7YYQR7yZwdNSCZl2shBUeyXM0H7ErEankcVFX+nktu4Og+4S5pWL2vZ D7vC9wQVQBaaZjY/cJ3+2A8RVs/0CV3Oq4KQFnjPEj28yYozVxctGkyNL1RPMVrrGpcB2zrIG8w9 dQ/X3fcOPbLP/oNTnzSdVKrvlgE0wQCKM/mjG73U6V9oiatSwsWO1xX716tcUvZz443yaojcrTBa gx956tTmtsscFNQTcUBkquCW0CB+qu4JxbAdKW6Ee3TPcMwAo3mUtbC/jaQJfaG4uelx3ZfJSbrz x8UclhQrkU5mmjrDJgHWLpdTb5n6tmHYM2VfK0ShWUEW1kYpDa8vSFXQgbbVJmDndKgK0RYEStck 4pKDKDnQkujoHHNORrYPTc/v+jn99keTsmWirZvfYhPkI6TTtt0BIm1rRaUwFRYJZTMFP5wNXWju lHhEFigCb8ZokUNNlbQBRsV8dWPskSLfx+Z9iHJ3oLYqcpIq2QKQRJuo/J4yXE2JdfHMlknRm+m1 /+DxeCyip8Am7cq5TsekoVGUiqCdt7qerYP/cM+kMEx2B829k/KIuziEYEJQHc6bcBU0yNuN1jpx lNyRlXD3NybYmJob/7z5TSfcchdOsoSFBlWP4aHi6H6cStmrLZvxnHKR0HYoO3InYkJhUprx/ZOT lCmMnCcqRGMP2zrn9I4k+EZ2yhpTEO2swIIFWtP/kzDBVBYjtZge676opJcvXz/6txDY4VxOYK+1 nUYA6gqXvPFK0pEaUVx20natVbRkVab6povbsvrwnyYTYH2ux1+6Y5WK+Hw1fBH3j4qTJgH2U0zK TkDTWilko8IUv/5asxUaj9IJhOQ0foORKV25P1XRl8jCW7vX1NlBcgvl2HkAvL/dPWDeW9kJFLTB US2Ch3cqTAisRJju7wCPl2x7IJEgnLot9QFydMNXtXtC6bzv6bNM1XFjYO0pphg4P8G5p4MJ4no5 cZwlQLHYQ3KTi4/RM9Z+yqKLRj4+koQ1AkuTYrGPehlzDYda4rMk70pAV96okZk7gYToe50XVc7Y ZjTciT3pkJCuIr2Ews2GB8mKn62tp2Xd6jQdXB1ErTOWL6JUPD1eRw39DlQz8UuHgwA8jlB2UOxa 76z+Q6Vqmi/2N4uBVNiVLLh6A970jXPc9RoswTgrdLw+z96t1Z9Dn9R3WBXfQ+TF91COaBrdwD3a cczeiT5yioDQfifG1Xv7Om2pNgJ48z3dd5GbF88M0Iq+1K1jnLkk8XgFB0VD+e830ZrcqTnEMz7D hFQreZYC5A4jXS/X5gjzJlbW/cXr+R/dAqEzxG7qUxWdv6YUybVS+xz5SdBs9V/D2Qlqz2dVZ9A6 aFB9Z0R/PSRvmzgXesi5Mkz2bjDi7oHoNMMI+lA/F1tGCi60PXujeVks58sPZPPFFtXwwabK4JvL ML9in9N0cufax4DaE6fhddIcaMXH9UL21WGa0gfW5MsQ5bRsFx/xB3b+zFdVzwiT5xoCB1BIK0sD 4WjDFToLaqh2m+BmHnwmSIuilg342CluKxwKL3YYFO3b/RM+9Wwc3kfkjyuMFfproa4X7hbTHrmq MaHhb8ehj2XXUGlfIFNNkaurI3mNBJjRnsTIdod/9Eb2DAznVv3yd8A22B/djqAIbamlbHZPDzaA uSF6zeOm9PZHFImn/amvA2cQbyWZpI/qSdsjAaG9x+SvDPfhIl4+bJ2qZsvNLWKMVtWJE0AsBp2G 0MfTo10eD0ImMbg4TXiiSqC7hcRS25bt7MGu+itL7V10/p9CJFrw+uE6b5VBwgvSXiT//PSy/q2F afVe4prU2noFd9bqngJ0jnYlhW7CtGf9RDqI8IFqkQHBcxjC784BxyhVjDK2bN5HIIz0lJDEzIhe 8jC8kZWijY0/ZKhcKCFe0Vzp7vl1SgRl+hQ3ixKCXvTosyR8E/BkvduQsK2+OroDB6KFLOXKu2vu mq4TO5YKrnu3iHxFhkIu17SJajKg28CIlSovYlnEkWYu9DultmByVsUZzWeV0S0OnJTNqXztDmAX l1Bkfmut+GQI0+eGInTXErNodN5QvjDMplI/lYeLOosALM7BtkC1kD50pBUktnkSI25SqFyzxJ4f 9Or7FWIS6Ja1lIVaQeVeVI73GAwPCksKCC4+DYoaPS9yNAqTnBUjn1sOUzLNfd9caiutRz1gbYW9 MZavQc36BgsTG7rb00vcI/R7nBvVpN0Ffm+0eGahmteS0ES8f6NSwSLztM3Yi54tEMQWc7lGljI1 9gDlA8Y54J6PwajYVT/ssBoFGiRTFOphwURhjNSewu2Ae8oJsDAR9qZ38rgZ0sQaXOyf1N2UOO6o bxkn0lUudk7Rl9eIbRlf3ysUqJ+nqcuSuKkfenjJAip+940UhbS4joQ//KqjH4fTHDgnEkavQ9UX JU5t3LN4udOqRKYGFVg/4m0gIj8HSpTkUTZIlejfCrqToqdAQKlFgoFrBUse6FQHJ/Y+IhlkHLGI UExa/YaTNaWE3QwO1imNeqAiB+Mf+fIe3JlaSMVIBqTiZ7fzgWCbkNQuHcuThQuceGgbbaBxkVGG Mgrc/GyB9BH1QbcOtQHvqmmjUWUUugR6kBTvuhyJXFXtco7gYX3a/EnJTsgCLj0+HF16GiMTe1Rk LZ4dgJ+kWv4vFEHY7niD9EKKHo00lbuLSjbi3nwfapVcv8BGup4dwwE+wm299NmUTK50p8B1WerH bDgRojo/fEZ8MSIprx7RlSnnoY2+pjxx3a00tEzNDBdLFDh+Bf0/rgGpoiRJi5ZjZEtZ54flRbEg HJk5k+ZX+SM0dBT9/g+8Q5qFbKzTv9/e/lrxd0UVGBmVWbm+rvDmz0N/TcwnJqjcp/uiLi7jKaU0 38F8ro04xf0etIvyodUiPcLhO/mn3i7x/sEFj15Oe6jAJ9gagoT5z8CjOeYgv3Nfkbc403HG2BiF soTzD/QefiIOrZJpOXgWDrE12FN97UjN5NAUN5tx4gR4tPPHyRd9WdxPXWONqW9epXUHvfpgcMg5 NiPP+vm5LcThUkOrZU0aSNXAK5kadhchOTa700TG02EDBlePPzWSi9vzFgLV7wFd6JfiW14NzW6b qkwX8RvACT+QD6hne/e5cX9nMjWm0/I7kX93lQhObsXnHpucncn8A9uoFfZoYVJU7q6hGJrFRhm8 f/7yKAxeO9pst1Xrx3fPrJU0YS+Knpc3jXNsy66yF6pDvPRZ//8i3OStlcXyEXbF92ICeQbsxdBf s8zXAfxGY9dEoyMXR9RJMXhrk3M/ST/0ap8JKjpASNXOulzO+3tl30+PzF7UFsMQUmsuZ7IDND9r FAV310wSNkg4wLBQBXi752tXaFqr1tdizMxZZMR8K12J6vizFO3ffUeGxPkP016ri6uDOXAl1KNe 8UohvOFdgVhptZOmUwaV0WwgLKHjraTtE3wWNm78hUavSZ1ZEUIzCJqDK3opOkrydF67dLQ2AcUX oNI2+KNQ8ISut/aylwho6vtGhUA6kif6V3KNnCYgvnArsFN00b8XhXRuTdaFlzE33GGc7eOJpqFk xyYBcjqeEtpdadK029i5MAdp6FqCGMs4s0nx7lMLQBzcSLUTeFqVVc/UUvuJkgzsiVMTeZ0ITCRs ZUcUqhSSifJwOvoN/g+YaTp6WNfYUJlSSChsDw7KbcNtpCjzqzgREzBiqaV4tYerxK89Jp6w6Xs3 1WFv7zvSsOmBa29UhHpaqNM8aiOhOOsZrdCukPzxltF5oShR081Cf9J9ksBzBUPS34RGq+CtbuBV oRDpqMm2ZV21Gl+ZqB5eGx98w1rSSASImmGE2/L3dajphtakTITRSZrpwv6bRu0q69jXX3DNnEcY izmsUQZA5fAnSMPG5kLw6tTwue7pcNycQ2iOEkaQDMSqWxdHKPMNfVqIuQEeYDbFfu7LQ6KDI05u Er6+8H+gApDW2VpIscZR2LpEM5ktQaeLorYWxsmP26whcXM0hQYxtrycSXMNM1yKvnBQ1FBXQt1k KEPWq1H8gJk5WBsBYNyY1AuDZxBKQfpxMMa3Qk9NyzUBO3rDdt1MxywOujmQKnIz77skoh+GbDlM bIGGvPmWBeJ/PTneuKAPPpHOCjGrN22WX38T2/lcWMB4qcW6mV0xH0euHRYQL1AfG+hVJ4eBCl4o uQUo2eouRuKYjUqefqz7ZVn/7gudIMDkIIJCYP9MxuAccPnHXNOXmGNpgXtOAn5yELDvREAkgJoX 43H0NGpDSrwxqdXEsEpSmM6ydzw5iDMAMdiC/vIGnH/L5jIHbcCh6U6pCiEP25xiqvrDwieG5xZB itemqpnnJfJGp4aRVN/SwCVfLhO7OWSEoSyo3EvoxmuUVFw0jEVP07Z0TWbur/AxY1g5mof/urMc zfhz9I627Uk+iiBxRMIEsnufYb9X1fl9QQwaPF4YUd+uLh6Kse5P4RTusrjF/JtTA1wcnBdr7EiW +0WoABmp/KeNEp6E671CAD0o1RmJcKvPv4cHCKae+AsOti3nIYfFrrVRkIAOG0Q2OSNCtd0J5DJT SiqGnUVW4z2B2pPv5imkn2Kd08cqrx2M2Uo72H1WiOUVcl+xPjVQ5l3UR1DugCSYjbnTHbQs1dyh G2aOAf2KQ5/f2/fhqEM+YuxtxyEqVb+LveTXFdG/BcLyQoHRxuPvhB9pyJVVjVHfbzIjphPWWa3s cXKH23B0F/geQ5nkfr1gWmYByzQ4gOElHtWAED1EzIlErNMHET2zScPtvVCXk5XfZOMtNCtAE8En SBY5JKYoEEUqE9+c8I39jxfk1IGRnjGBR6lQsA+foRAEe98nQmtD2aqVvyDelDkjKq0GgEPywEYf 8PzNHwPEavrWvOCSuZQNMh1pac2rI1Xp1SuFV48Ng2TDYQc5zU8Raf/a6VvKd6TXnRo/NszHcejS a4wKcVQZnFki6u3j67zeqw2QeEcHyUBjEvOaeGQdvlKPyj7W+NPWGtRn0J5ow7TTmoSeLiHOHp31 Y+eRuj4SfbWJTTR3eLzcBS89nhqkLXrckzTl+oapj+A/PA4CBRuBHUWtJGIOa5bXN9l44ZMNQiCm snWu5V82FHMAoEHIVtXpgG/E490/1CS5uvy7dkaBjMqKYuC8pKxh7ycjpNnFmBraRiwGYzcAzWDi G6i/zIgzchMPfzOcCKT+qUtzJx6oLeH5PpxNLeN4nOTdfC93wGvX2lGTfl3g8DPv22Y1YQo1ACkg Iit8hDPXFzWJ4SjPjMfpq3X/+k/bq1vZl1VrtJDMzOVAM+ozbY4F8Kgm54Gqz6wKSMxF21eGb+Yf GLD4HvlDsBn1oqBUR0SDqdotn7cEli2hIWUX4TBp4Ge40+dsc7XyOUK59Vk+1wcx7HYblmmqr7uY bIm0njy5cmsR4sqRCB+b27mDeoP2s3HHQFI8TmTK64/QFGj0FkrnIF7gXlWXYyfpIV5XfxDlsRN8 3d1shsZLeD6JXaSN2cKUydVhY9kM7xJGUriqKv2OaXUCZtqzoF0HYPcDCsF1xHhtxKuunfMtNVBh 3ZV5ghWvLqrZOHkLUKRNSkOxGfilauCUfSUy5H++apUifWRLPa0dchJRgl2ZmJ3BsipCs72EpRRZ ndEW6D/U1SuY8AxQUqRmCRTYCIIPnkd0PT/sqLtWmQOfVfQtl162X8oNyQsPbB2nepESYzV5b9i3 6F5jJ2wKfkTXaroVmgQyOLaEMSKHTorEAjhCOnH4CGOyycqF+We4ak7bfghGofniLpbnmQZHavy1 36tgguhAvbT74IbCnu30plwxwHyzs0o6e4Be5gZA+8HRrX1rTzRjSPPaE7RHKQHSR8BtKd/6uwU4 +hWsUpwW2Yu7sL6eHIlvgE7eYxXtlmsEGD08N6bXwzMNhLDUHzh5b9q3mseKpIsWH4tazU/NtrIm ME9M6O5Kz3L4I2vrNfxUfMfbWNST3/FKaK6jNwwTKceslAFZ4rHZUqbJdK7UML7dY1M9WAP576xC chQS8Ez3ER3hj8bAkg0HuWs2tudaeHCE5tH1ZmvCzIo7m4Crj7xeNMDY/p6dHZD36qf/BOxJiaGj fVsU5+8O5AIXjjT1zTPu6sidjuTmqWHcbFCsUeg4W16r8+cu2c/6+dcS0sCBb+M5CV9PZoYhfVXb Nn8iUOQCtYClazb2CTmtyBX67i5OEeRKseg3M2SbTcjGrtkkO4UC0P2xFqQrYPuiakWfqXY1foG9 2y29VFDqa55LU2s5zrp7JxrZmxbXKTCmt7AXFbG5e4CaedcOSCDXGH/LRMwboDNoqCY9rphdxO/Q KxpQ4auo98r1F+wgST6NBbsk+B1BhGxBCBVibPxg1dgFMOApIAHEdhDq3Sdh8kddctEm5ezhPupb q9tCSknYlL25VhwZiJnKY/sOjp66RB3+9w3nBwTz36BlkTBDd+rgjy7Kh3TJ/+9uyyRW6XPb26gD K29E6zxDZC3E2iJvIsv1yj5IOtXwi0B17SBwhujJk6ycZiIfAL1JyKOkIeIzPS6OkvCV1wrqH+sa d05EdXfMaMNmHHxBtIUiR4r6EfBrZWbFWX+draYChUBzMZfn6+PdBMZvysVCW3347t8Q+X5HqA3F DbGdqSpijfpuSR1P8u+RSc7dNrJ1uBz7MUPMrn6UqSzPZF8fF2p/SDbmStAAdp73qdt3i4sd0lkO 54MzaaBLAUPeKumFxu9x0qdMGMufM+ecuocA6Wa1WnECgUfAHeblt0On/QOBpOqTADoQo7cD7ANX tYMnMHTE6J7KqGYa6UWQblnZJ/iCujUWlOz9rWT4kfn+P49rmZKGFmB8JkPf3giA8eJRvJdBWRsi o989qY923CGf6LDjKoBRJFRlb+sT5w5XFwOoySxZsDZlaxtUd67drF7qECBEU9Obr+g72Xooa1kJ Wdt7bdNqO4B2dmzknI0w6mx0DUjyGeGojDmSgBVMp9wm0B0nmz6jQdYBn7k5+89YFh30aRN0eAmV g8IGwrnSTE9AqJWBZiDCjjda/NAT49Igtp1Fq47PRhJeui54Md8mgEnlX5qZhl/KKYpElKfDIyh/ Vvex0OvqiZ2cyzO2jKolYCDyPv37a3EtAznoVCndaAWYS8zH1Eoo0jcqDYl5KZNApPuXXyu03a3v RS/VyOmKBDRV0Q/UJ1QM+NjMlil/jEDEfEZNZYjsyYaSkvxmaM/3wO8RR5BDeUwWQTwV/8B6KX1w TG6PPwPIJpw4s8KByf+b5HPMF1Tbk4I7Urn8gra2k9MxWl0bbiwsitsxFv1I+Y6nGscVVYf3OpvX i9zb867a6vjcCeEBZQ+UpXnZ6dcyRLFLrcmE4iIMA6+YAYJWcY11tPwCdZj54AjYWKeeItmsFsnX gGrAifA16FvY3hdeiWT1iwumXnEBZ9zr8t4QEtvda+fkEaZPAV9SRbCkNuizD/UXFLIYiSi9hKN9 JsbJuLTLKthuQ4JS1khFZ6BjWgV0ubvRgPU84blPbL7rz8xUQjz275azl7xyxBpXE3oixnQP45+E q3+HGaXZXVWehFOt0Fa/osH74/0pUC13x9xJHU9RFQFS6l938Hlv9KhNv8tepSp/pFe5VX+Y5fi5 y5qHq3zZWqfvhWJkXmoxWvsLm0PC7Wuq5mRoYFktgYiVFLZKmVngPxLtlj2JwF3/o6V2+DL10naU F9zhy31lYoQ4HUO6XlEv//tejAokVLY9kkX/DPaausSlzwIE0CvN1KxMoby5EkJh3UyLRatP7G40 mHYBPvKetKjrOrOY+WaMyJv4kU06umpGzTlZnXXk+1k0Z89J8p6V6FCiQIyDAwAZckDTT6b2B7ji YmmzEWs3+KtwExns9A5e8kSrQkfo0Yit1okOFC4HXhigNkxwqNs99ep1nlhD6ALeR9xpwrPjA8Sv XPpIr0te4c1cBJaIThG7fcHuhd/2+aXCk9P5Y/owNNW7LnHsm9MJo9pIhudehTeIsYW+YZiJ7gKV D20id6kpCNnNndcrF9VuTdX1iHM3lIn12gZL9sQztGP9WFC09SRzpanGWQ3mZ+J0GXjByfUXdkG7 +pVdIMpd6KmSgkwBdzhbd9P3++7jwOvI2tHdsMk9btvhv68AnzOOiXtoK+42BoZ03XYbSWaalNdI 1h9lVguC8kJ0mf8eAfYX4m3yUebBJGPb1DAae8CJPvJ4VdGum3nCNn7CtuPGcq09NsRRmnkpe45i v5KHEpkf2FdcH/6VYzzNyDStxXg26QJOxb/7fN9J8TMum/a9DUDOL+7WvwbehmNnhJnNGSgMSKrj fEuVRkmJsV/qtyTWQAKmv0s= `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/rd_fwft.vhd
19
38466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PpoeUczC12+YQ6zcBW/hk7KVg+x7UTioMUTG7QSkaE8DKLm5OzMFnRnSP2RdM8C+WL55mLvLDYfA 5lOC4Ruqpw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K3yZ7/h8XZC4VnxKqSX+X1dWQEKELq4EziAIjvSKKzex+MM5ch0NyAGabLWybM0VZcnyA2IuBQRw LXtEZmU52Vw900CqGAC8j1ob1JJokunlfDgROKOp9VekmhrNu0zlywHl+eh6CQ/t5W76EWfCnLXS TKcvUxKzMPqBkiVg3Y8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NSAGB2MTAPfuv2AfQtQrWIP89UNTneL4Bk6/B2TdOO+6mmG5j3iveazvIvg7qIHwAqHfCGACbbAp fGS79Be+x6ilLMPgwgbPlwYl5oARsjb29GILZJJbq65kaBdWWJCFrRmIDIFHXq65c5qChGV/7EF5 BRY2p2sjUe67cd7MFOLVO0mKHurU5wiieT+wdpbGs9uEgt/pGFeQKlj4ch2XzN03R8Lg3KmqOC6w j6pa6lYe8j+sQMdh+WMN3EmYurAN2aA01NOtdnD7EoaLrP3ByXrwCKFB06hQfAMKudCun+42nXbW 17uiY727vjm9PIB2xOmQazUdPEZbwz2Eeua7KQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NPiHNhu2YI6wz7attBCDx15tEqFL81ie9/7cRUJzlr+aO842fU7+GGF/JOlqWsuQg2RB92onmIR9 gKmj6xIVPN77wRnezyej9aQsYy3bBfOSvbf7a7d2lZQT1pTZcYMfp3xveVQ5gTGk/1BN6rnnT8J4 QRALHC2oqPHhQZ427wg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aHttOHUQP+m+tZmSEhqIMk3Jbc86fWQ1/2LKPbbHBoOHb+XyETCjDqnDo9IWfpo+m+LC80obW4Zd cXgM5NoQ9F1AYdG2ggcdGNXeaparpheOz+XWEe8nirOAN+Ks5VYo+yRWYwO3R0Y+0V6Yw8r7cd48 CXttfKVhu2QOlKTiKegYDKMRGhVyrdNkx/KDldRFk70rkBceBbiSjdBniOrozyhG2imBoMkKkCmI 8TwlLhPf5Ra+r8wceN6j4BjOnyQ3EtzJgw91ujnHo20MZFiaPiqLQIavDgBT1y7leXT7TIK9Z2uu L3Oj5XHzPc1v3FMsMkjnu8xWqC9pP05Ha8xR1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block Lw3ntSlrCokmfZtclotQk75wzvGS8NAVbfW40Ny0M3qGBUgwlKBkocOK4usgGsCHzLcvJEWmwBj1 3wfmeMovQ6E1L9p0zv5fHQAI3pbG1yrQ5Pb1RchCkOLmGsOWkL4hI8Ky5pymEJV1Gy/67VFKPPHp STpFio7hukOaG6yOfVLFz3BjqWEdbVfaa9tRGugyO+5FcqZfTxEa4Si03udjzcqO/H35KmceoELJ CVHDK/c/EMXh6yS70PdLGd7LXJrWz9BaflTPsqg0SsBoypFIhqc0Akrc6Kfn7npE84ChKUB4GlGU vUH+XOAK6WZPYMBbzSFb2EyB4R0MDSlk6yQ7bouMsCbdpYezi8JoXVkuvdBh8eAVMDcTUpO+zHOG cOhyf2+W/j2t4Rh6DPo6Z7SQW+ZD5XKHwTANc3xKbL5GImmKo65uLWHhs8yeUBgjO7ez9gSK9ImO TXfAwYByaTC96hkCbSVgknq1RpqhWgk7oqBSVuVVqqhPaXvMQT5WlE9ztefbtuw8ZR+KnU+Uqy5O JJkSpS8ZMay7i5oG3XwXhDgjmrvEnj8zjpyY32Xi3vfWU6pKwGxia+3B95RJhTv9ClBA54bT8zyn U6mU0a8h6xBr8FxQ9eJysWb9Nv5iWvzi8gdfy2MV6utnvncbYWX8Fk2rhNw2f/AktfVi8tMpALIt bxb8I2ZUMW0W+WltnNR87F5nSLBowjwjsMwTWxfpUuY/YP5S0670UjEkm1+47MHIZBqku1kyACFP k9BkHGzAiMYJRg99p2SRFHgz7hT1RjKIV63TDKmuGyNxCxNCkr2Cwr3bbvBfiG8OszPfP+ysR3Q4 TFS1vrC00M1tevsr3RKo5v+s/ovLj6NHEk6qwKfHxuRCcwZkzF7cIeSEHQnxikAkVdqFsfC2B+YC vcJ8p/3cu3a9TGi1sRbsSy66u1WDHPtO5BiRYtsSsgomPsPCrD5QSVQFy196L/XxJiJoQgvyrJxJ VoM5Eu4fLnoDPTJQlWEm8ZFxKYOWGilL0jBLq+2A2HRn6DfPTbzzYQ5gPbuhOcoXKhgj836wPGQF LYoKMxERfnDJPDheayMnhe1OG/yb0VfkicRPAqDZ/LQtZ/aqSAJzt6wVQSEU+y/45UM+sfJ1C/ax E0sgloo0mQjB3Ge2WPuZBXkL8NC7fqBaaDAznRlwPR8e+E5S9ShyZNxXvOqFUCyRYQqPJAn+qxfb rdaHg5saIk3JlY0bKk3AQR86bCmRQUw0UtJDcI6LPYVXSp9Z67HTWKCeT0Z1bEb2jJnj3DFzG9aK 1mP6KXkgXiGamu1TZlDfezhuHNc7vZufwldz6XmnipHBg4sZIxcJbNqP1GIquwbz4hpCK58WsSla JYl464gMCafm22GcbZ+fhcPqzjM5NytZOkhaeGsZU9LLxcBxs50J76MuNbI3BW+n2nlJiHDNrHJk 1GY00lltSVFIe/BeK/m7GHHH/WSloyiLGSTX7DqndwaltYCkLSvtam+prvHLOrmkZg+Nh9XDR0y7 xzXHbjq4DSC0FGKJSsb1AmbqNDlcHyLaCxhWlYEWUPrVyqEozMKlFmf+PSz385P9HAbbOdqMFoz5 qJLPdc42fgT/S49tB4mn5iX9jC0flJ4VfoT3HRu6EN93FreG4iqGIIjf0caLMMhxn9aBJFdygvCZ jCviqiwiDLMsbAqzezwNRcpMrbv1cb5LuQCzOFJOckCv+HI7kRsZSa68sfVe7FyWS2gc4DCJCB00 JEUoMtzJVvGKYd08xQS4c3B4GTvKhdUY7mYIT/HWri+psziRwLykLQP/O9ajxz0Qt+9eeJAEERaW /nQFXhuXiaWgBMVlusuhvkE7RNk0N6dvFXQazYsCDbPk6UbKS0W319a4zh4brl5bEa5d7WbLHG6H 8ut/H8gJG7GvYleoc39l7tExXA7K1jc5SbOsey7ZqwtffKnm5L6ZnKMCnWXMxRcbajQfpuyEVry7 I2VkzhoI/A7fMaGK3fTMQ1PHeurlQ8JIFKRnc+IxGcUjlzdk3UV18Ym8NasQe5mah8YpL/YvEdTc +jXmBOOcfk8iXefsTsy9pYy512a8G67Q5AMRi7yszcz4V6O/CiRieoyMS6FRljneBOF9Q6e1R3Ch Rvh3hANMssokfb+YDaU/rTn0G+CGzMo6jY8HbH+xzJcYozxBqw+AfNmEefSJmpWcE7hkRtptmyEB VlJKtTwZYOtDLat1vyzp+ED91waawyn06GO76JUuogC4FuNpr4vFQQMmcn+A/S06UzHmRS2ao0yw mHgOKp1mVXg75qdKYRXkPqV2wcfDB4/XDp/D+fCIRFE2DZ5TxIAwVM2fdQ6PtID+ddt2Q2Q6flFv P6vpj/r6Gm/O5U/JpHuCqeLLLdIbQmQKWYKfPaYHPlLTjcLXjuFgdS6hhTtgoaUWOR6MNBBkdcNT MZPBu+NZPt6E2alYtBmRE33wKFznrtKa6thViDReJiHfqdZjU15OdG98JXO1stHbdfN/hqpwQFvA Jx5vInTvHLhfMTDybDsT+ACrnKGOOOXGGB2Ri3HRwELiPoWlGpjXEPTcfvwz3714B4x79nRMyNSw zey2GPL2cEbYmATOXXTAKAqW86h1yqqV/kGJ6eN42IlOBgK2jXw/l3ieazFfa5Hosw6IyvLPkaDK Zaq0eR/ok9uzGU/3NxLo7wKxjLxcxU2ZK/4ECTJrcded8Lnw4yEJjUxQ4jGOJ2TjnjIFD9MvimB3 wDSN0kUsnwqd6HVX3pa804dFSm3TZWdfUh4iOzK/BAuVt8ZYfqpTiS44DSvcRAfxmQEjlsMH0RUI /mMU6i4GND5Z/SXqDywA21y5ODYfFIMT9sDgv+PRyym22+CMVnxZh2kXlQAlBnN/dXKo4AKAjiQ9 E8X67Y7OkJmw2Em/7QmPTkg24nsx7mEewtZ1B56MdwFXNFs3ZrA2b8BqLeJHswdRsTlthGYcZkAT uZaW9B9eDy0UbL4/bqv0N0JqHBD/ndg4Z6ceZXJRykGEm0GU3dYJxCdw4CO6ca5scsyNtCB8ovQR KB8wyIuexIfPvxTxqF5PDuWQEi0CWipY8UHu7ZT3S+TCB3cusDj9X1zeR1HQpiaWK1GQeyPSsMu9 QNM5z9qbNfqADWdgWrH6+fIm5UD3sGD38lDVceO2TjiGpnqlfS3W5BltJ1rtm3sANUNl7HNkZvrY fJqDp9j4pcDWOBbDsGZe0crIkERuFXNPmfWHYc7vFvLrNxPDWOC3DckbiNb34RSQnYFDTVFJWZs0 KNmXnwFGigPbNYuyexyWQ+D427YtA3CT9l7DsGvLZbu3NMfB0PoH2xslyp/uhf940tyOekpWdAPN 9qtZI5jmyRt4JT2Hz1O9Jrfdqbd3rXyRh71k6bmNVQpK1vQVQirsDi5z2n3MZOx7PfZpBxWsgIOo PrckF6FUdeE4dRFrNo2eNTOH+DtZ1KOB3UhD1tMu2PoZTLy/wT3arR62+eDmdG2mvfUC9+B/IHxF K0HZXFJ7UVC83T1u+iBh/3/ggH2tttccOCC/tnxqnc9yIJ9eGkUgjUs4UcP3lL+FTn6/QNxdEccr EFJcLEZ8c+lCjG1By+omLwkI7pp7dS6b9YH4thdSuXCCGQErgeq4oLNj5jMUtz9mJOtGGtbrv0vH W8IrAhVEM+VtJEQSW6U/4K6a6GMM8f3wK0zk/U7SD52ioV6lmHZ0jb8vTN/ProLgEuoudfyd38cI 1mD5ljwoaYHdXz3uZ8iIG411D+7lHjZ/WETTu4zWM4XbF4JUlKPpgqgvJhFRo/glaciAQICq4LoT KA63mC4AlH+bh4Y3Sw6/V7Fa4nWfobUqZOXBaHpGZ1OZ3YAN+7jZqfHjYIyTCq5ugV6dX5787TkF HGJizTdNtlQfPoxBjTQNRLu+mCLJaMaylv7GFIPDRBO/5V9KHQvKGFoG5HDjF+J3z/FIUr3jguCu 9yWUoqz8pEz2yC+pr9vWblKM544Q5No9kWQkc6wmP/mPrx9V08O3KX4YtlusbUiTIC4RpQADjrNC 7rMCq3mnt9kzCX/8HGEcrCh+0LVEf1PlGzCVrxC7JovzEeoqcu4ioeftuYrRhRfTSWVTebZUwXqp Ur9lzR5L6f7sT0n7Bu3sDC0eHZ2C5fr8KmrXKuDTrjlPtpFk+AW//Y0+wY4feCNFZwMeKvRXZ7p1 GQH4n1j9J8ROPfWVvnpqP6eDuMA2hLgjKFeL4mCWk+iQC36hmKFU6E3nyNfazdoH3as8ufwNQDNQ qtqiUytBNkcieqcyh+fuKZ7tmSmldj0ZPqCC5SwmxHMwhtE7/6T1uMUr9kFyXFAeJnlQRiBuQE8Y Lw9BkAlhhH2QeFHaw4m73iN8EZAxqvjrf4obRT3xuwoOvgedGAhdztlHfedlyOCPITMYJNa63JTL NvdNVYd7bIjKs8uK3r/LgPE82b+pgr0IEDPdKWHnqYtuKhN27KFEYPZ6NTFzi9Bltupvaeh6GnYH QAJ+KaA/OWfVyFfMMUZbDAoFuEUp2CCyyltaeVVv6rxTHvlO4cliqBLmzSG+UD5m16eoNc9vsvGX pfQlCGfvX43mjbL7YD9NQmaIJC5kMAF0NrLEEi0LfrO/F9ju12O0bq56igJSsLestY7cEqxWJCcM WgcEs/DYbdTQFjBhBSp58ZbUH8awJYgVc+dBmlFT5nbuxKaDCY69FN3okAoZSAzoNa6djAnhtcc0 gC5bI3gYwkX4QdJGYTAY0+kCzlyynES44iJBfc+aFlyOhBsCQfXMFH569pKknjvRb4So4hbrnc9I 3e/0C4b/XdlrOsooZt1N3Be4H93+MADHdwR6cvVYXRbQ7ThSZx7jo/FzoUXPLTyLRK/8HW8M9quy mvOWzJOcn36uHbrSm3Er8aA1aGToYtXXyw29HTFcUvGsk2/7IDtJ2k/h4SANe6cTpbXbyFUBdif2 4hX9/5hOaPRU2eoxiKExILa2O7x13CWZ2OAcAWjf/KZ0X8kMEJks8WFEVdflHLkLn/Z7OIWpXjO1 rc7x95D98U/2dNX5604RRqSEnsbKVASNF04dYsiQL6ijON6rVKC6WyMHLTVIwwpAGnmYHLYCdJAF SV/cdCTP/CeXFs9T4HP3JiSpjeCtWzyd2scUM2TYSaaqQ4mutitqrtqUtLawz7DxfkIUwShpXlLf 1v6CLFAnzn32J/LobO4q1w7qFjBUfny4CPn0qlhxyp2l1wRxyDQZ2J0+jctygeAVv9cOlaWUYjsM MrKvYc5urUnJPNmLfH5+cVHa9NHpowUv85QOT4nYSFrRinaJuG9V9WCrXMFJyIXx/0rI2oO+Ikqo 7GUHPV63fC32gzPNDiIap007yeb2lfxU39RWTMYwpkuoBqGR2y45sBgHR+jNqnEPuDCoZFQlCKq9 gWRH97PBdNdRHEuwzaiOQ1Wu8xeFtJnCuqS2TcaVZEKtffmRc1mA9reUBEhxl7hPhMTW75k2pL4k wNK/NFVIfMcjNP3QDuoblGYqNI6PC3EUmTM/DqeOIp904HMAn/Ke2pLUgCladI4dVKUeEtbsgWp8 xQGvn95RAZazqo9C/xeVeboyPhzUszpbA2NBduuKb5usRw9VM8K+LTqzipUecNvxjP/OQtqwkvna kM32DH9/Ej19uVZuHb3tzJmJvsjWA5O9ZQYrZlwpvK0uHNi3upfdo7DkCJvn/1LYHMBVupLUGfyt /dGLEug1PP1yiwREeV3lrytLAy6OKwY/XT5Ov8tBIpO/kNbTIQ79KOKVm46yuvBWoDvy8kHtiCWz /i/cAQZQslWd+2rFt0uSk+t5PdIDxMkpz0FWaxZZ2Qf5JzBQ1P1q96HD3V6FQwc3Xl8KtIFUkRQU hpSGgSTxSc/J2PsT+1z/sRFbALESLAu8InYOUstooK0gUCOlUpU3w4Q9xrzEuY1Z0Uxq0IPFOe4+ mhESdybX1xpq9kEZXniPBFiIeKyN66/eFeZaiAra4wQLjfDhytle+j8F/B1dCFeGt/0bTaUvae1Y wlxdRrkLTdkKby9CjZBKUX9TIgcS3D0GYjixUKtIWo3Axk7ZTGEvU5pBrXaB/iGnd9HYdW6mWzz8 H22hWbJ0YctA5dedBGvfyPA5DAhSdTlYk0iYcnY9aIjvQwPZSQ4LxeOJCMpgLeUVM0Lm/o+avmwT I8c4s081KdkH8wHD1etb4hnx5Pz/SszlXMMjFhC+vFQg8bEhXmib67GtCSPbe6mk9NQ6bOIlnn2L JoN2Lbgdp/KVSFwIyQs63o6GIQiiylU+Oq+LkFIjqtutzIaH2aG32bRcdAiAQ18fitq8YXmdz1po 0N7gFYNzXjTRnnRx+7uvgW4cMsovSTSivavYsJOH8imKLHeYqNs7CmQWxnIMDrtX36XPjK2IcJG1 EJ7Q9UeKwUvk3kVLUo545OJvf2shAv+e8/kwHwAXiiGpHt7ekEgR9wydPBpS3D4xRSrDz9ecqGTZ XCoAiN+cGi82aAwoVCG9PssXL73n8amozvxEVDEIntMma3UMtzVrXAseC14SjgK5fi+Nf0weLidc /iSRc+CWDYpFb5tOVjXWZVk/swW0yZ2NK0gbJJLPRVpGlqLeeJenXF/G6R15m1yIgWFwXjdCIHr7 KCgiayIQqC51JKL3Mj1I7FmsotXuX69+esp1qo55s3DnfF7vvbud5Sjgesb0nsYpJlcfRVx2lvb1 SK29UPVa1em+lTPmZioE+R8hChU7ZAH80XNP5XdUjDmE0r3qf16x+lHDcB3TyDwvxmlR5nGnHC/4 eseu22nWxUj1r2aecSY0PIldYjDq97E7CG7/FDxuFm08hgL3/oMiBxff1KzGOvJlsSLbMtesg5sQ 4dAZp+2uNJu0WU5PuKBVRsxRpY6fYGhqdGsHUzJPylsM0+dRP46L+DSPmniHSrY/yyW3mc/1Q5NJ mu9KcRfexlWwbj1V2yjVSKkuNEFOYbr2IkBNTP8fKW5sFiPVYGywYMhCDwcfyRK+f6ZEf3ijQnpO P2AxHwIRfj2tzD2Ub+FBtOBB0OrOsJHL5rF1070YFaDdCqNyiU0oObZkzDz3SfsOrEeTm5Xz2zni 48uZWqRUGLFYaSsY0mo6K3Fki+36Buqqq69X67ghss6+XCeH2Zz5xOxUt2o624SU/L8WplBkVZ51 XqUsmshngRZxXEJw/S2wsoVprmXtByLf2UwilMp4NF6wfP+xfd+OKvwflceHaASKA6seNn5bsw8j 32kPAHChGF4HyHBUH8CIwOTNHtq47WPEJ2HciS69bV33HCBsd72DZqSuG90dFPRVsdnVK5ulxS2G qZyQhSeP5uAJA4Bd4phbyCaaO3FTR+ySim38emCA4sbK3/47tBsA/N5gRUP6pezpPflLUpbanIeQ ZpCDzSV9RYgoY4H/sGl17eBl4q9G6FNm9eOvz5zk8OOz/VSItbiAymMPtkPlKPaMHUTHLb85Ubw+ K6BNYgZmfjJMeMlpaK756Ik1WPM6n5lwjStREKYJ5f0fuSFBORfMYfFZzgDkn9eZPVrsXT0y6HDG bAe8Ov8ZU2boLIaQj7iLaAmYOVSauhihKocfIAXq2llCxX5uFFy/uEsi7Hrdzu/4gC/HPOlnRmjN kzH3ckFwEiaKQXwcshIjlQy5hfSE3Ubz1OlD04/pyIN3lypzK/CM6qSx7JJZPPMhzuk+QnxY62WR 62xlz8YDTuXadJHWFr22kwNnKpkFRr/Yy0HXZ/16idN5UmBu3c9bjq3LuxJoolpf9dG8srziMxkZ xgti3rTYaRZmrG1EUNvjbQCPdS8LDkPJAox+lkIMuHzAMd+/2KiqcZwpSNJRQo0N6Pa2MmkUWOj9 jN5Dj+mRDWz/CNQplmX3TBTlhKJ1CuTeQeyRZk5VgSZpf6GKvjQ48+6/bu4jRrVxK6Bm3GBaji4s qMB4c7yxy5C3gGN8A2IhiseGtywpg3DHOF4fd0f7QEuZid037zqZmLCIgteIKGneq5XSUpKiadVm gXEhD2/Y/Lq6YNr0CB92TUoEf2SRavepT/kSXqTpZJRiUTS/wHPkiys7KCjDOYpvuZerwIPePFAn jsZpk8cOxhveIG3QevMoDm3OEWMy9NJJkCBzvhuFRieqnz4dzyAOf8BWCpcJ7byxU79+3hyWXODI wBaDr6SSUgxBqej5BofbG2a9ztxWQLPnxBvoIj+56mR1HRgjPlke9KtQSlRkwottVs22CzcoXmg7 cI/QKF9v4kBM6iZ9ZHE2a4ayvon8yzFAb+N8bnQn5kLgZj1KKuYul2zxw56iHepRUs2G8vGBS1gf 8kgow14QTBaPh8qBgairIrtkuB12qg3daoBdvH96MySHZVM/VexuhRbTlNual4a4ch6Ee1tfaiVh 2qsyLRlGsdz5UfGdElwBcgsmgPyF6wDaEprDcyo/eW5pGv6/m0N5fUDJ8swXvJtTT5ew0bc4XWG9 c+S9S3+y4QxWVvA2PWAgUUhqt1sYInAlwhSkl6XkesHLD9M1YplPvJDmov2O70sRQt8pO/FjIHh4 mGSWz5S9tq0b5yrPX/UruWCfIP4zt7p0W9Iiu6E4Vc+q9wZiVMEBuD7hOVSbltZDn0cb5ol+a+S4 mBKxx21M6Ak1qjNbc+bw8WAZdx6u8gs6E/fTAVEn90Z+Kr5VfIubb/HBOrfL4760Jk/IPaWtebED 6STx/YTc+u003dYWEP+2QdRTSXF8JdXcPf8p3kpxx14B2AZjoP5U6ZOT3CVmEJI69iGESwiupftz KZK5bByE1TGqnFOTeoGvfn4KPxAIKgjVRBgDR7uFQjUFrr8f7x3QGwWMacytQMw1eeza0ha32K9b DM26nybZuU0by51DX/Ji6mooALTWVqc7NYqXVoU03PjkrAW5zzlHULbuJTbET0awZoB1jIQ4wyNs Btr4fjF+1tLTsj4vY2R1PphD9XxpJ+S0odaotskCVC0HP/FcnsYa+O04BQSHwVww3fxJ6dHN3xxt mINi0RogqeD9qti6BJfsYMBLR3gUrh1+KY0bP5EIQyPZlvybTI0HhZgSbOTWo8DpHQCVQDcqZS0x mbB+HQlJwUxvXVRSNj/3pdvkG96tRU+qznfLRUJe9j79aBuksDEcEPNwx0VAE4xD9LwPh9VdW9jC SRbbhYHcX2OVQ2AZSSJEhf5BvNPrzDglNlHcVeqQVtzs8PogzJ++vr8PF+TlzNP7CxRIPjd4yQjG 8MXz97wzr5xto2W6mnCg7XFkhK+Tyx2+UJrZnQ2Bw5t0GcJikn8kLnjVwEQl2ByjFO5msIpbmGtf 1c2qjEQNcGuCNocSVCVFcY5o6CqZ16w4iTIn2pcnBVW6likUMK7hjj2m6+oIHfMT31V3X+5xYKWb 47Yt8e2GaePgLGShMsuuxkaeKlO76rGa20Xn4zlvf0yG8kDsufPt+OZgSxQEnMAynrnUqAISov9a fKk8u0f/lizi0jKvuYP/mMXVNmexwMbY5YEXcG4CBjt9SFrmnQmQWUOgKBWmDi/JdZMfp2FXGDXD vOtfWhcTjRF7/cEgaPRAGRP63yap0KUG3ThtG8mUPAk5akGwTHdAGL8tiVaR83duT/AXDJLnetMW yJgk6FZqQpPDKgHuWclrWGJiom7o+1Q6CYsxQFcgQEtbWpPktba2pgGJoBxBbOHYlgiFC+g5HoII i86DH6rDJ6d2uHBfqffXCqomigEmpDkPkL3hRjHajorvfS39lvuc/s9VLBq0AnDPFz9m9xEX1I2Y 1KnSGdWaxDOVHf/050U8NMDz7abagg44SCSTOyTR8YJub0vWfmYyy1NZF9c466njfN+sEk8KCSeZ RvX42SC4U4MJAanFOQSzun6hF8jniTbkHYVmzj2dX0NS4ayGjODaFJPfywT3Dkj2QPZbKuTprAbL WjsgiSnPgwRftXywPU7PuU4x299CUz6ywyn5TDmSl9N8jSdd062TtZ84PATISVVZ9kEAigO861tk sgsyrCPWvkCKr9poZZTciL/uP+EINRQuf3uG0J4IOS+SvAC6EvYd9dpwqUqOr7SKbtx4CEwC7JYQ 8VABySrRmoatZ8vb9r3CZ/8Vx0soTEwwJroNlT1fBc752xwFNAkxr+xEJe0Kl1Iu1KUljT7Q287o V0sfNvtYMgWg3q1tbscV/tp59qzCD3qJdxIR4uv/IeRlDJkq2qR7VZtGgNr3iJSvS+8naM1slJJk Z0uhhPD5oy03fQ/TJbZkVj/Dxpn/KqN4S1iHK+8NhH+s+S+5LlmiyLM5B9smSyWwQHS0FPHCsCBm IC3UToAEWw6UMf3kcSjAMLgN1IspxJCVAGVVkKb9o8suiYq0RwhN/efH0gUDyxXV/Nifa0JEDn6m ZyY2nvIofZpq0FBueDZDbismkwoWRtD8Wqz+rPOcXjgHWWRUcI6rvNtOV25a+SgkpZmZW14Z9xed Q+LTNpdwZcIS0SipIRl5/cCFxn4cYnlCzHEo+jVSMQUT7/v8+bRxhuVb7CHMI/WC8o1itIPbvpxy Oml7Juy3vdKpWEmqW/zzBI3eIxhSzZgTugYoStSSY9HQP3l1Hva/c+KC9LQyC9F8NSBiD2bMvkDC 8ZDmWofngPiu+N3C1nbwndEHphdrc6GtgYyCsx3ma3h85hrmfDqdLjMJW4lcIcxJKhA3SiV+5+me Ek8xb9PV5RuXgKfhUK3MUa63LcWV2z5wb53XbEPRvtp408TyG+blePGJTya0kvoFq017UivioHZ1 fSuK55Gqhr7UogaAm+nwS9UL1IZyNmPDvmthUmclG/fcntqK58A4ObS9s4VZDzLqenaI/4Mm2iJS JDrdizUsIR9wwqx/coTaRR1gOH7Pa19cjNgWctfA7+wRsT4cluSPz1d1ygleVuiF2nz7+e/274ql s/FzOhbLORmGe1u7ifPEcmfR2FX/5y8XkfzaQjzpd+bc2tWRhyQ1HIQMNIfRM95GQt8kr68bmSwX 0RhwndVfsERpwFJo7R8uxNyYdGCVno+UZc6nTsBZi4RDi6dx5jOKtD86DT2oQQOiaGkHWfTzutct BzAkw1sN4d8AKEux8YlSIgqoqGFvghTn8H2F4y4nfquDDKPIsiuGmtw9JMG0pciXw3x223gCFadh ZCx5fk5Oy4LuvR3EseBwROWwYCs41AhyQEOkNaDtp23I/GZqGsRbgK78PMyb8TV/n4f1o681x0yA DtFeRT+b29Xej3TzbpIxW4JI5EpFKRlG5XUi2wz76NI7HWv6HKj+q0nr67uPihhOy7KpHfHiJk12 MuVL6kOZ0tKd+bcS2iLuc9vpQG5QOiRi++SPzkWCj9OTPJVKzBU77Tr3MPk2ybbiQQCGPTYVTsWx h1nKC3He1IDtOzYBm7xkHbSWlsKoymAGgezUHlxNbzin96sEtlqA+eBGqyeqUKbE2+n5WnXwzf2+ OBZ0Wo30CsKFKDceV/izLfLXGVNaqGos1nImVEfy8j1Q2pvlW1K0nRjhm3Q+T1yDaH+JDSPg+MRl QY9cZWurmrmw0bTcGA3GFtk+kYZ1dsQT41epNYh96eN9XitKlhOSiV4bmpd0q2iRflqxsrpjzO+U dScO/PsEnSY2f0LqOcHGKPtYK74GXXwtVBY8JWC5L62+Azou2zkckYRtKV638hWYm/WJ81MUUMac EIWG6pRHiE53G7weZwv+jrazsL+Jz1LziMHiMtKYWNW5pKCfmYE4/7SBX/ZjaP6D/NvhKe0jbPPN yjKS8cLtOH4Z1z7D5zE1o6NR6ORxgBaCSgmX294sFYObYsrQTRW9ZzKCX13Xka4sdTaitoDgcMYR 2Ax6CYbp3a8MTsNRglw6/Z2tGThN1NGQJhtHeLTU5YwNbt27cqru5AfhHMml/7bRWxVT8CvL3dqY KkdGlH0xeZ1zxvuy6pgpKUmWJ5J9G+VUgpxa4f0CeHmuIo8M8c8g0mZ3C/vf/SNNJ78hpXQm18Rz 4rXZE/OLzRBbKTV8o4KN/iQcLOAPjF99N5ElhShQHeTOcfe+Utt8A9HVHXdlCNMPZqZXA8eZ6/lT Lbv+4bCUoZ+HFjG/jnez/2sSbpKp2QhN1AER89mdQbLI4kCi1lQKK04sMJr9iTo3anPg9YQzaHR8 1+kRHEg5h7U1uExMxcVyiiY2rWQLc3mDp37Cfzs9YCpsQAQ8VbnhaC3uef33svl0UW74/fAKyhda PSq8bGE6grAlKon3odOzoVLoRIO0i37F8biDmhwfe/w7AcK0JNaN7aRS3eekDy4w9Pp6G6u7C0E+ xdq++l/TbwLhpOWj2Ogt9sjuqMD3L1yZCUIcf9EEh5YTlHX4GNzeOGKbypzQItopPZl7LceF7Vqw Qq7601wLQy6kmJCIfZjVusZ98brePrFjx/qy+cnwIzmPf4QofyefPYoD/tK0JBaK/9QzTKtCwtG7 z7CHiz3rxfeC05KTnIzYtsNZZjrWEKNYPm6TeLUoKUIJ5ypUlO9fdlMXh9OKfGebrBev0HedgN2F qDIswDYhJAXwS3CySlUK0tSNgkv0Wxcmg2fgzYJV0DIIemhuwOlZl5nDv7gINtpehHCbz1Vy4tA6 1bXARXo6qKtFhkI0og4Qnz8hLdoOCmTwDZF+QizHhAssp48cwZAg68xKuYIXLr1KjEhGKtw9YvaB ebLYNbScUydoZbOBM3Xl/q6DVWW7nQfvxdpcv7DvVHIRBvtxrEbaH8APBrhKiTE1jSenWi3dQTk6 8WWtqF2kFNPixzFgeYrCDQhBMEW30l7g8YzWpkM+basAkEGuQXdHyWDH/Zyyy8lqzmTN+Owd77xY /icgU9j0gf4K8a2tVAiE7jEZHPuyGRje63RygWHy7DOmrlMHcO9JBwVNoU9LOHMxbTwxbo7iCMnn En9ys2zgvOfgLNwqW7pYmLY0rIeB/Laek67DEwPPW6V1bNb4OxCjrxQqwejdLdS2nWM42DcgNqen Pcjka+qTlEFNOzY1ZvxUX6IEb8tbJ6wsbtuawwnFX6pxbOIx7Sb1ywczKh07+b0BRNWys3zCXJN/ Oug9iWdV5knBmTnh5U6pJemVj9jftQGRNCmgkkFrV93F+g8UHCVEpJ7/9T2NN8xzK26gF6BtDXzs zmOMZZbrN/q6atj++AyM6OKlNPc8iUpV8550duLbcEemAoPOnu094W6uPAumLRUUvrlsyj8fdHz4 N6GPc7O53tfI2u3bvQJ26Lu+6hkkkagH88Kq5DjqrPwmrwIGacerIyiURruYx8frWiAss7jnAoN/ PuId8nI352XK5b2WOpvX2yEbRvrC8NPfvkTTypHMgm+cwEjDGHAz9D6BFTfwD0Q5jfzE3KnjXQjY mHWFD1wl64k6TNY4Be9yYIFWE4x6Q2WLg0e8Mp+ZdUhIkDvNL/m6ZMmcNknKhFTMWisMdF0b7oLm EEmTzpMd3qj4Oaw/G3+Oacm3a1WlIepMA+ev9aZIFIOvPoncpF8hjbPW5JP8CupXOgyXiIKgyu/M X+I9yEuA/+TxSdFWYUyvzusOoBGQKWPcn97R/tKGLRDpb2tTE2ZA0X/zjtaWZ6BicRZ7CzSJX4Ct bCQ11l3g8kwpNwWNMw4jNpGCebwk85fXpFdqGyqTDY1dp3Em0783k4rv7giYVFhpa+qyAOqakpvR lz0OmQV76yygXG3EwO2E/7lXUdhsNSO0CzYvNsjryW2Cd/7hg6XQR4NIOezyxYmM7rvBrrF3hJrn tr7AA8+Ye8xiljZ+8XEIZESKE4Jrq+qXDkmpb9rMEZHEZ73qTtPU7FrGrnZzdp1ZPG5myK8CE94O VfTe3Dxs7ECFt+DGMhWSkSVql3j9mrlqUIj6HPNu0NkyysFIiGke7Ef6kIIJQWJaVZj0R8Kfcl65 CvsNxLh5+bBJOJQx5gnR3gBNczxxmu9NuSDXmVXc4sohECHYndGulH9U02n7I/Awk2yHOsmyN+vb az6yKn6jz1zDtqq0Q4+aln5tTJNYQ+ArYAUG5dhOR50XYMNY4VMNln9dDknE53E10pGGTPEvt7G0 GsoTKAK46bv/MuAzXsUshDSUsn6yWsn2Vam9btjkgmWHCSxVmR4RQsiu5rZhthFY5WW3U1zhliiJ aT4+ZuADWNz/cZVdmS4lxaT6JxugAs7VBMCbT4FA0WcmqmptiWEDgYfTAHOLVStHDU52KWdbER7+ JDU2d9+Pk1wjnDW/jCgVNQ8wM7MEVYDJIVT7WbzLemlA/zbjNRLjZo3zePVwFi00FKFsYP8hIU8e ta2RK5vqED5cLXd1VolQsJUzkw65EsUlHMo2hAsub3UuxdIk5aBlocu2OWlERafcpmlZeb95+9+Y z6In4Ob1sl9L80c8zRmdSARiEpw0Z4cWojpjjseJ5fRtwIU704i93egLSdIfBwUIePrjwWeO8Hk5 GsKriQHbEzDmhwEktQKDyLjTyY5ia6GaaYtg62LiX7016m9jYqZ1xVm2JkKj1UR5d9WXF6Ehu7a6 DyginRrF42CfuqJSw+JxVeL50KfC++9Pl8TA55qxsXFq94rJtOphAeAGG771B9946w0Qk5DUfj4f w60KSj9zXIlubH37uOyLLwgn3c9TVMkIoy7Ue7tAXbXR16rc8/F7Y4I7ry+7tU9KUAbGpTOlJxR4 azdC06e9VKNDK2YFI/8xnZmRwEmOsFGneDPCnq02s61y73Bgh1AiFwzrPg4YcuYBAAdkWups7Jf0 +mpALaacmO6uYI+LHCjfeOR4rsR/t45Pi4wUJWrL/EYHojENJWlTF06TtlcUbyQvzr7jGMfbx1DM IaFgeg1hcgp0XuIwTcEjdw+W7yt8MUn2zFi7VX2+PIfzY+XJKynsX2g2e9guZ2vj6A4OAPMDxmxo k+S0Mt1zp4sAMKWiXZcgGnJqOl+EkyymKh7j+A3+gyQUlfhquIq1ljZEemFQ+DZkTE4drZ01gG93 VmJEuaf4zYljOqu0+BUZEQOE4pUriU4/7+VKqTGxXW6DHG6M726yL28nbulOPRKLcqV/x4UzX815 4hAnfFxU5L+IOnN5Xm70K1Ui4R2u9XPnMwHVI4h1nW2zUEgyGDMcWRc16ry4TaDxlGNQ26VpO1V7 1ikwqqNSWcpmc4u9L/CPX/bR084u+lUUzYhgPeVfP8F8VcbhmT23m1ENv2X55VAk+pp1KrJI1VDa XtJtUjC013WRv0iNOiTuHzG8SXYK7h9uvf1vP/LUzuF2n1awWpoAQHorYO9zVcN+e2SV2F0mKlH7 2uhjk71xcqzID9HSBRutAJMTjgU0RGGp10sKN3a9ynkE5Q01MIrp0dRKuD5ro3hQtQdkdPrXKZgi JWe8QBPM08mOWeXjy1AACqkFt1THu+7MjClxdopu39k9oG9HCALL2HAmq7qnsbVVobhbUcyx6lD0 SI12AOmB3g27fJcgzdlUQw6w7dRIH0YzK43cYyHLnC9sbbRqe5eG/2p3l4lHWpsohoqmDeBDuJ4R vBeJspUxgW342DZ5bk4FFOv2XFZtPnlEPdcl485Wxy7oIKB8bHPPyd0QofuyKSU7x5AJLwIsB9aT jqdb1FGFIyNJ2N5ucEHCUaTfRO0PgFTO3mYwXqe7di6gRFHxZtLD0ogBxfaQ8/jFdqQCMLFmETvP HSl1WFzDTl3RiE8zwbhMvtbt0l1Eqeht4caJbrYHeLXIUrAaAktjEqal5rVVwlxhXc8K3n5Kraxi O2s+6GWkR8v5MHKj4cp8+y574HfBHTAxTQDPqcpOTFkFxE8XLLXaudd2vmPaQauoeJPnJAjQwFXG bDq3d+ockHiB6tJatYio9nP+1zK2JzVza2V37H9Qbk+04+EbOxPEouQ6qmmdGY0HoeP0h4w6GLa+ Ae36r6hm3w1En5d2AKm4CL7Ir08/aqnY9iFB8QGBEsupGYAZHwRBEvvfhaQ+Y9n4TQop2jvDy7SH 2Dwa39L4EywRCNsrcMQKPreJcA9T6bJfxrdmW9pe9XJ0GmPEr49v6oCXiJuY21/sDzAUd6ca1zJB uyr7QZ80xjG6rOznLtDhPggSS+jz5wickB1tKHorBLCAM+2pnbhxQw/gm73+KozL7LcdeQKCfrdb F+L3CScadTF2ndkL7pcbDpWTS8mFCf5mT4+UQpq5AtPKDp/ImfrjhmnKaBTxj/T0NBNpkttzZn+P I/NqBx9kEIAAMJW/ID7km3v3QOhO8H/I5SKikOD3UO1FMg5vMdi0R+r8NiSrZ7XzBko3rmOFJUMP JEDPmktp7TVLsYxp9qfkOhkQPcVWWomTkTPaR3PHGp1iW47Pw97DIMCyPPWXoJrZSkjp9wvNTJqw CocPAhRffkfu1XVQ74GOw8NOYq7R8CBG9Ps0ETWvprDOJ9y7jUxz7rmpAOewDmfSsktOWs+rTr9i wvQfNp39JW1+C75INnTQjslFKjpwlIqbfmbXmVU/Vf0BEENllYzjMtryaVNADObEnf3zA0gwDPjt yWkfeWsEYiLZKyIcjSy1HITc6PCZ0+9aBUlAg6sk2cs31D5BJu+MYOxaqC/iDirFTbLU1cfAsSpz mX1gO+1FAducj9nwRfQBn1RfqLiIody8juZqTwo7w/Nq+hZ6J5YFP8oamw0Sxn0TiNeIn0esvIv9 q266ZMHylQC5qeSQHALej3AJqmDwbMEa7ZcMc6js+KSiDAW2QCqyewvjLKIfHCdkdlNDdgBrmC8E 1i7dDcopUP7RZ86w3A5kcG3p8EZEENkJ8SIQKlCyVbBCMQK4LWD0lITGhAOdKQGTAmehyGapyu22 /0FHGcpLlqE2GPeAaNRXUsUik5RqcleyuhVMiO5nHWV/vsUutd2Qob71+k/9wnGlKCDvGoUqNBv8 SQ38FhNSF3lCwizFHKpPeZFK2Ms5Ir5VM9snWEXvNwMmXcu+/9bVfFAMeuYAQc6YpekFDpt7CfCO jbGKRowMsNT1KkhoGXz/L9LWwUblkXPDgKmBU4YA/8ceatLV0I86CBq4QQFtvX2UWH/YK9aCk07C jvnvTRoDqReDPxXlLGIW/DcXlyPldzKM20xt/ko10FY7kIokwZ4n9HC4+C35p989KJvzwUoMnoBb BcUERTrNUh6hLbc5/hPTlrdUGhMEf5W1KTs6L9xBG1c8Kvlp3O9iDt30H8SXnS7pCrCtg6Pc0cxr Am63Cfv+IT2BXqUz/elv7Su53fsci3mjo+fmWU2SWOksvGYgAwJ+ff+r6Vv4+U3XI0qWP7mWEqcY wT8B/Ei9RABEPKsT6MSxiGCY2LrCb9l+fZhoDBJVH0lBg/Aad2A5DoLgysG3WpC0a97pyIz5gUR8 udP/Ro0yWKln4iBdlkcIkBe9zjxhWtKWmPxavC9Nn6Cof20qsjNSQY2EM4IA7xM4Kok073BQWF5l ldVSAGw+fk55ugzeO6KLlrE904XQLcyRUf/ZRrnCfBNz5ENaFno0dPduobYBo6sXT3jvNla2vaiF E5bU9/6OqtgYjDQewGcy2Kx1IsVQTeg8tmIoKRv9BXIdP2QzN4Z1oONaZeLrrR8JeO3iuEjObI7A CxevMZmLG7eNG1Q3WSB3eI3iiH8JfDQb6EnQ5/N/asi4E4HOHS4gC/Aor+gTZe7Dr9sXkhtBl0Dk +tSEFKWgDwkF0kSv26oE46KxEwTMUh1dh32H0SE/7XBZ05HdLFpzWKmWOcx9c6BR+ByQaJpRt1yQ cgTzqDNvDE98Olf6zgVTyTH8NizzlIjueqgZh7OG90/poTrqE2kMuG41sOaHQxj4T1jHmhvQwgSw EW5I5Nh5r0hulXj09EiKzRfDmmqJVV7V9QRhePx8kCZ6vMx8H+CRTKRjcBCKyMY/oLt0mh/5nNW7 Q1H36JpvacFMvDK8fTfQF5nt/fyv53+dCmDHbW4VSYc/ov5h9YPxPcO/jtaNl1JJRmp9N32kawEg 9/Tc8DteuPrrHcWcyerl6fQzDrw9txx+6Cf+5+gUx6vdQ+O02zfAYRUVul126FCNEjHBy5MBAGBP f8N/u1LC8VU+CM1Mm3e5RE7YkqgDmV/AguocCkPWPxzLfpghTWLU7nANahYrl9MluatM3Pb/sRVZ s8AgHnSZ58wGG91EE6D7zil7f4ovyaBlgg02TufpQKvuwOFXCpF1kmwsmdvSMQ3j1dS2UN4neKJ8 gNf9LefbfIG61dYHQisKSP8dsYfJyA+KL6cKUh7ENY1fVHxKKAvXGy3S3tK8l00u1Fyi3nNnY3gk 5CMy49b3cBg8qDjmsIbr6YEtWPN3z0Zo8jxrCL/7JXo0Rx5l4KvrK9INza1bOhpaBtIfHwYFDBlE IleY2I/LvYeYF6H0NpAypkTks+kH9aKhK9R+HumfL3WdbNi5NRqYzlg8Q1E/pmO20e+vra9unF5b vDGjjtb42nTx1emnnvqXqHK0wOpdxMyiux3PWAQafouDru1ctOQOX8h6y5jMNrP2Et5jCUagfuEB /gs1heduLisb+fWvwEy0oD6UhO8TggkaFynCzinI8xV9zItGMQCCb3tLDlmWKTL9KMPY83xPOXG3 j0HddnRhGRGKRa6jbtLnDzoNburhltzdeeth+sBOqFX1gZz21Ks6NIOJm9I31HtRbGmRJ54kwfz5 ZtI45Qef0jruvjruXalCOtRL/WDMx86xh5qRipO/9K0nzryUbPjDl0FXqlRYUV5vr3IF5nvt9E1T ofZvQi/djdlmxnEfwIxOmNcNitnv7Jb8XSGwsstdvB7P6ChFMZ5QIqSpIfnxHD14Ed/X500HWWRP hh7quDb8cedxvPGjSAM58sfQ7BSJGTKRlJEB3RvhpZfQbZNlHilKyjsbAdN/ctcdqhOQldFUgQaK NzxEIO7xOi+22kRyGcCbEmPZENw98bB8FC0AajvyN4ev5+9yJRACfK+huayLcT0I9ZvZkQ2FlinK WurXR9WJ1L/YNsptbiX60ivgqk/dZzpbIDf1/bAB9bmBaDN/37qSHDkW4u2XtSEFhZ9BHzi/gYh5 gNzCzV24RIjA88pTHZguyChCrA6Ma9fJWPAZCI55+7dd2P3El8+jXodANbfkVRH1TVZ7T/cnUt8G /Ke9v4X8aUQToW+G2dlKZsnqyVjqa/EduWrWcOKTy3IqnHiZ9NRXFo4dSQoJzadVzu01+rx6pSJz 1Jk/d/2RbuLaRLNzWxBjEPbsgeW/kLwIABsGJX+i2thVRYly+215ITYz+PSbHDodP0JCgJGOwDVu woiBZPRyJ0oC8UqnJ+pnD2MEUNk2lZjmz9zzQZ3s3eLoh5Ur/Ymszb9qzFzZl0PcgN3bGX9+Dapk erN0KFM4ORIoXBa8R71i7lZL8ZeRuoiIrCQhhdlfzTwwaEv0kxZAnMWPHXJe2SXV3K/kqR61sv4f kpaw9R6WL0BBQuNiE1GunO8zYqUXX18g6h8c+VrkZOlJnhoTyMr4J22lKvDHlhjAEXA25EQ0E5lv ZD5zk2/QdbWTo+OYo8uGQ0MBeXRW/8A00ZrWMivosQWM1Vtc0DsjVEa0HC9krTWvJeGutNeXfb3C Yo4Iv5k7BwcZCRVhGuXrUHhBOx7+c2X8dBewOXEWiLeYGQ4lEqU9l/SmZHjWpqYDUe0OjWNhyZtd apv05T66JhzNXf3tatFazZojaqGwpax5EopQGkc7GtFXf6SNV7U+r5ZCF5xjzXwnUJQ9uW9s/YEJ kD3lsiUoofZW7IGyS5V5xT0Vq6a5M8NipcL8AcJXP8UMVHYvkSIv6Fw9mfAHTxG7j/I0eBa3fXyk yBHqTefw0GT1lUyBWcmrlrduEopz5ff3x1bDhEj4IWE0fv8VEdIA3u+8sMmPbMvcMzQFQMAmColG KntcvVhAkrGNFu/wz9glT9hDOz/YOL1nN4twkUqH2D5n/+CyGfYRGzC91YETD3wRprsChCBNOIIK LeoCgrJv4XwCWuOk5b9h4W1m41svN+VHtrxCRmUuH8JXAMgMgtwNoqynQy3edWwj85MB4WCK9/fj UsU3/AnznjxNB4kyge621o4qO7+/dnnxaIcxKEqyj5FPAQ+lIO0r2pmeNyIajX9FyC7sjV2Jk/pF aZqR7x5THuRgXiPvoI93Gn/OYiU3oCzSDMsRrZczAGtkFGEndj00IvLRMm9CIbvrD16d/Y3CtNjM MDG45/J1uj6lEaWn0lEzIvCQM7PJM7uliYv2O7MR7Ljult9FYakBMlLUg8sj+CCyj4l12Z5KibN/ uehUtKRgpDkEWJy7hZWnRl3iydzZSVJjRXpjlcgd862Atw4lel1oYL0dvqi7nUDgbhZ/CQsxbzuP VgssNZXQ74fQXNFA32ES1mtU7m/ItcuNXw40WbE8PuIx623lOqdugn106FQZN6R6/+gtTdQxM3Lq 7eA122Ur4I2bfkbfLCMBjDPaG9jCDzuDJdacOVYKiiSLEzabZGUKsEo6wvIfjuIZVQ9YIlg76RFL KRlQDtotRHGkdG0/GcpqVQrEkAbXFpios4yh+mAvJc1u8V7FFRtsJBZRnoR6a+0OsL3rcLxoxeZU 8YUiaFxhW0Lujd0bbmOd+efFzvVDznhcVUNcSOWw/oMwJakna/GtvmLxYvmV77xlua+l2yVLLUVT AToQsU62zFAqVklDTIaXhvSS0FuwqWJb6A/PBo2nOIF9hhrsaveo6WtdKDdYmpKOJscgBb3sgWoe 9q8u5EbIh9sKNMxjRKjnirHi2E/NY1Xlt790SAMweLS85glL8qtoMG/aZwEgnids8a3JdeV6Wg2i dto5EAQ2nTQVA4qk/ZxL4BmMUlpKOWnjd4NRZYgWtQGk2pQPT5QKDA/KqVhKmoX7X4Did6e7wtPN yhJBYglsDAzNCD72iKoBpzjIrPfLqvr5t7PmK++mmkqiPkMkRdW2XmdwVn46MPmnA7jn5azmtuLY MGLBZyzov2KHih9KqDGfEhyfpmdXS7ouflITOdT0IVdCE4gdfnUuxuJ0bPN7/p4UdAbmfdaYjO5T x+9AC1E1VOGeRy1rFJw4w6oGyqe1N6KNtTapQpawYJx8QKG1JrNz/+sp9cXKhU7crsidJztp+DVA YRbxT1izS7518NWbIU8t6dbMQ0UFpUpQmscJY/OC2yafL0RGGrp8JTe9g5kkURrNcSbkMharcgDO Dl0T/k6o2cFiHhVqiAoTSICbDIEQex+HNf/JqGGcL5D5Wr/XCQiFarhSQtjbuO4D0XZ0qipOUfoh 2ZU8y4iH9Q190hIbB/CHyUrT1TaqJpb6ZM+6fVhU7O0EHGWqAr7pfG/iKCQvFSRYcBVizTrBsJ8C zvvRKnaT9az155sVXsA5v700rntM4nIJKf9MkjrGVXx+/pIDM6kD9CN32wl0FXT8mGluMNaJRN6o iu0MvyP/gO/tBCxMNvG9q8ZJh8clRrt300QN5j0GdZx6/3JN/CRrquhhau+zQguAYiLkuKbuQf6G mfhHjUAikhW40EgEVk6V9JxgQWOufISgpkLwjYuePEaBKBupJVuiF24bD+XSof+G7UC41LCpWkGV jxYoG1ltW92ew94Qmc+ausrMDVjCL+IP1XDB9K+LmjMSDa8KdkKcg77rLZZQTw1xP6g4SvELaQvI f5S8M/W8ae8aoS/JzdswDi0IFm6TDdYa6TZWXBX+bFxb56pSycxAVCHJL4QdZv/E1glFTm/DEKFU FCAYx2h0K2h/nYYcKP2sM+61dhxU3ouhR5LnWWfkD+RXEZu0fVgqNroMKbZNIkGbjdvgJCcU9o4Y 1pbv7xjub7F9/znZblZNE1Q9C+b+u2gQI1zhf+RNXYWOHQhGMi1o9AFABhknmTRi9FEqJXUaDh94 Srk+KRHwRRB2tY7hLZAny2kOlkZQu+Fw1HU5Wxxjc2zh3x4oFma7aqWmy4MKpSNRbex6dqvxwjHU 313wbC78Cq39qSHHDHod/ARjhBScK5vUvae/ft1JXkpvMF+s4VPpZ+WnwP8xQAeqig+eyKA3gmAH 8S3deXf8GmToM65erw9ixbpH2iE2m72Q87Yl1l5Qp5YPffbedw+kdRdEP+guXxTzr5zMdxkXDJ+2 n27Prio5yKTdvMPEy/6vNwlM1+Nd06M2QiDnP31ng0ZLAGkMc0rVlzkGjTwmvp4kkRa8y+9Ljb/U 31AB2isWMCpWDXuX+WVfFLpTjBuek+KvrLnAP2i1LLE9SBVo88EMEAhE6F/4cM7nD+Vq0OBIXiXs E2TU0OyN3q1LATBJqRlEmeG/w+Dk6hjg4SQWr2qWuGppaGENEmOuKOkAMQ5Bu7+WUzNtrYbGknht u9MJc6vc2a2blZPi+8GYnhdMNICvfPs+SqmxE+zusSl9f36hFsB1YjbZogYIw3f1pFl5ygdTvzMl GXfPBlfF2u55zpDe3Rq+d1Ci6zK2dfuXm04gLDFGszAKMW/dVV7Dp3UYedmIkVP/5p115BUx/4/k aC3HqFiPVpMR5vOy1h3DmtAlCjVsgtp9WQHNIanH21pPZksPdR4Nw8c/1ZYGCiGReqG4m2OvU751 k3hT2dyCcurh6WkAcOJ3PYvg/Oc6uC7WYgd6xfi5cqEBTRtaQcsByzNeyjsCClA6OFSoyhlj96C/ oRqGynUW5mbM20i9fq+XGjObKNyM0tLHmCeUZcotKX2PEXDf2v702gMmoi8YnPpN0NV5FxMUvf2o hwyC/AX4q2ScU1ph3/exI2BnBOj3keIqjX+jNxst4YdC6KJ2ewbKIdyi5qIlaD5oLvn9j281sZrm fyk/gnjIX5H3Hw2MZG8B8AOhD/BBmCm9MMvk32ozWuhq2EtyVzQ40PpK5M5Q/zxuWiGENmH+/1Cf zuph6ViLg55M1ofmF3zlPQLmMRMAd45dr6qj1/+mw+FDpQgxBZoniRamR0RKQUzXJ/mpXwANrGLg nR+iLFYUPk/9gPoXcRsl5juw/AoGEHAUFhYFOGH2VSz2bKWVRFlOJ/yEMuWd5XbX+DN4KKLMgHfq ngCSEXuOhTpLszK5b41DSTEwyf85Sz9WoHC6z4UTjY38uz0/rGyLkxETSVxzN9B8tgCcKkmuj/rF U71Uqk3PJl2E53HZTLemaw2s4erXZd+TZ/s+abTi1H19gHKCxXGwmhsFZm/ke8m1Vaz7feQibx0F J5/v0ucrcV1pvSr49CHBdkhC6NzgpHPab1ts/TXPziVgmAV5VWi6Q63O+2SjSR6YOE/1QGB8BdyB xZRiCNjWWRDq8cw32d3j0q4sXcXTKt6rj0zwvT0YgsIx2jJOR7b+x6E5HdWscONR7GKpaool0lnx zUdNNl38OXypp3q3ktT5wpVsGpdNL6sl/Ebix0PlepT6XbsOlaqzIi5RAro8auFcL8Mh1ulQHYBr G1iozRUcVVG0fjLL/U/bJGkwYxNLBlFOt3NtNvcufPJh0HfDdFRrJWxKUmkgL4N77ig4gmVywORz j53MojfAR6B5GAuALgvh3LR+gtI6dC26SKJdTu7rOQZWYLZJDbq6j/x5nu7YuFze82ENn/QSM1Qn bJ7Mrcx8CbtWwA64jsUgofdAF+HCcew8u/HTL1ibZFNbHTWVTOOl0luq3ebtTTcEtd26OoFwnUQz 4uOVlsK2WN4QpyuULabU40I+2pTC/kfEbSEVVNN7CHOagRW1mYXH+uEOK6k50sm9YSg2a40fazC6 ij48T/PMobr3w7hIm+78Nq99dzRxBsMFZZASknBqK393yllm6ynUO/XOT9kezsd1meIZxVEI2SJY I4J/Zclj8Q1ejJ4tfr+MhbuQ8UmpJDSGDdG+k7ytGCVsTSSFqUJxxCnp/BMnu218aL9Ij1x0Y0kO boaMM+zlLYPs6poVQZZ0URHoU03+hx0/N/mlk78fYVNQ3oPRBu40TLqNDZGJM7PEcVU+e7G07XgR FoNwjepkKZTzW009KYiMoBemv64X/oCKwYhDVq3OGIzsqdT6ZDyadYNecTX9Q3D5Yei80G+VFht7 9NJR/c2RBXjVVG/WEOknfMVxfMvYx3aiyMdNHz7wxudgOgcjKDtTGnxJcEFwJp6ZmZeARwhj05FO 3xj7Bsg+8OAHwODqwI7XGdOGVGDq2HDJpQe8k3dXSodQ06NmNULUOteX+gzuB/m5CSO9Fu9i5mCh Hgkc3ttEN/xmAdUKfRpgCuq7DDvkVbMP+mwK3FVL8Qzs/nNpWbij8c3PKnki5RQRKEIbYbBIS8OV OjqZ+iXaVRGkrfriTnSjdqtPErJCuZksvI4XGWgo1sGiw7AF6fIDOqSN7yX1u3eg/B4Zch3ojhP7 GithoxFaFoEeFXg9z63oySD8F8VxFjJFvDWJ55oUDJl3FirxDZGh1byjA2o78SoCxtv21wn2JnZc WlGpfkED1vlm/tBZrG4Rty9B6pDu88iN1dGuTqF25p4X0uSLWbvVh6O41jUSeBsyDz/ZIJ9xfw4J aBMVOB9Z+fqatUra2QiyDHMOzHHa8H5PBFZWjbLp1C/rlljvusYo3qBOGnyJbspe2hCvp1uMM/Ci 1GbGQNGQk9ZxvJT5qX93/CQi3Ds1PFpIDCE5mqmwLzdH4BKzs+n04B2fa2BRfTx5a8hmp9sga4W7 iP4zkvq13b6dPnUtGyTX+Qb8yfZHSkB/L1yDrszWtCCam6Aeu4nPbsLAHvsK/Qn/gzHRvp9VoHOr YyKQTzXroB2XPY+KSO+n7t+se7oNzv36bnRG1g/dw0Rl9JHPIZRgBm1mWrzs2gYeQibhnnqNIJG8 kPqn447JmLAkeOr8RipEo4E198O3qmG+0box7Chm6kv4+K1v6CoFp3Cq58dqO6pFqN69cmrNrMm2 0HpYOkC0ZS/fxjKgoXT+j7kUiNew0bjdyBGThdcac2aQJEyztqe+sdzWkol2cb2Xe3gdqFdtFuHP 8p4LJwWzhds5tDl23wgMItt+GY3rlOds+SKBvlE0VSuueoqx1I10YXjasBDIgrpqldE5sG8F9JRK DX/7Ub7Qnxr372ytvHGd8J9jGPAymCdZKSEm29bIfZ1FjNUVp10wlbYkRr2QIjoT4MmhIC7LvTSp GWbxdtCBNYtj1ovTOXgpvefZAFSuPeO/8e+JI4j/aj8p6YlH0daBD7MEW0lZCa47g0q/08UDxtaG HJKIfA7qEg3/MyyxQ76bnOIhEyWcWSZB7gxm4D1NXlzogQTkThlNKYx26BCDdfbDaOIw5Epq0lMH ZKZWbKb7l2bAyTkhFdnse3uRF7h3/70MG6iBZ9Cow2dk0gpx6lwbH8N1UHVk7WgDxCKYONkMlVHm SbUwFq7NQHdgg7Z0H2eQGGHRZE3VIplXav22dCX9K8js0gZGWolDjbIxbfRQK+6sg8Yx5XYhDuor 2OkB31WSvN2bXpuOHd6vUcWPRXZhx3hkyMiSMjXVIXtr3LtZryiD15TTV5HMt/w8vKhnUTB8MmRI SPIlpE/Y1uQBKDlkXCH9IofoDST1wgFIWwKLsY1Lmna2BxKFeUFx5kEJUSJIRMGCRenakP8SpAll oDOA61YWnhCOP93mVxOPwaIcMUDPXlM+166Hl/HM1eraDy47iEaEuHVbitS1aVvzKlFnvteZEMUJ VJV5+RuahPljAxNQTgTs4E2MsbrcQfeM47Q0nETMp/KkftU9dRab8TUFZL72/GUAPLDqjNmE/1Ny IdZwM8bzCon3XdM+gTDIINzlRKStuJMGmJdboCm5ELDsMFpt6/lkBmByYAGDNvoqeobBvAPBymU9 zSqo0Er4KUPA5NVlHcVroZoaMjO3F6sUf21LIkSTFTcftFSlpeugRFgFpQ7rfGrjkKqAiFhEAh+9 1U6eeda5kwlsx3kmki8478c/VHHEN87VUo3KSGwdGEopey9YKruBRdMJgsesUVAyrmXX1uTj8+5Y Ea/psoJJYj2kVdSaEOQOVLwlAGR379U0zAvDme66IINbbBxqxiYSjFceeOXezs9rST02zfB2HQa0 HUojaFiWKsGSaquR/pXCSahRqkYls1ikXBeSl8OV2nTb6CplBoBhXAVSaMBtJc4ayUfpJwpxfxzz U9L+PQSOIuYx1GgbSc9gxadPLJGcklDs7rbVrn8mPXRK8bNBd8VRVlVuIkenrumMR4qztNQpQ0Ft 53EArDuZRTGPYNhlaJqhpvpKn35evmrjClMEd9TMPnCTFtQpUbBrZSAEgc4EBWqPodYKy/3NkuYZ 5X07iyzxb50y8Gjd8UsBKK/nokqSfD7Xi4NCst/GvjPjUX12N6gb2ck+1NGaW4+4jNnoWpQR0Olz +17TZcUen9/S6kf6NSMnkot/EzpRzBG7rzo/3rREk3hJoz39mUd/dT013Ii2+L4qOoT/mQsUkobJ ExvyAh5Gs/VubpSaq5COFC1LtUN9TpLLNhuPV7hiTPlMcexJFS4D4iHwloDVMR2famqGDa4SvmHQ xN2ziPbNxG6fobXpK/9bqTwn58D/FgX7l0bc23eN9X7xiSYhYtllxVbQ6Ya9SPDN3U5xTiV8e9r0 FGMWVFJh50vGW/Ug6dPK417UP3d2GNmZW4MLeUWxSBngDwbo06iTNTMdwH8IAm7R1Vvz2Yep3gwh EFCNWAIfCj8B9Zi1kpbfodKH2DlNw7LcmLIUoKm/TYHlt3TooymCb10x8by5eB8rbI4wiZZidyFI 6uU+9MBAlFb/bFESiMUGlNmXkdWiTaNkrqKJnfHuZCD5YhJ/2GxFJUduuntsdUef1RN4fT5Tk5mt 8SlCloFh1mtYX20IGvhSRJzCDytLd5ydJMVzruw6RVgt6cmi9BOM3sqSsIuiQPYBJVHQgd4aR3uv DC8gVE0apAsmn6ZN1nlZEVv+dYg60+X7JrebhIxbQ2QCIZku90ebw+xobP+oldvQpHJLxpIMApC1 CGZn4WY1uPXeQbIBrhANIz6XZW0Q+G4xxxRkCkxcPihrz+j3hkmsclgEG07knCfbNFOysULlh310 TrNzXMdWrNaL/I0OdM52LKUcT268Vc5m7f8IuyX/YmNHfM6I+/ZM8C2dCuh0f6dySwUj3iNtIwGs macxgIJqTiaMxDVyLOZbYieh4y8Om5WVRuBPuPK7W9lGU+ja9sBB5wEdRzEI7AkmeKWvFLg9KMw7 BwapDp0AiQZsLEFrvVsLkDuLU7v7d+/To0EAb1jtHAQm3znZ0/dDnyZJd70Lm7aZ8qMrMotBbQ6G nxQ+IdeQQZXX9fau3I+SjDuazCn6xhaDv/DaFmSWyze/KZJCLSvTbnV+MGs4nevfCv598apEE3Po 90zaQMHGaOlowo+p/yEMyjFFGX1xinWYiRKyjK6k7cYozskd0RvVi4sPA+qhCmhc0Z0DVuVhz/wH ImPWEnP3PQMAt6ZTmaQbpDseZ9sJEzhm3hITuewI6/fzG0i9TPNvAkQuUnWrBwe60AAHbOo9qt9C PT5SZXk5u/1iwkGeUEiC2fw71zKxjksSx+GUg21SaOZTriudJeCka0h8Y6Up0L4pZv8MdqpEyCxH wB6ZKDpYfgiiwn/zpCbzHD+SIkG4DoCaITSyY1KXJuEtyLfzjEa8VI0+xCg78pCtsXtYf6D2b+or 5n/qPtF5wZVNOpVySTfJEDQpstROCCGGf5O9NobHPWenLDxZFzBGKZZuvHKnBGkrL8BZrzoJFBi6 BdwHfElG4jH8jRWITn7AYIpPhJgC731WnqG7drW0+XXNnzRfMOPYb+MeAIgm3dNP00sE/2jwfRoo +POx1GBWnQWXr504efi5Si9czzAZo9B3cOxCaoWy0RQ4XmBr3e+XvstBGFnlqNPQqKBDPE8KFy9C oEQ38qwFFJ5V9GpDXG4XoAd3IcEgqNzzuGNc8drpfHXn5IjeszwSqGu08n022LdGfKQp1QgPchTy ZgNFr5cUjmErZmykm46ltTXPQ1D0gcjskh6djbdwrjuohJCyl8GZcYoeOpjJRSf9zb3QZRfrmvpp jc0uVraPEE/HNfctOtRu528NQoKHf+2ElD3FAhwQ1HZArT5s/qrm/4BClcWfjsSgmgNos+JmTP9U 4EUq+F80PhOElSgChm2s4O2I2vwSuP43iMPu1OD8x2TJlooCUVUeUgTTQakIbKX+NfN7fwMawgGU XJgvnLWyIPF5R/1g6SvmAVI5L/rsGuIJdXluR1dxah434NO7flgGEuh8yRbsxKtxdKVv5RDOK95A FuDFsonXSXfTuNMaEJ2YNg7h3vcOzeLVZWTxu0NElfjqYCZ5QqYOKLVMhfTTWdDG3LacOymcTJS8 3e0rkp9jq139qWlVxaoGu2vh+8N6/+W/NyTS+/L/z/KXGphN5u0RuvPGIZZeY6jK1ert6IOQ28N8 yH9Mz70yfe+CRdCJgIYFRaUEDU4eIX92aVXbD2Vrhc1+FYJXHdjoIts110FKy86XI+zyAQxhTI9p v2r0vfzgRCkkUoK6Czg43aJCFyEE+RIzXhlR+jiUJOMq1jyk+XX6Oj+pSy6Rp2WBDVHqkZAp9Sns AkApXG/ajA85sgBLg94J94AzJJEtBW0uUlkPHIh9ZfXByNFF6MN3Zs54D17VaKn9G273UrR9rCyz UFpsyr4s6sCpLgL+xsWTcMqoxva/NhguzXhfP8ERJqlSvkBt4R8GMUpDCzqlpAlMsQaE4tdTIA9e P101Yy9qa/Uo0CB6infhmSH3ODBw9G0/0tWgqbr3EytEVcDhYAMt/E2vpHJZv7aVnq+z34eN78HS NN9aAxuvz3eLBVzn0sRK/PdvZ20ApAA4uXH+c3zOIhzNF1W1K7EqzAlYkNaghx3rgLGw8cIdeE05 5BJXKPTX66x4oHP2+rrkUDw9FDHvbaLvlxYg0AdouEE2ZSStoASF+jWrpJv4EmedHxGCPtFumC1M +/XEmM/oF9gr1giJSzm6k0HqP4Q+eBb/6hgQShOujLpSAnBwvK1j7RhJp+ExkrNMxqsZCJ0wmSzh tHWscF+HqD7sMeemopvghBQ3uJfL7F6ELWc8Axjfx3yoR+RikJ5RvTwaDZLdHzy1hF9SaKDqwL69 vqfFDMnlIUp3gCH9nhhFOpecppt95dVGL1QXvcnni4AEjfejLNmwXk/N0UvUw+oHOxp7EEK6NyVI D+xIGzq2hYSbLh6NSr8XMDeDq9nyK4FhpLV7b7LA0bsscN4n0lFRcgM6a5nH9YZOxC2JOs2p+IeE ux5N4byAXShNtJiAr8TRetlmY4Ppe2DBr2Wi1MLKJ91Wkx5gEqewI2mk0tQCckvM4hWE5cocalZt dXZo3wxg3lhsCH6jsxDK5jfCacDtlfxNjFs8rhXaMEUUDhB1tHxxTt54wnYL7pLBLcKBJ63kgSUf qLCmpmBgUlMRLaPJ8gOjf9MhZqBlQt367FUJ00unk84llHm6zm1+H7oCSby8j75vwQICU9QhZKZI 1dfmLXL9fxQSI5zfVnJj1HEomw1p6JcE2lT3z0SgMh9nKa2p9AxZA0yhNVfXIqIMwCFTb6ReG997 B1eImeW2/iFskmEETYJVA5mTCi/OwOZkjp1RJ+QiMrg3Z6y0Fq47TcFhKDzTtGOE82koI6lsL/Ww oEUwFQVCXbNGMjv2sFVK9SQ2S+y7uSJnqUBIuSFm/rt4dkrAiixiNZ+cCis+Zrn90EG3SzWXKRRM lrUNuYIlX0onfVpQ6RI+fBlnjuCuoSKrOesvDXh+kgjOezTHztoXD6wwZvF/GFTB/Bvl3ebBmHel NlKZqSEryVCgezgdbnHRrXD3fr8T0EjRKM0fWUhDnrlCuqgaNfZla+7upFivwtqYAnAFTjR9gWtD fGKMUoAbDqyTaqMWouVEbcJ1xxuOICs2CS9VL65qUcmhKV9aZMil7PeMnyxH1QIPBbKjLeZDeOzB QSzVxKu0m64+FklhvC7DUCqSxC2iHcuYbiE+XAfsB3lcsD26kAoACSJYcaoT8o59WakNoQ2XHMCf 3XnVUbuOHqK7bSUSMCFxi6PyEehvTN6Aajk2EKJTv706GxPUqHArw7f+bhVQFkBKFL9LJVh0xJFj LR8WCCZMi/4bEUIkwKdKPAk1q4e8LT8foxPVYv5r3K8DjetzX1bCAtzugmaIlFJhVJcuhdXQ1fw5 twEz6GbYOjtDMsW1Ls33S+fk7RguUrumYxKnLfBNBrmz0f0BAD8vHHCv7FQD3c/LgfpirWp1gUUn XYMSqc52XkSLuJr1KsopMSNFYNsojiYJbSdvPRxRli/DtV2gyFcorWiOhzdg2Dm0YLhbDoKjBPyx w2cap7upbIjZBMj0Oa4etRJR4ZZQxAaCnJ8bxabaLZSlVQ6tssiIjb/NfkgqiiA8WsPBwr4/eKMT j5XRvikDCA7jTGwnl9pVutABhU9SoSg6ufQZeHjKXxINANygDYtXQ3P/1zZhgmz/jkpzOsHMht5N xW22bGnsF8jmBU111J4MchpsOUSCmd3lVXrYMAOW5Ji6+Adn62dQJK6YIVHnFCAL1iefyjmiU4zb aC3zoTuP9JSMAU7s+/6IKpumN/NcjlLkfFyIiZJXkSzk38syUZPPY+uH4LbPhaUFHpymY5J6tfvQ 0fLk6elsLsxHQVbq4zMg9QdOs185UV3u08fZAXxe9NthmUseVdySQdii2kcJh4oVZxmGIHCj8fz5 n4FYUDUQOzsxl6WlZCKO1Dj9kOmswbYhbQMwi44LFTmBmzp1Fp1dOR/LSxc1CPN6oMJHgHql2soY nG/AtmUsJOHgofh/FRQMEwJuk8bDfbFHQDVRLOWLq8Eu+fDvJw61jjDpAfxqD1WrDN7q/itL1RR6 bfSVBFrWXBgcXNexlCYAFdjLkGj0Hp9TbiyNmTf8obKxnOqxtI+EXAoTysxIgWK2DPIohyxkNeiO 1TxX3XKcTk4rsJwcRE80vR1CQ39f3S15akzm3HyhxH1lrIdsF2FaFe1fosUla4+YccU1/UeEaZRe eMe2jTXKTKahtJVQfKIePRQPVvMVr2JU4e21N7cSXUdrhbDoODc/MhbrlDz+IyGN/n37kIeEwsVf b+eEIRGo22fRCkcG18BxACsaKoLefDBRJMq+N+mAK6E1KaBumuG5ZmkZPPocyHtw+irOEUQwqM2e iCKoE32oe4kBTNJ3S6dg/aOFs44kNazih0cF1QDt/LQ5FNbZMYGN7vaV5lPyAdOeOxvWssEkI6i+ Wyns9LejizpZsTEG2f4jZXKaHOlBkCNJ9hBneUZZBDyKht8CQdYNjEq4E409YLGUVLXKaqi0oF7w DO3g51YM4CaYy9RhbC0HaQ3P24pCY5IR5k9fRIJXpfpuf/3V7cSjN2AzdE6hwI9NJY+AtBEH5UqP Y0NVlFfPKt8WDY5oEw1XXZSaCoJPdwt7Ccdkk5q1XZmNRglIYGi/CSXMkym2Wakx/5eRufH3yAbV mdyWphPj5r/F365iCVhZkSVR3vz9O3Ajn4IEJZLIHjZwP3FQMAtykL80lgJxe0Kj4SNPEhD6Zd5C CCWYE7qvt6rEHjHwZF7SISkvtAUV7OoqvRisAWhyVNfcrahDUPZfXgkRXPxsWTTVs4oYFXUMjjeE pdCvBo/A+mbi9vGnAkvR7Y3w2QzKpyLAb/CLhdpNB5r11BZaNt+/qTemWRZ2K4mVwypE6eGGr81c tGTyar8qarmeL2vKZ/6dRom256TFgStoCrC+UE/colUxvOaPNE0aj6M/yw4vxkGxzrT08scJvkbQ IC8OniPI81pg/CtfUmKS9PThK+kuHpp8lWeil6fNvWWE/RbemHmQPKt7/w0oIjkaa6KI/HmcXuhc NFyQIeHJiyatYLbq5MGL3BHYDJPCdp+pOUqnSQWlIapQ2L2B2DhUHtmkhaIQOf6uenSqdPuFqqhA +i6HJzjmzPNpOfr44cWXhNTcffWSGGUTerT6/8R0PUDHMQaWOaUM+O8x3bXskaglxou2HkV7o1wy mSOriigVK2y/ar4rp6ULcGCXD3yooZRMlY+/JzYkCKa3IydmXJSm0JKntAA5jnbrsgsM2l1XY1MZ h4U+wq+kjY87cR6C17weiHKZ4kQR4cQwNwkHg2aBiEhTSus7hE0KBQAmoIv8oLzvyTWAVL5BJ4vy eGLy4QNgs5It6PHm+upmCjBDo6vklaSAmhED+gYTe2AWnnZlHKdCU/l6YyAxD2LobU+9ChUT+6MH YMbmgib8SggIukwtFkI+iqPhFY3NJoZ6QX7BDq2nER6bii7AUh92hLwXY3G7hUYWwIxJu2tIkEyj RemT/Yh9nJ5SRI90LXP9/C8KS6clYvKqj+8rofpP5bvHwImbDfYsrh0dLmHthQBJqBVPSnMXKtYT qt6wBb597Dy460ghzeeV8AvV23p/zAVHhMvqzZZntnNBhlrNFvdzkrBdxU2DMzefHKcgjL3rAJ8G MTi4C7XTX8oWdjpIIZJS0UW3kAiVlW+4cYO0U1RtY5t/vjMhHuuPEx/v3xufCidvc7mBA/X7Ec/5 f8mXEvXvBnEMwJqKrGy3SbdZUKFbk2IB8JsLFFHT7f1woObMQBFryV1PUzK1eNHhfoU5j93ESHTP zfwwawc7/zfg6mte0Hg7j6dKmVsuQ5uyaAgbmfmUCDwkZm6USPaVSssctEEQyMffYl3TxjY+5LtZ EpNUfw84eglQvW7G1n3c9vSnk4Vmax2fezp7NQh4MwBP32jA7/cFntC9o4BJoPjXW/YLQhzGd7WH mCq1yVyEEzi4vEIGQTeYIbM6V5ss0YTlaEaSymJTaPrpNgvEUQ/DsKoSv/1Ti7lsh9MYoD/CN9LY PajB7kN3Ga7pRs069WK5aDoOW7KuIv0rpgOzQ2MQl9yBbn+9WxuzT5P4GkF6FmlEiX/MCj47qtz9 atFkgXiWsU4xyQLQSG7WaQosNBeE4kR1BmXv8B9C3E+izjV5kYZ0065m64IUniFy16tW0xXop+/l Ikx4QjXEnzSDJ08ar1+o+8ak32Bt4QC+974DUz0Xn5wPN94qUhFehNX8U/KbTJTI0Mde1d9sWclq RDGq8yIF4cX3lvH6wYtYFcailL9QWioaINjMRF90wYwD6dDub+8feqpSGTiYDTUaqgtw9+wqtpAd Wzy9zPfD0dQpZb6v1Tl7sL8kZVYxBbn1pjNsP2Oz9W9PxW1Kf7RB3hjjiPsyudZoTCGpJqZrTBy2 tehA+autgvMmi06UHE4gEJ8HWHFdrhjBZCmLzRdTi7V9NuO2X5OUCE8cw2RVJ9screAOrJez1z3c UhjBn4ILycbI/IATR/g6K3SS1SRp+Gd5VtwnckgmWo6eZQctkRp4EsDfVLM3tilMOnLg3qRrd0o2 gvnAZ1yKkINYw6QjdC0F02U188McHDXnok5OOkYcofFfPv7yvx3AlgiScpYQje6WTlBwKFHSvp1n gvA1Qs4QzPbriV1TowrglhpgUUgM8dxtlAFqgL5iKM8t0KZpi+a1Bo8KQmmpOfsXETfbKV95rhf1 sjdQlHHplEO3V+WfI/hPVyNi4nuB16EBOw6fVD4PqM6K7TmOwPUf49gNUyYH7Tq36LEn7xjD1Aps 5PQeBKjWPYhOcNBLipoia3+0Mi6SHSTN2ryOPn4+77FcjTHRXI9JhtUZZKRtTipgSCiuPGUwgCrv 05XnGfUAQCq6eUdL1MeFfQQe5WZj5rVJl1hp5jEpj7Qr0eohUGdSpa8o2Ue27R1S0tCTM8nhDRD3 S5G/uG+7dL56L+kQUe2t6+OGtV42ZCYn4OHcp8LAvQt6JyIzJisYBHTR5Gu75QlRzhU9oRiVLnOu AutOCQ7C9N7sr73DMcbfqds7vw8jFZBfgwf+vlrpVDHwMdMLixecEXP2dMwMDNr7qSU3eXpU1d+3 rg9PtoABuuLyf5h7gLTUr1EvkKI9KxPzuU3NC9vZQrvad8oeQ2DfKKg8pdaZR6XteZSHfvluWUhw 2NL14LGH/Holc7q1s6ZZb2aEvUADCesf1+SdxQC2LigEbBEAxC9P8c64qE3TVH8+dI1QifMP6kaC IUCDW4QNeLp4+6XIj4O18QE2GfMxK96VOk+U1TRjD8SO1YVZwP42TScGNfxw0Wnz8CzTiygN4cFw Y5fGmtn6337DBtUJXHG5GEmKZBwQe5MuH4rvlMgaea6ldFcF9N2RQMzM79YHIxKvlpu54Y5LPA6K nhO2W1TMfxxxF/Fun/R9aIs47CIzbYr45DrCFh9BY5sokSE9/JO4GSn7EJ++7BhL7pskZCu1ClxX vgGYcqoZyhMWTVGyqdI9ViDVjMDFbDicQ2va4KOyDI6qeNk8l0E9vOr/2FlTunSelN/z/pDXt5hf JcT9aW58I2vil42qQbh0sDwbjyK8VZ6TwCb5hzbm+cFNdILl9vwOnFwSZmFqmVT0j0fcNlER8sBh a+9XorUGbZSyyss7KdlHHcLEDfViS8cJ9diZX95RdRA0IcOb8ReZAC/firfS/RrNOGU/X63+huYM XY4Zo5SaSjYKiWQVabdeSSWKt4N3ujMDRBQiuBszerhzYqIGUjTemWTM7GtqfNNX1SpIbtMIUoN3 6nawiL19A4qdOcsA8htVmk4xxBwOistAuZlLbliVXofJTS89fs9i02EgcZMWj829NC9GAjzAq9+F a/cihxWO2OPul2TKFsQ+7xlIZ5KFFSRnnYGlxLfIq+TAY3fpf3QUBLGNSLFV3wlsAM3NOieRsBsv GujtiO6vcqo9B+V5nYeeBR6/n+5p+0xSUoscusRQNKSOYTgESDQ/I9MvT+xYsTYMF+347Dp9BIWI OxZvjfhjYz5+DShWHtNM7+Y/fswy4l1Ec6qtWH4FJPJKJXNkyGbIaMdi0clPVCy9/c2cgEJNNsnU Xt+Byxjrs4Yt+ORhcN6iPQvY98tFq56EL6yYD8S9ZhqSIJ2Ix+A8n9emyzhEFJzrhiajTj0vIEmE eJ1j2r853a4PTTcssrBiR2FHN4MAZS0oD5EyxfMi3TAbNelYY5gDeYyRLhVixopcndnKmNzMT94g IWDQ/BiXF4HBpQ/22aYyDaI8JZDlCq4FJAOdH7CfdcVxqmP/06SnlrUGCbySpk4WbC6JiU/GLTnr MISlLVan9YKNhSQUaSaT9/z+gi96oVyyLCOg5J8LHUqpAuDkUAUpIjAQ+Gy2LX0KiCTCBkunAkQQ qSrOlBRwm1NG3L91YM4icqJ7LyCRTqo9NXoLVlgrI+VoWRuOcOczU9tYx/3SkiG+8eubizBiw0qP 07Jb98P+SPWI388Qwl2KsIKOlXRcznpVvNEY6kap552Ex7a/WvpBeQwXTasoi0PV3AZUfYIF7hAg 6vg/+kklM3bnYo4b/H4b2aYA3Sj+zBCVtawCMX+5YLitqVOl4uWgH5gYnPg+mGURoN2dq8Lh/fTA Q5VaYf9LZ1nqNtb80vtCbSSbi1Ob6KQbZDlGCGN0OKKLtyWbMAGpTarbcx1nIR/VSTE9HunN0vwd jwxJCw4qLbeU9eJqqxuN2DSWSeaaGaPfTKZ6+ywJ9NFNuueJdy1NRF0wZ4hsc+c3a/wuN2sMeNgp vBsvH7o17wS82JNQok6IGCCfbrIdOkhnuEdtbIEq7VHjoLwEgtgzsPZ4TsZ7GINnEC8c6R4RxNmm Dl09wAUBehCU3Yw1KLqZNqDkvUemvUeyX3QCuLEYLhEaK/NbqJRNJbl+yFVmm3nR9+waBiVbeWpY 5taWNCkJh16EkuACDkmc4aeM7MowLkC11omcJdNumaZXZ8QOHVe+i7WYDi0jZy+nIPHzd/Jr2VQx b1sRRHGgYWw9vHfk/JTJIx26x0QIdzP2VHY3lVl0ZIiLBeiViNPE8d0LOKBTk5mCwkk8iL/xqoOF dfAy `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/rd_fwft.vhd
19
38466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PpoeUczC12+YQ6zcBW/hk7KVg+x7UTioMUTG7QSkaE8DKLm5OzMFnRnSP2RdM8C+WL55mLvLDYfA 5lOC4Ruqpw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K3yZ7/h8XZC4VnxKqSX+X1dWQEKELq4EziAIjvSKKzex+MM5ch0NyAGabLWybM0VZcnyA2IuBQRw LXtEZmU52Vw900CqGAC8j1ob1JJokunlfDgROKOp9VekmhrNu0zlywHl+eh6CQ/t5W76EWfCnLXS TKcvUxKzMPqBkiVg3Y8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NSAGB2MTAPfuv2AfQtQrWIP89UNTneL4Bk6/B2TdOO+6mmG5j3iveazvIvg7qIHwAqHfCGACbbAp fGS79Be+x6ilLMPgwgbPlwYl5oARsjb29GILZJJbq65kaBdWWJCFrRmIDIFHXq65c5qChGV/7EF5 BRY2p2sjUe67cd7MFOLVO0mKHurU5wiieT+wdpbGs9uEgt/pGFeQKlj4ch2XzN03R8Lg3KmqOC6w j6pa6lYe8j+sQMdh+WMN3EmYurAN2aA01NOtdnD7EoaLrP3ByXrwCKFB06hQfAMKudCun+42nXbW 17uiY727vjm9PIB2xOmQazUdPEZbwz2Eeua7KQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NPiHNhu2YI6wz7attBCDx15tEqFL81ie9/7cRUJzlr+aO842fU7+GGF/JOlqWsuQg2RB92onmIR9 gKmj6xIVPN77wRnezyej9aQsYy3bBfOSvbf7a7d2lZQT1pTZcYMfp3xveVQ5gTGk/1BN6rnnT8J4 QRALHC2oqPHhQZ427wg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aHttOHUQP+m+tZmSEhqIMk3Jbc86fWQ1/2LKPbbHBoOHb+XyETCjDqnDo9IWfpo+m+LC80obW4Zd cXgM5NoQ9F1AYdG2ggcdGNXeaparpheOz+XWEe8nirOAN+Ks5VYo+yRWYwO3R0Y+0V6Yw8r7cd48 CXttfKVhu2QOlKTiKegYDKMRGhVyrdNkx/KDldRFk70rkBceBbiSjdBniOrozyhG2imBoMkKkCmI 8TwlLhPf5Ra+r8wceN6j4BjOnyQ3EtzJgw91ujnHo20MZFiaPiqLQIavDgBT1y7leXT7TIK9Z2uu L3Oj5XHzPc1v3FMsMkjnu8xWqC9pP05Ha8xR1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block Lw3ntSlrCokmfZtclotQk75wzvGS8NAVbfW40Ny0M3qGBUgwlKBkocOK4usgGsCHzLcvJEWmwBj1 3wfmeMovQ6E1L9p0zv5fHQAI3pbG1yrQ5Pb1RchCkOLmGsOWkL4hI8Ky5pymEJV1Gy/67VFKPPHp STpFio7hukOaG6yOfVLFz3BjqWEdbVfaa9tRGugyO+5FcqZfTxEa4Si03udjzcqO/H35KmceoELJ CVHDK/c/EMXh6yS70PdLGd7LXJrWz9BaflTPsqg0SsBoypFIhqc0Akrc6Kfn7npE84ChKUB4GlGU vUH+XOAK6WZPYMBbzSFb2EyB4R0MDSlk6yQ7bouMsCbdpYezi8JoXVkuvdBh8eAVMDcTUpO+zHOG cOhyf2+W/j2t4Rh6DPo6Z7SQW+ZD5XKHwTANc3xKbL5GImmKo65uLWHhs8yeUBgjO7ez9gSK9ImO TXfAwYByaTC96hkCbSVgknq1RpqhWgk7oqBSVuVVqqhPaXvMQT5WlE9ztefbtuw8ZR+KnU+Uqy5O JJkSpS8ZMay7i5oG3XwXhDgjmrvEnj8zjpyY32Xi3vfWU6pKwGxia+3B95RJhTv9ClBA54bT8zyn U6mU0a8h6xBr8FxQ9eJysWb9Nv5iWvzi8gdfy2MV6utnvncbYWX8Fk2rhNw2f/AktfVi8tMpALIt bxb8I2ZUMW0W+WltnNR87F5nSLBowjwjsMwTWxfpUuY/YP5S0670UjEkm1+47MHIZBqku1kyACFP k9BkHGzAiMYJRg99p2SRFHgz7hT1RjKIV63TDKmuGyNxCxNCkr2Cwr3bbvBfiG8OszPfP+ysR3Q4 TFS1vrC00M1tevsr3RKo5v+s/ovLj6NHEk6qwKfHxuRCcwZkzF7cIeSEHQnxikAkVdqFsfC2B+YC vcJ8p/3cu3a9TGi1sRbsSy66u1WDHPtO5BiRYtsSsgomPsPCrD5QSVQFy196L/XxJiJoQgvyrJxJ VoM5Eu4fLnoDPTJQlWEm8ZFxKYOWGilL0jBLq+2A2HRn6DfPTbzzYQ5gPbuhOcoXKhgj836wPGQF LYoKMxERfnDJPDheayMnhe1OG/yb0VfkicRPAqDZ/LQtZ/aqSAJzt6wVQSEU+y/45UM+sfJ1C/ax E0sgloo0mQjB3Ge2WPuZBXkL8NC7fqBaaDAznRlwPR8e+E5S9ShyZNxXvOqFUCyRYQqPJAn+qxfb rdaHg5saIk3JlY0bKk3AQR86bCmRQUw0UtJDcI6LPYVXSp9Z67HTWKCeT0Z1bEb2jJnj3DFzG9aK 1mP6KXkgXiGamu1TZlDfezhuHNc7vZufwldz6XmnipHBg4sZIxcJbNqP1GIquwbz4hpCK58WsSla JYl464gMCafm22GcbZ+fhcPqzjM5NytZOkhaeGsZU9LLxcBxs50J76MuNbI3BW+n2nlJiHDNrHJk 1GY00lltSVFIe/BeK/m7GHHH/WSloyiLGSTX7DqndwaltYCkLSvtam+prvHLOrmkZg+Nh9XDR0y7 xzXHbjq4DSC0FGKJSsb1AmbqNDlcHyLaCxhWlYEWUPrVyqEozMKlFmf+PSz385P9HAbbOdqMFoz5 qJLPdc42fgT/S49tB4mn5iX9jC0flJ4VfoT3HRu6EN93FreG4iqGIIjf0caLMMhxn9aBJFdygvCZ jCviqiwiDLMsbAqzezwNRcpMrbv1cb5LuQCzOFJOckCv+HI7kRsZSa68sfVe7FyWS2gc4DCJCB00 JEUoMtzJVvGKYd08xQS4c3B4GTvKhdUY7mYIT/HWri+psziRwLykLQP/O9ajxz0Qt+9eeJAEERaW /nQFXhuXiaWgBMVlusuhvkE7RNk0N6dvFXQazYsCDbPk6UbKS0W319a4zh4brl5bEa5d7WbLHG6H 8ut/H8gJG7GvYleoc39l7tExXA7K1jc5SbOsey7ZqwtffKnm5L6ZnKMCnWXMxRcbajQfpuyEVry7 I2VkzhoI/A7fMaGK3fTMQ1PHeurlQ8JIFKRnc+IxGcUjlzdk3UV18Ym8NasQe5mah8YpL/YvEdTc +jXmBOOcfk8iXefsTsy9pYy512a8G67Q5AMRi7yszcz4V6O/CiRieoyMS6FRljneBOF9Q6e1R3Ch Rvh3hANMssokfb+YDaU/rTn0G+CGzMo6jY8HbH+xzJcYozxBqw+AfNmEefSJmpWcE7hkRtptmyEB VlJKtTwZYOtDLat1vyzp+ED91waawyn06GO76JUuogC4FuNpr4vFQQMmcn+A/S06UzHmRS2ao0yw mHgOKp1mVXg75qdKYRXkPqV2wcfDB4/XDp/D+fCIRFE2DZ5TxIAwVM2fdQ6PtID+ddt2Q2Q6flFv P6vpj/r6Gm/O5U/JpHuCqeLLLdIbQmQKWYKfPaYHPlLTjcLXjuFgdS6hhTtgoaUWOR6MNBBkdcNT MZPBu+NZPt6E2alYtBmRE33wKFznrtKa6thViDReJiHfqdZjU15OdG98JXO1stHbdfN/hqpwQFvA Jx5vInTvHLhfMTDybDsT+ACrnKGOOOXGGB2Ri3HRwELiPoWlGpjXEPTcfvwz3714B4x79nRMyNSw zey2GPL2cEbYmATOXXTAKAqW86h1yqqV/kGJ6eN42IlOBgK2jXw/l3ieazFfa5Hosw6IyvLPkaDK Zaq0eR/ok9uzGU/3NxLo7wKxjLxcxU2ZK/4ECTJrcded8Lnw4yEJjUxQ4jGOJ2TjnjIFD9MvimB3 wDSN0kUsnwqd6HVX3pa804dFSm3TZWdfUh4iOzK/BAuVt8ZYfqpTiS44DSvcRAfxmQEjlsMH0RUI /mMU6i4GND5Z/SXqDywA21y5ODYfFIMT9sDgv+PRyym22+CMVnxZh2kXlQAlBnN/dXKo4AKAjiQ9 E8X67Y7OkJmw2Em/7QmPTkg24nsx7mEewtZ1B56MdwFXNFs3ZrA2b8BqLeJHswdRsTlthGYcZkAT uZaW9B9eDy0UbL4/bqv0N0JqHBD/ndg4Z6ceZXJRykGEm0GU3dYJxCdw4CO6ca5scsyNtCB8ovQR KB8wyIuexIfPvxTxqF5PDuWQEi0CWipY8UHu7ZT3S+TCB3cusDj9X1zeR1HQpiaWK1GQeyPSsMu9 QNM5z9qbNfqADWdgWrH6+fIm5UD3sGD38lDVceO2TjiGpnqlfS3W5BltJ1rtm3sANUNl7HNkZvrY fJqDp9j4pcDWOBbDsGZe0crIkERuFXNPmfWHYc7vFvLrNxPDWOC3DckbiNb34RSQnYFDTVFJWZs0 KNmXnwFGigPbNYuyexyWQ+D427YtA3CT9l7DsGvLZbu3NMfB0PoH2xslyp/uhf940tyOekpWdAPN 9qtZI5jmyRt4JT2Hz1O9Jrfdqbd3rXyRh71k6bmNVQpK1vQVQirsDi5z2n3MZOx7PfZpBxWsgIOo PrckF6FUdeE4dRFrNo2eNTOH+DtZ1KOB3UhD1tMu2PoZTLy/wT3arR62+eDmdG2mvfUC9+B/IHxF K0HZXFJ7UVC83T1u+iBh/3/ggH2tttccOCC/tnxqnc9yIJ9eGkUgjUs4UcP3lL+FTn6/QNxdEccr EFJcLEZ8c+lCjG1By+omLwkI7pp7dS6b9YH4thdSuXCCGQErgeq4oLNj5jMUtz9mJOtGGtbrv0vH W8IrAhVEM+VtJEQSW6U/4K6a6GMM8f3wK0zk/U7SD52ioV6lmHZ0jb8vTN/ProLgEuoudfyd38cI 1mD5ljwoaYHdXz3uZ8iIG411D+7lHjZ/WETTu4zWM4XbF4JUlKPpgqgvJhFRo/glaciAQICq4LoT KA63mC4AlH+bh4Y3Sw6/V7Fa4nWfobUqZOXBaHpGZ1OZ3YAN+7jZqfHjYIyTCq5ugV6dX5787TkF HGJizTdNtlQfPoxBjTQNRLu+mCLJaMaylv7GFIPDRBO/5V9KHQvKGFoG5HDjF+J3z/FIUr3jguCu 9yWUoqz8pEz2yC+pr9vWblKM544Q5No9kWQkc6wmP/mPrx9V08O3KX4YtlusbUiTIC4RpQADjrNC 7rMCq3mnt9kzCX/8HGEcrCh+0LVEf1PlGzCVrxC7JovzEeoqcu4ioeftuYrRhRfTSWVTebZUwXqp Ur9lzR5L6f7sT0n7Bu3sDC0eHZ2C5fr8KmrXKuDTrjlPtpFk+AW//Y0+wY4feCNFZwMeKvRXZ7p1 GQH4n1j9J8ROPfWVvnpqP6eDuMA2hLgjKFeL4mCWk+iQC36hmKFU6E3nyNfazdoH3as8ufwNQDNQ qtqiUytBNkcieqcyh+fuKZ7tmSmldj0ZPqCC5SwmxHMwhtE7/6T1uMUr9kFyXFAeJnlQRiBuQE8Y Lw9BkAlhhH2QeFHaw4m73iN8EZAxqvjrf4obRT3xuwoOvgedGAhdztlHfedlyOCPITMYJNa63JTL NvdNVYd7bIjKs8uK3r/LgPE82b+pgr0IEDPdKWHnqYtuKhN27KFEYPZ6NTFzi9Bltupvaeh6GnYH QAJ+KaA/OWfVyFfMMUZbDAoFuEUp2CCyyltaeVVv6rxTHvlO4cliqBLmzSG+UD5m16eoNc9vsvGX pfQlCGfvX43mjbL7YD9NQmaIJC5kMAF0NrLEEi0LfrO/F9ju12O0bq56igJSsLestY7cEqxWJCcM WgcEs/DYbdTQFjBhBSp58ZbUH8awJYgVc+dBmlFT5nbuxKaDCY69FN3okAoZSAzoNa6djAnhtcc0 gC5bI3gYwkX4QdJGYTAY0+kCzlyynES44iJBfc+aFlyOhBsCQfXMFH569pKknjvRb4So4hbrnc9I 3e/0C4b/XdlrOsooZt1N3Be4H93+MADHdwR6cvVYXRbQ7ThSZx7jo/FzoUXPLTyLRK/8HW8M9quy mvOWzJOcn36uHbrSm3Er8aA1aGToYtXXyw29HTFcUvGsk2/7IDtJ2k/h4SANe6cTpbXbyFUBdif2 4hX9/5hOaPRU2eoxiKExILa2O7x13CWZ2OAcAWjf/KZ0X8kMEJks8WFEVdflHLkLn/Z7OIWpXjO1 rc7x95D98U/2dNX5604RRqSEnsbKVASNF04dYsiQL6ijON6rVKC6WyMHLTVIwwpAGnmYHLYCdJAF SV/cdCTP/CeXFs9T4HP3JiSpjeCtWzyd2scUM2TYSaaqQ4mutitqrtqUtLawz7DxfkIUwShpXlLf 1v6CLFAnzn32J/LobO4q1w7qFjBUfny4CPn0qlhxyp2l1wRxyDQZ2J0+jctygeAVv9cOlaWUYjsM MrKvYc5urUnJPNmLfH5+cVHa9NHpowUv85QOT4nYSFrRinaJuG9V9WCrXMFJyIXx/0rI2oO+Ikqo 7GUHPV63fC32gzPNDiIap007yeb2lfxU39RWTMYwpkuoBqGR2y45sBgHR+jNqnEPuDCoZFQlCKq9 gWRH97PBdNdRHEuwzaiOQ1Wu8xeFtJnCuqS2TcaVZEKtffmRc1mA9reUBEhxl7hPhMTW75k2pL4k wNK/NFVIfMcjNP3QDuoblGYqNI6PC3EUmTM/DqeOIp904HMAn/Ke2pLUgCladI4dVKUeEtbsgWp8 xQGvn95RAZazqo9C/xeVeboyPhzUszpbA2NBduuKb5usRw9VM8K+LTqzipUecNvxjP/OQtqwkvna kM32DH9/Ej19uVZuHb3tzJmJvsjWA5O9ZQYrZlwpvK0uHNi3upfdo7DkCJvn/1LYHMBVupLUGfyt /dGLEug1PP1yiwREeV3lrytLAy6OKwY/XT5Ov8tBIpO/kNbTIQ79KOKVm46yuvBWoDvy8kHtiCWz /i/cAQZQslWd+2rFt0uSk+t5PdIDxMkpz0FWaxZZ2Qf5JzBQ1P1q96HD3V6FQwc3Xl8KtIFUkRQU hpSGgSTxSc/J2PsT+1z/sRFbALESLAu8InYOUstooK0gUCOlUpU3w4Q9xrzEuY1Z0Uxq0IPFOe4+ mhESdybX1xpq9kEZXniPBFiIeKyN66/eFeZaiAra4wQLjfDhytle+j8F/B1dCFeGt/0bTaUvae1Y wlxdRrkLTdkKby9CjZBKUX9TIgcS3D0GYjixUKtIWo3Axk7ZTGEvU5pBrXaB/iGnd9HYdW6mWzz8 H22hWbJ0YctA5dedBGvfyPA5DAhSdTlYk0iYcnY9aIjvQwPZSQ4LxeOJCMpgLeUVM0Lm/o+avmwT I8c4s081KdkH8wHD1etb4hnx5Pz/SszlXMMjFhC+vFQg8bEhXmib67GtCSPbe6mk9NQ6bOIlnn2L JoN2Lbgdp/KVSFwIyQs63o6GIQiiylU+Oq+LkFIjqtutzIaH2aG32bRcdAiAQ18fitq8YXmdz1po 0N7gFYNzXjTRnnRx+7uvgW4cMsovSTSivavYsJOH8imKLHeYqNs7CmQWxnIMDrtX36XPjK2IcJG1 EJ7Q9UeKwUvk3kVLUo545OJvf2shAv+e8/kwHwAXiiGpHt7ekEgR9wydPBpS3D4xRSrDz9ecqGTZ XCoAiN+cGi82aAwoVCG9PssXL73n8amozvxEVDEIntMma3UMtzVrXAseC14SjgK5fi+Nf0weLidc /iSRc+CWDYpFb5tOVjXWZVk/swW0yZ2NK0gbJJLPRVpGlqLeeJenXF/G6R15m1yIgWFwXjdCIHr7 KCgiayIQqC51JKL3Mj1I7FmsotXuX69+esp1qo55s3DnfF7vvbud5Sjgesb0nsYpJlcfRVx2lvb1 SK29UPVa1em+lTPmZioE+R8hChU7ZAH80XNP5XdUjDmE0r3qf16x+lHDcB3TyDwvxmlR5nGnHC/4 eseu22nWxUj1r2aecSY0PIldYjDq97E7CG7/FDxuFm08hgL3/oMiBxff1KzGOvJlsSLbMtesg5sQ 4dAZp+2uNJu0WU5PuKBVRsxRpY6fYGhqdGsHUzJPylsM0+dRP46L+DSPmniHSrY/yyW3mc/1Q5NJ mu9KcRfexlWwbj1V2yjVSKkuNEFOYbr2IkBNTP8fKW5sFiPVYGywYMhCDwcfyRK+f6ZEf3ijQnpO P2AxHwIRfj2tzD2Ub+FBtOBB0OrOsJHL5rF1070YFaDdCqNyiU0oObZkzDz3SfsOrEeTm5Xz2zni 48uZWqRUGLFYaSsY0mo6K3Fki+36Buqqq69X67ghss6+XCeH2Zz5xOxUt2o624SU/L8WplBkVZ51 XqUsmshngRZxXEJw/S2wsoVprmXtByLf2UwilMp4NF6wfP+xfd+OKvwflceHaASKA6seNn5bsw8j 32kPAHChGF4HyHBUH8CIwOTNHtq47WPEJ2HciS69bV33HCBsd72DZqSuG90dFPRVsdnVK5ulxS2G qZyQhSeP5uAJA4Bd4phbyCaaO3FTR+ySim38emCA4sbK3/47tBsA/N5gRUP6pezpPflLUpbanIeQ ZpCDzSV9RYgoY4H/sGl17eBl4q9G6FNm9eOvz5zk8OOz/VSItbiAymMPtkPlKPaMHUTHLb85Ubw+ K6BNYgZmfjJMeMlpaK756Ik1WPM6n5lwjStREKYJ5f0fuSFBORfMYfFZzgDkn9eZPVrsXT0y6HDG bAe8Ov8ZU2boLIaQj7iLaAmYOVSauhihKocfIAXq2llCxX5uFFy/uEsi7Hrdzu/4gC/HPOlnRmjN kzH3ckFwEiaKQXwcshIjlQy5hfSE3Ubz1OlD04/pyIN3lypzK/CM6qSx7JJZPPMhzuk+QnxY62WR 62xlz8YDTuXadJHWFr22kwNnKpkFRr/Yy0HXZ/16idN5UmBu3c9bjq3LuxJoolpf9dG8srziMxkZ xgti3rTYaRZmrG1EUNvjbQCPdS8LDkPJAox+lkIMuHzAMd+/2KiqcZwpSNJRQo0N6Pa2MmkUWOj9 jN5Dj+mRDWz/CNQplmX3TBTlhKJ1CuTeQeyRZk5VgSZpf6GKvjQ48+6/bu4jRrVxK6Bm3GBaji4s qMB4c7yxy5C3gGN8A2IhiseGtywpg3DHOF4fd0f7QEuZid037zqZmLCIgteIKGneq5XSUpKiadVm gXEhD2/Y/Lq6YNr0CB92TUoEf2SRavepT/kSXqTpZJRiUTS/wHPkiys7KCjDOYpvuZerwIPePFAn jsZpk8cOxhveIG3QevMoDm3OEWMy9NJJkCBzvhuFRieqnz4dzyAOf8BWCpcJ7byxU79+3hyWXODI wBaDr6SSUgxBqej5BofbG2a9ztxWQLPnxBvoIj+56mR1HRgjPlke9KtQSlRkwottVs22CzcoXmg7 cI/QKF9v4kBM6iZ9ZHE2a4ayvon8yzFAb+N8bnQn5kLgZj1KKuYul2zxw56iHepRUs2G8vGBS1gf 8kgow14QTBaPh8qBgairIrtkuB12qg3daoBdvH96MySHZVM/VexuhRbTlNual4a4ch6Ee1tfaiVh 2qsyLRlGsdz5UfGdElwBcgsmgPyF6wDaEprDcyo/eW5pGv6/m0N5fUDJ8swXvJtTT5ew0bc4XWG9 c+S9S3+y4QxWVvA2PWAgUUhqt1sYInAlwhSkl6XkesHLD9M1YplPvJDmov2O70sRQt8pO/FjIHh4 mGSWz5S9tq0b5yrPX/UruWCfIP4zt7p0W9Iiu6E4Vc+q9wZiVMEBuD7hOVSbltZDn0cb5ol+a+S4 mBKxx21M6Ak1qjNbc+bw8WAZdx6u8gs6E/fTAVEn90Z+Kr5VfIubb/HBOrfL4760Jk/IPaWtebED 6STx/YTc+u003dYWEP+2QdRTSXF8JdXcPf8p3kpxx14B2AZjoP5U6ZOT3CVmEJI69iGESwiupftz KZK5bByE1TGqnFOTeoGvfn4KPxAIKgjVRBgDR7uFQjUFrr8f7x3QGwWMacytQMw1eeza0ha32K9b DM26nybZuU0by51DX/Ji6mooALTWVqc7NYqXVoU03PjkrAW5zzlHULbuJTbET0awZoB1jIQ4wyNs Btr4fjF+1tLTsj4vY2R1PphD9XxpJ+S0odaotskCVC0HP/FcnsYa+O04BQSHwVww3fxJ6dHN3xxt mINi0RogqeD9qti6BJfsYMBLR3gUrh1+KY0bP5EIQyPZlvybTI0HhZgSbOTWo8DpHQCVQDcqZS0x mbB+HQlJwUxvXVRSNj/3pdvkG96tRU+qznfLRUJe9j79aBuksDEcEPNwx0VAE4xD9LwPh9VdW9jC SRbbhYHcX2OVQ2AZSSJEhf5BvNPrzDglNlHcVeqQVtzs8PogzJ++vr8PF+TlzNP7CxRIPjd4yQjG 8MXz97wzr5xto2W6mnCg7XFkhK+Tyx2+UJrZnQ2Bw5t0GcJikn8kLnjVwEQl2ByjFO5msIpbmGtf 1c2qjEQNcGuCNocSVCVFcY5o6CqZ16w4iTIn2pcnBVW6likUMK7hjj2m6+oIHfMT31V3X+5xYKWb 47Yt8e2GaePgLGShMsuuxkaeKlO76rGa20Xn4zlvf0yG8kDsufPt+OZgSxQEnMAynrnUqAISov9a fKk8u0f/lizi0jKvuYP/mMXVNmexwMbY5YEXcG4CBjt9SFrmnQmQWUOgKBWmDi/JdZMfp2FXGDXD vOtfWhcTjRF7/cEgaPRAGRP63yap0KUG3ThtG8mUPAk5akGwTHdAGL8tiVaR83duT/AXDJLnetMW yJgk6FZqQpPDKgHuWclrWGJiom7o+1Q6CYsxQFcgQEtbWpPktba2pgGJoBxBbOHYlgiFC+g5HoII i86DH6rDJ6d2uHBfqffXCqomigEmpDkPkL3hRjHajorvfS39lvuc/s9VLBq0AnDPFz9m9xEX1I2Y 1KnSGdWaxDOVHf/050U8NMDz7abagg44SCSTOyTR8YJub0vWfmYyy1NZF9c466njfN+sEk8KCSeZ RvX42SC4U4MJAanFOQSzun6hF8jniTbkHYVmzj2dX0NS4ayGjODaFJPfywT3Dkj2QPZbKuTprAbL WjsgiSnPgwRftXywPU7PuU4x299CUz6ywyn5TDmSl9N8jSdd062TtZ84PATISVVZ9kEAigO861tk sgsyrCPWvkCKr9poZZTciL/uP+EINRQuf3uG0J4IOS+SvAC6EvYd9dpwqUqOr7SKbtx4CEwC7JYQ 8VABySrRmoatZ8vb9r3CZ/8Vx0soTEwwJroNlT1fBc752xwFNAkxr+xEJe0Kl1Iu1KUljT7Q287o V0sfNvtYMgWg3q1tbscV/tp59qzCD3qJdxIR4uv/IeRlDJkq2qR7VZtGgNr3iJSvS+8naM1slJJk Z0uhhPD5oy03fQ/TJbZkVj/Dxpn/KqN4S1iHK+8NhH+s+S+5LlmiyLM5B9smSyWwQHS0FPHCsCBm IC3UToAEWw6UMf3kcSjAMLgN1IspxJCVAGVVkKb9o8suiYq0RwhN/efH0gUDyxXV/Nifa0JEDn6m ZyY2nvIofZpq0FBueDZDbismkwoWRtD8Wqz+rPOcXjgHWWRUcI6rvNtOV25a+SgkpZmZW14Z9xed Q+LTNpdwZcIS0SipIRl5/cCFxn4cYnlCzHEo+jVSMQUT7/v8+bRxhuVb7CHMI/WC8o1itIPbvpxy Oml7Juy3vdKpWEmqW/zzBI3eIxhSzZgTugYoStSSY9HQP3l1Hva/c+KC9LQyC9F8NSBiD2bMvkDC 8ZDmWofngPiu+N3C1nbwndEHphdrc6GtgYyCsx3ma3h85hrmfDqdLjMJW4lcIcxJKhA3SiV+5+me Ek8xb9PV5RuXgKfhUK3MUa63LcWV2z5wb53XbEPRvtp408TyG+blePGJTya0kvoFq017UivioHZ1 fSuK55Gqhr7UogaAm+nwS9UL1IZyNmPDvmthUmclG/fcntqK58A4ObS9s4VZDzLqenaI/4Mm2iJS JDrdizUsIR9wwqx/coTaRR1gOH7Pa19cjNgWctfA7+wRsT4cluSPz1d1ygleVuiF2nz7+e/274ql s/FzOhbLORmGe1u7ifPEcmfR2FX/5y8XkfzaQjzpd+bc2tWRhyQ1HIQMNIfRM95GQt8kr68bmSwX 0RhwndVfsERpwFJo7R8uxNyYdGCVno+UZc6nTsBZi4RDi6dx5jOKtD86DT2oQQOiaGkHWfTzutct BzAkw1sN4d8AKEux8YlSIgqoqGFvghTn8H2F4y4nfquDDKPIsiuGmtw9JMG0pciXw3x223gCFadh ZCx5fk5Oy4LuvR3EseBwROWwYCs41AhyQEOkNaDtp23I/GZqGsRbgK78PMyb8TV/n4f1o681x0yA DtFeRT+b29Xej3TzbpIxW4JI5EpFKRlG5XUi2wz76NI7HWv6HKj+q0nr67uPihhOy7KpHfHiJk12 MuVL6kOZ0tKd+bcS2iLuc9vpQG5QOiRi++SPzkWCj9OTPJVKzBU77Tr3MPk2ybbiQQCGPTYVTsWx h1nKC3He1IDtOzYBm7xkHbSWlsKoymAGgezUHlxNbzin96sEtlqA+eBGqyeqUKbE2+n5WnXwzf2+ OBZ0Wo30CsKFKDceV/izLfLXGVNaqGos1nImVEfy8j1Q2pvlW1K0nRjhm3Q+T1yDaH+JDSPg+MRl QY9cZWurmrmw0bTcGA3GFtk+kYZ1dsQT41epNYh96eN9XitKlhOSiV4bmpd0q2iRflqxsrpjzO+U dScO/PsEnSY2f0LqOcHGKPtYK74GXXwtVBY8JWC5L62+Azou2zkckYRtKV638hWYm/WJ81MUUMac EIWG6pRHiE53G7weZwv+jrazsL+Jz1LziMHiMtKYWNW5pKCfmYE4/7SBX/ZjaP6D/NvhKe0jbPPN yjKS8cLtOH4Z1z7D5zE1o6NR6ORxgBaCSgmX294sFYObYsrQTRW9ZzKCX13Xka4sdTaitoDgcMYR 2Ax6CYbp3a8MTsNRglw6/Z2tGThN1NGQJhtHeLTU5YwNbt27cqru5AfhHMml/7bRWxVT8CvL3dqY KkdGlH0xeZ1zxvuy6pgpKUmWJ5J9G+VUgpxa4f0CeHmuIo8M8c8g0mZ3C/vf/SNNJ78hpXQm18Rz 4rXZE/OLzRBbKTV8o4KN/iQcLOAPjF99N5ElhShQHeTOcfe+Utt8A9HVHXdlCNMPZqZXA8eZ6/lT Lbv+4bCUoZ+HFjG/jnez/2sSbpKp2QhN1AER89mdQbLI4kCi1lQKK04sMJr9iTo3anPg9YQzaHR8 1+kRHEg5h7U1uExMxcVyiiY2rWQLc3mDp37Cfzs9YCpsQAQ8VbnhaC3uef33svl0UW74/fAKyhda PSq8bGE6grAlKon3odOzoVLoRIO0i37F8biDmhwfe/w7AcK0JNaN7aRS3eekDy4w9Pp6G6u7C0E+ xdq++l/TbwLhpOWj2Ogt9sjuqMD3L1yZCUIcf9EEh5YTlHX4GNzeOGKbypzQItopPZl7LceF7Vqw Qq7601wLQy6kmJCIfZjVusZ98brePrFjx/qy+cnwIzmPf4QofyefPYoD/tK0JBaK/9QzTKtCwtG7 z7CHiz3rxfeC05KTnIzYtsNZZjrWEKNYPm6TeLUoKUIJ5ypUlO9fdlMXh9OKfGebrBev0HedgN2F qDIswDYhJAXwS3CySlUK0tSNgkv0Wxcmg2fgzYJV0DIIemhuwOlZl5nDv7gINtpehHCbz1Vy4tA6 1bXARXo6qKtFhkI0og4Qnz8hLdoOCmTwDZF+QizHhAssp48cwZAg68xKuYIXLr1KjEhGKtw9YvaB ebLYNbScUydoZbOBM3Xl/q6DVWW7nQfvxdpcv7DvVHIRBvtxrEbaH8APBrhKiTE1jSenWi3dQTk6 8WWtqF2kFNPixzFgeYrCDQhBMEW30l7g8YzWpkM+basAkEGuQXdHyWDH/Zyyy8lqzmTN+Owd77xY /icgU9j0gf4K8a2tVAiE7jEZHPuyGRje63RygWHy7DOmrlMHcO9JBwVNoU9LOHMxbTwxbo7iCMnn En9ys2zgvOfgLNwqW7pYmLY0rIeB/Laek67DEwPPW6V1bNb4OxCjrxQqwejdLdS2nWM42DcgNqen Pcjka+qTlEFNOzY1ZvxUX6IEb8tbJ6wsbtuawwnFX6pxbOIx7Sb1ywczKh07+b0BRNWys3zCXJN/ Oug9iWdV5knBmTnh5U6pJemVj9jftQGRNCmgkkFrV93F+g8UHCVEpJ7/9T2NN8xzK26gF6BtDXzs zmOMZZbrN/q6atj++AyM6OKlNPc8iUpV8550duLbcEemAoPOnu094W6uPAumLRUUvrlsyj8fdHz4 N6GPc7O53tfI2u3bvQJ26Lu+6hkkkagH88Kq5DjqrPwmrwIGacerIyiURruYx8frWiAss7jnAoN/ PuId8nI352XK5b2WOpvX2yEbRvrC8NPfvkTTypHMgm+cwEjDGHAz9D6BFTfwD0Q5jfzE3KnjXQjY mHWFD1wl64k6TNY4Be9yYIFWE4x6Q2WLg0e8Mp+ZdUhIkDvNL/m6ZMmcNknKhFTMWisMdF0b7oLm EEmTzpMd3qj4Oaw/G3+Oacm3a1WlIepMA+ev9aZIFIOvPoncpF8hjbPW5JP8CupXOgyXiIKgyu/M X+I9yEuA/+TxSdFWYUyvzusOoBGQKWPcn97R/tKGLRDpb2tTE2ZA0X/zjtaWZ6BicRZ7CzSJX4Ct bCQ11l3g8kwpNwWNMw4jNpGCebwk85fXpFdqGyqTDY1dp3Em0783k4rv7giYVFhpa+qyAOqakpvR lz0OmQV76yygXG3EwO2E/7lXUdhsNSO0CzYvNsjryW2Cd/7hg6XQR4NIOezyxYmM7rvBrrF3hJrn tr7AA8+Ye8xiljZ+8XEIZESKE4Jrq+qXDkmpb9rMEZHEZ73qTtPU7FrGrnZzdp1ZPG5myK8CE94O VfTe3Dxs7ECFt+DGMhWSkSVql3j9mrlqUIj6HPNu0NkyysFIiGke7Ef6kIIJQWJaVZj0R8Kfcl65 CvsNxLh5+bBJOJQx5gnR3gBNczxxmu9NuSDXmVXc4sohECHYndGulH9U02n7I/Awk2yHOsmyN+vb az6yKn6jz1zDtqq0Q4+aln5tTJNYQ+ArYAUG5dhOR50XYMNY4VMNln9dDknE53E10pGGTPEvt7G0 GsoTKAK46bv/MuAzXsUshDSUsn6yWsn2Vam9btjkgmWHCSxVmR4RQsiu5rZhthFY5WW3U1zhliiJ aT4+ZuADWNz/cZVdmS4lxaT6JxugAs7VBMCbT4FA0WcmqmptiWEDgYfTAHOLVStHDU52KWdbER7+ JDU2d9+Pk1wjnDW/jCgVNQ8wM7MEVYDJIVT7WbzLemlA/zbjNRLjZo3zePVwFi00FKFsYP8hIU8e ta2RK5vqED5cLXd1VolQsJUzkw65EsUlHMo2hAsub3UuxdIk5aBlocu2OWlERafcpmlZeb95+9+Y z6In4Ob1sl9L80c8zRmdSARiEpw0Z4cWojpjjseJ5fRtwIU704i93egLSdIfBwUIePrjwWeO8Hk5 GsKriQHbEzDmhwEktQKDyLjTyY5ia6GaaYtg62LiX7016m9jYqZ1xVm2JkKj1UR5d9WXF6Ehu7a6 DyginRrF42CfuqJSw+JxVeL50KfC++9Pl8TA55qxsXFq94rJtOphAeAGG771B9946w0Qk5DUfj4f w60KSj9zXIlubH37uOyLLwgn3c9TVMkIoy7Ue7tAXbXR16rc8/F7Y4I7ry+7tU9KUAbGpTOlJxR4 azdC06e9VKNDK2YFI/8xnZmRwEmOsFGneDPCnq02s61y73Bgh1AiFwzrPg4YcuYBAAdkWups7Jf0 +mpALaacmO6uYI+LHCjfeOR4rsR/t45Pi4wUJWrL/EYHojENJWlTF06TtlcUbyQvzr7jGMfbx1DM IaFgeg1hcgp0XuIwTcEjdw+W7yt8MUn2zFi7VX2+PIfzY+XJKynsX2g2e9guZ2vj6A4OAPMDxmxo k+S0Mt1zp4sAMKWiXZcgGnJqOl+EkyymKh7j+A3+gyQUlfhquIq1ljZEemFQ+DZkTE4drZ01gG93 VmJEuaf4zYljOqu0+BUZEQOE4pUriU4/7+VKqTGxXW6DHG6M726yL28nbulOPRKLcqV/x4UzX815 4hAnfFxU5L+IOnN5Xm70K1Ui4R2u9XPnMwHVI4h1nW2zUEgyGDMcWRc16ry4TaDxlGNQ26VpO1V7 1ikwqqNSWcpmc4u9L/CPX/bR084u+lUUzYhgPeVfP8F8VcbhmT23m1ENv2X55VAk+pp1KrJI1VDa XtJtUjC013WRv0iNOiTuHzG8SXYK7h9uvf1vP/LUzuF2n1awWpoAQHorYO9zVcN+e2SV2F0mKlH7 2uhjk71xcqzID9HSBRutAJMTjgU0RGGp10sKN3a9ynkE5Q01MIrp0dRKuD5ro3hQtQdkdPrXKZgi JWe8QBPM08mOWeXjy1AACqkFt1THu+7MjClxdopu39k9oG9HCALL2HAmq7qnsbVVobhbUcyx6lD0 SI12AOmB3g27fJcgzdlUQw6w7dRIH0YzK43cYyHLnC9sbbRqe5eG/2p3l4lHWpsohoqmDeBDuJ4R vBeJspUxgW342DZ5bk4FFOv2XFZtPnlEPdcl485Wxy7oIKB8bHPPyd0QofuyKSU7x5AJLwIsB9aT jqdb1FGFIyNJ2N5ucEHCUaTfRO0PgFTO3mYwXqe7di6gRFHxZtLD0ogBxfaQ8/jFdqQCMLFmETvP HSl1WFzDTl3RiE8zwbhMvtbt0l1Eqeht4caJbrYHeLXIUrAaAktjEqal5rVVwlxhXc8K3n5Kraxi O2s+6GWkR8v5MHKj4cp8+y574HfBHTAxTQDPqcpOTFkFxE8XLLXaudd2vmPaQauoeJPnJAjQwFXG bDq3d+ockHiB6tJatYio9nP+1zK2JzVza2V37H9Qbk+04+EbOxPEouQ6qmmdGY0HoeP0h4w6GLa+ Ae36r6hm3w1En5d2AKm4CL7Ir08/aqnY9iFB8QGBEsupGYAZHwRBEvvfhaQ+Y9n4TQop2jvDy7SH 2Dwa39L4EywRCNsrcMQKPreJcA9T6bJfxrdmW9pe9XJ0GmPEr49v6oCXiJuY21/sDzAUd6ca1zJB uyr7QZ80xjG6rOznLtDhPggSS+jz5wickB1tKHorBLCAM+2pnbhxQw/gm73+KozL7LcdeQKCfrdb F+L3CScadTF2ndkL7pcbDpWTS8mFCf5mT4+UQpq5AtPKDp/ImfrjhmnKaBTxj/T0NBNpkttzZn+P I/NqBx9kEIAAMJW/ID7km3v3QOhO8H/I5SKikOD3UO1FMg5vMdi0R+r8NiSrZ7XzBko3rmOFJUMP JEDPmktp7TVLsYxp9qfkOhkQPcVWWomTkTPaR3PHGp1iW47Pw97DIMCyPPWXoJrZSkjp9wvNTJqw CocPAhRffkfu1XVQ74GOw8NOYq7R8CBG9Ps0ETWvprDOJ9y7jUxz7rmpAOewDmfSsktOWs+rTr9i wvQfNp39JW1+C75INnTQjslFKjpwlIqbfmbXmVU/Vf0BEENllYzjMtryaVNADObEnf3zA0gwDPjt yWkfeWsEYiLZKyIcjSy1HITc6PCZ0+9aBUlAg6sk2cs31D5BJu+MYOxaqC/iDirFTbLU1cfAsSpz mX1gO+1FAducj9nwRfQBn1RfqLiIody8juZqTwo7w/Nq+hZ6J5YFP8oamw0Sxn0TiNeIn0esvIv9 q266ZMHylQC5qeSQHALej3AJqmDwbMEa7ZcMc6js+KSiDAW2QCqyewvjLKIfHCdkdlNDdgBrmC8E 1i7dDcopUP7RZ86w3A5kcG3p8EZEENkJ8SIQKlCyVbBCMQK4LWD0lITGhAOdKQGTAmehyGapyu22 /0FHGcpLlqE2GPeAaNRXUsUik5RqcleyuhVMiO5nHWV/vsUutd2Qob71+k/9wnGlKCDvGoUqNBv8 SQ38FhNSF3lCwizFHKpPeZFK2Ms5Ir5VM9snWEXvNwMmXcu+/9bVfFAMeuYAQc6YpekFDpt7CfCO jbGKRowMsNT1KkhoGXz/L9LWwUblkXPDgKmBU4YA/8ceatLV0I86CBq4QQFtvX2UWH/YK9aCk07C jvnvTRoDqReDPxXlLGIW/DcXlyPldzKM20xt/ko10FY7kIokwZ4n9HC4+C35p989KJvzwUoMnoBb BcUERTrNUh6hLbc5/hPTlrdUGhMEf5W1KTs6L9xBG1c8Kvlp3O9iDt30H8SXnS7pCrCtg6Pc0cxr Am63Cfv+IT2BXqUz/elv7Su53fsci3mjo+fmWU2SWOksvGYgAwJ+ff+r6Vv4+U3XI0qWP7mWEqcY wT8B/Ei9RABEPKsT6MSxiGCY2LrCb9l+fZhoDBJVH0lBg/Aad2A5DoLgysG3WpC0a97pyIz5gUR8 udP/Ro0yWKln4iBdlkcIkBe9zjxhWtKWmPxavC9Nn6Cof20qsjNSQY2EM4IA7xM4Kok073BQWF5l ldVSAGw+fk55ugzeO6KLlrE904XQLcyRUf/ZRrnCfBNz5ENaFno0dPduobYBo6sXT3jvNla2vaiF E5bU9/6OqtgYjDQewGcy2Kx1IsVQTeg8tmIoKRv9BXIdP2QzN4Z1oONaZeLrrR8JeO3iuEjObI7A CxevMZmLG7eNG1Q3WSB3eI3iiH8JfDQb6EnQ5/N/asi4E4HOHS4gC/Aor+gTZe7Dr9sXkhtBl0Dk +tSEFKWgDwkF0kSv26oE46KxEwTMUh1dh32H0SE/7XBZ05HdLFpzWKmWOcx9c6BR+ByQaJpRt1yQ cgTzqDNvDE98Olf6zgVTyTH8NizzlIjueqgZh7OG90/poTrqE2kMuG41sOaHQxj4T1jHmhvQwgSw EW5I5Nh5r0hulXj09EiKzRfDmmqJVV7V9QRhePx8kCZ6vMx8H+CRTKRjcBCKyMY/oLt0mh/5nNW7 Q1H36JpvacFMvDK8fTfQF5nt/fyv53+dCmDHbW4VSYc/ov5h9YPxPcO/jtaNl1JJRmp9N32kawEg 9/Tc8DteuPrrHcWcyerl6fQzDrw9txx+6Cf+5+gUx6vdQ+O02zfAYRUVul126FCNEjHBy5MBAGBP f8N/u1LC8VU+CM1Mm3e5RE7YkqgDmV/AguocCkPWPxzLfpghTWLU7nANahYrl9MluatM3Pb/sRVZ s8AgHnSZ58wGG91EE6D7zil7f4ovyaBlgg02TufpQKvuwOFXCpF1kmwsmdvSMQ3j1dS2UN4neKJ8 gNf9LefbfIG61dYHQisKSP8dsYfJyA+KL6cKUh7ENY1fVHxKKAvXGy3S3tK8l00u1Fyi3nNnY3gk 5CMy49b3cBg8qDjmsIbr6YEtWPN3z0Zo8jxrCL/7JXo0Rx5l4KvrK9INza1bOhpaBtIfHwYFDBlE IleY2I/LvYeYF6H0NpAypkTks+kH9aKhK9R+HumfL3WdbNi5NRqYzlg8Q1E/pmO20e+vra9unF5b vDGjjtb42nTx1emnnvqXqHK0wOpdxMyiux3PWAQafouDru1ctOQOX8h6y5jMNrP2Et5jCUagfuEB /gs1heduLisb+fWvwEy0oD6UhO8TggkaFynCzinI8xV9zItGMQCCb3tLDlmWKTL9KMPY83xPOXG3 j0HddnRhGRGKRa6jbtLnDzoNburhltzdeeth+sBOqFX1gZz21Ks6NIOJm9I31HtRbGmRJ54kwfz5 ZtI45Qef0jruvjruXalCOtRL/WDMx86xh5qRipO/9K0nzryUbPjDl0FXqlRYUV5vr3IF5nvt9E1T ofZvQi/djdlmxnEfwIxOmNcNitnv7Jb8XSGwsstdvB7P6ChFMZ5QIqSpIfnxHD14Ed/X500HWWRP hh7quDb8cedxvPGjSAM58sfQ7BSJGTKRlJEB3RvhpZfQbZNlHilKyjsbAdN/ctcdqhOQldFUgQaK NzxEIO7xOi+22kRyGcCbEmPZENw98bB8FC0AajvyN4ev5+9yJRACfK+huayLcT0I9ZvZkQ2FlinK WurXR9WJ1L/YNsptbiX60ivgqk/dZzpbIDf1/bAB9bmBaDN/37qSHDkW4u2XtSEFhZ9BHzi/gYh5 gNzCzV24RIjA88pTHZguyChCrA6Ma9fJWPAZCI55+7dd2P3El8+jXodANbfkVRH1TVZ7T/cnUt8G /Ke9v4X8aUQToW+G2dlKZsnqyVjqa/EduWrWcOKTy3IqnHiZ9NRXFo4dSQoJzadVzu01+rx6pSJz 1Jk/d/2RbuLaRLNzWxBjEPbsgeW/kLwIABsGJX+i2thVRYly+215ITYz+PSbHDodP0JCgJGOwDVu woiBZPRyJ0oC8UqnJ+pnD2MEUNk2lZjmz9zzQZ3s3eLoh5Ur/Ymszb9qzFzZl0PcgN3bGX9+Dapk erN0KFM4ORIoXBa8R71i7lZL8ZeRuoiIrCQhhdlfzTwwaEv0kxZAnMWPHXJe2SXV3K/kqR61sv4f kpaw9R6WL0BBQuNiE1GunO8zYqUXX18g6h8c+VrkZOlJnhoTyMr4J22lKvDHlhjAEXA25EQ0E5lv ZD5zk2/QdbWTo+OYo8uGQ0MBeXRW/8A00ZrWMivosQWM1Vtc0DsjVEa0HC9krTWvJeGutNeXfb3C Yo4Iv5k7BwcZCRVhGuXrUHhBOx7+c2X8dBewOXEWiLeYGQ4lEqU9l/SmZHjWpqYDUe0OjWNhyZtd apv05T66JhzNXf3tatFazZojaqGwpax5EopQGkc7GtFXf6SNV7U+r5ZCF5xjzXwnUJQ9uW9s/YEJ kD3lsiUoofZW7IGyS5V5xT0Vq6a5M8NipcL8AcJXP8UMVHYvkSIv6Fw9mfAHTxG7j/I0eBa3fXyk yBHqTefw0GT1lUyBWcmrlrduEopz5ff3x1bDhEj4IWE0fv8VEdIA3u+8sMmPbMvcMzQFQMAmColG KntcvVhAkrGNFu/wz9glT9hDOz/YOL1nN4twkUqH2D5n/+CyGfYRGzC91YETD3wRprsChCBNOIIK LeoCgrJv4XwCWuOk5b9h4W1m41svN+VHtrxCRmUuH8JXAMgMgtwNoqynQy3edWwj85MB4WCK9/fj UsU3/AnznjxNB4kyge621o4qO7+/dnnxaIcxKEqyj5FPAQ+lIO0r2pmeNyIajX9FyC7sjV2Jk/pF aZqR7x5THuRgXiPvoI93Gn/OYiU3oCzSDMsRrZczAGtkFGEndj00IvLRMm9CIbvrD16d/Y3CtNjM MDG45/J1uj6lEaWn0lEzIvCQM7PJM7uliYv2O7MR7Ljult9FYakBMlLUg8sj+CCyj4l12Z5KibN/ uehUtKRgpDkEWJy7hZWnRl3iydzZSVJjRXpjlcgd862Atw4lel1oYL0dvqi7nUDgbhZ/CQsxbzuP VgssNZXQ74fQXNFA32ES1mtU7m/ItcuNXw40WbE8PuIx623lOqdugn106FQZN6R6/+gtTdQxM3Lq 7eA122Ur4I2bfkbfLCMBjDPaG9jCDzuDJdacOVYKiiSLEzabZGUKsEo6wvIfjuIZVQ9YIlg76RFL KRlQDtotRHGkdG0/GcpqVQrEkAbXFpios4yh+mAvJc1u8V7FFRtsJBZRnoR6a+0OsL3rcLxoxeZU 8YUiaFxhW0Lujd0bbmOd+efFzvVDznhcVUNcSOWw/oMwJakna/GtvmLxYvmV77xlua+l2yVLLUVT AToQsU62zFAqVklDTIaXhvSS0FuwqWJb6A/PBo2nOIF9hhrsaveo6WtdKDdYmpKOJscgBb3sgWoe 9q8u5EbIh9sKNMxjRKjnirHi2E/NY1Xlt790SAMweLS85glL8qtoMG/aZwEgnids8a3JdeV6Wg2i dto5EAQ2nTQVA4qk/ZxL4BmMUlpKOWnjd4NRZYgWtQGk2pQPT5QKDA/KqVhKmoX7X4Did6e7wtPN yhJBYglsDAzNCD72iKoBpzjIrPfLqvr5t7PmK++mmkqiPkMkRdW2XmdwVn46MPmnA7jn5azmtuLY MGLBZyzov2KHih9KqDGfEhyfpmdXS7ouflITOdT0IVdCE4gdfnUuxuJ0bPN7/p4UdAbmfdaYjO5T x+9AC1E1VOGeRy1rFJw4w6oGyqe1N6KNtTapQpawYJx8QKG1JrNz/+sp9cXKhU7crsidJztp+DVA YRbxT1izS7518NWbIU8t6dbMQ0UFpUpQmscJY/OC2yafL0RGGrp8JTe9g5kkURrNcSbkMharcgDO Dl0T/k6o2cFiHhVqiAoTSICbDIEQex+HNf/JqGGcL5D5Wr/XCQiFarhSQtjbuO4D0XZ0qipOUfoh 2ZU8y4iH9Q190hIbB/CHyUrT1TaqJpb6ZM+6fVhU7O0EHGWqAr7pfG/iKCQvFSRYcBVizTrBsJ8C zvvRKnaT9az155sVXsA5v700rntM4nIJKf9MkjrGVXx+/pIDM6kD9CN32wl0FXT8mGluMNaJRN6o iu0MvyP/gO/tBCxMNvG9q8ZJh8clRrt300QN5j0GdZx6/3JN/CRrquhhau+zQguAYiLkuKbuQf6G mfhHjUAikhW40EgEVk6V9JxgQWOufISgpkLwjYuePEaBKBupJVuiF24bD+XSof+G7UC41LCpWkGV jxYoG1ltW92ew94Qmc+ausrMDVjCL+IP1XDB9K+LmjMSDa8KdkKcg77rLZZQTw1xP6g4SvELaQvI f5S8M/W8ae8aoS/JzdswDi0IFm6TDdYa6TZWXBX+bFxb56pSycxAVCHJL4QdZv/E1glFTm/DEKFU FCAYx2h0K2h/nYYcKP2sM+61dhxU3ouhR5LnWWfkD+RXEZu0fVgqNroMKbZNIkGbjdvgJCcU9o4Y 1pbv7xjub7F9/znZblZNE1Q9C+b+u2gQI1zhf+RNXYWOHQhGMi1o9AFABhknmTRi9FEqJXUaDh94 Srk+KRHwRRB2tY7hLZAny2kOlkZQu+Fw1HU5Wxxjc2zh3x4oFma7aqWmy4MKpSNRbex6dqvxwjHU 313wbC78Cq39qSHHDHod/ARjhBScK5vUvae/ft1JXkpvMF+s4VPpZ+WnwP8xQAeqig+eyKA3gmAH 8S3deXf8GmToM65erw9ixbpH2iE2m72Q87Yl1l5Qp5YPffbedw+kdRdEP+guXxTzr5zMdxkXDJ+2 n27Prio5yKTdvMPEy/6vNwlM1+Nd06M2QiDnP31ng0ZLAGkMc0rVlzkGjTwmvp4kkRa8y+9Ljb/U 31AB2isWMCpWDXuX+WVfFLpTjBuek+KvrLnAP2i1LLE9SBVo88EMEAhE6F/4cM7nD+Vq0OBIXiXs E2TU0OyN3q1LATBJqRlEmeG/w+Dk6hjg4SQWr2qWuGppaGENEmOuKOkAMQ5Bu7+WUzNtrYbGknht u9MJc6vc2a2blZPi+8GYnhdMNICvfPs+SqmxE+zusSl9f36hFsB1YjbZogYIw3f1pFl5ygdTvzMl GXfPBlfF2u55zpDe3Rq+d1Ci6zK2dfuXm04gLDFGszAKMW/dVV7Dp3UYedmIkVP/5p115BUx/4/k aC3HqFiPVpMR5vOy1h3DmtAlCjVsgtp9WQHNIanH21pPZksPdR4Nw8c/1ZYGCiGReqG4m2OvU751 k3hT2dyCcurh6WkAcOJ3PYvg/Oc6uC7WYgd6xfi5cqEBTRtaQcsByzNeyjsCClA6OFSoyhlj96C/ oRqGynUW5mbM20i9fq+XGjObKNyM0tLHmCeUZcotKX2PEXDf2v702gMmoi8YnPpN0NV5FxMUvf2o hwyC/AX4q2ScU1ph3/exI2BnBOj3keIqjX+jNxst4YdC6KJ2ewbKIdyi5qIlaD5oLvn9j281sZrm fyk/gnjIX5H3Hw2MZG8B8AOhD/BBmCm9MMvk32ozWuhq2EtyVzQ40PpK5M5Q/zxuWiGENmH+/1Cf zuph6ViLg55M1ofmF3zlPQLmMRMAd45dr6qj1/+mw+FDpQgxBZoniRamR0RKQUzXJ/mpXwANrGLg nR+iLFYUPk/9gPoXcRsl5juw/AoGEHAUFhYFOGH2VSz2bKWVRFlOJ/yEMuWd5XbX+DN4KKLMgHfq ngCSEXuOhTpLszK5b41DSTEwyf85Sz9WoHC6z4UTjY38uz0/rGyLkxETSVxzN9B8tgCcKkmuj/rF U71Uqk3PJl2E53HZTLemaw2s4erXZd+TZ/s+abTi1H19gHKCxXGwmhsFZm/ke8m1Vaz7feQibx0F J5/v0ucrcV1pvSr49CHBdkhC6NzgpHPab1ts/TXPziVgmAV5VWi6Q63O+2SjSR6YOE/1QGB8BdyB xZRiCNjWWRDq8cw32d3j0q4sXcXTKt6rj0zwvT0YgsIx2jJOR7b+x6E5HdWscONR7GKpaool0lnx zUdNNl38OXypp3q3ktT5wpVsGpdNL6sl/Ebix0PlepT6XbsOlaqzIi5RAro8auFcL8Mh1ulQHYBr G1iozRUcVVG0fjLL/U/bJGkwYxNLBlFOt3NtNvcufPJh0HfDdFRrJWxKUmkgL4N77ig4gmVywORz j53MojfAR6B5GAuALgvh3LR+gtI6dC26SKJdTu7rOQZWYLZJDbq6j/x5nu7YuFze82ENn/QSM1Qn bJ7Mrcx8CbtWwA64jsUgofdAF+HCcew8u/HTL1ibZFNbHTWVTOOl0luq3ebtTTcEtd26OoFwnUQz 4uOVlsK2WN4QpyuULabU40I+2pTC/kfEbSEVVNN7CHOagRW1mYXH+uEOK6k50sm9YSg2a40fazC6 ij48T/PMobr3w7hIm+78Nq99dzRxBsMFZZASknBqK393yllm6ynUO/XOT9kezsd1meIZxVEI2SJY I4J/Zclj8Q1ejJ4tfr+MhbuQ8UmpJDSGDdG+k7ytGCVsTSSFqUJxxCnp/BMnu218aL9Ij1x0Y0kO boaMM+zlLYPs6poVQZZ0URHoU03+hx0/N/mlk78fYVNQ3oPRBu40TLqNDZGJM7PEcVU+e7G07XgR FoNwjepkKZTzW009KYiMoBemv64X/oCKwYhDVq3OGIzsqdT6ZDyadYNecTX9Q3D5Yei80G+VFht7 9NJR/c2RBXjVVG/WEOknfMVxfMvYx3aiyMdNHz7wxudgOgcjKDtTGnxJcEFwJp6ZmZeARwhj05FO 3xj7Bsg+8OAHwODqwI7XGdOGVGDq2HDJpQe8k3dXSodQ06NmNULUOteX+gzuB/m5CSO9Fu9i5mCh Hgkc3ttEN/xmAdUKfRpgCuq7DDvkVbMP+mwK3FVL8Qzs/nNpWbij8c3PKnki5RQRKEIbYbBIS8OV OjqZ+iXaVRGkrfriTnSjdqtPErJCuZksvI4XGWgo1sGiw7AF6fIDOqSN7yX1u3eg/B4Zch3ojhP7 GithoxFaFoEeFXg9z63oySD8F8VxFjJFvDWJ55oUDJl3FirxDZGh1byjA2o78SoCxtv21wn2JnZc WlGpfkED1vlm/tBZrG4Rty9B6pDu88iN1dGuTqF25p4X0uSLWbvVh6O41jUSeBsyDz/ZIJ9xfw4J aBMVOB9Z+fqatUra2QiyDHMOzHHa8H5PBFZWjbLp1C/rlljvusYo3qBOGnyJbspe2hCvp1uMM/Ci 1GbGQNGQk9ZxvJT5qX93/CQi3Ds1PFpIDCE5mqmwLzdH4BKzs+n04B2fa2BRfTx5a8hmp9sga4W7 iP4zkvq13b6dPnUtGyTX+Qb8yfZHSkB/L1yDrszWtCCam6Aeu4nPbsLAHvsK/Qn/gzHRvp9VoHOr YyKQTzXroB2XPY+KSO+n7t+se7oNzv36bnRG1g/dw0Rl9JHPIZRgBm1mWrzs2gYeQibhnnqNIJG8 kPqn447JmLAkeOr8RipEo4E198O3qmG+0box7Chm6kv4+K1v6CoFp3Cq58dqO6pFqN69cmrNrMm2 0HpYOkC0ZS/fxjKgoXT+j7kUiNew0bjdyBGThdcac2aQJEyztqe+sdzWkol2cb2Xe3gdqFdtFuHP 8p4LJwWzhds5tDl23wgMItt+GY3rlOds+SKBvlE0VSuueoqx1I10YXjasBDIgrpqldE5sG8F9JRK DX/7Ub7Qnxr372ytvHGd8J9jGPAymCdZKSEm29bIfZ1FjNUVp10wlbYkRr2QIjoT4MmhIC7LvTSp GWbxdtCBNYtj1ovTOXgpvefZAFSuPeO/8e+JI4j/aj8p6YlH0daBD7MEW0lZCa47g0q/08UDxtaG HJKIfA7qEg3/MyyxQ76bnOIhEyWcWSZB7gxm4D1NXlzogQTkThlNKYx26BCDdfbDaOIw5Epq0lMH ZKZWbKb7l2bAyTkhFdnse3uRF7h3/70MG6iBZ9Cow2dk0gpx6lwbH8N1UHVk7WgDxCKYONkMlVHm SbUwFq7NQHdgg7Z0H2eQGGHRZE3VIplXav22dCX9K8js0gZGWolDjbIxbfRQK+6sg8Yx5XYhDuor 2OkB31WSvN2bXpuOHd6vUcWPRXZhx3hkyMiSMjXVIXtr3LtZryiD15TTV5HMt/w8vKhnUTB8MmRI SPIlpE/Y1uQBKDlkXCH9IofoDST1wgFIWwKLsY1Lmna2BxKFeUFx5kEJUSJIRMGCRenakP8SpAll oDOA61YWnhCOP93mVxOPwaIcMUDPXlM+166Hl/HM1eraDy47iEaEuHVbitS1aVvzKlFnvteZEMUJ VJV5+RuahPljAxNQTgTs4E2MsbrcQfeM47Q0nETMp/KkftU9dRab8TUFZL72/GUAPLDqjNmE/1Ny IdZwM8bzCon3XdM+gTDIINzlRKStuJMGmJdboCm5ELDsMFpt6/lkBmByYAGDNvoqeobBvAPBymU9 zSqo0Er4KUPA5NVlHcVroZoaMjO3F6sUf21LIkSTFTcftFSlpeugRFgFpQ7rfGrjkKqAiFhEAh+9 1U6eeda5kwlsx3kmki8478c/VHHEN87VUo3KSGwdGEopey9YKruBRdMJgsesUVAyrmXX1uTj8+5Y Ea/psoJJYj2kVdSaEOQOVLwlAGR379U0zAvDme66IINbbBxqxiYSjFceeOXezs9rST02zfB2HQa0 HUojaFiWKsGSaquR/pXCSahRqkYls1ikXBeSl8OV2nTb6CplBoBhXAVSaMBtJc4ayUfpJwpxfxzz U9L+PQSOIuYx1GgbSc9gxadPLJGcklDs7rbVrn8mPXRK8bNBd8VRVlVuIkenrumMR4qztNQpQ0Ft 53EArDuZRTGPYNhlaJqhpvpKn35evmrjClMEd9TMPnCTFtQpUbBrZSAEgc4EBWqPodYKy/3NkuYZ 5X07iyzxb50y8Gjd8UsBKK/nokqSfD7Xi4NCst/GvjPjUX12N6gb2ck+1NGaW4+4jNnoWpQR0Olz +17TZcUen9/S6kf6NSMnkot/EzpRzBG7rzo/3rREk3hJoz39mUd/dT013Ii2+L4qOoT/mQsUkobJ ExvyAh5Gs/VubpSaq5COFC1LtUN9TpLLNhuPV7hiTPlMcexJFS4D4iHwloDVMR2famqGDa4SvmHQ xN2ziPbNxG6fobXpK/9bqTwn58D/FgX7l0bc23eN9X7xiSYhYtllxVbQ6Ya9SPDN3U5xTiV8e9r0 FGMWVFJh50vGW/Ug6dPK417UP3d2GNmZW4MLeUWxSBngDwbo06iTNTMdwH8IAm7R1Vvz2Yep3gwh EFCNWAIfCj8B9Zi1kpbfodKH2DlNw7LcmLIUoKm/TYHlt3TooymCb10x8by5eB8rbI4wiZZidyFI 6uU+9MBAlFb/bFESiMUGlNmXkdWiTaNkrqKJnfHuZCD5YhJ/2GxFJUduuntsdUef1RN4fT5Tk5mt 8SlCloFh1mtYX20IGvhSRJzCDytLd5ydJMVzruw6RVgt6cmi9BOM3sqSsIuiQPYBJVHQgd4aR3uv DC8gVE0apAsmn6ZN1nlZEVv+dYg60+X7JrebhIxbQ2QCIZku90ebw+xobP+oldvQpHJLxpIMApC1 CGZn4WY1uPXeQbIBrhANIz6XZW0Q+G4xxxRkCkxcPihrz+j3hkmsclgEG07knCfbNFOysULlh310 TrNzXMdWrNaL/I0OdM52LKUcT268Vc5m7f8IuyX/YmNHfM6I+/ZM8C2dCuh0f6dySwUj3iNtIwGs macxgIJqTiaMxDVyLOZbYieh4y8Om5WVRuBPuPK7W9lGU+ja9sBB5wEdRzEI7AkmeKWvFLg9KMw7 BwapDp0AiQZsLEFrvVsLkDuLU7v7d+/To0EAb1jtHAQm3znZ0/dDnyZJd70Lm7aZ8qMrMotBbQ6G nxQ+IdeQQZXX9fau3I+SjDuazCn6xhaDv/DaFmSWyze/KZJCLSvTbnV+MGs4nevfCv598apEE3Po 90zaQMHGaOlowo+p/yEMyjFFGX1xinWYiRKyjK6k7cYozskd0RvVi4sPA+qhCmhc0Z0DVuVhz/wH ImPWEnP3PQMAt6ZTmaQbpDseZ9sJEzhm3hITuewI6/fzG0i9TPNvAkQuUnWrBwe60AAHbOo9qt9C PT5SZXk5u/1iwkGeUEiC2fw71zKxjksSx+GUg21SaOZTriudJeCka0h8Y6Up0L4pZv8MdqpEyCxH wB6ZKDpYfgiiwn/zpCbzHD+SIkG4DoCaITSyY1KXJuEtyLfzjEa8VI0+xCg78pCtsXtYf6D2b+or 5n/qPtF5wZVNOpVySTfJEDQpstROCCGGf5O9NobHPWenLDxZFzBGKZZuvHKnBGkrL8BZrzoJFBi6 BdwHfElG4jH8jRWITn7AYIpPhJgC731WnqG7drW0+XXNnzRfMOPYb+MeAIgm3dNP00sE/2jwfRoo +POx1GBWnQWXr504efi5Si9czzAZo9B3cOxCaoWy0RQ4XmBr3e+XvstBGFnlqNPQqKBDPE8KFy9C oEQ38qwFFJ5V9GpDXG4XoAd3IcEgqNzzuGNc8drpfHXn5IjeszwSqGu08n022LdGfKQp1QgPchTy ZgNFr5cUjmErZmykm46ltTXPQ1D0gcjskh6djbdwrjuohJCyl8GZcYoeOpjJRSf9zb3QZRfrmvpp jc0uVraPEE/HNfctOtRu528NQoKHf+2ElD3FAhwQ1HZArT5s/qrm/4BClcWfjsSgmgNos+JmTP9U 4EUq+F80PhOElSgChm2s4O2I2vwSuP43iMPu1OD8x2TJlooCUVUeUgTTQakIbKX+NfN7fwMawgGU XJgvnLWyIPF5R/1g6SvmAVI5L/rsGuIJdXluR1dxah434NO7flgGEuh8yRbsxKtxdKVv5RDOK95A FuDFsonXSXfTuNMaEJ2YNg7h3vcOzeLVZWTxu0NElfjqYCZ5QqYOKLVMhfTTWdDG3LacOymcTJS8 3e0rkp9jq139qWlVxaoGu2vh+8N6/+W/NyTS+/L/z/KXGphN5u0RuvPGIZZeY6jK1ert6IOQ28N8 yH9Mz70yfe+CRdCJgIYFRaUEDU4eIX92aVXbD2Vrhc1+FYJXHdjoIts110FKy86XI+zyAQxhTI9p v2r0vfzgRCkkUoK6Czg43aJCFyEE+RIzXhlR+jiUJOMq1jyk+XX6Oj+pSy6Rp2WBDVHqkZAp9Sns AkApXG/ajA85sgBLg94J94AzJJEtBW0uUlkPHIh9ZfXByNFF6MN3Zs54D17VaKn9G273UrR9rCyz UFpsyr4s6sCpLgL+xsWTcMqoxva/NhguzXhfP8ERJqlSvkBt4R8GMUpDCzqlpAlMsQaE4tdTIA9e P101Yy9qa/Uo0CB6infhmSH3ODBw9G0/0tWgqbr3EytEVcDhYAMt/E2vpHJZv7aVnq+z34eN78HS NN9aAxuvz3eLBVzn0sRK/PdvZ20ApAA4uXH+c3zOIhzNF1W1K7EqzAlYkNaghx3rgLGw8cIdeE05 5BJXKPTX66x4oHP2+rrkUDw9FDHvbaLvlxYg0AdouEE2ZSStoASF+jWrpJv4EmedHxGCPtFumC1M +/XEmM/oF9gr1giJSzm6k0HqP4Q+eBb/6hgQShOujLpSAnBwvK1j7RhJp+ExkrNMxqsZCJ0wmSzh tHWscF+HqD7sMeemopvghBQ3uJfL7F6ELWc8Axjfx3yoR+RikJ5RvTwaDZLdHzy1hF9SaKDqwL69 vqfFDMnlIUp3gCH9nhhFOpecppt95dVGL1QXvcnni4AEjfejLNmwXk/N0UvUw+oHOxp7EEK6NyVI D+xIGzq2hYSbLh6NSr8XMDeDq9nyK4FhpLV7b7LA0bsscN4n0lFRcgM6a5nH9YZOxC2JOs2p+IeE ux5N4byAXShNtJiAr8TRetlmY4Ppe2DBr2Wi1MLKJ91Wkx5gEqewI2mk0tQCckvM4hWE5cocalZt dXZo3wxg3lhsCH6jsxDK5jfCacDtlfxNjFs8rhXaMEUUDhB1tHxxTt54wnYL7pLBLcKBJ63kgSUf qLCmpmBgUlMRLaPJ8gOjf9MhZqBlQt367FUJ00unk84llHm6zm1+H7oCSby8j75vwQICU9QhZKZI 1dfmLXL9fxQSI5zfVnJj1HEomw1p6JcE2lT3z0SgMh9nKa2p9AxZA0yhNVfXIqIMwCFTb6ReG997 B1eImeW2/iFskmEETYJVA5mTCi/OwOZkjp1RJ+QiMrg3Z6y0Fq47TcFhKDzTtGOE82koI6lsL/Ww oEUwFQVCXbNGMjv2sFVK9SQ2S+y7uSJnqUBIuSFm/rt4dkrAiixiNZ+cCis+Zrn90EG3SzWXKRRM lrUNuYIlX0onfVpQ6RI+fBlnjuCuoSKrOesvDXh+kgjOezTHztoXD6wwZvF/GFTB/Bvl3ebBmHel NlKZqSEryVCgezgdbnHRrXD3fr8T0EjRKM0fWUhDnrlCuqgaNfZla+7upFivwtqYAnAFTjR9gWtD fGKMUoAbDqyTaqMWouVEbcJ1xxuOICs2CS9VL65qUcmhKV9aZMil7PeMnyxH1QIPBbKjLeZDeOzB QSzVxKu0m64+FklhvC7DUCqSxC2iHcuYbiE+XAfsB3lcsD26kAoACSJYcaoT8o59WakNoQ2XHMCf 3XnVUbuOHqK7bSUSMCFxi6PyEehvTN6Aajk2EKJTv706GxPUqHArw7f+bhVQFkBKFL9LJVh0xJFj LR8WCCZMi/4bEUIkwKdKPAk1q4e8LT8foxPVYv5r3K8DjetzX1bCAtzugmaIlFJhVJcuhdXQ1fw5 twEz6GbYOjtDMsW1Ls33S+fk7RguUrumYxKnLfBNBrmz0f0BAD8vHHCv7FQD3c/LgfpirWp1gUUn XYMSqc52XkSLuJr1KsopMSNFYNsojiYJbSdvPRxRli/DtV2gyFcorWiOhzdg2Dm0YLhbDoKjBPyx w2cap7upbIjZBMj0Oa4etRJR4ZZQxAaCnJ8bxabaLZSlVQ6tssiIjb/NfkgqiiA8WsPBwr4/eKMT j5XRvikDCA7jTGwnl9pVutABhU9SoSg6ufQZeHjKXxINANygDYtXQ3P/1zZhgmz/jkpzOsHMht5N xW22bGnsF8jmBU111J4MchpsOUSCmd3lVXrYMAOW5Ji6+Adn62dQJK6YIVHnFCAL1iefyjmiU4zb aC3zoTuP9JSMAU7s+/6IKpumN/NcjlLkfFyIiZJXkSzk38syUZPPY+uH4LbPhaUFHpymY5J6tfvQ 0fLk6elsLsxHQVbq4zMg9QdOs185UV3u08fZAXxe9NthmUseVdySQdii2kcJh4oVZxmGIHCj8fz5 n4FYUDUQOzsxl6WlZCKO1Dj9kOmswbYhbQMwi44LFTmBmzp1Fp1dOR/LSxc1CPN6oMJHgHql2soY nG/AtmUsJOHgofh/FRQMEwJuk8bDfbFHQDVRLOWLq8Eu+fDvJw61jjDpAfxqD1WrDN7q/itL1RR6 bfSVBFrWXBgcXNexlCYAFdjLkGj0Hp9TbiyNmTf8obKxnOqxtI+EXAoTysxIgWK2DPIohyxkNeiO 1TxX3XKcTk4rsJwcRE80vR1CQ39f3S15akzm3HyhxH1lrIdsF2FaFe1fosUla4+YccU1/UeEaZRe eMe2jTXKTKahtJVQfKIePRQPVvMVr2JU4e21N7cSXUdrhbDoODc/MhbrlDz+IyGN/n37kIeEwsVf b+eEIRGo22fRCkcG18BxACsaKoLefDBRJMq+N+mAK6E1KaBumuG5ZmkZPPocyHtw+irOEUQwqM2e iCKoE32oe4kBTNJ3S6dg/aOFs44kNazih0cF1QDt/LQ5FNbZMYGN7vaV5lPyAdOeOxvWssEkI6i+ Wyns9LejizpZsTEG2f4jZXKaHOlBkCNJ9hBneUZZBDyKht8CQdYNjEq4E409YLGUVLXKaqi0oF7w DO3g51YM4CaYy9RhbC0HaQ3P24pCY5IR5k9fRIJXpfpuf/3V7cSjN2AzdE6hwI9NJY+AtBEH5UqP Y0NVlFfPKt8WDY5oEw1XXZSaCoJPdwt7Ccdkk5q1XZmNRglIYGi/CSXMkym2Wakx/5eRufH3yAbV mdyWphPj5r/F365iCVhZkSVR3vz9O3Ajn4IEJZLIHjZwP3FQMAtykL80lgJxe0Kj4SNPEhD6Zd5C CCWYE7qvt6rEHjHwZF7SISkvtAUV7OoqvRisAWhyVNfcrahDUPZfXgkRXPxsWTTVs4oYFXUMjjeE pdCvBo/A+mbi9vGnAkvR7Y3w2QzKpyLAb/CLhdpNB5r11BZaNt+/qTemWRZ2K4mVwypE6eGGr81c tGTyar8qarmeL2vKZ/6dRom256TFgStoCrC+UE/colUxvOaPNE0aj6M/yw4vxkGxzrT08scJvkbQ IC8OniPI81pg/CtfUmKS9PThK+kuHpp8lWeil6fNvWWE/RbemHmQPKt7/w0oIjkaa6KI/HmcXuhc NFyQIeHJiyatYLbq5MGL3BHYDJPCdp+pOUqnSQWlIapQ2L2B2DhUHtmkhaIQOf6uenSqdPuFqqhA +i6HJzjmzPNpOfr44cWXhNTcffWSGGUTerT6/8R0PUDHMQaWOaUM+O8x3bXskaglxou2HkV7o1wy mSOriigVK2y/ar4rp6ULcGCXD3yooZRMlY+/JzYkCKa3IydmXJSm0JKntAA5jnbrsgsM2l1XY1MZ h4U+wq+kjY87cR6C17weiHKZ4kQR4cQwNwkHg2aBiEhTSus7hE0KBQAmoIv8oLzvyTWAVL5BJ4vy eGLy4QNgs5It6PHm+upmCjBDo6vklaSAmhED+gYTe2AWnnZlHKdCU/l6YyAxD2LobU+9ChUT+6MH YMbmgib8SggIukwtFkI+iqPhFY3NJoZ6QX7BDq2nER6bii7AUh92hLwXY3G7hUYWwIxJu2tIkEyj RemT/Yh9nJ5SRI90LXP9/C8KS6clYvKqj+8rofpP5bvHwImbDfYsrh0dLmHthQBJqBVPSnMXKtYT qt6wBb597Dy460ghzeeV8AvV23p/zAVHhMvqzZZntnNBhlrNFvdzkrBdxU2DMzefHKcgjL3rAJ8G MTi4C7XTX8oWdjpIIZJS0UW3kAiVlW+4cYO0U1RtY5t/vjMhHuuPEx/v3xufCidvc7mBA/X7Ec/5 f8mXEvXvBnEMwJqKrGy3SbdZUKFbk2IB8JsLFFHT7f1woObMQBFryV1PUzK1eNHhfoU5j93ESHTP zfwwawc7/zfg6mte0Hg7j6dKmVsuQ5uyaAgbmfmUCDwkZm6USPaVSssctEEQyMffYl3TxjY+5LtZ EpNUfw84eglQvW7G1n3c9vSnk4Vmax2fezp7NQh4MwBP32jA7/cFntC9o4BJoPjXW/YLQhzGd7WH mCq1yVyEEzi4vEIGQTeYIbM6V5ss0YTlaEaSymJTaPrpNgvEUQ/DsKoSv/1Ti7lsh9MYoD/CN9LY PajB7kN3Ga7pRs069WK5aDoOW7KuIv0rpgOzQ2MQl9yBbn+9WxuzT5P4GkF6FmlEiX/MCj47qtz9 atFkgXiWsU4xyQLQSG7WaQosNBeE4kR1BmXv8B9C3E+izjV5kYZ0065m64IUniFy16tW0xXop+/l Ikx4QjXEnzSDJ08ar1+o+8ak32Bt4QC+974DUz0Xn5wPN94qUhFehNX8U/KbTJTI0Mde1d9sWclq RDGq8yIF4cX3lvH6wYtYFcailL9QWioaINjMRF90wYwD6dDub+8feqpSGTiYDTUaqgtw9+wqtpAd Wzy9zPfD0dQpZb6v1Tl7sL8kZVYxBbn1pjNsP2Oz9W9PxW1Kf7RB3hjjiPsyudZoTCGpJqZrTBy2 tehA+autgvMmi06UHE4gEJ8HWHFdrhjBZCmLzRdTi7V9NuO2X5OUCE8cw2RVJ9screAOrJez1z3c UhjBn4ILycbI/IATR/g6K3SS1SRp+Gd5VtwnckgmWo6eZQctkRp4EsDfVLM3tilMOnLg3qRrd0o2 gvnAZ1yKkINYw6QjdC0F02U188McHDXnok5OOkYcofFfPv7yvx3AlgiScpYQje6WTlBwKFHSvp1n gvA1Qs4QzPbriV1TowrglhpgUUgM8dxtlAFqgL5iKM8t0KZpi+a1Bo8KQmmpOfsXETfbKV95rhf1 sjdQlHHplEO3V+WfI/hPVyNi4nuB16EBOw6fVD4PqM6K7TmOwPUf49gNUyYH7Tq36LEn7xjD1Aps 5PQeBKjWPYhOcNBLipoia3+0Mi6SHSTN2ryOPn4+77FcjTHRXI9JhtUZZKRtTipgSCiuPGUwgCrv 05XnGfUAQCq6eUdL1MeFfQQe5WZj5rVJl1hp5jEpj7Qr0eohUGdSpa8o2Ue27R1S0tCTM8nhDRD3 S5G/uG+7dL56L+kQUe2t6+OGtV42ZCYn4OHcp8LAvQt6JyIzJisYBHTR5Gu75QlRzhU9oRiVLnOu AutOCQ7C9N7sr73DMcbfqds7vw8jFZBfgwf+vlrpVDHwMdMLixecEXP2dMwMDNr7qSU3eXpU1d+3 rg9PtoABuuLyf5h7gLTUr1EvkKI9KxPzuU3NC9vZQrvad8oeQ2DfKKg8pdaZR6XteZSHfvluWUhw 2NL14LGH/Holc7q1s6ZZb2aEvUADCesf1+SdxQC2LigEbBEAxC9P8c64qE3TVH8+dI1QifMP6kaC IUCDW4QNeLp4+6XIj4O18QE2GfMxK96VOk+U1TRjD8SO1YVZwP42TScGNfxw0Wnz8CzTiygN4cFw Y5fGmtn6337DBtUJXHG5GEmKZBwQe5MuH4rvlMgaea6ldFcF9N2RQMzM79YHIxKvlpu54Y5LPA6K nhO2W1TMfxxxF/Fun/R9aIs47CIzbYr45DrCFh9BY5sokSE9/JO4GSn7EJ++7BhL7pskZCu1ClxX vgGYcqoZyhMWTVGyqdI9ViDVjMDFbDicQ2va4KOyDI6qeNk8l0E9vOr/2FlTunSelN/z/pDXt5hf JcT9aW58I2vil42qQbh0sDwbjyK8VZ6TwCb5hzbm+cFNdILl9vwOnFwSZmFqmVT0j0fcNlER8sBh a+9XorUGbZSyyss7KdlHHcLEDfViS8cJ9diZX95RdRA0IcOb8ReZAC/firfS/RrNOGU/X63+huYM XY4Zo5SaSjYKiWQVabdeSSWKt4N3ujMDRBQiuBszerhzYqIGUjTemWTM7GtqfNNX1SpIbtMIUoN3 6nawiL19A4qdOcsA8htVmk4xxBwOistAuZlLbliVXofJTS89fs9i02EgcZMWj829NC9GAjzAq9+F a/cihxWO2OPul2TKFsQ+7xlIZ5KFFSRnnYGlxLfIq+TAY3fpf3QUBLGNSLFV3wlsAM3NOieRsBsv GujtiO6vcqo9B+V5nYeeBR6/n+5p+0xSUoscusRQNKSOYTgESDQ/I9MvT+xYsTYMF+347Dp9BIWI OxZvjfhjYz5+DShWHtNM7+Y/fswy4l1Ec6qtWH4FJPJKJXNkyGbIaMdi0clPVCy9/c2cgEJNNsnU Xt+Byxjrs4Yt+ORhcN6iPQvY98tFq56EL6yYD8S9ZhqSIJ2Ix+A8n9emyzhEFJzrhiajTj0vIEmE eJ1j2r853a4PTTcssrBiR2FHN4MAZS0oD5EyxfMi3TAbNelYY5gDeYyRLhVixopcndnKmNzMT94g IWDQ/BiXF4HBpQ/22aYyDaI8JZDlCq4FJAOdH7CfdcVxqmP/06SnlrUGCbySpk4WbC6JiU/GLTnr MISlLVan9YKNhSQUaSaT9/z+gi96oVyyLCOg5J8LHUqpAuDkUAUpIjAQ+Gy2LX0KiCTCBkunAkQQ qSrOlBRwm1NG3L91YM4icqJ7LyCRTqo9NXoLVlgrI+VoWRuOcOczU9tYx/3SkiG+8eubizBiw0qP 07Jb98P+SPWI388Qwl2KsIKOlXRcznpVvNEY6kap552Ex7a/WvpBeQwXTasoi0PV3AZUfYIF7hAg 6vg/+kklM3bnYo4b/H4b2aYA3Sj+zBCVtawCMX+5YLitqVOl4uWgH5gYnPg+mGURoN2dq8Lh/fTA Q5VaYf9LZ1nqNtb80vtCbSSbi1Ob6KQbZDlGCGN0OKKLtyWbMAGpTarbcx1nIR/VSTE9HunN0vwd jwxJCw4qLbeU9eJqqxuN2DSWSeaaGaPfTKZ6+ywJ9NFNuueJdy1NRF0wZ4hsc+c3a/wuN2sMeNgp vBsvH7o17wS82JNQok6IGCCfbrIdOkhnuEdtbIEq7VHjoLwEgtgzsPZ4TsZ7GINnEC8c6R4RxNmm Dl09wAUBehCU3Yw1KLqZNqDkvUemvUeyX3QCuLEYLhEaK/NbqJRNJbl+yFVmm3nR9+waBiVbeWpY 5taWNCkJh16EkuACDkmc4aeM7MowLkC11omcJdNumaZXZ8QOHVe+i7WYDi0jZy+nIPHzd/Jr2VQx b1sRRHGgYWw9vHfk/JTJIx26x0QIdzP2VHY3lVl0ZIiLBeiViNPE8d0LOKBTk5mCwkk8iL/xqoOF dfAy `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/rd_dc_as.vhd
19
10777
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EHhlU67zSXzve/de+KpY85nXXvMNuZL7tYgf9fn2xs2MMX6KZ+NkxxVYV7RC95SlNzgUt4DfQ4/9 3ul1mLnDjQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UlAZFSxNoqgvPPKliBxVt5c0coSpd2sh9B8mE9L64FOLOsIE10QbDZBGLO1c2gEWIwuQ23M7QvQA 5NLCK/AU93Cer6u3Y5Kw85Zu7Q3cTJ6gtsPScNo+F/wtG37D/TBvZy9QIxLBvCRLOZx77GL+Y61M X3HQ3kaL5tpBN9LRA7Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BhywTGDm5IJZmP+63CSoL/TDCpGJVG3VkCIbV3f5gGTJ6iLDPwvtFhhY8681GBR+EoOyUSMbP3AZ DMFHBgscpLa8vafzBYp5kDkIAp6zpVke5p8WT0T374mfT86d/rJV4lUvVArJtTXZ7Qb2BRu+oMwW 4NXsxCdhgqbldJw6uUCqk28aEPgcbivrgwKY8foWfBnTw+EKHyn/oWDvwghTokcxfEnmhIMsR0T3 yD/98FKNKviERlHfn1BhQ/aqkW51Vp/q5U9qrKs/+lZwoRMsy8lRZRggDQnNmQrFO+0t1Oq/DlpL Pzgpskdyam5KjVkaaUDiD9LunE1mnunv1fkvkQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M0G+I4o5qs/wY3cBNkJHuC5SdvD7yJrXn6vr03zDaDrjCzuSM2xSWnhAroxnc+rs8YiB5XG+kxRS nfrpZghhDmt8SYAMsT5eb/ToWHwFcmxPkOwf0TCRf7UHox/rcVr0f6gppZYuBp8i/HMdTy7/9hVi Jazk/jJ0qiENaXH3lhU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block II8O6ksX/NQP2v4t19inJMyzBruYXofFp7EnZduWuRh3lmwU4/uZj2tsoMzEFI9GURJGr6OGMrIR LHPoTtEBaHFBnPNcL2m+mOF2hh90g7CmgF4J8nr08oNvCPZORB5fd/Cj4ujbrC4saBHdapCX/nOt W3mratI2AGAl+T3t7Q0k1PLokEpC1hOrn+eLqLqV9hKaNBlW7DfM0Swj9M60AbHp0kL8sQjj6PfO zKNcq6Xvq1JnJLzZ115Py+hhtw8g3az1/vAI3s/sf20/ggZ0t1s4m7+wPif6Tf6IZJCySXPmKW47 LjAxEb+MGgXZe5eFDZ4nbVPt5Q03mtQWzOAzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6240) `protect data_block KjU9w7W+EMycYBwWubdK4cdo2TVOg7IXnsAenMl8Ozs+YPsIVEz9JLFHnXtP+EnrqLSP6JpsfCDv c5EY5IiZohLLsnigtGQoAbw3xJrNfW7odSYx5OD4rGw1worABRCGX65sm+Dz/Wupvrnmbf1sWTzb I1Yb+4MVfrIKHdMbYrS9PLjZ7K2J3YbboHo5MI6h5AlOz/+wGnMsAEaQbMYvqJbaN2FCbAce2hhV fy/iMb+u44tGRUobF7EBOM25t3AN6z0qAN8B8tt70gHhP7G2dr/q/u0VygxQRtQ4Qwop7a1Y+Y/Y vKnqlKUJJW1lNtGrDOjKvANBtviInxNmIJJuTt9TX6ORbWBArIhf4J6Swg7TRMm+PSivJewPvN7T YlACXXW8PSBf7kQxUv1GmNucJA2TdwxipfmPsgFE9jFxlJzGdnUSgScm1bb+uhyB4SFKWJtjiUfk ChVZ1dA71YVoQibyJENp2WllpAlhr57uOo5B3OboE31a8NK0MnpKkDvAFfCKtRNWChM61a50DzPs x1ya5DsBYVEJE0yQqZaMTKjONm1Q2quPFAsNFlIParq8YIf+YJI1h2iCp3VNOolk3dXcZ5+dFf9A 5lFIch83dsv7U9xkziK3ZOXOGSAKe7ZuKMduaZS/faR4T7ZaQ8Dnr6BOjylOmEwycfepVvnpgQkU P2jdhLwiosu6YGAjUzolNsxAZE+sMJ80VQSxu+dfjyT7buiY0oLLoZrCeLdIHrJAIBSBkt9PUppT rEvWd3qEWeh+cRk0qVqy8k41MvEg2jAtAcZwP06/lVrGvtQRiB44kWdpJUC73xqVc5F2sDuWVYfX ItM4rOxLGOjc+JhvqhFPqYLepDQFcz23kjWAaIp5z4Wa6AAYrhw0t+0FrnsRp/vvhdQb9wVWj5SR 9IPA+3c2CP2uDvkHbm4qfXQpOUplq7T5+r17VfKonq8y3TepvMT2CJyp1Et2UGI5paOFkMGQfb6j KgH+9hqhTaCeKynmIF/WVAwvmw4MK5K3mIyBgTu67u1VlOIiT7jyCVc6UM+8YKnMW0bGA5Owy4o4 bH0qDAUvCp25DSbPUfVvg6qUSpHuepCIxnVO4fcrknYmhLSPF1oeNQCJsVkX8OqLwTa6Y66Nn12C yJ06vsMa4TLKWHzIp5FhBIFCTVHFV6DqNVBBEty81GRMwHIQKnJsziUfTQunlfoTTe09MT4VYem1 JR9qHh8Xq9h2Mmd6kAoNyP10G34oyVKq5WUkaTmHuDZEzONtfQ9eYbyiCvLbx/rwenhiGuaIjFA8 qUpm7XmYDatnG5yhquyKCEYoNpe8yJBUaShg9Gldmnxo9vwa0Q2JtqA741aspVRtIx+ebh9d8me5 o6VAeWToXdA3tEzz7lT/7u4OTR6OzjIDVlOMDGJty45RUN9219if9lcBbxEvwDXV6YgAG+wZebE3 wuE83MwN7As9EDPxC3boQMqzDvVgKZSr70o/08jjb9dB/p3vjw+nwuYd4xzPLI+ZB9s6k6xNf4HB Ck1RrL5Qo+56iyJC2smH4sKP6Y+W2QcYA9ZCvCnzsSJZqgwKuGNbtMwAi9TYd3KlyRcSZ+kXdLcu J++NMX2z6jAn73e+iRBQ1HnxRp3OV5Ow8LnVLUD9kkfGuRMmxREgNHdH/Q4JJRQL/Kbn+JKttwzj 3NnV/Q3Rvv25dflq+WytAtxKz6x8J/iShR+b/PFS3nLI0as7Wc08d0XcTgivltF8gXAFME38kEhz LWd3xy7WO9pp9kVQ2mBQcFM0IFS1fIFdlia+lz2ahyZ2GlMA5hx0CoVNEgX/Y0zndSpyVUb5W4zW hLWph1aw3YTJQ5ae2ZI+BkzaapyF9d1cn4iId6yN4jotmC6btlrlvNCCXIkmQIUswF3mrXc5rEbW PSV9t0/hho6lE3+B17Cu/NOIQq5gaUinTfCC89mKYwaVN+0/q3JJvOIeHc9LrOJcBpxKx7hfMZSk a5KPoDp2JWpXxFGDnteZ5sCm9Z1/n/BB6v7WirmQrzd3VckoX6bh1+OVF8eq0SQ4ewiJDcYQRvl5 vGRTaQnEUxQ3dY+CD8d5vfU1ttwQZyV/t8kuUn3wtmN6q5O6qeEDCc69Vs01v/K20/2qRkpemzi4 vHzYf0UWqtCkZBmNZhuAe6T2uSP8aD4swtzxVlIgjenx618ljrBfxMBUNu5zlkkO//eppVOZZ3eQ 3ZQ+gKF61Yb485fHH5K0N0+69hMjt+c8mHTgxq1r9lIstt4GVIOk7t6hYEIj5zAB9Cr7jB9dhZJH W6+bNSs2ND5fs34wXhV7QfCSw/lqkqAoWRjMXI/QaDHltstQR1SWTkGmIi51DZhWyVamFnXXVDTn HGjS7OfRJ2uOreyQhYkTgF/6wt0/pz26j3JWShhGauXx4QPBAKbmFNlNG4BUMWBJqT6PQzqPrJ/F zkC1JHRwlJzPanaZKUkNwSQbb9jSQFo88u8qOMRwLACi0QaMyEDzjDhUKBTFhtkNv+PE473gNVTu dsh00VAJs9qI3Hn71rRiHCOVBTlsmSgR93k3nWX1XnVUEFd2w8RYacOI4FzVNmfVdB8CFXW8x1MI XNkWyeCIfPtZXI9nIHQjU8O+SMIFQxNCDVv3OK/hDvTlABDMnorSePsakt7H+S29pO27i7tlBluH IibyZMBi4ZCOVtbw0gJAQTqBunz6xI3f+jYU8LWkw5JUUv3qa1MPzBi9dGDkx8cCsUyPeNfMzvZq qXu+q54Ph4x4N4TYy9kUR+faW99xbXYMtwCT1rIegoAFsH17fj0chu8s2IpZBzVLPrtOYbWFCLys D4v5twypLkZUMfyO0o/QuQkHJVLms6Tmue2s8fKAM9CRturZt21W2rMkVPQk93mlcE9esvJvzYp6 0zl9OMmTiX+14q0pVSiTgMk0n387MXfY+lNk3w6ufLZ5bVEthiktsRZfI2m3YpVy+Bb+JJi0ZrTN 3qPzechDhnxe08dNumoKP8C0N9qCUSwwAjAgVxWsePL51iNn8W2ny1vslQBVKss4X6VNV93bzN7Y pTzexLphfNpq5ylQ8m23Gn1cFhII5SzJvxdtrZ/aw5GefPFKHq8DUlkDi/o13opwW1Rudh1/7e6i QGThg51wgrH3lhgGKsRV2ZPRowf303ZguR2y9Cb6g7WXWuT4hfzHnreW2MCTy2v5vTN9s3RH8rw6 T0SNO53iN3FLiTX08m+lHto2cZiRbsfJtC7q4ueY7rMofv5Qtcl5/IyuoC/3cQ903bEId59UKzMY umv695NMs84zzX8csSPDhWT+7Tgsvb6w0OK4tsUipFScSUYXO2qWUZAyURWFHNUpI6MyCPUVtyxR 6w6QLfIsISkvQ1Qgsr60Eu/tFlvu5y8Gw3YwVZe5gsekdIqIKo+StixkAg7sFZDu+DKh8CqjpUzG FSbT4O66nnBTo+FV9PM1oHTKb+mbC20KpeH59wqWOA6P80vAwi30okV0T+GfgTCrXmDN9A6inzbj tXkhBd81pgRkHSH6NG+2iSgpl4ArLZDP/SgiIFfopv3GGevpKW6mBOGTj7L1ay8ecl441bPadA4w ly1qyjVk13dRWAF/Q6r5foqht1VxP99OY+WyYuK39t+cyUbbPaHXJoV7p+EzpLyDlWi4f7o7XJ95 G/vCQ9WDlBLg9ySIyVPRyEs9UBE4770bLaLEQ8LPmRzOEvKU01Z2njPkITN4qi5Y7hwuCea95Hz6 nfVMlN9nvZea5nlaVYU7OcWlTcGqfnqF1jUmel7Vzu5t43tqS/SY3fhJlOveToOUwG5ZvUEGZ8Vl yvsIZPgTpQNpsjd3G/+XdjlqFH4Bn1E7rpNJ3Do3vGcA0n4BGpbyy1XVBMJWm4ISLKC2T7v1mQgk YXf1goSB2GgWsn7zo120p1WfzA5uqIfRVPdYDNkt1tvuxseRPyI2G7sRaI+U4b4Mr8vnAK/xQ/ZQ bhKCmy7hHe+5b+TAs7cN+PFwFfTjboS8ob0gRtlwDRhuNx9vARvnyTKf/v2FlhqYnmLO7OygE6NM MvWQjcHRlQ7U1mbmMJLupQM0xU2z8x0EYh9ZwLvfPe2+s5y7+ZJIiUT1ZJfte99H/UUfcjvRcMxu K5WNcSVFY4Wwbyoc6c33aoyPL1hKB7MuaXjdpwXPnoytM0Bmefl5y6zJVDhn9f6EgVm9vwldGzpJ Rcv1MZ5o+Xz6ewQZPnfqW/IFdVa4hKIYiGsJa88rqTpnRWVlxw9wh3aKL0CNpR3YgNpJITrqN0NY LNor1+ITn95qrsU1f56R5P7pjpyIQU2+oHFSewnCsdOu3BMbGh20EFIGyzUIbDnFnjAr9BAvtrJi ECSswhcR5GcntzjfbdkiZdF1VlhVXPvTcuzmlu0s5NaHBxa5UL7dCOEjD31YFJumOz0HZOBuprDV zwYpzI1Rn6DXuVWncio9rog6qk+gSTTLsYhXgJt179BcqMMfy6iwia46hioq58+WgIHx85l8PNIc J8Wqqvtqrz51OpeHn9RL6CDT9WM0kBq/S8ZqtCaZRlhMLR2uSWc3+Ajsv2lNh82Ft3xGf17pCFdc v4dMZD1kHYdyLsyh5wZZIqwniillJDKGt2SHfkZEs357oP/58CiGR7HqqxlIMuX/FPdjMvtUnY3k 9GtabkoYXsw6yyuYj3vW0wcaGlpRmJPy7Cwfcu+NrioQB45ZRgJ+QKBrKcXMWyMDq7u3St+cikUz sLavLUyILtCX/g1/Vi0eUg6L+Ucae0AIHJfDyGQLeM/7LKEE7HDoSRCTOpRvskTmATZ6HRiDqDVi i5ajpg9IC27m/mzhvhaUcqae1sZY6RjDCKoV9ZYJFlHl2Pj++Na2wBBBhSN8Zyd4N5vwn/dcK22A 9RlOhqY6eLE1RKF4/L3UK/Xo03TxT1H+qX4uZ/sjvadrip22KLIFkazxHxoNW4OFEaGfagi98BSZ HfFyv4+i3R6ElzL4pJotn/RY6nQ/RRqmiRKMqBpqR/zmisLHCYIwsVOaI7UjJoadoSkPrwRP3/s4 FMJGHD/xgZOOzDHySq/rwoVLOEDg2wsLxZLBF+MeXlDrf5ErQ6e8Df5FpcHX96E9VlvWoWHIyLZf PjmMwsqSilfSSDdjyN3+HjPVBWdH3NOG8ftg/VCat/kLbVNILhjbRXlMbnYv0hXMELTYqDME0agq MQR3/V+OD8ayhGnvwgnjaR0KSVolk16J3xYG6WWPjxyK5ZfesItCG5J7h70/lr+6mhz35z9B+Oe7 gH+b3q88UfE5i2fJgimvv+k4qxy5PuPnQnS/wSibTI1CMOHB/RVEptCmrmbmtehzkgJYfLJ/HV2K fq0FfBRHgfchpZczvxl6kwo/IBmkorYvHAT6UyCH/S9lx+ZVlhHT9RhTGC8schH2WK/3NGBk3YN0 hqXu2lPsYmnuylISAeZINjVZBMOcdYOPojd+qwJ8lMmdszi4y/Rd5T4fcNL8RPlC4Zis81kYrOrq 1bXONdnD2Zkdpt69NklwEDjEBTpvChAlShyoDes1EnRCS5g/PbIe0Sr1EoahHzhgBR8nR8xpfoQa A2ELvnuj44fZEVKNdmrXdk76Rx7CnkqnLZcw5CLT8e2/1d4n9Le18lAjOBhVjSNPqYxqVGdOv+90 z/EeVaHTMpZopWqO+urdTiOgFYkUdW4cycOsPIGqc25QtlPaHbl+kkI+8Ja43ohgHSulzqD7rg19 e441QqOh8cVzOXKQ7KR1NUbTWKxbWXPzrPe8pcXQNO+ZM0aTjAgmO1pg0wvzzrzE3zHiDzzDiLw7 LcPnZrLgxl4uRF/Amvgc57aQsKX+xZ1DoeopcoBkTFFtRIXRxbebWJBJXvHETQYBrK/PPQK1/0dj 2LgyOvwScij1T6S+AXwZ/E/96/PjsbFtRzB1RYhI2USIxTFC9VzNzy4QweJJccLVX1ngrWJZwNG4 0s5BVlvSDhXvcUxLPs5JgGrrDNeZkBUHC6qChGSLZ4iy5SUAq851+llkJjtGNh7aQe5yfNUvZGXi Poq4aupTbfQ2VZe3L4c3ZHX+hM349R2pUr7mFiosmG/k5QUF8KvDCUVQeWRw9zZ71cLjYF24+cDS hcdR2p48A6uJtHrx25jxiYvlULgN3wsJl4VxsacJ6iLYE2JsEVZH5HsIm9lCRRweYJGA1rkXrX9V W966Fh+8QPzTh+OJcjIOwK55ziN1OewT5udp/kseHK1upjRH63mw1C6+NTFVTW0zddpmnm4MsfXN xCiOUbE/u8woN0L3f/bUoLoBb+JLo97+Qi7TCEXgiQScFGMkl90SaoOe4f5r+1hyze8bF+pwSMoE t5vH3k+xIYqH+EMyt6Tv031CZEcuyJsWA/BTBCcWie2M1s5VkzV1vVKh+JLd/PgHM6prq+PgdVpa KP9Y3Xj2ThNzOb9wdU4EHKiLooW+qSv0Ucyb9sn9PXFQKhWd9CuaOevHurHShyHGPfLTCwM8JzzA Q5WHHtD6RRo0dH2NC6YKbemtXRyUWY5chnNgGKy/tbC/GPQV1XH22nBt8dmq5+Kr/A6nj+rPmoLp +28uOLkhmiWed1Fa5x3okuUeRTZDDcVozRmeHXAE6aRWnKe+Xp/kELYNT58781JmyF6QMsE9+f8e fPebURfrIFGdHW1EHd+sq1dArvUf3MNP2l7OacdRXuN3CwWj981u3cC7l/WgP7qEzJgAmr6IebEq XGxWldGXS1AhBU8dIEWsLRRQH0X6FaKTMQKyGnQkX9nIXKTMkIWoqtgJLEoUHXDqDl9+CaB0pqBj 5PmpneFM+t4DxlnWwLPKEMakAugbg2XJXFSIzDR/U+C7Y2YCXAoZiL1AcKXMyRHp9xB8Nya/9QRe 4VoLms5Bq3GSp1zU1sCofg3x6grhWXFdVj4ISfTztjWNfQ31zEFx1/Lqsn8utwHkDLzzYvaqSBv5 v0R+WdwAFrd5IXXmWewka4CscS7Weq61tW1/Ueg5MMCqQJ+SZPLtCQQNiGS9msr6vTcX0m2Nc8Kq +WRd41/K09XWVV5vG7GDRcgDeABBbZT/5lRZne9OJhWEJh2Pd4jKXyRtj3XefzpY4RHpENgchHBI CZq1eUU64j1zMI2MWlK8tvJeQOCJ/zgvuS9H4VjyOttYO/88EOpUrJH1MYp0JHbxZoNX9y/BqREw vAX9U7E+1K3EF0oyFS4z8TdxLt0Osr/8TB0lhKt907mqp4vDKO2Amz8dU0S7DLa256p2KtFxP84g yTEWYJHzw5QVbhC85j5zbmsMyTiME5+pu1SnJjflcwMnq1krNk8Y1JWBmlBe2uSsmkZjIRrysIs1 DW1whU2fBSdiMRESHY33H8wPHGA81hQ17pF4wCGFSG6mNtNwUb+NA6bXZorDmEMmLf6BfgGQfx6q 2xT9YXuw/KEC0Qb4/8TXIYtMeObaFAPMwPM1ueH/q2GRBJuBLDQYHOOvOyCGXxgYxj9LZ+9U64rr yaqrYtCQy/AtPyGW1xaMiLw5FeEoEQrt66kwqIkuUXZftATG4TDIVO239uMumZFgHWUksN3bLoCq QcmAM7+Zb9SlUc7QWkD2p179PcRjDBRxvi66jJiWtm9EGGZ/i3R+JNQEZKfzppHwsACRetKhmFAa 4IpYOATGHTlPWaRfAmZyFCEe7Zp27x7FLOK1pnoEmfuBCuTtX+03L/QsLKEjELAccXBDD++PWcJ4 yR+Xzcw19BqvUsEOSduJk1aDRUxHKVAIrmB1XEPsQW0oaBVp0ju2+IcfQ30Nc9JqM4MW+VsJy9JL 4Ib2mfkizlZyb86Jv43u1NYdCnx9uioKSPNJoabHF4DFdax9d0uISC5KDT+JHQOZjWtIq1YMBOiw hY9W4iZ3SPFboYzsOMjkZPmBtfuMpfGjVc3h0pWQk03S2vx6FTVTnZaKUrB2LUh7qrHt2OUA/9Ew y7qbQDe59drGmedYTvjYiSFJewzHBN8C6jEd1GDMN7B1hPGK1r+0gAQsTDbKnNmqcB6h4rQZqVHl pPB5v+ZDRLUPYqY8dHofgZCXjeJUbAdd1ZQ8xMJP0Uamm0jChwad3bcNGiihLvwrhB+72V3jnhcg BcDmVPfM2+vgzpiBEE2LfWEgA9OuA15/hI1VbSN44ga+R6Gp6Ss8F5PLAgvx1DNkjrnjvDOlrzea tV47ZAFdv2JD81L9UxFeXHN/+NBrqHj04HxCX0Mo6QiFUWBivOjzuJMo6y+VyEC+4L9EllJARzLQ DE911LdeTdqyTWxBrTlQ8wrGcPiZgVsTmedJidbagT19F8bs/+xgDmVOo2ewxfV9syoGjlMwVmmg Ul4iJv6lX4gQUMP6uU2Hcb5Rv7AZL4lkOzek `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/rd_dc_as.vhd
19
10777
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EHhlU67zSXzve/de+KpY85nXXvMNuZL7tYgf9fn2xs2MMX6KZ+NkxxVYV7RC95SlNzgUt4DfQ4/9 3ul1mLnDjQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UlAZFSxNoqgvPPKliBxVt5c0coSpd2sh9B8mE9L64FOLOsIE10QbDZBGLO1c2gEWIwuQ23M7QvQA 5NLCK/AU93Cer6u3Y5Kw85Zu7Q3cTJ6gtsPScNo+F/wtG37D/TBvZy9QIxLBvCRLOZx77GL+Y61M X3HQ3kaL5tpBN9LRA7Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BhywTGDm5IJZmP+63CSoL/TDCpGJVG3VkCIbV3f5gGTJ6iLDPwvtFhhY8681GBR+EoOyUSMbP3AZ DMFHBgscpLa8vafzBYp5kDkIAp6zpVke5p8WT0T374mfT86d/rJV4lUvVArJtTXZ7Qb2BRu+oMwW 4NXsxCdhgqbldJw6uUCqk28aEPgcbivrgwKY8foWfBnTw+EKHyn/oWDvwghTokcxfEnmhIMsR0T3 yD/98FKNKviERlHfn1BhQ/aqkW51Vp/q5U9qrKs/+lZwoRMsy8lRZRggDQnNmQrFO+0t1Oq/DlpL Pzgpskdyam5KjVkaaUDiD9LunE1mnunv1fkvkQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M0G+I4o5qs/wY3cBNkJHuC5SdvD7yJrXn6vr03zDaDrjCzuSM2xSWnhAroxnc+rs8YiB5XG+kxRS nfrpZghhDmt8SYAMsT5eb/ToWHwFcmxPkOwf0TCRf7UHox/rcVr0f6gppZYuBp8i/HMdTy7/9hVi Jazk/jJ0qiENaXH3lhU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block II8O6ksX/NQP2v4t19inJMyzBruYXofFp7EnZduWuRh3lmwU4/uZj2tsoMzEFI9GURJGr6OGMrIR LHPoTtEBaHFBnPNcL2m+mOF2hh90g7CmgF4J8nr08oNvCPZORB5fd/Cj4ujbrC4saBHdapCX/nOt W3mratI2AGAl+T3t7Q0k1PLokEpC1hOrn+eLqLqV9hKaNBlW7DfM0Swj9M60AbHp0kL8sQjj6PfO zKNcq6Xvq1JnJLzZ115Py+hhtw8g3az1/vAI3s/sf20/ggZ0t1s4m7+wPif6Tf6IZJCySXPmKW47 LjAxEb+MGgXZe5eFDZ4nbVPt5Q03mtQWzOAzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6240) `protect data_block KjU9w7W+EMycYBwWubdK4cdo2TVOg7IXnsAenMl8Ozs+YPsIVEz9JLFHnXtP+EnrqLSP6JpsfCDv c5EY5IiZohLLsnigtGQoAbw3xJrNfW7odSYx5OD4rGw1worABRCGX65sm+Dz/Wupvrnmbf1sWTzb I1Yb+4MVfrIKHdMbYrS9PLjZ7K2J3YbboHo5MI6h5AlOz/+wGnMsAEaQbMYvqJbaN2FCbAce2hhV fy/iMb+u44tGRUobF7EBOM25t3AN6z0qAN8B8tt70gHhP7G2dr/q/u0VygxQRtQ4Qwop7a1Y+Y/Y vKnqlKUJJW1lNtGrDOjKvANBtviInxNmIJJuTt9TX6ORbWBArIhf4J6Swg7TRMm+PSivJewPvN7T YlACXXW8PSBf7kQxUv1GmNucJA2TdwxipfmPsgFE9jFxlJzGdnUSgScm1bb+uhyB4SFKWJtjiUfk ChVZ1dA71YVoQibyJENp2WllpAlhr57uOo5B3OboE31a8NK0MnpKkDvAFfCKtRNWChM61a50DzPs x1ya5DsBYVEJE0yQqZaMTKjONm1Q2quPFAsNFlIParq8YIf+YJI1h2iCp3VNOolk3dXcZ5+dFf9A 5lFIch83dsv7U9xkziK3ZOXOGSAKe7ZuKMduaZS/faR4T7ZaQ8Dnr6BOjylOmEwycfepVvnpgQkU P2jdhLwiosu6YGAjUzolNsxAZE+sMJ80VQSxu+dfjyT7buiY0oLLoZrCeLdIHrJAIBSBkt9PUppT rEvWd3qEWeh+cRk0qVqy8k41MvEg2jAtAcZwP06/lVrGvtQRiB44kWdpJUC73xqVc5F2sDuWVYfX ItM4rOxLGOjc+JhvqhFPqYLepDQFcz23kjWAaIp5z4Wa6AAYrhw0t+0FrnsRp/vvhdQb9wVWj5SR 9IPA+3c2CP2uDvkHbm4qfXQpOUplq7T5+r17VfKonq8y3TepvMT2CJyp1Et2UGI5paOFkMGQfb6j KgH+9hqhTaCeKynmIF/WVAwvmw4MK5K3mIyBgTu67u1VlOIiT7jyCVc6UM+8YKnMW0bGA5Owy4o4 bH0qDAUvCp25DSbPUfVvg6qUSpHuepCIxnVO4fcrknYmhLSPF1oeNQCJsVkX8OqLwTa6Y66Nn12C yJ06vsMa4TLKWHzIp5FhBIFCTVHFV6DqNVBBEty81GRMwHIQKnJsziUfTQunlfoTTe09MT4VYem1 JR9qHh8Xq9h2Mmd6kAoNyP10G34oyVKq5WUkaTmHuDZEzONtfQ9eYbyiCvLbx/rwenhiGuaIjFA8 qUpm7XmYDatnG5yhquyKCEYoNpe8yJBUaShg9Gldmnxo9vwa0Q2JtqA741aspVRtIx+ebh9d8me5 o6VAeWToXdA3tEzz7lT/7u4OTR6OzjIDVlOMDGJty45RUN9219if9lcBbxEvwDXV6YgAG+wZebE3 wuE83MwN7As9EDPxC3boQMqzDvVgKZSr70o/08jjb9dB/p3vjw+nwuYd4xzPLI+ZB9s6k6xNf4HB Ck1RrL5Qo+56iyJC2smH4sKP6Y+W2QcYA9ZCvCnzsSJZqgwKuGNbtMwAi9TYd3KlyRcSZ+kXdLcu J++NMX2z6jAn73e+iRBQ1HnxRp3OV5Ow8LnVLUD9kkfGuRMmxREgNHdH/Q4JJRQL/Kbn+JKttwzj 3NnV/Q3Rvv25dflq+WytAtxKz6x8J/iShR+b/PFS3nLI0as7Wc08d0XcTgivltF8gXAFME38kEhz LWd3xy7WO9pp9kVQ2mBQcFM0IFS1fIFdlia+lz2ahyZ2GlMA5hx0CoVNEgX/Y0zndSpyVUb5W4zW hLWph1aw3YTJQ5ae2ZI+BkzaapyF9d1cn4iId6yN4jotmC6btlrlvNCCXIkmQIUswF3mrXc5rEbW PSV9t0/hho6lE3+B17Cu/NOIQq5gaUinTfCC89mKYwaVN+0/q3JJvOIeHc9LrOJcBpxKx7hfMZSk a5KPoDp2JWpXxFGDnteZ5sCm9Z1/n/BB6v7WirmQrzd3VckoX6bh1+OVF8eq0SQ4ewiJDcYQRvl5 vGRTaQnEUxQ3dY+CD8d5vfU1ttwQZyV/t8kuUn3wtmN6q5O6qeEDCc69Vs01v/K20/2qRkpemzi4 vHzYf0UWqtCkZBmNZhuAe6T2uSP8aD4swtzxVlIgjenx618ljrBfxMBUNu5zlkkO//eppVOZZ3eQ 3ZQ+gKF61Yb485fHH5K0N0+69hMjt+c8mHTgxq1r9lIstt4GVIOk7t6hYEIj5zAB9Cr7jB9dhZJH W6+bNSs2ND5fs34wXhV7QfCSw/lqkqAoWRjMXI/QaDHltstQR1SWTkGmIi51DZhWyVamFnXXVDTn HGjS7OfRJ2uOreyQhYkTgF/6wt0/pz26j3JWShhGauXx4QPBAKbmFNlNG4BUMWBJqT6PQzqPrJ/F zkC1JHRwlJzPanaZKUkNwSQbb9jSQFo88u8qOMRwLACi0QaMyEDzjDhUKBTFhtkNv+PE473gNVTu dsh00VAJs9qI3Hn71rRiHCOVBTlsmSgR93k3nWX1XnVUEFd2w8RYacOI4FzVNmfVdB8CFXW8x1MI XNkWyeCIfPtZXI9nIHQjU8O+SMIFQxNCDVv3OK/hDvTlABDMnorSePsakt7H+S29pO27i7tlBluH IibyZMBi4ZCOVtbw0gJAQTqBunz6xI3f+jYU8LWkw5JUUv3qa1MPzBi9dGDkx8cCsUyPeNfMzvZq qXu+q54Ph4x4N4TYy9kUR+faW99xbXYMtwCT1rIegoAFsH17fj0chu8s2IpZBzVLPrtOYbWFCLys D4v5twypLkZUMfyO0o/QuQkHJVLms6Tmue2s8fKAM9CRturZt21W2rMkVPQk93mlcE9esvJvzYp6 0zl9OMmTiX+14q0pVSiTgMk0n387MXfY+lNk3w6ufLZ5bVEthiktsRZfI2m3YpVy+Bb+JJi0ZrTN 3qPzechDhnxe08dNumoKP8C0N9qCUSwwAjAgVxWsePL51iNn8W2ny1vslQBVKss4X6VNV93bzN7Y pTzexLphfNpq5ylQ8m23Gn1cFhII5SzJvxdtrZ/aw5GefPFKHq8DUlkDi/o13opwW1Rudh1/7e6i QGThg51wgrH3lhgGKsRV2ZPRowf303ZguR2y9Cb6g7WXWuT4hfzHnreW2MCTy2v5vTN9s3RH8rw6 T0SNO53iN3FLiTX08m+lHto2cZiRbsfJtC7q4ueY7rMofv5Qtcl5/IyuoC/3cQ903bEId59UKzMY umv695NMs84zzX8csSPDhWT+7Tgsvb6w0OK4tsUipFScSUYXO2qWUZAyURWFHNUpI6MyCPUVtyxR 6w6QLfIsISkvQ1Qgsr60Eu/tFlvu5y8Gw3YwVZe5gsekdIqIKo+StixkAg7sFZDu+DKh8CqjpUzG FSbT4O66nnBTo+FV9PM1oHTKb+mbC20KpeH59wqWOA6P80vAwi30okV0T+GfgTCrXmDN9A6inzbj tXkhBd81pgRkHSH6NG+2iSgpl4ArLZDP/SgiIFfopv3GGevpKW6mBOGTj7L1ay8ecl441bPadA4w ly1qyjVk13dRWAF/Q6r5foqht1VxP99OY+WyYuK39t+cyUbbPaHXJoV7p+EzpLyDlWi4f7o7XJ95 G/vCQ9WDlBLg9ySIyVPRyEs9UBE4770bLaLEQ8LPmRzOEvKU01Z2njPkITN4qi5Y7hwuCea95Hz6 nfVMlN9nvZea5nlaVYU7OcWlTcGqfnqF1jUmel7Vzu5t43tqS/SY3fhJlOveToOUwG5ZvUEGZ8Vl yvsIZPgTpQNpsjd3G/+XdjlqFH4Bn1E7rpNJ3Do3vGcA0n4BGpbyy1XVBMJWm4ISLKC2T7v1mQgk YXf1goSB2GgWsn7zo120p1WfzA5uqIfRVPdYDNkt1tvuxseRPyI2G7sRaI+U4b4Mr8vnAK/xQ/ZQ bhKCmy7hHe+5b+TAs7cN+PFwFfTjboS8ob0gRtlwDRhuNx9vARvnyTKf/v2FlhqYnmLO7OygE6NM MvWQjcHRlQ7U1mbmMJLupQM0xU2z8x0EYh9ZwLvfPe2+s5y7+ZJIiUT1ZJfte99H/UUfcjvRcMxu K5WNcSVFY4Wwbyoc6c33aoyPL1hKB7MuaXjdpwXPnoytM0Bmefl5y6zJVDhn9f6EgVm9vwldGzpJ Rcv1MZ5o+Xz6ewQZPnfqW/IFdVa4hKIYiGsJa88rqTpnRWVlxw9wh3aKL0CNpR3YgNpJITrqN0NY LNor1+ITn95qrsU1f56R5P7pjpyIQU2+oHFSewnCsdOu3BMbGh20EFIGyzUIbDnFnjAr9BAvtrJi ECSswhcR5GcntzjfbdkiZdF1VlhVXPvTcuzmlu0s5NaHBxa5UL7dCOEjD31YFJumOz0HZOBuprDV zwYpzI1Rn6DXuVWncio9rog6qk+gSTTLsYhXgJt179BcqMMfy6iwia46hioq58+WgIHx85l8PNIc J8Wqqvtqrz51OpeHn9RL6CDT9WM0kBq/S8ZqtCaZRlhMLR2uSWc3+Ajsv2lNh82Ft3xGf17pCFdc v4dMZD1kHYdyLsyh5wZZIqwniillJDKGt2SHfkZEs357oP/58CiGR7HqqxlIMuX/FPdjMvtUnY3k 9GtabkoYXsw6yyuYj3vW0wcaGlpRmJPy7Cwfcu+NrioQB45ZRgJ+QKBrKcXMWyMDq7u3St+cikUz sLavLUyILtCX/g1/Vi0eUg6L+Ucae0AIHJfDyGQLeM/7LKEE7HDoSRCTOpRvskTmATZ6HRiDqDVi i5ajpg9IC27m/mzhvhaUcqae1sZY6RjDCKoV9ZYJFlHl2Pj++Na2wBBBhSN8Zyd4N5vwn/dcK22A 9RlOhqY6eLE1RKF4/L3UK/Xo03TxT1H+qX4uZ/sjvadrip22KLIFkazxHxoNW4OFEaGfagi98BSZ HfFyv4+i3R6ElzL4pJotn/RY6nQ/RRqmiRKMqBpqR/zmisLHCYIwsVOaI7UjJoadoSkPrwRP3/s4 FMJGHD/xgZOOzDHySq/rwoVLOEDg2wsLxZLBF+MeXlDrf5ErQ6e8Df5FpcHX96E9VlvWoWHIyLZf PjmMwsqSilfSSDdjyN3+HjPVBWdH3NOG8ftg/VCat/kLbVNILhjbRXlMbnYv0hXMELTYqDME0agq MQR3/V+OD8ayhGnvwgnjaR0KSVolk16J3xYG6WWPjxyK5ZfesItCG5J7h70/lr+6mhz35z9B+Oe7 gH+b3q88UfE5i2fJgimvv+k4qxy5PuPnQnS/wSibTI1CMOHB/RVEptCmrmbmtehzkgJYfLJ/HV2K fq0FfBRHgfchpZczvxl6kwo/IBmkorYvHAT6UyCH/S9lx+ZVlhHT9RhTGC8schH2WK/3NGBk3YN0 hqXu2lPsYmnuylISAeZINjVZBMOcdYOPojd+qwJ8lMmdszi4y/Rd5T4fcNL8RPlC4Zis81kYrOrq 1bXONdnD2Zkdpt69NklwEDjEBTpvChAlShyoDes1EnRCS5g/PbIe0Sr1EoahHzhgBR8nR8xpfoQa A2ELvnuj44fZEVKNdmrXdk76Rx7CnkqnLZcw5CLT8e2/1d4n9Le18lAjOBhVjSNPqYxqVGdOv+90 z/EeVaHTMpZopWqO+urdTiOgFYkUdW4cycOsPIGqc25QtlPaHbl+kkI+8Ja43ohgHSulzqD7rg19 e441QqOh8cVzOXKQ7KR1NUbTWKxbWXPzrPe8pcXQNO+ZM0aTjAgmO1pg0wvzzrzE3zHiDzzDiLw7 LcPnZrLgxl4uRF/Amvgc57aQsKX+xZ1DoeopcoBkTFFtRIXRxbebWJBJXvHETQYBrK/PPQK1/0dj 2LgyOvwScij1T6S+AXwZ/E/96/PjsbFtRzB1RYhI2USIxTFC9VzNzy4QweJJccLVX1ngrWJZwNG4 0s5BVlvSDhXvcUxLPs5JgGrrDNeZkBUHC6qChGSLZ4iy5SUAq851+llkJjtGNh7aQe5yfNUvZGXi Poq4aupTbfQ2VZe3L4c3ZHX+hM349R2pUr7mFiosmG/k5QUF8KvDCUVQeWRw9zZ71cLjYF24+cDS hcdR2p48A6uJtHrx25jxiYvlULgN3wsJl4VxsacJ6iLYE2JsEVZH5HsIm9lCRRweYJGA1rkXrX9V W966Fh+8QPzTh+OJcjIOwK55ziN1OewT5udp/kseHK1upjRH63mw1C6+NTFVTW0zddpmnm4MsfXN xCiOUbE/u8woN0L3f/bUoLoBb+JLo97+Qi7TCEXgiQScFGMkl90SaoOe4f5r+1hyze8bF+pwSMoE t5vH3k+xIYqH+EMyt6Tv031CZEcuyJsWA/BTBCcWie2M1s5VkzV1vVKh+JLd/PgHM6prq+PgdVpa KP9Y3Xj2ThNzOb9wdU4EHKiLooW+qSv0Ucyb9sn9PXFQKhWd9CuaOevHurHShyHGPfLTCwM8JzzA Q5WHHtD6RRo0dH2NC6YKbemtXRyUWY5chnNgGKy/tbC/GPQV1XH22nBt8dmq5+Kr/A6nj+rPmoLp +28uOLkhmiWed1Fa5x3okuUeRTZDDcVozRmeHXAE6aRWnKe+Xp/kELYNT58781JmyF6QMsE9+f8e fPebURfrIFGdHW1EHd+sq1dArvUf3MNP2l7OacdRXuN3CwWj981u3cC7l/WgP7qEzJgAmr6IebEq XGxWldGXS1AhBU8dIEWsLRRQH0X6FaKTMQKyGnQkX9nIXKTMkIWoqtgJLEoUHXDqDl9+CaB0pqBj 5PmpneFM+t4DxlnWwLPKEMakAugbg2XJXFSIzDR/U+C7Y2YCXAoZiL1AcKXMyRHp9xB8Nya/9QRe 4VoLms5Bq3GSp1zU1sCofg3x6grhWXFdVj4ISfTztjWNfQ31zEFx1/Lqsn8utwHkDLzzYvaqSBv5 v0R+WdwAFrd5IXXmWewka4CscS7Weq61tW1/Ueg5MMCqQJ+SZPLtCQQNiGS9msr6vTcX0m2Nc8Kq +WRd41/K09XWVV5vG7GDRcgDeABBbZT/5lRZne9OJhWEJh2Pd4jKXyRtj3XefzpY4RHpENgchHBI CZq1eUU64j1zMI2MWlK8tvJeQOCJ/zgvuS9H4VjyOttYO/88EOpUrJH1MYp0JHbxZoNX9y/BqREw vAX9U7E+1K3EF0oyFS4z8TdxLt0Osr/8TB0lhKt907mqp4vDKO2Amz8dU0S7DLa256p2KtFxP84g yTEWYJHzw5QVbhC85j5zbmsMyTiME5+pu1SnJjflcwMnq1krNk8Y1JWBmlBe2uSsmkZjIRrysIs1 DW1whU2fBSdiMRESHY33H8wPHGA81hQ17pF4wCGFSG6mNtNwUb+NA6bXZorDmEMmLf6BfgGQfx6q 2xT9YXuw/KEC0Qb4/8TXIYtMeObaFAPMwPM1ueH/q2GRBJuBLDQYHOOvOyCGXxgYxj9LZ+9U64rr yaqrYtCQy/AtPyGW1xaMiLw5FeEoEQrt66kwqIkuUXZftATG4TDIVO239uMumZFgHWUksN3bLoCq QcmAM7+Zb9SlUc7QWkD2p179PcRjDBRxvi66jJiWtm9EGGZ/i3R+JNQEZKfzppHwsACRetKhmFAa 4IpYOATGHTlPWaRfAmZyFCEe7Zp27x7FLOK1pnoEmfuBCuTtX+03L/QsLKEjELAccXBDD++PWcJ4 yR+Xzcw19BqvUsEOSduJk1aDRUxHKVAIrmB1XEPsQW0oaBVp0ju2+IcfQ30Nc9JqM4MW+VsJy9JL 4Ib2mfkizlZyb86Jv43u1NYdCnx9uioKSPNJoabHF4DFdax9d0uISC5KDT+JHQOZjWtIq1YMBOiw hY9W4iZ3SPFboYzsOMjkZPmBtfuMpfGjVc3h0pWQk03S2vx6FTVTnZaKUrB2LUh7qrHt2OUA/9Ew y7qbQDe59drGmedYTvjYiSFJewzHBN8C6jEd1GDMN7B1hPGK1r+0gAQsTDbKnNmqcB6h4rQZqVHl pPB5v+ZDRLUPYqY8dHofgZCXjeJUbAdd1ZQ8xMJP0Uamm0jChwad3bcNGiihLvwrhB+72V3jnhcg BcDmVPfM2+vgzpiBEE2LfWEgA9OuA15/hI1VbSN44ga+R6Gp6Ss8F5PLAgvx1DNkjrnjvDOlrzea tV47ZAFdv2JD81L9UxFeXHN/+NBrqHj04HxCX0Mo6QiFUWBivOjzuJMo6y+VyEC+4L9EllJARzLQ DE911LdeTdqyTWxBrTlQ8wrGcPiZgVsTmedJidbagT19F8bs/+xgDmVOo2ewxfV9syoGjlMwVmmg Ul4iJv6lX4gQUMP6uU2Hcb5Rv7AZL4lkOzek `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
27
91985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF AN2ca05J0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe 3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6 BNEUXajqHzh/vB/QNBQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1 cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w m1jdROr8ONcmGBJr57g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x 1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe 21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352) `protect data_block a8U68t86Z3o/C3FQDJmOiqxctxRfzciGtiexeWX5/tf0YTY0s8Y3Y0I7bLXU1IZx4byo3l4tenB2 kusR0ylj1fHEU0otcwy6uhkEj1fBdDkfehty39aVpUPBuagKC3Im1ayCXGtzUoPoild7NpYA10ZW 2jGK3qYKQ69zfUu41oM6AJChIYA3g+BEc/OAgj2t+TnQHXwT2LsyuAmSYIFbCJCyTAyyPtpIr0Nn zBrAnG9wzCjZkgFSy8ze/vycqshGS+8Y+IyIzi3+Sa91w1OZfG2CvRoPx0zArX82JxTm5TmlFU1N Uo+UXTX5EZWlBfzrPAZfGpUtNkJslzlT3Eb3G5/98LCTJb/3TGWjL9PinvuEoEGzklGYjPZneyNc XZNYLESXa4QUcj1iroZRElWxvh5eMXAkwSIIoNIenJJFM1Q17TMT0idV3R2tNPjGjSg+ZEUqJliG Cbu6e82qUxu/r08gMVLhuJ4yw9opI2mndnh8faE77agfLD1UJVsp5GM90YaDngbn9CjJNTLDCtDJ 1YhNhCDlszRo5Qm1ArM3sOm6P8K2vJ0lSPW/Jpn7ZAUW4z8lUTcrLDB/X6YztwcFLpGIrBglHhIX 5NISCDR0AYL0Q0aOl2HmdBgF/hu4+Qdjx/Ya1UP0hF3t8upC4DOPdLYl8vTMGYrQeK/XBTh5dGi+ H0MJwVWdCUk0mrEGMvcl1lYvwPYr1inGd5ttzpm9OFZalPoJlNvq8MhbOuhdw9y6WCfJRD3fr1GH pBs0Qx2pLTMxcpOuAYGLupIYrJyMl+CgIr6dN5i11acXmnlkftgCqvdiWt1ADFuQDS6vMlb8xWRP H3/WAN3BiHEsSmTuqQEJkMhBS9SPMteSgJjYuNiKzXRFSTCO2/Db4pq1EJA4b3VnrfqXqr5rLtsj nyMcMENcvv0ROoHS0CWpdvgUCbeOtGyfyk/0blI9ZRTpMpNirsmbMNjLRMKoMu0vjAGgTRUYKw2x PxPhI3eT/aBuqbjxQox7A9VCCmmFJw39wYsOqjdZ4hE/UEQOoq40hJHR0tGtqwwFWtpNiG/vd+ND YUEVOZXgHQogHIbNKNvidjC+j4WxTX8r4McBAP4tkzoGswouPXaQvnC3cNASv3HT39+RjQuHJhnJ IbV7x3scjd7VoBupA+LPFxACxCbnPOtDPPiJUaob51ndNl4KG+A/99JxATTfmT9Iy/UtlwfKLWsE H6gnHamAuygEvVO3Ul9bQFhLb/7Tr9qJabvhw0aItvfwex+4r5GbH/m9C/7CDr7N1AkrzZRAo6vB LYpk+7k+zz44bzcQGxRQ+3ZCYLBAZCxWYSG0BsH7l/GfxAwYOB01t0G39XO7GpefCLk7UhZOUceV +KyLziOEY80Z7ncER4EUFQ+GI7HenyL/9m8yiipibF0nsPBNd9i1ZYJhXTbUWPjBE6N1hKHsicv6 EgmaKgc0EY0haEi5Jkn5TZZGYF0XMIXs541sLcfDUu2NyUA+idMXBkmthwvceA7N6jw04fr67WKT DoxRnZT5MnjTrOeltx0gh2lTaddl+pWAekkop0EQt/rPtpEstZY3vlPOla4gg4aJsYlFZqtHukKf AoP2GG2RoYnjt4kd8pFKSuVwGXCGhHlxnlDzMynwlA3qfIWSnYxhIXFdYxSqjD/aduxgD4oB2lw8 ZfZB6D02+1kgAl4CixPB64JDd3D1BBXJaA39Vb3Cg0qB/kDQtMe2dDBAKNTyK2sjbz18YFAy1QZS IApSGo8E+JFb5VPZMrWHOActhVutz6gKuvMfjTI0zxZ9MEM5CAh9Lec7TAj3oCB64zly2Jwz2eDu G/OO5ag82qbd3FidjTCjGZRsIXdkWBYnKiy9cz+dYFNRkozuF1iREik9ieBtALoprO8fgJmO27S5 yJ4QQ9o1/gbCohLfzAiULwGIGUa9gmGU1gX++2GB/nrC3XehdfV1VNygWlssc/vFfiDyO3XlEmbB sK7UsixXlAFQMTsNtzOQrVqqnXccbCaHU6OPLOcUes5jdgiEMIx4uZY5UGIm8tRuHOOwDXccvYgq 48G10taO/Rwamhq2xa3FLP7WpkZtxO9F/KRrnJMuABtKlWt8WIaQxOPlU65R3xgrxPvwBGFbch04 vX7nTp/qynBU4S2IA0J4OV6/Jdc5cmJpGC6KZKbKLRSWugJBtyOmvuYMCwWR4+kt5vfkNkKihP05 g8qaCsIRa3Na6CJ7U/OoBMWMkaTVE4YtBrFsHc5ygj5pk7ScfAoIGmFWLi2w5RDOEsY/fddBqdF5 smt05WMw4yR8wsNK6T8WcDnmCpsdih7ZBoqou0e/TcxNBaHOcUChDvf3ZHakNP1juM+rwMDMZZQ7 aNG6JX2kUSvQG3xl3MTSTLIJ1W+3Kr14AsbcQxy27BXE3BPb1EDrSrLNdo5qYdCeul2fja/GIyX3 Oia1UDpa3Y/6o2KTBPRZu391pzpM2oK24mkUmafw1pv3c5r2TbalqhJzIhCsYxCM2h1EU9fsSP7w 0PJh2tL8hqoCdNaeI5Tkxr3DSom8Anrm+w+e8AcyDomDbY+S5q/cRgP2e+nxGr8GVdTBSBjBMK24 3EpyMLNM7UzMysH4bLKlWNf0mzEzvQJOAPhgVIwkm+V1R7rn/yuYzYBoVB/PEF6vrxItLXYiWOBJ IyDtENmti0R9VOu0dxTDgjmL4SyhOUHLTgk2YnYoNSBoH0rz2cuMh5G/F0EaowL0I++bwCBxlSNm ycbrPxYF51AhP3Wyb5MfGlO3JbP6OEc8UgCnSS6ZnvRWOd7g0gHLk1AdEvbu5gez4gaKFl9bXhmZ jD3p7de3dF/TYGnbeBxugL6MXghO5TnLCjDVI8L/Kg1MO4w9AAhE5rcRlbZ9MYK9tAuZ5TAl03G7 6iKgKro7CRFyjcoNAS41j234X5vWkIvBlvI6OvTRDQrQIEr4dInM8tk+oXCowQQrFIzCilDPHe75 leQ1Dt6MeyYFFnfq7oBFCoHJMisRuBC13xZCKsPMEKBzwNPMFocVz9Ud9rx59lkqWsGobkd+3H83 ABhb6bMuMRC2EoMXo9trlHlcmTyxGC0IyaQlvjSbUYqHDCRT8NB/e0QRA/kWbDHdMIER8/OLwamq Dg7nk/+zDsocmQhl2JClBEmqdT6EVacw2rY0W4mInosQs4MHfGK6eT94JdoY4cG96N0j9LJu4uUS IdLUSIzCrIIHDRuZCaXiB5IsrEqTG1QVkVZA8Bv3FRKnqzSybeE83iGHoPB5o/gWuVeoNlqJfjIa F728gKbH5W/YBPryRwqiiOzMb9NZabtPWsl29n76jNwCHagGOQZO8jXvSoS9OP1im3tPgZN0vbb0 nRTnRK7VwAcPiUDaRh0wzUXw9z/uYV26wv4z76qLql7xKeuxRbFaEjVxJxQIgYCa1nq/1FJktDyF JEP1d8yUxMTIWF7p0V+13ZKu+xBIkD0/lJ/SP4mJdU2ODTfCA2Zl2s5foXIQo8LkLSJA5tKnuju3 2gJbppH3Spv/n6fvBjs3LtB+VrDvwZnMTIkN7EIdqBYpNHPuQUbjezuWcerW0YrUdT3tw+mq4NGW btT8PAq5NXAgJWlMVvgW4vXIaWcJdumNOhwLRi46hIico7qVbEi2C4E06KHvY6crlxI0QN+cUVZI ACpF3FyGQp4CD2wMQwsyweBeQITuPeY3eJpxxKWIDSBB4o9TByjToBpaMsdWimzNUzh6QTMv1aaW CyiXrwybWk7SrN1pqv9JiLdsakT+w2SLZgo3QMQXNuIJmw5zmZ/5cqlu4mzCqP7yhXdQn3EjJ7rE UGTc8ub3te9xoH33kz7Bm1ZnG2KdrfcSTUf6YltqYwFf//1WRSSvGf4bI6hcrJodwwV4+ocbuYUF dZe1xkhA3PqcKFSrSr1TmxS/wHq9iQtNk4C6wF+GH4RwJHPb25btqsbnnQooFI4B78AdHBC3+Y+T 2qkH2ptjh+A6a+q6v9dp7wm8MNSqAWMtVR4fUzGk2jVRtpPb3asYrnUXJU5+JvcprxZeDSYlmHS9 JBx3kq27pdu77ZHtNgmv7DevsYarW81nI9byNRA/eYm9lI2clzRqB8GFR/gIvSBu2nNj0PXCMWoO 5EExDq48Ltl0OhGxW74EhJhu3kam3pDzDdcXMOsTQF/8XhMGnzEaa7EYQdnM7R81Ji6WjSGzuyIJ Qvn++2MPVMflQHvcdcz4wRZYi0N5cyZm9EvcGxU5stSmBjddBXsQqeefRZjX+tTcTz9EKpKqxShH 5DpdQ3Kpzye82dkri5rwf/JzHNXZB7gzEul+ekvf1yVn8WrtqWxcxQFpCnpvwvwcxZ9A9rtWVSfs f05pEaTvrF1OCJzAUNNuGz7z29DGVSCN8lz7ACzJsLc9pLwkw7eOI0Mf5FRqAll6aFbBvSmcufNl vjbYdK8zSOOr3v8+C18bVrFmofsp1uAzZa/EAhdo9jv51odTVgM3UubOrpdqb3jypI5gFJ+e2d+1 Cc/ckiz3KvQujgANYLgsUxxFVanreiooze+SxBKOGAcIx+7C6ysNZGdawxwMVvRd6Its2tjy/nnx x0j3Pbexk42JYzNWxtbU4z2l++vA9xZn2rpi2Zgzi7ahK585H2Hw7GJclYJop+EYslN7Qzg9dNvw 3aYyEzm4o+lNt6IoI8G5KW48P0QJjVQ4b9e37Vs4zElpz/uxYqU1lsAgnyAHJhhUffkHRb6Knzfv VoWkUrUdX/Zz8ceoykL45nDjTdHN28qkoGnPhUO9876ZwMC+gFBOU0J+Vt5/72Xwdf4TkedRNiYj NTWmtPHX/SWtPEsJ3Wnsme8yo/7hwhvOj/2PCkeDDk7KfinZmKVqm7oiDBgj3RFOk5ZRAcqpNYZ/ s2WDlMd/pypkEoNCAYg1TQK3o98/vDAVZE3m3okVrPjr6gIoE41DaB7Nw7eNLBQ+L+FNKCk1Zvj/ TbP4lSpbwkftVqVwMf9FkX+4BwtnnqQuU3I7zNbfwNbJWxg+ShMOZmlsJvpXKHyw7+QzYMXpc2mo 9288treID9C1HHg2WC5FBho4+CsNunav0e94i4cS8s//GpGC2JxL+2Q9p75qunke9kUjSvkwJ7YI 6Pxkhn0dJaSh6HCDGj+Sdlx/zkhFaL85xzySdJU9EirznmAhRMS/eWzgg65FjU5j3ayYcdrYf3Eg RpVEKULIQpiack6+JiK7oov6GX+3s34+rqyHRszklujUJ06lxy3U5/mldPOuXo0TFHD6A7nCrzkO GRQlD/Ye1/5Qt1IEoho3tuOTvyGThmxx04Q98fu5hFLC80463B4pGmn9XjqE9iEuhIzdpmp6U/d9 tV7PK2MPHTOO6itIglDhweeYnGf3xI5cmDB3SDAgikO5N/k0M/h3SE62uNNj4H01E7GWCeWLUq13 Z1ME3QT88UYXnZl8sQt5+oR9tm9ctep5UsH7OQjb0Fy8o6m1mDuxBiRi02FwtOXShLTlQmx+WFkC BI/cmrHFNaii5EC/JQSjIX6etewdv0s7Es3THd27PBtU9nRMQoSBXoJO+DeKXS2ivs5FTIqll2zE LL+gfKCtVM0IG/7Y6GYh7hM/XmBilVnWdLGMlIuafB/AvNupIze5LXdecQT5+8Ds/gxaBQZwu7g2 3rT+MhdnVRp0V1JUNHhfkNZsy8IVAEReB4sbbytIO3nQAnTSjpWaixpxjMER4tzeJHoe6MU9aFlQ Ygqi1Nx9aMWcLA02zO2CeZt77MdCo5b97kYa5rOZM5ybjOPi+xgMH9LJtf43Twb6yk5Aq8C7Nvwa +GymQWeDFPeKTfqL4i0YxCagsmrvBH7PVtnuWkGYj+Qc8KP0F+Qg6nNR7KOUN/6eCoEjn5OA9zwt zCcsyIl3gm0dDyFWIdpXBpEvSfX/wy5I4PY0JbPiEdwqqoUmYVhAHH8xxPQ7b/jLWGvRhfyPq+VU taecyn27515xlyIxn5LYsXi7Gy6903argo3ihNbrMGEx9JhfyNxqPnN2ST45hPze8HGxATRqFpqL CLFf+ja/moSPHDVtda6wZ1RZ9/EE8mj3mXrvyfe9KcZSRZgBt8orpsqQjq/D/9K7K+woxcASOAAH xumBo6xLXQwU4xLXEFWt7R/ZEvfsYPIEXQN4Y517DUAlIY3kjdif/sL8FmoeA2xJHmQKBYb3jIS0 I5TEl2Pl2FQaaGJ4j9hi2Rhfh32CId5F9WkHNc/22a6YY7Dtg8FZaGBagEaYwNAddiGsSqxNgYW8 aoDliYCfHOlR7So7CJU7dgObrNBXyrEf58PDoBqZb4U28b0Fhj2/6k1N/o+IMHDTDrZeZTjMtv3h siJ3m86frKbGmKEzKLx+4zs47ooTqYlkh1IA1HVxf8JbMhehjWX4wYdNzzKfJ2vow53P6nBQ1hE4 dsnfb5VrztlT4jEHDV1xFrxipdLk1xuzS3EiV7hxqot7mdlmAGvWsKfLp7PeCa8RbbqilQIWlYA/ uOAiluSsX1hBfnGDvTL2jXeBJYerNIXfM9VfsNGDocOR7yLoOvDa9P8Uhn8HJEqE8KMFLhJe4t3N dXbZVsAdav9kykswg0U9jeSEvr2xM0DtB6lQa0dWdMOeisBpbD+0WehFDZZ2d8lqiy1ltTPqLpWU WSG0G5T6xdANaQkcHG9uOL3UQl39Q2l56OqLIkOKqJmLctHKPg7db5VR7bM4PyyEqt6R7aZfD6k+ y2Q0TxEjG7YnwYi1wPen3/YXGvosG5Ib1tw3HdITclNeB+aUubSIJrtPhkxV0cLoya9iY/474lDN 3QIDxzlPxezJOIC6DYZiXsw2UVWCpNP07EWa+vKmvkEVxuK6qqwZB0zqEryhwrYwbX6y/VvjbX9e 3polAcqjAysoEFMQF0MyztCgCLQxhVlrtIdwKyZLHAyiSuSNgYZc/VhtcOqS+8obWEbUvZp0IaM9 NyuSr9EAltybenseduXJOFR5xYpZ8iDxuAuyVdnRFc7L+bvPUAJmdM1PYQ3tSO+o2bdFlUdv6cTU eLmBt3FBdKXPxTA6o892JqF01n37ycz0t6sBOD5amFvWvlz8EhyIgKnxIb2T/tZhT148vtt6NAXb Xgsm+Ma8v4fzMft7VflcvkwiXQaIZiNahl8fegHu1+AvuZNltHAtsKCKgi6fLmUvvKf3CQeUAO2X tizJsVjTBdX3rJRWP6qNV/GVYccY00Y6U2U/RwGKLTQSHSVPo/M5dxWAOdC5oBTCbkk4Vw2ZDStT yGIxF5SKLogxcsnNj6juX5G06B22HV0dz9w/QoYlE4Kmzik6iE//mhuGjXIPwFLyu8tn+MU2Rg/Z oViN0ZL0bchqqGbLyb0O6bGvZTvqYCeoQQ75wllEfdsFR+2WdtulZgNt5cjDmrzTpX5lDS1nWVwZ zAuLpn587mhMMngtxQVK1UnfWWS6TYJBLeM3NzVOvskJAV4RDSg++FLyWiV/Bl5pTOKyBppC5UpL bDgEMHnTMGV0phn2PthJN1NU+eHCWy5rqJbSC+eiFNwEJTr6pku4h+qnFKUj2ZduIAY9OIrWJPW3 Nxmg0KySvzTj1KX2FdPdKGS5MQGd7JkIIHq7s+HsDCi3c4rYMEqI4AnnP9PscQO4VmxTLJhqMaG3 Qz20ZjiCij1nwo+DvpagX6/sJWm56bYuSm3enBPod8cqe/aczpmk/uKbUr1FvTAIGp27QwdW5TQ5 lpN9bKvRUSkT37QZeFxNUbBh09X1LY5bg982IGx+Zc5qXuIRjkgFMWAgE96waQyuTIpbkUFAfFr2 7qf+bYkdCt61C4e/lsYPagUCuM09U8zl93umfGmvGPf6j9DCM/h0Cj3kYXKsrxdeD/CViYLjodtl 9bc8KhyiBSCTVVhdqs9UX0Pm7if8VKMHUee6Y+5e9pBgosjGewh/xtv5Fzn5e2kZW39ZdQhkBI9E R0txJKz7WjK/2l6lqySBA0ZB/NI0pzVNk5dV8xM3EO8vNYjT1CDwJjcjmzI0eoQ5PSiWqH3o0QGw 1XbJg0SxncI6grHfIDreDwvY75BLmseMNwvR2CTusI8jOgWViPEgWL1KfCOt3qHBujT9SinKDzKQ KNVNf1WeidRhQbRp6rkvv/gpsZjfJQEph0Nkoaeq/BUnCghI/PMrAXkwLTW96p4aAMPM/JxN9jmA W78mmThytHPRezau8ZHh2qn4sWgvqQtipjIB9T3kJEBObkHJqgUCndojtKk+cjBewn+FY9tKmk3P OC+94l0WMJRZcdN2kX6nhaoCUzbZ48LHJB/eEIWoPHr76DtoEVHC0yVS6mFDOJgEmkOoWhi9bHWM jwpZJ7dUaZ7HeYcT55geb3QHDojuVG0seiiJ3A2LZdVgJ5M0XjzeyCyRBDMCEkr2IZp/L8HaEcnE UEya28qvVWQifgmCp9GZeYHle2p93RQ6d3YueX6eE7iE6RvSxEkP0VzOorEjAY1n3uZVlTqqXm9S izBjguPio0U89VBzBqzqRaXyn7mA/o24UzoysWemPSEooa9SlC1bbKrUgY9l7RR+RGNsMy3hZTKU YCLi4SZ7Ssy+oFf3YO9nMRFPshOJr3EQvyvhLAe0KXPK/eun52EsvfYSA+0ofw4g3TPOHw0SAudB KH82G4GvD9YOuNsZ9SxCdKmVFPtgsF01UhV6lIiQ39MqPkRuZ+tLXdspLI+dvGl+3O4zyYaUREb1 EA4wo1wpr80jwP6AvPL7S7DubWjgHb4nt0bmVJ2YgRGtiTBvaNoalZhNJNa5msmUDsyoTVb4yMwv 3U6MpxBbX7Slc8ot8rEnOPYQ93V1n2/byVXYhcEX+KJ4swM10p9BguT2avf5T22851SXQ2xvl/mB SiE4iJdruCGAs6oqv+rkOv1TuLfvFnlE6NR5e8hK9D6TTVhZPeUQ6OheAxHI3JlCML9W5N1qoCqM ay8Dr6PV/42zUeqMC3hE2bC4WIbLhIrmbp0cVBXC6JAdegO0TfHYIhjTE+CucdtmuSySdg1I4vxx 5qT3SySRqhjTcUOqmzVbQU3Xujp5XcsXKo7XsbK23/sCMcM40Y2vcW4aU3im6cInQRDHlShpPCNt ttCG+TPBx0CbbCpgNx1a8bzJKBlO9eSt5iujSH0aiiN+j3gCNi/1gDnkpcM7Bfd8NYdJG2Ga7Kzw 3XdjnrC20XsjArtovR86qa0dFEW/w9vZOAFyOwlGIz6CPBxQisd2c01nyJH93bIf5SHYYmFbARTI kPhtb3yFMAdMsNFqOiW1p/w85Ie7e5R6VHQiU/LcKtbwn+sinq6NSEfbak882ovcy9WF0zviPAwH nno1qhsy+nWw4HkTPfxIpA4W79+05H8k1yZ4GRTpLhruknCf2JoU77mIUfe1XKhZHiY0tE5Uug5B R+QZw+5P5S2HNinECESTbRB6zG+wfB16tP3k4u6Wt829o6dTAVzEJH+sgrMDwkLcN6s07KVSoneA gdMH3QoIyCkyxcTUMZJ9TDyNLKZNqgPT/TbZGKPPQYkKQrLmbaz8hhXX+RcnBuJhLpXtuFpJk2SO Qs8SLfn65HiYK8SaDDA06rsji4lIJQImgR80VIOlU9ZghvDlYApFsVefGTmCu7C+rvbbdJf/UDg5 oKisvlD3S1B+LnJdauMXcC6qXk4iIwt9oSGaw+xz4aKxKB/fmcxiLFb/fyhb3pXwhoMTxyZfI/gJ gNWFYTC2ZbW8a66K6JtMCAMDYDbuy5PrZI85svkxgmo232cu6mLxiWViwEe/PquKpUtwEdmnkDfF DmuqGG6rDpTy8UqCDtMf2dogJqUvB3o6zaQndMJiizbNaCS1rPPdinlTulFpL/qnGhY4VJDLNMRm 2tVh+VWR7J7msjI1HM4n66mR+rQ6SuDnd+61WhWMkr8+xY82T7d9j97+uWB4zGcaWMzwiJZsnK4k sAGMecXvGMDiWEtiMuchFTlA/WV/y5beWDUdPgdVEUP7pkQYKo/hLXSK2QZptTz1FUeJTi89zsT4 N2sZlD07f4M3V+zd0JdVPWusmbq2OeKzLn0rkZYAvBSskARlV3ShgpcXEJpkCrVPCKVu2VwsO8To vcyn5dHPAuO+0c1tmxHAcsQtFHX6ZMLniyU8CvKErsjGyUp1AUcDuU4ElOC4zvzHKuGwMV0sn3qW A/iwAjEI3JL9VlVIhznWdPuSZq2hD6qGX8GTxacadP26xEuzsbU1jg1sshV0AKN95kMFbTK+O3Fu EmgjjrhABhJWsEbqZKY65kk+hxTa0OMJKzrLFcFlnNo3lU+4zi9ZoKenj+AWBERaBGAvKkzye2nZ EG61OY2T8vK8UBBeD1Wt6klQ9b14lKXU3U3wGf1+QsE20UHxWrseFrX1pCnC5vRvjrdXuCtRH+bU QYI63AM5hFH2gsCvool4W+oqiGVNNqURvNgPBAVGPARwmQijAuSURvj3I4YHXpLPuNzsD+BZT7Sf Tf2H4PnsGQ48mzyxGzMvGYVOE0ZxFJYrHFxiQL29s8j39i5Ms7WkONeL8s84UzEqLqdSZbbzJz8x bToCOpcJXbJaa1tq6H0JA5RujEUl4aMbDWlU9pHzKhPxXR+RjYO912dYriflhDpzpliE6w/Lc/EB mq6BSZFD9oaThXhyuKNVJ0yVBwNWKvLqGauzluAWAS9wWfN9FbiWy+FEICeBmqbR/Bb5nvAewjdA 1KPEvq6ruHTAx2HBoOO/DEi7iTLF3P3ZEwiSM/MFtMBA1caZA+WI688wEEJbrVS8jqu7LZiJdHh1 GcKJ1MSXtao/nohxTyAQIXQ7rBRPeg+kts75jqRlXcGZTJTm0qRWkfSEJcToFltRq7Ls9ulR33zA zRgNPChaMVnrQkqj7bsocAaL0yiwAyfqV7mdAoQK1oh5AkVLnFbD154E+ArDVxImSS9/Xb3/Y2K1 Zi2N2gbKB26bDOdjbtoS5ryMch+rIdHDALQf6JVMXWz2YgOeM3g2yCTjHBIgc78OR3o8hk8xIIYF Tb4PCRfe53Bhk5nfIJ95acS9ss1DmEWaO3U9qwg1f6Gi8CBIjke5SZ/rOUxGXVS/TGSwYlgZEqey POpQf87N3L/iKPu1CieNvii51lwis3Scs6Rz+yEYtYqtJlGQT5c8HzAjC3bzz4q92nIL+nbtzVh6 VAFX9ZQzvsGD1IubYa71BDmpwNV9Do7DS73cN7dj5OFe1rp5tTlO3XNZyzF/eRei7Rl9rZyXrIsV Fy+2nalneGilFCJ4Oji5QrgiTZpMofqfNKtSxy/kLQZ5nLdM5yNjNVFoZ3cIkOcknUd7nplibMEl xUCqohCjQajRrvsKq2WnqGhcmDo/+datBrBRAMbXKDMAaQ6X61f4eyf2RXs53Yra/GwLlvgl+BjQ 514wZ0YZU9lU9Stip3XvAHynACEn8aM4eLYkv2xp0UcoX4O8imM7WK/pgn+OCQK+ZktX5Y6TmQXO 43l463k6tduVZbhrAl1DnmcgcpoLGR4mSA1iAdZd01BCKfV4aHsBkWK17fkSkON0/dQ7vbzIh9QG BHWS5lNjvfLWIgLUm9IzrpQXlVqshbt4WbU75rp4CCTlq+/gAyiZ+qPExevx2S8e4OjRjIiS2idV K2zjwSAoXSb6hC22mK9Ue0jRL5iu1VOXpDj5zofsTx+veix8wEDmE7ewVa8IUB13ujINXuaaa5TY QMXMzx1oPRO4CLoalYjZ1wpII2+dUzuUQl3qyLtCebiRvWitWoku+Ua/X8kyoLCWE+7W8m+7e86H rSD0cTR4gMVWcQgJAwwRHTH9/cIUtgc5dJpFOhnrEvylMW3UbkOsjH1bERMJFB+TyWrgvOLJJr/x 7yjnnKhWPjFQiz+MLA+P1fcv2Uz9a1rGtxUYHO09BswScjzEfL68kuQsmRgR8RNCK8WEn4ws4MAa OINBWve67KtEqRRkJnbscSiws8RLvDyK1W/MyDRkLUSpik8AJN9+USszYSnNNsAlk99TfGiizT32 pI7vhIW0SjcNGYOb+tgVm+A1teQbq3UBMi+v5uGAORQRa7BKwYhZGQvEac4kVMpHqUlJokAZODHX h5HquymAb26PDXkz+xkQ0kEo7N7qMwxg2he4zdI0BEti4+l+Be0mVLxD0S7nlWXJk9xJwKV5F0TO fw9WoQR6SfY6rFYdXDEMInV6WoKUx+mnY9avQ8o7M1BO5ut9Dnr41vyQMylbokfQWkaJdbFRBWhk HIalnRpwkVTsQ5EFUAxl8QFIH0FJhvLdH3CPErD2maoqCIGIxRGyXbASPi+YpRvsclK8+f2YXgjt IFhFpXs2dskBetdeMoGII9IhPiPKtF/r7r1Yd8XwD+HxusNUZnHBYa2datc9nVnwooMW9jPmzewj 8GgDRUoO7et9Hgjzo0/vxu9/jk5utFJApbqaj6K/1Zsr4jA/+OvN8HjVb6FwD9rADe9J4x3AoCQ4 K6mWCxfjJUAk/XnOLyIMolpz+r6HmsF0Og+ccT+ztXGK7A66ZfgAPGlQrdPO/SThfhhpB/IQjqnv Jb/ZXtocYaPIoqEM4SK4t1p0AvXgX5V8v8MueIlfqzhCe5yP6vYC0qHdAxx7lhYNp9QVHlruCgw/ GCy9hwN56TUPbsWIQoCG6eWJFVZ/zYSmqu579Ig95/oCPOhFqI67MOKBPo/2FHyoaPEKYpAtptzk GVY/yw5+J+DZlsivXZlBzzo/SqwA+8BPAMaHlx8EM94ZK3KJn0yQcUkg/NjWOvhKihB3fh4O402a dxJ0KwYv15b9JK/fhOvxgUWfdj2dGs8B3RQtVOYl/SMuC6TAdvjAAla58QW2ZlzJut3q4pR9Irq5 EUpxS6Lr/IxS2OqUcSW7j7WFrzeetqXmMMU8pp6wWdNkL+0ce5hfVXPzzFKtDVeA+0UZ82DtO1Df ZqtbTUDZyzk/hEvQFo53bDOIGkHxQKL2c+oabY/I6L98eq99lvSn/YmE7tSNaW7L5En8/nD4bMgz uhyfNbFW34gYuOuxa5VzvABcllGQltZ9IHwpl8fzgMhmH5IXhov1cyrrzazwCoJpaaXSup7Mqcxp AUnwAcL5f3lqgVNP5/maDuh8d3DIAgx/WhJNaiEgQh2uBiEtkXaBSDLmt0R2kxEZvWOHBJvBzB3G yWCaWEmFlHy9n6srTIxAGgY5KjOnrrUEKvFeXYvnGIKyc4lgLanXStyACvEZf1jr50A5990WuH+n EZwpFzOd1thCh7Wy74tZ8SPO4DRfefZUjd70B3lQ6UwJ52ewmp5QtV4xzglsvAW4m7sZWnyh0cWy R8kxpVH8PYVGvtolTS+92KWLnNG1bG+ko+KTMnnJfx692oUNY1Ok6adVX95ptgaua9nBo9xjoZwK yHdKroyFV7CPOMeLMlACkksQOIpGjeSKphvwR454vDI/t3oyGlS+Ec0n31bjs45apWW2Au6/By8K f95m75yq4gPj2q+wYlkdqAtqdamst/WkVXK13qrBLqVoRZ+8HxFskskN969QmAsrJ31FnBkf6CbM 8DSTzFbXFPv/ll1lQI1CqYWuboppCJeDUqXwhQpcH9GAxQFe43yEqC3HhPnBcYzXlQfKp/1yr+gR nwLbV1LQNd0HK3+exPj0roMvMfcxe9iOY038kIlCGJ1VcJ4UeVIjFiY/F4u2q0iV7UqwgXG0H3Qv T5lqQKNcWiI20+Sc989Dfaj6KhRrq8VGK8gAqnyW0gbTocXDVfigMwbyxc6mPL+ka1gavVLcISi3 TFk64qUYhqUyNjSnnVUs9f7KmZuMrixshuNEZRbHRpLwpnIbYRD2kSjWOQKk9HOi9z2c4BIttExQ jq6jgLj1pcagDL25JrZF/8a6NLUl0CLnwwBwLXflCE7TGIZY5TKzmufnhhBvQ1yYeyI+nRWjEo7X i7W3qvMQ3QgwETWRi1KbmWMTM9pIEkLz6EMDnD7ruDy5aZYoncnre8fdSXBYB2zSy8fJBWIJEcMr f3BORpeHqQlkI7RQNaBnZJJnZkPgyaU3wpLS0ofOXLWA811rGF3ygJYAo2DNfwf2gbCpsy7gHcfE R9Jid3XkH4lQVfBJJnwF0MGmFV6FO5s50g9031OKhNescODHG68328qV3S3/FeL7CL8TCAtvNVaE h9ByglsfxCXxAmTJZDWtpcVFsMHUqJNstWZMaNxmZCwr6o7RgyvIdHu6fd5KzR82+i7xiCmjavLQ b1tgjb7qQcSp2q6NwUHlJWg+geSXugVVOXSc4E0miiwuhRNpz8WVAR4wzoptyKQFAdYtJIHY0iPK tkSX1gDF4mqUdv5RaCDe9zHdxqHrw+qDazuKczddF8q9aGC/l2qrL4ttJmfeef4JSx4kwgQeIix5 Kd/lzaAZPctvEZc4Dy8x5emeTGh9mJNSduU5YcLa7OU+TDbjmJt71hZTIGhNhoRdhEApXvLSdsBV pF50cyfUV4tbRpli8Z2++hFgUn0Y/ViaMbc/QtMmuaC88Fr6RMb/9SuPS/xGAbV1ZYmG/3fzqkLY 0He+SfyFstMC1mabQB8rdcSUJvsaKO2/46uT2emikFQe6/m4Tb+224dh4uw7pW/kUcRa8I8KIHVA HNUba/F4fVCKBItVB91BBUxYN9wUX9QV0arbSPwbdH+Ioa79v6It7YMA9IrIklq8yUKyNuyg8PFy anNM9W5oVL89Ag5IJjGxF1tUn36ZudKMP83zZvgJp/TkSoqahHptBDfeQwFJ+bglkUasEYRU7oqM rbLjOWGcam5mYqHpbmrIzjbskV745peCo9eR+r21Y64La9OKuSm5fEngo9egyDut7qs0o1PlOvsH cxEW62pAhqP6QjK2oH+MguV0BE5sv2Q1UvGyM0aG654lNIIuEiaCFyaqi96ruONITHfLHyc4VNBH CZRG8jq5eN4B5e8PYG7cxvxnNyAm98KUPZ0vmDsDaJn2GhVSw6l2ijQms6N9Ig4yAIJjD2bN6QD6 asMtRrsgzomfYbgGFXmCkEw4tXQBrdjKTogiCFG2SzFWV8fZ8T47jUHDpOfweMAIZOYyTQnlCAsY Rsu+DVUeFva1T92pSsl6PqnbcEZbttPBxQpFLsUQsf/O1j1lSXasV3k5he+hY5WYAO25/TjHU20x MkU1kZL8lU0i6cnl36Wqp9BQ6lUl0GvsArDcm4uMvTNzjT4T2agIcQs9XqogEnd1d/zuHuF29rtT vhxXALWkL7cDfCLCzkBFPQ5PlIeNj4MHsbNbAwHTqd1pz1nkVjfrcTq8STWgceA74QOFliQd7tuU F2kHgOMi1RKuK+qlDFe+Z5gKd/sRf2oS5/Wx+v27k+SDQrLM21VHl0Zplet9C3A+FZ0kofJ6JKE2 uKhmgvixTznd0gul2gbvpoCbS66CPma09D9xZi1D1GUW5RcAevVccJO8xEM4kYtJV+gdF0ncKzwj 7uZ+PLyeWFe4IUMLAhZHkjS7apAl7QuIz7IenoYlTf8htq2W1qA6bi5T/A1Wj/66nXkx2I7F6iiO JqMFXCF1oPfCsNKpdEFsWm237RCS7ErN+70AiG8L3EVNq0EEZ2yEqXr2PAPIKOfm8l3G/m6XLheR g9AQDuHpYY896ZvWrXtFS/XY2YXssUlYy3YnPO6PdytACm6kLudPHAN4wNHc+Ok2L/xoH6fR+jjh lBZX33iBV7f+HWO5CIqJ0mZH7D3i1LSJvuvaUHlPsoBKv67fJyR3ClClq2CAtxeCxc9szaEXgq7K 58CFj6mpUaZpiQERNZiQ2rZuq8amzt35spAp9EnylzkvBoADZ9xtjqV/bUBuq6G4E5hHYYEAjfnF HV4dGg/K6/m3LB0YenBHoLhWsyxr2kQhO6atWMDlB8Vdg4YtSiQ1EpUDw2J4VZ3YUnpmQQ7dI59a IVcEeqA8nYRLfuVZk+WT+45PMw1nCZVEJRe7c2vHwW3dY8RFrmVqARuI8a8qyn5hEKUfoL5gxNmA 6IRS/mfqUTKLhJxskAWim/Ozaha8/UcS2KByOG3HBSP7mayPENLHgkFQBkF0/vDLrJmYd49T+jP4 SkMujI6FjDrRrmuLvlLX6otJqg1pI+tIRThx/vtIUy3ZCLXWpy2vxK7owykjZ1pGi/hmy7dlZfFw gYzsPkkLt/DwrTazfyzcdX1ijOLbetaekkcmaArjBHa0D/vzN/+d8YXB7tXqN63YddI3MbD0oPuf uWIL4y8snvxtaA5/YStw8dKROa5nT1+3hVOCFXO7V/ra+j5OniY44mPvwmUjGp+vN+caqGz8FGpS 63uJp24I5a7Z2oCu92taP9hwv6EFCS6kkzy8IcdcuJr/h0xAVYD1lsfsZx+AsuN9GsD8YjEx+KDu EnuPraTxiOCyipBCfF/inpffFwzmaNMo6bCNNPwkjw5CqkOKnhIed9SWYs0+tbReyk3RZHFHLIfq GIcueO+7cs80FocgtGDjuxlokYddHGkpYlMryzl/E8mLiS1ZNlhwLvuFigEKTGoakOT9dBmgVyHa E8vKKWzcEWhpKceSCCPzdrwBgxGSvZjCigINoBp2yil+DtY67iMBJPPyd/5pod8XdMNx8PFYgQpz p3tWUhAz+kvidSvoaL98jmsWai2zoSxBEigTSL3DzUqW3fnB4Wb/vke861koXHZ+j5496CFUX72k 4DeMSa8D4l6DEWKxRRbRcE7fup6lBPl/7tmniK66AYy4kESW2IY3ZFhFcrC2EEV57KdRe/Omp0Iy mlvxoiklQlpSBaVgQcRvCFyVcXpEOyMYcQUBSQrU2AfxFC9NtUvj/ExrVJY/Qhg18TlEvdu09BJ3 FUyjBNkzO5HWNvuGt9OSPhZvg0hsAulhOkm142iRfPZN0a8PsPUvqt2ON0D/aANroZjIfJwJkRkQ BiWDjWCK316oOHObgqibzv4Bpwekkfm19jJBqpP3YJFcHOJnCd81F7Jp0sJOfELHo9tIJkvb6niN rP3hyWvUlGZUWm9/urhQJgf/hcBXgqFsC6oUfEdGl7WRcs7BH7ekY0vOnSlfU8EfSFco6lwW1wQm VmLmpRcd/5LARtA0rVZj88kReLlJUVxI+7lTWldb55M1CJDBZcZvxWGzqmWTB0g87/QrdK9W5sZJ z09jJmYYBhmsSl8N2rkQ1qs5v56/yq/rkZ76aoG4u0VGZ30Yi6xSi1drlGu9YQSbvrXtpW1CLIaz kgbnlIEaBXYNvWf2ohuTD2/7OpNu+MGptxJpA6nNfp4sEn11Dg6VFQ8M1OD0pvVircHtC7pikKGV lpEPzRT4HBQWfyDRvDt2pidz6w2SK+X9wTWbVCAl+d9LJoYdHDq4d6M4ubNHDnhTkeJlcSfXYM7V UptBC1td2cSqBmIo6SqNp1r80xtd9nOUZPpKauN80OhFg4S0Bwf0czapQSPrfFwkejuXvuIWN04U i7nhYoLMYXhzej/+cdiKSNe9xYhuhSApnJrTAVmJfxARo2vSJjWi2nEiMJSt0ZjOR2nxrPfVeS7A T5Xwev4h1R8aQYuDJhXoRrfWN/PxevTL0egeWMNndWpFLa6r0bb1QQuqD4Qsx9rc71+EHLlgxw82 q9MIMIBIC9KItWzHq1AQfQIDJd5h3p5FlB6IvibI8CZXHE5kHQwkyUBGUs5RtYO5BV2ZRvXTs0kv dAbZmruU2Tdr0FEWqDNTT2jiI97w3IwAWw9fWe2UscptFvkL3AN8VVZ2TGNiO2L9CLTCrA4Dm129 w52wLy1Sw+LYlOTqZKqyKASXUEWry3OWcf+SbOc60opPjyqFtSM+IT74nvF22AfFdHDFn8FGix9T WzOkEf2BlAYzlw7DlK8KEBlUd8jWoY0S/EfFdrVtFd5/LMqpblc3IrUMCPwxeOVbiPdp2Q1kQA1l V8A4cblabnj+GeSfju65M+IJHnif+BL7JmtSk+jy0B8ctFR828Y1g1fWyhp/7WdaNlDUsG0ITjkw lS2VLP9i0eGtcYZEdeJW5GlfALtcMslmxPHAdoi7boQKY+PAobNlynXYAhQCBv6Fq6kJf/usMT/P 3b8AucNK1DH5IqwlAHY6IORsHIuUDAkJ/n9tTN6K3QZSb26wOEZu6KNCm9ia5ve5OaDAWFg2+FgX t2z9uWOgJDPHdNY3BZpdzqoiOZYyrDhHv2fHPZ2vLxv2iOAWPVzLQVhMExuAp4aq29jg2D3LlYbU Khmnys+gbbJTa/Al9wSFmWS/WC5atimyMnZ0itdzYYp3lBQBjBabM1S7sRpzGHpd494C+9U1iDg6 kAqxU809ushTBx7prxiAK0osWgU3wIIJm8TcGld81byOXTYCB5A7EyxqgVXUHVjqpTExpQ3CxExG NqDJnJfCq/PMOm9xsdVJ5w4x3IOxnPzjPoGzXMUNcOJqlk9ZuyJ5EcjcK71VsUDeiykWcqXEhLDq 9iSoY13zNZURK5LYlcCIeyEzlIcILzHTNWvs+nfvTDUDIEcqDVLMA/wMzZcsbMWubUsU04Csb1JD qQ9qdXuCKchgUP3EyPOcNa3aP8ez2m7I+v7mtcaCzer928pWHHvJZJn//O7QTEc7oBh+4d+V3NEB +iHTi25Gb9ZVJYeVB7+DekbTofB7qI3gB2aOz+UUWQfxtw2gEvq4D8XCl5MnYJMONntTV4bUoLIw /HmXsSQUdVI9JAk6YC07rLcl56UKHO1lRqEhoLbvVff79GC6L06PjrJlbOn3UcN6rFWlSxWlMjcE DDN1JLbTNplMhlJsO5mSGciSL3xbh78/srk2tZii26Fdk5tqD7rm+WJVSONTHIREQGNTz841agWF vFcIjXLkn3vY74Klo/pEPb7gmW1EkgL1dqhrsH/WeTO0N3AetcTTK+yQieqHT3rDJByk4LGlPe/F 3dKSuhEZk4sxhXWMgDpZJy3s/Q9f6p6FRNyrkF19fdj+OHmkxxwEOG8nC7Rc9/+4gkW4U6bdAiLI ESgjikbxiViw9087bGPKzzG76u+2h2hVtQjUc1jqdJdURdTJXrw95X/+pnkB593uoW17vMx2mRgL jrRojpsdNJ79fwn5df8lMZzis72tzo1w4HipPEwTDeHZkp16spocnQDuVwZjN4Fvcrj7hfW6MtNE xLHUOJi3fQM61aLrSz/84F8/Rcyl3TiLJ829TNIHroerXf4uKbuqUJAG8puOJFshsqTNO+hS98bV vQXqCwd2FdLG77Xl01CTjr5FTK1WynKsaCtJVoADPOV9y9v5bGvvAJFGQ4Xq717oD3ICM9E6uNv9 cY4j/KHEYzlBg5XD1iDJxizylyGh1eETAQdpZ8vidkXvLqsXxEbNufffh5kIXzsqtQa8FOEAo/p5 2shxNq/1jRQuB0xf6Iaql2SeAvwnF16O9sO1LP2kXleMTKl5IAOs4j2L2xRbUm6gSmac38ah3Dze M81bri/ux4Vfsoeq6FsNo9cQjq89vnpkKfqWEdRBTOGRbWk7DKp5utG0gVc4Zv92eHrvXJzqdbA6 dNusjGlhIvVXGpEjq5QLsvRjjIrs7sPvL7+fb7SozNb+e2X71hQRe/VMJaGhfn5S8iwi7PKzVIHR SdfDu5jIJHOsNwylG6h6LpfDTfbTFKH9WOwwYJ7Cl2Q1PZPsmO6csBCKQzPmCJMC0clxmvui4dXT 3C2PjdAqYH1ZM5TaImw4v8N+ln7Hda+XIBuiQW49crr19sN5ZnENOzyOjHVvl4Bu9+7wTPZ1Sbhh jKkqKXOmxGew9X5B4eV9bEMCclRqfNEI6RD0W4w15gKJ8/ViVSa82suVoI/AWdcHHsplPb7LVPV7 c8u+xySKF8pk2X2To3oULDXin+KEpSxGoBS2dhzGCBVPBJbEOGJZInozj3F7oSH2vu5Yaf7mC7+y ve1zCdvPhmFQSr3hHDmup9FgjpuEFJhNzNpwKJBBIBj7gRquXqa/hmIy18TzErx67QapUlwVtK8j jMOIZJCXcDoTzIZxUcAEGzyXLYfmQ3PQXz5UjNDjdL/EzsEz3tC8MGPi+1tGToeu7+N6dpEHw2/r GVyeI0jhfdZED2zce+OquXbFBDsN7sfwhqdZeUZZBdr8a9CDmt/dLMsP+f5qenrUlrX2oOb+cSEO LuhFxcdGSioDuU1+7v/JznT9h/Xsnft8W97TUzaUfJ8kXau7utAXl8qpyPuqXklij6dGZFYgNbjb GlpQ14CsPBEa6hHpIJLn+sCXyjrTu7k/w+zw/rZfTCTYj7yyKiuFAVghkZbAY/lOlxd7bSMYIWu2 kToWzNsun6cIyI3N1JGdZQCtWM5qFZYIJdLIzJ2tvx/QWK4BglIEHnEzIUrsiKYth2Djf968jyN2 PmnYKj/PjcpJBoLiWjI6f2qoXhZoM6yFPRJjvpXpgjEXEbL7RSrL2f1wxhEgizoum+JBmhuyZ8Cv ECKDpdyevAd12Igke3JRxcgwNwbSMH/aMqgixBWl9qlojZBu0y7Pl+6kr2ta4kXhgwVLplo2XfS3 oyFgzPuxBA6hCot65PUQ5pALgdmkaGXZGkJ30H/PCPJRBdVyzHHfJEfuV0Aa5TlcSjMoqbKSdJBG +5KwH6cAwRgDMuERqzVqiXwJvhGnp+1zFyxC2Mso9ybzCRyqzP7IvMzUY8Ugm+CjVrmDzeFJEpEn e9fG7twrv8pibikjK6SC6BavXJyKqx5eKB7cPIl993M9SATQ/uk4zdlxDNKVXSw7epzOT5ml+hXh Sv7wXzimsFwGNJFd/MPUorj3+kc1lGSe2yeiunfT2zI0o9CcpVI1gzQANoc1dPPbe8i1/qUR4chf 6VNxiULC2uliypGTo7elO1MnwT/7j62BCrloDB1piOlGiOyid06HwdSnHVZtzysmdhmDZtwVqiDZ gIuiWt83mL5C4e8Zs6KUMeYjkMm3Hifm6aX3Fo9Q2hl1sdPWUF+DnHRN0/ta+/TSnqrTp9hvohWq MTQNGsRjGP5QmzslXg/5jtcxq/viU3KGw/nkR4JsdVqmecxTfYO73mFA4ubAZJh/HibHhZLFMxo5 KysRYFyGhcVDQYyg+mXCNosIOxBDpN5TSmvtUclFfIFeAv3n2NeKQ5jxXc0vFADLE74E7znlL+96 hBO5fpS1D4JZFQOlRCy+Zl+Eioubjg6/RUrY9sxyyU4HbQ6EcziSn/ECKQUPphMrYo+Bdwvm9/22 D+UhU7YES3vL734sx9pDh49+x4S8HYUAWzu7a1MbFi5Grt4st7mvbp6mRnG46De36jhMxb1K3m+s BODg9ron9B+OdLCCC1j+pf+aecAc3PuZndysSBp+n4sjm2L/QXQVDJFcTs605uaDixG1llRukkB7 RX8Bxks4wGg0gYlfTI9T1FTXJA4KYCX7rAR59nVI7RAfzmnSYjG40FSFcQvkRscvRf1uGzRyGLTq huRLhmKmHERklteB/32lENajYc//yKkmSlYYmn0Un0c3sPAck88cBuj7wM1NGiwaCeISYGGmOEqQ MYFWbZu3Q+nSoThyQUoBFIwfS7vgFcWYIpIFalxB5brrgE2V9HG6HyNTXeqtLotNoxOB5KjHGVpR FEcpgXj7j9/sf3TRUsRbI7X/KsqiMOV3HRzi23u5AGozgHXKktj/pn0kQ105wE1OTyLnM/n6AHeQ hVcaklGIYcaVl0tgLNWY0bWc5LiqlwSJo6G16XH5FByixCs5Wab/oZh2N/RH6EtZu59/A1rXE1xc I4UQKECNZc+lZXVRp2QNm7EF3Dd7xqZgRLgXyWFPmqnGoKqmVuzxhEWTt+qf7K17KdgZaxTaOovj hm6puVZs4YbR91x5xO6ztzQh19llR9LzzqlCI9hTpXqZa10IBvTbbtTNFnUkmXKW6/EKifxOC6Jo 4lDveI12VRN5PotHPUmLumApNDlc1F6T3E/R3780NPLl3yxJTIqsxQh298SFhDz7p3LiUTVte2Us m+Pe8egKezHJ43y7wlkPWcvXlUEEb5r+5TVwsoAL6TebTm/n39JFImPF4nOv6sKxd3SfwSd+EPXn MQ7arb0aoIN9f1NPmZuxS0Ai5NNy7OIiX4dVQRMY41XFhKlzCCGFdl920ztLAIn1CWh5tRYqu4nv mSpHykhsk7JMMcU83Zg2aCIcIt76lvGofyxgQ6SsK6ks+VqULodCUwFnow0AOfFAKFd69KOQq9wf MIqHS35d/VsU1wZmSYuxJde8c5ls9iyYtQ0L+5GQ3J3AdmjunrIHh48J14DhsBA65PfIVRTuZZLE 4bNHDSEmX02PwVB/rYvNLNKU3mxUzjn10Dw/Girbt3g/01ysT3pJlbznxp0t6xXPzXBZNP9SM7nU HdyHObEbmx4/W+0p2R04m9+jsFg8gkhdFVkKIHEqx8VSdBVqs8TVZzRQbega1lpuMQKiuZD8TdI9 ebVhvzYz39wiNN6T/Ni6h6nsJBq5W+w5BulfrqTimW9nGMHbHjYrNnyREp36gpjwBqe0yLt8Jbqr B9sy9m970vjMOGDhJBBVncpLs+BvSJip0UPUV5qisNruE5qpk/tlBMqNMBq9/C3JtJHNi9aqEi8U sPLro6rDMDfrLsyG4/KBy8SdUdrgHSutcUXp9AETMD9AWXe9u8I/djb0dDCLLYc5mJ6t0Rd2dxqh ORWc/+cgJQq9L7XybQN/9lHeRyAetuu0f8nLwfCQneiCWQApGytKzPtbveAy+aczbjolySZ1hUqk GCNIsAPKthOfzQstCVTmd487afx9iDyFYexg08nzLGGD9bAiyf6wFcZKh7ksvefnKfR4RlC7kH4s xclP/iCiM8FBQ0ho549BA2QH75ga/UtXuBcYMkOjFwvZ90ovchiBCuX8dehboaF5w+7B4D6nqbzc 8Ia3CdJ4SsoPbFRyYjX9+CUcFezHsgOjXNpnRjWJ+mp1haQEDdAC7+nFQk31snRBRQ6GRcWw4Nfb sjCoQMQQWKEXsDO4MV4NFCN8O7/qX0t7uBn9X3AIPJOZM9ZQw8mtaYuts64HdWBDqRZcJnfmDPbb 6W0ooJHBcTW5P6bqLYBDeTFfl2giucqtpr/e7Y1z2cYk6IQux2UVumecywK7JzSq+c6wfpjTAX7k fzGsp8Usp2q3kIz3ZOKcAh6bLkMdDExs64rzpO0dQFtlFab98O5tt/2AO6bfxgWLn5GoHKslBYIo a6zkUCwhazFfFq+wXTMqMZjD2quevckCnJptzqwJCUJip0MKFXzNMTR92tYZBbOUHoSbKkjctC/s 1/5qWG42WO8uKrZTD6b546Bp1Fscv3gTVR44BUC7KDkCTk2esF5fLNajNBVqgSotDTKA0Z4iIjl7 5GN1d68FjtAMTMnxiaqaXWwoQbC0zOUrMxTFeL08h5m+HnLBciNl6Qiu2b4UuXQTOTzQl5I6mhHF jtiuLaNddNOXdShhTzjNqSBK/DwaMP6Syf+o1AINiiMk4B3xVXyrIWx4WeNBDzcvntFWpjDm8ShY B7FFKNVn2Sxwd2SjNB4HQXwFrUn+LUH7oabr3rT95Hip2n/24UVfyhydXjW7twvRFET6j7fFwfgN F221nSZ5CEHjc5AyX8QZMk0Tz7JOd4+jEhVDULgJfc+8x/7G3vRIeO85Xtf1qaJoPd8qy3frfmYh pEvT4B+iaJQqa/lf6VnBhD5znp6hcRwW49ha9Vi+NStO5zX/HnlXfxiOWgwbS8SiM84MmPB9P19l N7N7q5O1OEvtaSyNHah91/0ntdzv/WFrBHxDq/8A7qSczRGBURqmnflwv7AY8PvYd2SfcsgliGjc Msa01pcKESGQ0mrUDTfBPne7VxdwP0vxhbC44jwh5X0b8jvaJtPBawXF5/FfPcpPEA4qSmdt6zip oKxfQKr9WkcpGdbCXNXeMXt6dGs+BmJAG5VPCiTKxK4CXPOMBESdfYFUpDhJBNHrK+MD7UTdT8z1 s3AsZXFC/hWyx3HRUykwXSG1TdD8J0fSxREnkHZ9Dh8S+jf415+fGSq/WAyUIF/k7w/PV9g5KBb0 RRZ3YFwcubfxwZNiFuO7tSD+Po3T02lFhQ7zpJk4A1rH2klnbhK7Sm+AtXp/JrEMmtG05ue/yw4I P0s+7ttr22tqa+pyUlye932RJMBBvyDhx9x3CPDHI9PAnRgZ+f+n8SwmdY4q4bmIxNIj/mXV+A+X 0kXnEIiCOH+Uw2MKJgUcbJi921lgnOdkQiQOfrqzPMjAbwy8ixGvd8TT56YS/4evdguo7BBsX61T KvJmUVz7/8uKZ/gHgcXng78WuQdcTM7W+mCj74f6FI+iUbmCsnupTpyPJNcl/w2Rn+fNkWcnsKqI 93/S5xhR2IyYH1yIDIXBf/GNcCt4Fi9x7lAsZd7y6ZCXk4GrMqEi9Ll2uK8v2wd6RO332hmin7Y5 OIllPM6hnweWvRvMJEGp2SAY7klK9HpLmx49r8Khmxg5pl8kFLU9/aamTCTrdKePZFUnRgZ+c5Pu qDiWSe2tennDKJsLwP2vLf881e/BLC/nWv1aMOW9ful3Xa2j5W7UP2kaYLprSi7CbeSe2onnj4Ff DLQXefbBKOI1WD+2yMMyQnsE7zGHsWq7Ue5Ede2ZKIhiuObW8YrOOOw+vzXPbXweSlY6Q+qWdsAK 0T8RbKqIrP+m9+KiOoVW379WzzdAEjmMuy5gKb0IxVMC5A0p8+5nhmXiplMJAXYuWH25I3PGLTpJ FVPrMAQQfeOFZz9ZnY4mupoK9Ymh/v+4y+QYVYH6zJC7/O2lWdxqK9agv4NdmrnUdMyFKm+oRbnl SYlhQ6GntVLo0SmVqhWPL6I7ryvbt8XSpvoBxuYq6o+jxwehtc+0G67K62UTfDdYSK68kAf6GCFi ilW8qbsEUoD+vjmrfCG1I6+oqyY1WatHHKhHXTcb65yPIOYJX5rrKpPqR0u5KGjzaW6lAe/DsrpO 6oPXdd3SMwpwkRwJbZa30DqPDh+S8wgG/8JrL9G0ucRER3tqfW3+Ax1JYERgM8jZi6W/QM6Dy8ck grd6ehamLtlN9cECJCNDrevK7GHTNyao1Aes8tTC5u9DYxm+Xvh8HQlRAJDq9RW2iq4YmrVJgO/F eyBKrp6zYPTMvvp8nJIHFx88WqAuNPiigDpwoHUkQWeUdC0bJ0pC2ooql7w0e/+IrjI0jpRAXwVE cNghFKYKhGzr43b4ic5e9eDkc7hVO2LZs/FlF9W0r+ESj5DXAd5sWTxPVGPE5IyZincrhU702OLU CN5LII1I9NKVpcneTB1Jkl6c5vcMLhn1Anqu25gizDNo4XVgNySbo1+W7NMYE9HqUy+I6xwpTvgL zt/BTTPykoopNWaLY2C1kA8O+FyHbyOHKZFrh1WKUVX6DBpIgFdGDZMwmbLKmFmp6dB6McCmJa3+ 14rsX//2WwfUbsI0jJqdVKIcLRefGz/Xbiin1y6DT9gJEhsZ/BbeaY2nGih39LEWt2v20ZoYhCJ0 hv+j8LNRpQRSS49qCfUg/4ElUZFOdU03DV5FpHG0zYcq+oeem63jD7aPTQaQbkEANH6C3ErRYKC2 ad+tia8nAmB9/1tOGXpzXShXreLcXRux8G2j7KoPpqsEqX48AMg6MiwtgdNgmqmcPyVYqR2oqraY eMVOAIuw2ts9fS3J/PeDDKy67+EkwwfkQ2ri4O2mBIJnNczd/Xq/3PFc8BKnhQzCmAAndus7t4yL VpFKxZKo7btWscHYQe1hYIMYoLMVRz8I5k7EzeMutiIxwKbHNUvuDyULt8edLn4C2g3/KU4GAa0G d/u3vwhyEH/0UTl+KoKzM4jkZ4xlV5nuXSQ8V4xf4n+kq88giCfSN7l56gF4PruVgN0HvpNYpTeL iy+AJ0oKbvS5mFH4OvDO841q04nwytKUuXXVFTHBOR1YoY0JwmV8Olkrq02iX5hWv681rhikzSdP Wog8AnzCbZVPMVwoV+zxcHbauLtplz3+PTTbQxOFnZB/a0dbUP4BNBEq2CkaeC1CpVbWUia/y6wE c5g0k+scJgGjIQjMNd4dQxFi7TPentRGQo/+IBFqMnrIOHPuEc+riEdnT3qtjBI0RbTv6woEdOVM gGN2gMTKKBUmSiH/wqQqJA/6S4/1t0GMlgR+RypzLtov67mdNd6zvSldRzjMTI7SPKRCx9jfGA3c rycory50WUaMwTNZMiiez1lkWIOZzJDB796C0W2jz31h/GJsMQvRBuex0hTly9PC5gqFfxfcngPp VMZ8G+p1Qtn1YOCG8fbL3ABwWyWDROTs+JNdQLkDTo6q0kZJVZm4Lm+c4YVzD60EJLnH2TQCk0bx c4QPGsm1BIwywi20xGKfscAtB5BjhB2L0OL/ww9LJhfP8ts8vm0WxI9Cg+zqWM39DISX0/CNyUS8 IMwFO0Mxb5OwkBoYnqHf4iVajsoni5k02fmE/I7gRG3lq0E/uiiCXfAeFRbc3FXZaNguu23StMm6 Y4wyqrGHM1V7n4g4TLecoGCjnqNAUAhOKGe4mmwKzh11HjWFRi/YkoSlTLsVrevfepcdxjwlFF7K 8yUUsNsYjXFYog+OOQ4TB5EhNQ5/1sZROE2LIx0I64tLjOVFyqW6V/eEdcv0R+QtHrSBJkyWLpTj +SePO19maJJGD4W9qIpKCf2UNsL6+dZD3aB1uQCxUuS9CqiSmyT9Wunv8tRBj/eONbCwqybEfKk2 n1Lc/+56w8p9sD15PslKJ9h6UKc8nxOvyOJBukqoAW2U3+hlbr7JRT2ipNP7okwL5zJcSk2RJFfd Re64+BaazveD6pbPLNxjPFxueUdHfpUHwW/1ODaWma2uZQntHiNi+cWiRMjwMvUM1eZFKwGwdVrD 3aOJRcPuDBejnY9J4pLvQLOCxBk3002gxv64sZ/nTvhrgkCNqJv4pgzSzCisZwTJa8+B6wZV/6Qw t71aHaiheUqBXeK1Q/rseCJRxjoJTsMrzeoldLFjUjwOINyfnODz5PtYPIGm1WaV0e/mEkwKvGz9 S1hb2K6V/Tt9HF40H1KifgmtECv1rb1yIn/Tk3B2DfI9AUG3Ab9rwEIqNkySZ4UuKqcGMfTQ31cE sawZftEVNZ5S953pk4xceUJ8KMEmcDysPa2mS5DGBSt2Ws9k/nVvb1sry5mxJnrKFgutGj8/RwB7 /vSau+LLKfqzIMrPYAbg4o98eEnQNUjTsQ0eJDBZFkvWa3ALAltRVMNQnlTKfA+gR5dPA8+WbuRj pr5Tzge07AF4HVoVVT1HFVy1OEkOXAAMn4gS/bnAdREL48JCmL1XGwMRwYq82AcBuLgY8Bil9kh1 H1vGmqJzKjvwdeu31H1yhwmZEV9gyd8WObSYP1/uklDO016QY3kxbl45TXmQR9j/mEEbc7ppgsWr jn8ymXppbcm8vM2eKN/g1wAj5VGwSsbdyhqpSDtW/Og4nQS/IKNkF9W4nKgfy51YS3iYUiubszD/ 9JtoaITHUZ+QK2ALjQFUjegmymJEYNp8H2A+Yf8OAL9cLACH1WxqZgX80DI+CBNiOTNPuo5X4U2Q od9Jchsvm9Q6YfuLXdgke4llorN+JU0ykXAboxsw9DaI/QGZ0fQrCXAqYs/D78PmLJdY69kir13b pAuel5ZIw0ySbHlt/Iegf809xShr+6J/jdzteyZJCYXN/zZG8wmTdn2pfVni6dJ1nF3QezOCSPQb K3HYA2OyExJsI81wzrgAZ9VhsZ4BTkAku7BMahZP6JDcABuRM+Vpz75eSbjs1zqbcHfmLax8sTF0 2o4C7T4kUl5QrS9YZZVkJ9wcbYfYwD15f/jDppzvBAf5G31jnT6G45sFPbcvsz747jCu5gOYmmjI EMpUhTmZJg48xT17SRkH/iWx7bt6BmScpG7SN4TTCA7UtPyeTvVL3itr9C6I7spcyJIJc56K5wEe PsMtWIWfmdgZ0vGKhq1yGoz0Ga6ZYS6aIthZdx54nEsMGR0nyr24Ky4zrSe/JjTI9avUpUOtlMOQ VCv3wfYAtjD33Bjhhdw6he4fD2vDKDfnQ8D1efkPJg4EpAMaf/ZswlIkKVaT8PmbMgkpEKUkO9ny czM3G6pQSuyDYDMpkSMwt+r6KiYevHLasSl2WZt/E2T4NZQ95efmXVEXpnH0Aer4PJ+adbkGkryg vuoMGiwQ0FZ5yWxEgPhdH/TNaDJXHZ02SVJpXJ5lfpwgFUVXSno4NiKYwgtEzXRgh8AQaBHpFi9z MwTT0qhTe9illHau13yBhN93ejNYgBtcMxUkThqAwSYle4WthzC1WhXSWS0972Rw1orNzhM5ROsv UWwHJuJ0u39kk3feDboQKh4TWTChrhxd+KXU2bHjE8SjPcJTriOkDck7YsVY7+U46YwPiGuheAKy kXeXwYjndRr5o5Di7rc2jceoBxrdOxbzYKGwouHpMp1vQVG71wvdbJTHt2WMOPdSVdj5L2W04+uR 4h33pGK2sg9mBK7FAaZfLL3iede8ql8MhbnSjZAZYfrITyDNYfuk0AzoUpzY4xaEtxOmdQrWMLVR NfmK41OlG5N5PWtVEuVp8QWw2VkeEQESEH+138wtNesFoGLCyfHnq39gEc/6toI7jvO/X2UgRrRc tsbb6hzVDov2U18c7MHtB2N6Olb45w5VUaxULhyz8I+rhOcOjd7ik0GGl2aW7eQ/6nWpPr2AcCb9 UJpriSxLpo5k7Fb1hNXDRhS//W7fiGvf587V2RX8zl7WyxSfYitF/74qDZRs8KKWhU7z2JbYWWVO NZNAQm9RTHA6KqtnCjSpi8s5ouBxLB/D+Z6feOI9xRSZLY5A4iKxhT7hvUwjBWEMx7sFwXQa2iwA 456VZcYPdMngmBNncIjXrxmu/jHh621knaSjoBW9gBqzeXafRd4pAtKW6j2khuJ86Xv60zSviLR2 OSTVYm8jd9iaCD2pFgqqqzEp9b5VW/XD+REQPYDOHCWoZqu/c4Ls+OFuqfCuiRz3KhzyH7DsATLL UHnyYihIz+axTCznM1X5kMcDnL8TWfSbwQCfUSuBu5BoYi/pOjIC7sx4YMCuJp5Dn34N7VEwinLS FgjJVPaMpt3VVSn16DeTJU3QyxfynrkQHmGRID5Vt6BVjfY8ZbnimV2uYu3pvwx5H+dVZAdBqF9j I0yhI2YBbDIhPVdm7tgpgyQwHjlOUJEdEdBZFiClpszKPjQjkh7LGVrr5GZtKiVrqWD7PNNFXVqu qwua7WrHWeM4NTRdCUX/WDKppTmy2z5A/WPKpqwqSAOroLhNMfiVH+0WTZQpnWW4tRdLK3egohgw /2MQ+kSBJ24gUNIrEkuw+QKiCLe94Z5UfG3I1bHqfvPRzAY6U9b1A3WyAqYbdzGb2upZ3vSDNbYY 2/fTIw3Y63mxKJcaOTOGhvoxw4eQ6mC9/3PdvHScZWjPQ4B+JUSkGg6WBhi3eBsvVXQ7KPFbpeZ1 DjEyudvH0eU6JOP06stYUH1bbd3Vv+0HA1X5MgJJWMngKDAw43TD9lp4krUdCYEh8RcoMiLdvJxn DWi1Tk0SZ6whdrPRY3AwvB2POYo/T/whZSeELgL+JExtksowpOrLX5wbqF1N0jvUjvbIA92Qzczi 9xHiF0Q/9mK5NYXAtFSjphEdFgN6DLYyT+S13DICiWPnhDuVuNOQw2LK7N5iHwzzapWAp37s0Xs4 Hr2WtBbFO5R0Ov7oEa3F+/BMc7Am3IDlP9UsvN7GZ9+gn65KK9jfIxkpw+gtaAthpWx1GFr/hcQT xwmmEJvLqDddC4Ua/PRVUWJioLv1SDLK2Q3V1MAizkOWJrXJdwsgMm6AG8zq/7XPBq0RD8/UcXy3 n7DDjGQk73+jfN4KzKit/yLQxwu5nEuu/REGMi2Gj/uo1S93tVEsKHH4L4xc0CioYCBo7tnVfYS8 xq7BcHKrC5pGjs1jIYoxcKK9ettRnp/AqnheqaXz2XIThA26GWigFfe2tKkZIC+SC3EjiMNVWFEG mGQgq4YgWreh6JQUHsL/kbiwSEEwZIBoqa6VW2XqsCoe6wJipWuIWFKvZvH1Znhqv3Cs5P9gorjz TTXizK8L+xSI+qq5Ez/IVajkreJxmbxWYlxRNDyZcJIvqV4rgKlmTZnlfTIaS09bIwVJf2FtJ3df xgiihrnFdm76vSEQX6xoQR++d4fFvdqqYkg1gnYKvFs+0bioPHHsOUcQzCVxlTe39Bn2pkB5UJQN Mw3/cOlTsQrMU7F7pWz8Rpnm/e1iqjp+6yERT717QrYV31FPQYnr0fZa6S6mRq6djsxBqrW7+jX/ nN3Kzme4tiiX84lDbXlwJSkarvhJiPj36e/7/nFSQP3RyrRty8a0LGrK2SJh64I2CiBI5oh2uju+ drKKi6phjrghaQqSC2A43oNtifkvlz6cCsZ29pnSCbKlRHwIzy3NV1wHYYlnVNxsukOXV87CoATj PEZ00U1DooefsBxepniGh/+SdpiFvv//iDRRdollRLBJ1zb8QFJyPm2J99SmreYF6f7tAmcW23W/ NoFRglU7wJrt0aVFu1NX3OO8fGOvd1A6qthBnC2G6RMovpoAkzSw2w6g9Wcy/LcAb0MDLVg6k6xD uDdM8LP4dEifl/0o/qhxSEO+vNofZLHZBPAt7bfEVtfIrvn4Nj8JFxCiIoQSaeiEuChH/p+hKcuS 3eZQRkRPbXnZ19YB3tZX9t20v2/t6idwgp1MZ9j0XTUFTYtv7iXLf3KXxd7KsEZJuqH3rYM9BQyI Uk3VNJCTIrCfDA0VVHNumzbUTE+NMS8HoogzuTYJl1OiwBtfaUMl2OUpNf/G57Xna2QGvAXbbTAE SwuVDCkqd/2JhEywu4n8Hvb/lzM/+rOMnBpfu+Yfk0tXxfkgVg9gN8DJH2BtS1B9bmeAJjC0KBba p5aHeqfUwMQy3nb5h4SYb318jUAc009O+pRbCq0BwhOxr/jVYbtz8gffch89igLduWdITlmxd/JF P7OwSg1kxnaFjuC6XKBGtPiSgFCcqBVQPUOHpvlODP3E8T8EAmzTvAsR75v0qzrlvyUTcunzRZch C4TukASvL22OnFeYY22hCsPOuchO1jAOKf38eycafH5itxvHb7fVj9ipU7LdDfh6dJ7FVlJLkSCU UDUkUECz/tMIq01JomcNhaQZ6uL7DyAIqB0TaCeYxZeUW0Fbe75tXx8SpUS4idrh89oLsLjyFxsc S1FM9R0AQ4JaBRfuraV8aie5NiV+YSL9OvJ/deIQaRsNZnqVKOJ/c2mzbWGPRoN91tjK/wLRqaki mvcmjH7O6Bl/UEtQJ1x550bGP/W5HvjrOQRZWD+ThZs1NdfdTtwmeK+MvtO6sfco9jBmIbamZ0jg 5yXjyZpRXzf+cB9XytS0JlXco3b6JrFgOP3wwK5C7QImT3L7FsbbJ9VlASjwDLxduX6u3WcuYrpU 8m1/uZAC7B8/uFBcCSRiYlEUS/I85wRVk/ychhgpLMD8FsD5g+wKDS97nPEOaIwA4wJ+iZ2e1aew ovoKeiHv74Vr21jQhe+n8KrMsG35SQXmTA+FNekRjMQ1tBPziW86rBU8LpSzdjgiSawF7WuB3Pcb +kxwjYNYwKQp2w0kjnFAVm9AkKXqb7O8AOBzQwmZaDo4kYsTcBCSgkbUf+2zd4Dk3rpyT9V7+m0b s9hQ4t1LXb677Mmcdana+U7MTOgTIFQAZOaVrsJMEizGtbhSHaic4T/8VNlSxS/gCiR2QpXpts8E weSLlU5W1ke8asbWkhLIuagN4jfiaAtsqdke0RBuAqLq6IhgVo227R7ZGCudQD7XBCdNaNryUPbS 7lWqgeasU1q9tSuYSHfCNKu7kYFZP08PYgDLqwVJrfuRGFkbfRL6P4N4xbc4hYnOcy/TuSDajUEc WxPXcHwFnO3MvDuDtns9B+vDzGQjMu/HgdV53YwqVcmcLNDa8SyUmiYwZlONQ+xMPH5qNXfuVpvh sUnZwUxBisyH9dtfwypxLFcq308DDCmrUgv8OWboBUiptoJ/BAftD/qvoShWGmmxoLjlwBEqojLy LWCc0skVng0zB7B4ngj4IjBwdmi09tIqpdRbDlhymX85tzIBo8wW1ffdr5Hktv7wQ9l9ftKKc4dv /4AkYG9A3G+RRRsEcbH3emDl1jYvPuug3ow9YCPQ34ukmLKRihwZYbVjlzWr6ZVuKXHP1WbfQztU aoUwARqYU9ElCcOUDO6YKeFTPfvWFxa2jK2m1RPaHxNkpcVY4S5GuZmQ2dNEhCwXpq5EB8JLQpGx sPMbxA0lBYiuCxCVHFTel/pgMw0afH1OAJCMjDt4+OzucyR6AAG8UrZ4aBKxXIzgsXMjrKPDm9b+ mqp9panvZVWefW9vfKcJYDuS/JAdfkoo8n76qTnkplCIwaSvBDGO/igQHkHNeFK10Nso+2AF1J2R TT18FDc5+PiZpPy0aNtjLZ1hKmnZOwJ1WcZ3uQQJDEx0WMY37sfKhuqwHPFh2/t7vGH0g1cwCIgi xoyLQjzqODu2onlDWkpuU0Lcl1NM52aJo7TllyGfBLBGjf1Z0/u8oRbC0u/MYkpZ7ZmcqG4d1PZS DLSJIvzMpvmzDVacQOso+VxW+E3kxeF/0bln7R4MTfAjVQzwwY/rs0ciawR9MHh76a1QDgQGLOyN X1Rfp5ZFvu+fBGDzVS1bnhMOxUu5RyOhqzgeDrSDONA7Wf3nYHdiW4hNSAr8JbuuhOJQiICT4KX3 BfK0ytrLqE6fPsQFztoNvGjh/vY4c6fqGFXZK+j7VAgvpN7YSpNFM/eJC7Lq9/FYLet9Cpo4Bh3c 2M3S+Fr9G2I0khHdhvQLgwtvyoDQW7VNNydom2DiwPjXqFLa+hHnX+XQPwFeqvgI0MadaJfkixzN 7KZxUQULsKMjxjD7UnRnvrexY/3qiBPT6flSoLOo78dBqIp/Ef1k/vk6DWYgysY12rfsJ0pW52z6 SzJP8NCxRa1f69KtVVIblOqHEgH9vRPu/kePm7VmTcRcsBhfoZoOHE7d4U3N7riLRMiu67bE4dxi ST1s7OfvGT2iWBcELon5f1THzqa6mwNMMMryCNEHnpYfBF4errBHfP5ccU0Snme9BFIpeyvAnWcB wEaDj4dHiI1s/0ufgkykMcgD6WDkri5w+3gKJ8DFoOFrTxGTgbv8D2KSMeNN4KkUUzjBLb+kjUna CmZC6f594QOO9umiuadru+FdIOcNcp3Yp9hD1f5YLFOTOs827o1ghsLaL+z8ZCbdlmOLj+KWHppT MyMMpKwxCYOtgpwYK2zl3PEh/8eXvsCzaXEBhNk2egxeOQZS7rZgsAQJyN7IqRzuq8eYg6v17UoW iYk7IpJrAqxAFH36tMWKbIVHH/NDwAWDrhPDnrHj9Bdn//c59zAbU+cNuyyuivzjTPea7d4dCav+ fRssOQudwJU/DlFrBRXZ/Q1dhZZb3tKVh0l5MIkInqyb48AFAREYvYnYIrtBxXxCYeUShuVdfEMY px8bDhdpvvh8dO1zubxNAfWn3wJG163SKppAEjbPndpJcHvaGjcOBszTNY8XxgXlXS8PCKo/GObn DWva6buRrkTcrCrxpLqfE5sFIkAhQAt/zrRYFtvRsd0gDYWt+rWspv90ExCV3jhOjdSc3ZCPAR5B t4R7LVDb7e/T1A5bK+37sUlKIYe0XBKb2jCZBPIY9FvsxAGZIAgMM/UozDS8Mt1qpn1qfODDrsdt WvNBmvh/HkiPpMnFEsuf+5vE0LBrdJ6ZoqscidUObTIQ3gIh2YTsB9hKjikO6+rc8Tv9rY5CDmlE s2y4yLsKO3OLbyNagvGTo4hujky9ZwxVGn2WOm8Ty97cbvxAZdgP+dkwUrasXrfKV/7XsTSc/R+F WGXhizxY7nOk4xpjgksr4+5dqHTxccH/ta9A/xbfUVSIK1C6KYSUX5Jqk5m2QfRx5KS2GIEu6MS7 qjANh0xmvMJ6a1KGt2Y7QNJT78a5AX2q86CmUi4moX7opIkcE+4f0fCJtNCRfsQevO/bZMJOSvkZ hq2AB5ju9J08lEsKV3vguWGj60ZVh8v3GPj8xc9+tmYwXsRQ6gSFmMQ4u7V7U6SvJcQs+nlkgtmp MDlKN2vbLXM6R+Xbvudv0woZD0yUXohoHDY7sbF2/blppueK2C+/INCLUuIxMuRukXC+CTLjoSIR rhnaLYDD+baipCT3vUU3kZgtkHOWpvIfTjDj6OMUO+1Dxk7f25OUTrdfflq8Z9wP7o8A1tAXWWXq 7XhmXRvOTp2WFA207rHkJ8ldIABAef+KHIUd7TaeSuS5mrhChmE6nlcP3CSjFdRHe0NhwyTAjyAr uRZZYn3UU8XH0dlmvfiV7j8RkfoPYK93lD3UepVOYXbJcSAVDtw0RCQ61XWV4YR5f+QqdKpsBWvq PISEsnySTnnXi7hccUjDAigG7dHgyf0mqjcO0+oZAoAJt6F4JVyWaPivEQDT4rhQRPpQL95SF7sy Xh+pVthMK1wx/5sCNxrZ4jFA1VDX+Ti2oISD9ruy02P9ASj2Z6LWG2q0yjOhs6i4kJUieF99E8VB +OCrBxi5dUx5i7ah/xHMYPDzMGTm7/lVBiGncFAx6a92lK8FVQbvTMaNbelAeXdyAFAbZ0Wi37hv Aeef0jOiE5DoYFHJKttaU3vocx9Xn3foXDUnCZiLYVC5H3Fkc41MurABwEi+9NqyCI7Ev+OzhHh2 oMiUJTsHEDMCFC1rOko7VsNgUN/uFpxjXyS+7KUe0xZs0WPFbmu89sZvS3hjrjxHlODfkp+J+hFk DLtu7fq5NDwaTd+Yv3jrkpdl5Oe8+9svIdPiwTE5I66XNL028eadoVP1kl4v2Wl5E8fc0w+mPFBP yTZiHE4oXkVhOcUq7tY0pznzNvhDFVIyWIJPebxDt7Kw7crFGuUW8rZ50pTW0tF6w7IcMHcFnbXW Qc+gCt2n42diWzJnygoEB2Wou5/p2eFu/VBljrNhbmQIwhsic+nsP3nCOJPbyW+TquzMsR78z0y/ oyFGMzQtDN9Mq+thkh2RXIo4qI4b2P/eXntCDts29gP8SjtBgPapZNlGoVl3zt+GKd5sKUitliHB UdvEYOBYIkfWSqJFYBkhu+0eudxP2k9QJ1drjQ6Gz54n+Q7U/J2hijgcTw0Hk+W6RG8n/t8DfhUm 6LgnzuGyYR36R3Ti8kY//i3/4XsPrnwt2tDFiI57izM05KjttQ/AoDZQcYQ4LIOyyj9Udob/wmtg a5FOhapKHBbcianwE8IYAgncKoK2Aws5XVdaa1U80ohNlErZZV6BtQoQ/w1Q3uLK0/MaG3dSSX68 heb8NkNtbtMcwu73dCtvAsqQUT/HcEwxYg8nxibyWgSW6SVYc5uecHH4mZXEl05uuOv2iOsR0IdA izlKBe4S69zZIEZQo1XlTUvhpaud5L+cRgZ4bik1kiwzq413I/2VDRgnxuPKXfA3Fiv1piJkCrZ5 rzsQfGKpYQFWIVER0zQHsUwt1H3dIGWtNm5sfT9bEEcxBdITn6cwAIDUCSg3RojaukOKtrqiAe7C Bo3yUWrJyrHgjFDtTzHl0Tpi7fYqMllVcRcILkmZaNxzws1YopqDa9HvdwKWZ3oV4pHEu1LKXr4f slLZSPJ9u5+dmcLRvlSVUDe52HfY1yglDTV3c05eV9U/EaYJ5zGrHkA4r2Kl1es43Vf/XEGuunZZ 49iLQ0hjTncLcsyea8VJPgnF8AfKdhXkTZfmpvwLhoCvw2CqQiaxBNd8CQr4Q6+xIKRklu3z5YB7 3qyVV67Np3rV6CUtxgTHxF6CI/Spckr4k7iwid8NuoWKBkVr30YSKkGcrpmR5WOc0PfkU+QsTjX/ ou4U9mraTKmkuQQEzDIJHjmm55a9QqS/7Os99GSeIpQHtHntvVz1BKUAddmJ2DoXcHlWbGpyOp0G /jNCrb1XLZovjQ5+90J+B95k1bjodHoQVKCuSarDIOAiA9fDdW2IXspdkEjDlFcpeKQlZcTQDLMy AucbBpOIv4/wNtP3WDjBcTJ/7d+x3CQ6DaEGznLTZ+OnKzFev894McJBPNmzAxjPAaGkM8VTN0Wi w4ueSzL/cJIP4iCQtCLaKqbK7BWQF2pxi7JW8m1BQBQHJYvP2lqcyydu2P8TM6x+1O74+D20yaos 10z0drmgzZz5t7Jw9wAldoF+FS/2YPzgAFifTG9WppomldZZW3dbgMvVGp6P4Wp9Y693UQbp53My sBQv81XhZGXDrl4Bg8mHjrJmwFMAAz5YdiazDgOGUOhC5RQgOUetYBd4cjlQ+PjYaVDXFU9NQdvy Crb1rUJZ/eHzkNgLuZczYsPO+ex/C/O+6UJaf0nBKd2KaVK1iEzXw3OZeho2gpjq+H+iGiwr+12L 5oWgkM9Ze+p4zJ+TaBT7Cq7T5Tvq/sAfWi0ex5aeZt7+9/pHim9hn+9gLVql1b43gp4I9T49JcPE /js/L++0n2TYpNvaCPwVa1yeMrH4eCSwJRwZqenB8EfbV7jO8t3/Og0hocGATxJ+XzkhTEwplpuq lmLRR5MbUb+FVnPFifFSXNMsa6Cj6WjCrK+Hby+uBOFlrVmDKYNJELaocOYzAfQlBJDY0l6YAigx jrHWtm05xLyCN1SHJbQw9z4xIv2GfwTh/8lVXKOKgmnWVO6OGsIGaISB/gblhmo4OvV6/RY5fPTr KTgAqvvp50ESmzfF/WTl/RNjPrC3SWhSzRaTBmb23Hf0PR5hX6PEebC4A9HqxwE0qFmbp2RXLeT0 Y/FgmjJBIbYl5KqRU/uqSAXl7kfwkaZy+FP5CmHKOwxmrn+FetBIhU6shsiT1KTpNSHgERbjpVgV Mt2dUU4v0m0YdOGgnJ6QcoEOV6R3V6KoA5qsY0B6zTt5DrWdbl17mzBY9kZHbyzrp2j+N2VXxEEA VTSx1OZuITrIjT8eI0G0mj2zgsoSN3IRgPeHANzcq8x8HD64VLItDw/l+Lv506zwY2BMsPnZNPBK s6gL958BR/K0AC89wnF7hE1BfP0uSIMaKDAXfRoOf2vOFZ/yBiRq++y3lE9JIK1tksyLFlHS6Ixc 4ObGxPtT05Q6s3fAgrUYgfG+ROyn5ZTS+grfcbA6Nrpo6hrS7T2VvWmJqIbOY1POG4Jda1ZAEW9x Vli40b5YMr5M7Fo74iIcn3zrrhNUIQT0//QGi22ybr4t70tDWEVCNRKgBwD6BRbO776yOxuQC9tu srB5mfRg4g+D5zOMKPmOLs3IiB3JAIQaQnau2YZPIGMyYL4Vhki//KM8e785H1zxLdljHZKnC/3w LKdIeAMG3VLhM2hv/D/mY5OlvqEGtGqFuyvVowbykLrDKueL3IkuNu1SSx9O0GcTV85S5Mbxewfb ta4uFLseI1lAQuC8uLKX5f3UNkamEBNLqiu5g/XoyOPrnflAmQNBccuM0hMdampeGeNxKhkeEgES uX80pFNpwvWwiGN3KpRilePcjP5xtRq1CUPiKoHH6R16ZFZP6ncAsLbsWBwOIvuegQ8stGV7dL/D xgR2I6sE3oDchPBlNC377PR2d2otgs24PgSD8hkWgjM6o5rmRAtFZJ6SuTxOH9CeYTWw9zfZfLXU UpuyOE/FyupfkVaUrqDer3AeE8PMKtI7KQ+qck2N7ISP8h7Bmlhk1pqYbFK9G97m5/RcKVH6BplE sbNHzfAx+Q4f9n7Cs0DNm4J0OopX4O1RpkdGKMOIVQg+njBAoHvcRFVLG2cLb3ND3msLTwn5A4oP tTP3sEiQeiA5MIfNxhPbI/TFzTfV+qJztejQeAWRWPov++xYpPo6lwZI4Qhrms4d1BfB8WVi3Lze mxcAkxxILBJx3fJLNdRMtB59JxrFiqOuH4j8khWZcKOVT0WFeVzMjBFz8IkdMSLaa29WJyPJfrXM tB9dD0Q13Jq1PV+M2x2dG5X4QFAlY0r9EJ/FZeZx76Wu0GmAOQJWJWVgif/roMNdI4+x0Pewbziv w6WmdIntXTSodtww8HJHlGhiHkOKGLDjZ0l+WhfkIFLtjkc6MFUVfjRYPFq4YObFemLM9i2+u1vw um4aouZmdxJ6Eq3PdBlOStZwO0+vvk0dfn934RI61i3XPIyFm45faQG5q26VGZ+cGknZzx3SKqaI WJNp1EH1ObJrnTKTHC48VaJ5wqu/MfRh+40rgzjA0PLYGWovILsPPpZfusklaAvDO0brUSgQZXcd HT+TMRv2hwjtGgsMS6NyrnCp+RLihIWOXfAavs4rFvBisEJEPjmqsTqb1UUPLrIwgZO4FUcBlDG0 6F1DbCCvabb4BdIUiX5wrTnBRrqu7bt47ghlhgmkulZk34YDgvTNPhnooOMdtqVSTPx6lXWzpX8X TLkakbwck8gap4tbyFmvOidWVz+s6R2Vf2w2K782VTUDtmBC3Wj7mARsgo2PvHWEn6+X4FDxXuyv biiJSYu+mkUu6rZ+iPFaTWnVzC+LP1zkIecpm0B1jfoNN1ZTtxuvRZizv62t1Ma6zjEzSF1iQe25 yAEMARsvSk/IP6FS/cw8z4lPIwzk8AEZ5vZqJUO/seqCMrLag5C5nGNVuJlLUfQDS0v3372BwSdg +MRcdV9I+r1IK8QWbU2uhXAYhK5kTXylFu00V8vuIvwUQtJTBK6n0vOKDg+jJvpIZjZqNrIKKcnj fOpuRaG5NlNraakPipB3TF2eFW6Qz7ZPf4OeXt93PMkRQHWT7xo5ukz5/3+KNirCt2wAjoDxKRm1 0xVAfK/hfYMe4GOWKzxwQYTnb5IR6NEyFs3LG/8n2LHCTUoKAv7V3MnDgq/rSWkaAewMNfT5lg3i 5WFlhP9MdMNq2l78SY5SatpqzdWZBfF9O+ly8n9gfMKrZpNP16/vy0pzP+xHze/iQpyP9onwkFEK 1s90oUYnh3atUq4tEhnT/dvBEusP3fZ+RM73Upp93/C0nFPJsB4gI2R6el0oBCmBuXaz1oMf5C5X tAtJSiGIvhI7LrMDSDuSO3GHPlD4e9cqkiqb8BFjeN1yQGfAgkHTua9Rlf1+AGTl6PAgTwAwjask 7v0IzCDnGmNcue5U1bKFiXBBNRotU7QjAImd7ff2g6rk/z/juz6H1CMAEUii0dOlZ0h71F8WS6Qe WAXp8ILzBnTquB6HBRPCiAyPXwT6S9IMVPjPyQC9tsJNtKnDfDLD4S493ODHy0G8cTAdztv9vUoS p018ZjVi1E9SdyR6ewTwwhvDUUaRGVLljwulDOddzj/o1S1B7cKoTSZusRBPDmJldZbzJ7/CCQKC K2a9w6GeB/eQWQLnV5BKHWbzwf1nxPexg7EMmwZc7er7LBE7M+75RNmDvJqtHvvqjoN5OEk2QgXS mkL8kLoKmtwIxnrz5tvC/V+UXq0UZJcZLZlDyhI+0UQcUae69HQzzUcVn1MkjZAZ4K/nj5Li/n7v NnEIdiiUA/DHWygN/wOopl7cc8CxxcKt0WeVH5LWOD4yMOFb4D77aMbZMUVEnzA1pGsHmb/7UZFU 0taofj9/AHasu7JrfxH1LjcbGXvFk0IHpYuOjk8Oo+y3bbrLNcGt26BMrzHNF24AdDgOnAfTf649 6x3ySQYbF5Zf+xxpKw70tCqGC5f6Q3R9sEezWuR8ducwoUrmsHdDOIlX9ItzkPUjSjmPG+dKmcBe HtIrG6lu7h/Yq4rkVTakxHvz/O8A6dIL1EGFnU9XoFgamAV9iXENkTfyjgO8J25LPfwuMIxHY5c0 wpeNNfOTyhyM/tP7pHj5swNXrhg4GckGqBB46BWPEljGRa9Xo6H0Vo61LfLYZj6eQAEpLc4laNDB SOZbQjzDGQaVGfJUtCZw3oDW6avnWcRABqpw9rt7PxX44f0MIHOMwNdAgK5yh0r5nhmLBe7w4rtb pN3s0HH7DIVOx32GXPKLcFyiYVosdlmOXl0YwkXm9KsFaK1BbvBdchCfbpu672tZxyUHDEe/m+Y9 6TU/qVkFVkLxfJ/VzOjXmb0Buv4FGcPACET1PSq3RBB1giCmggFYylyGNMQ286fCfk2Qcb7Cwz7W H4gz8ZsC8+kQPz/t6XDp6IEaeJEqdrTyg2aNAXZbB4Gsz1EAoCfzRSD2cw9+MfK17TxQZEwVkzOo S//BO5++bP0Ar7doHm1VpOc71GsWDpOY4T52y+NaZf69sToYLbnPsqg6dn6BUQaWeJjqSf5VYFF9 Qom649DipQiH2bYRdVgjEsd2hxzuIRx8+dGCvrcjpOWSvtRjLcHKlHNP7DU5YKj5aD4GERf3B9Vw CeT21ir831D/7CNt21teqox/FPQOElyTVpMzDgD/vKUeLnwxK4MVwGO/Fr3zK7R0K8z2P3gW9SEs Q1riE1lls10XxOHTG5WxDBT2pHlhzLvwxKJIocMd5Cwi5RPVYROZIh2ken7aKWQA19/VJmvu25Oa B/h/Dp33gYHNxrPed5qHU77LmO3pSXsiilTxOtqYXIyWz96hqBQI5P38DjVfi8hiDPV3tZry0JI1 JYGtfOTh8xCD2wrLjFnu3vJ070Om1WgtQzpth9/OxBe/4acxrkevLA39NLPyX4/R9S1MW2uE9c80 MDnQ/X0+5RF9eCvisE6F3cAGqevdUtVFvoGZoEgrceCLpFUx2smG6SOHaFlMPI11yC16iUVL9RMw SZ3cJ2Dj2x39TTUCg4s6x20zTLdNGJzF1cQ58LiTgDCn/4XApPIMBAHJz1ug1Z7TBe5LhBdHqPWX Y5XjWbuid4+EYandFnOlLindR+BePf052nu8ZJd5K/d9zRYqYWQIMmTfubpF7Ad6WMS0fH8n5tgn iqGxJBBEVJA7DQ6pR1/ERHr8GHSoueDeLXQ2AvRe/K6sd2OhoPO2VVxuk3MR2g5vKeT3d2b8Bzn0 KVhuNslFB84VfeMe+VsVg+/H9+rCB9B707MesHh6WWRvF3tJ94ym1JzduOYVdrJanH5sZv3Nd0BZ xfcHwbLh3wKqT8EzY4XXNwph2YfhTFUmSEh62+o2S2O6fsh57MbbZXX5ObZH7lXGaB4VG59qL48x DrbZsX5sjHv1ZlN5clFf1InYPmrjHlNm75FJM/SrZqAwvTZVhS5Ep1G80zI6+ry0Shp9BtkY+Gxz lBlERgxmGBm1lsc+XE8TYmIClfJw4Hmvhindi42/8ylkBn69e+DVUmlbnsDVA6B3hosknQ/4qFU3 YmtvGUlKG4hvsWR0+vWwkHl32GqEYD9OpHGqbmTQaKJHlO+0LdmEEBpNRHeNIpFjvbd+ZTQz/scz +g0gmvxagFqsFCYrlpQo+pMxYUqDJtGGMBwzQTL/U8wWj0VXiu4EdnOCqmEOFvomqoVrd0InuTkQ GFh3qBELSXshwOlTfsWDW10NIq7tLIt6pdIVccy/Rh+nEgqx49hwkGUplTKgYgF6mZCSyjKRG+vj wD/vsm7FgCkavj7wz1hJ+Wp4JVV5ZBxqPC5PNMIXrCjTR7XQCOVdhvXM2Gdb3xGWk5lHdQhDn/2B kaDYs3nBWay4UULg47Vmt92X9qKVQWR9RYMp5SDeJUKfS98LTVqrSGZe50sWhcW36xQ3kisASnmY sP87f+LlmtdK9NdKCtmqjgVtlo1qULQHQf9Xywvf2DTCp9Xz3mqSAOT9cnLs5a470QgFKi24r5KS 0KyhMZwxaod7Y9+AT9GcpEucRnYZOlZWEMUkjOlsUlP/WsfCbVOo48h9iokiKS/m66sGcNsbHTqe qxxEW+UAQLxzzaW5umuiMdKFrkg63O3qo4uyEs8/z64JZJyt5XBzoO8zvbXc1sxOiGeeTa8RPsLP H0WEmvJCxTmQnYaY3dT0kDfOpZKqBC90IiW+/ZYJ0iCTqOxIRXOIGGHuJ7ZEl14Gu52dY8X+5lHn q9vmNOVOWwwOe5jfcb/1LCeB3HfTzd9ChcIJVAM5Mv5wfUPnpKyxeDnlxuuXXmHMoPsja7MUCxSR obomk+aMeH9Y37o+YgfcXuIlmqvjnGuVE6jJWWYwufFv19LzfXVQInuN/qgCDlt9acLhXKbnjMbx BIi/VUxIXUgg05Q4LGv2fUAUGCAmzzh6hF8m0S+rrRSPg80N5D/pWXI+FFzvZffbiYlg9FX7JTBU GSZ3RqHWt8icdhFij2fsyb5p1UZKuTQM07h62O8vX29IWZwpeXVmK322gORxnjxpGmHNQYhLw6fH 9Jvr/iZLINgnaWIL9NkvfPv8OTjlnc5vrmISnrb5+/+aeJTpEYgYyfrhqjKfaNDgxJcN+aVEieAK uiaVsUg9imyIcCr/qio+agzmj43ItlhVhncORBoiHEZb4rSFVoi724Z+tjl9QgrXemJ0JlvINbUV VYJVKmL/ihI8Vzv8x1ltzdrXAnyFDLuTcaC8f+P2SO7ZCkW+kFRKCcsC/HTGjfC6QWQ+MgQAXts5 4Alr8wUOIG5HF9vNJaNSXun+ZlMJYsbkt4CLJXi4ONgdmV0UMxSfYUM2UxnXoE+SnRWFa1qWcx3U bzzB4liKdDo0+mxTQoYgAfFR+S0sWPDlnWezmU+xU4jICsi3UrApxJIel1bkv1ZYGmvskUA7G9eG 1/Sj3APyyFdP1yhne9fFQXlrls1+xfzfYdSKbBiemsI2iBI82McoAWAEF68v/bfKnGkpmN3tNwjo J5doyd+qPu4WBQXv+VVob3um/Itq6fi8Bkcb79NAVvpJ6jB53c8a0e6hR8nAJO4IupkPucVsqpOq rIOcdP5216Q8PajR+SL+J2bAabyPAw54wLcyl0vsXk6zQVtbt3MWMGOBIfoy5uYedRS/X3yBcpHy IZuMandNFYpYxseJrbPt8+Qf4XB3UoviSw+vZmr2/vLDswL+Fw2rx7/tAuffWOSlQTG1XaCgf3wO C08Tk7ahhSsDrDVzovBWZNO816Z24aGsskGm3QxROYtWTKzy4kqcseW/m6wlRq7s36LqWklC+SYt NHxOi2DIHfmDiD6vdaAI1jwe5uCmYWMClBDE8fdiVXmTifqIRHo5kjTwvRjpywj+3YxkZVUyoUMG LtKPSdTp1Fni4/EvGn1NnaKQpbqU0N31xJGHbI3PCAEXqKGRip1gpvFObhDTNP9OOIO0mEWupZHY 7bPwmtew9VyFF5fawMGxR497wt4KrgI57Fop0YaODZA2JkTxHRtY1+buc6GywUI4e97gLoq65l6D ifE44ybwDhGGDpbI0Vj6DwrWgHgiChRqIMwagYqWDVgsawg78EHBoUNFHOHbOSkb+rYjPZ3QWkpB ccE6gdbUGu4G44UFWoc8ZFoEzJMhCWJd+Ax28NeXTdBa96lgDVin0LTPfZD9ZA/698WeBjCJEgSy vyhrtALJL4rlkWxoKztrPVdFcXwUbG/tpdGZAzKNp6rr7U21HXW2DdEY39JkVC25xFL+tILLPFB+ RdeU/ywkOymxfH51yOKMfqacXtZlHT7n/q++MQZyJV56X0wWSCSVmDYU8sT1EtDhZuiKQ3aM5yHl 68To7PBGwwWN4+YhpvtRITXRemgYCbveZhmQaGjxIQ/a5RcdviY26x+7he+ss1uBf+JjQA39MeaA 4AOdYOaKrZSoYj+eR5MmSP55ls+/ECt108sN41xPRcneSJW6+7F6X9y/oSBmrNo7pR3Wmc26A0Y8 DupvDcKIt8sQ77e7GOKyXmeqqzri4o1wwbWtguIbrOSW3Wi490yAE+hVz0OeV213HCMLY/SYT7hl dbW2Iayq9bgJBPytK0BBSoT9jiFYGIGRzxiyti42QmjguRuTZwW37fnHQ09lBXbPeod1wExj5TC/ 4Kk0RZUnIkNOzkQFgNR0MMdzm55568s1HRFQYoCck+NgD6YLjP44yDr9EArYio1H/JmEn6lSMQb6 QkJmHww0fOxB8QBA2s1Z8h3/WbEEMogoKgGtLq8MQIsz96Cgv8aeQP8trMA/ioyXt9taOKseW+9/ tBWqPSUojpuoUXutI7tgCH/z1qZHuMxg7NCtmI70HTP4lpTXSIxfPD+pwU3mV9mrxQoxMefB/hCf M2Kq+POzvl2LGlgFy7SkkX6/oKr1jPbhoH9RIIuBLJzo5H3vvSsAq13jroX2+QocMwStefKNzqnu rkX85U/afyFUtdpFGRN+/xwGTNnwgiDYUHMBIkw5kOZNebs/sJ5rkX7CjRAvxE3GVJIXkCpV3QC6 EeLI6GzTNbk2htImhv7rd6gQp7cruedTmpUKd5IxSe3dTGZ4jop1jZj5HctR213S5XEo3msNp0UX 21+GWyJjL68K0F0sZxQx7F2jp7gAAit8BQESxxswZgP3N/Db6+bLGiDOL7KtwZ7eiPulbrwws9Xu BeB3hbdnXtc7pzlTUf4Yqmr35YOFe1K+GjwCFLpYw8JIujzE2t3E1CgLDrocTTvm+Pv26omie9rv RM8hSKHFsEtFvBHDGvkZqERRbVdh5YYEIA3hmSrzNE5Eb6heYd4jOgxv/q+ss85inRZ3BPcwS2sQ dRkBVg+nTnM9r+Vb1YNGyvRDPfSVMWcvLaiwyy0h7ZVD8eD3SuylVz3m9Jt0rMK0LMoIXzyWILFw 5YutqgZygqr1SWvEMjFabW/3xTrBPc6YpUzslQcUG2MthYeXKLsdUkpglODUhk0tUZR46ATZTy1P 7YREoLzmEYMBM6saAgFPXl/MNQFhOdAscrdTRZq78WnIdTLpQa3b/ykLvFyYXbfGjTIFnZAc/huO YEoNV4aJlKkUJb5ZQbzx8Czi30Bg0Qb03A9ULjVZaGaSJ0a2V89itb3jekPziUA3J3Cvbk3dkgZd 9kcXPJfAGlgwFCakh2Vw8tZBKQX2tOEA9oKfBT9BDVr1OtZu1bPhCyaUgOv+qkaVDu8MRv2DYwD+ kL3E2UypkZBI+9pnKMo2Rv+JUxWpR5P4xa3rw/1lQoz3ICxGxSds/Mj/h/iQWQHfW4M+LieVcT4r mO7YR6HgHnBKaFiQabU8BtRz5vU+ULyYye2ERaHODVnVD5mZwTM1lTRb1c3zH0y0c1IbTZ/vWjYA pYX9z1opi9ysWyLdE8yHc9nlaUmCLXGro0Bw8ArGK8UER+oy3HIApGJazRQuulx3YQ5Z1ug628Ew LlPSg0Jr2tWnl70My7TWEP/ENxaFUyzhtY5RIZZ2TFujWc2l+s5U36V0tKSE0lACaOgA7qt4fAg4 3fOVM4ELDKpAJzaK6guDwgbV1BkoK5vIfxUDgC6Reqjbq+RLs7NmbmTVaAeaDbdWVBr/JlebZe/h yO9Rvk7FB5nubdMyrdOT65aOHkfFoJIOUBIslVjajQZIlVPmmX+8ieXOEhmagXB/lnKlkFA5vmDw MdcStdHCuBaApdAwWAT4GPcYfNitIE1SKs26ozJjvE382Nj8CIDrCOhcTAYc8sm2YobTdM6lg82o qOrDLMOafh6DRF8v7AOUBi9odt7LaoHRg/Hfu75f5pF+/OJt1Yg3gXx/UzGc7WcnxlPBndinSUej ntHsR+Boxr9ffqDf2ISwyf26hRelQEdHbInZFJH3/rBuP74dUn0dJo+SHXzezkIo0tT5E3tU2BzL Bi10NnMHLFydOmuGE9VgqZs5UO9RmjCaORo9dMwF4YrSXM26e4oRxy07UwDxBhoLpqIUEDzVbfsO BFoT6aVcbRjVnPYKYf3U64vhLrmQbvfzKvDGCwe6KLkLcdEsnQ+HBHDafW+VQbFqzGiQWzz9B7+B bZbVZ1fJyzNmO2q5+d5uF6N5GvgSQRXhe2cgVEdXumMQlFNrxlYI7rEc20BYuJcO+6eIo+tDYZe0 6Zsfs54ZuveUm2vvIIIDz29qQ6GUDSCL+icDg+mIK33VOkStjF4TrhUZ6uyj7vnWgaIYDtwKq8z1 TCDL+P0qnlhrnJtsl6NpCY2EkLOkJGExgHsR1U7LO9JJtoOqcWrO2icwVM9SMTmRmVFs8ak49gsc Zcb0j+KJ+ShwECKZSiGr8v+mx571Ny+gkm2LqJi10UJ5+sV+U+ozZfgCdRtwXEK3kmaOg11PeehG ZRCSRBSe0nrVsrlUWUATZjxv22RU1+VAfmlcdH+djSGHiqXrYmz7MKBzPAK1kqnVpFdNRHJ1RODF q5a5IyiBus7zfyEl0NuG73Si/IdPnkcnaXY6nmA5m9+7lqEfUTfttQhQ87tmX7hSEKsKB3VdKqrk Qj/k6ASxz0fHBuXHTFlP0qMPumeLflYqL5TQQUPdyNt33HAe5tOu4ci5rK4xDZ8dr1bdjAXWsSOD 7k2mQ1yvT0gMcz6K5GX4nH6MAR5jtBo77DefVldknNMmuS5F+roO8iE0GGgmOP+dkP6uAI2dQAkp rPlb2Rq2Ha8lEQ4EHrX1Sqh6g89idxvgfDMCPsG5L/DuhQZ66HCtVS6DOGI5OtHT6cTE73yQkP2m Uo0xH2i1oRl5pLWE8ydjtwwuxNnVeXL9nnAuSmiiqOrXzBWO0+b9GCA5tlLe4aAWtmgiRQK5co6R rNtk70PNm2yDasBNgXWW4cMkDeCjU030ywViNTm3Qak4FXLeeB9WTHOEPCeMjWngVPc3f6qizQiE lVfLIy+3Zh2+Bf5x1hWHeFlA6Z6va1gISETrfIP6L56xH3lClkejoFcOdZiBBWKhlvmtNmos9872 fo223AWKIqvowEjygRVWahpyCts8yQKUv3K9gHlVG674s+6N9iyN/z32xFTgMfTqePknYxf8bWMk 4Ul0wOcegIp4nKR+7XOhsSlcjOIQ2vPIp9vx2UIVTjRf8QFVSAmg2hrGr/iUVQKDhsi2J5b6Pfnj 4/fxd/f12oMzzFeQAdPiCzXg7F1tmKgyGpYcDm7bIUT4/16k6Au57dSDmUxvFko4LBx+X5+g+3zF R+IXvn13ZvBMCQsWTdvTQE2XuCwUH4l4F3A1nDTMM5lYDBxaLaLAKa9wCgYz7PvvmW4OEIFGqxAI JL8Dd9p9SfN89juSwfj2KH876i2y9n3m3eU19QW5pDymPlfQYeAn+2vf/kGU/8DP2D0bNDPbZj4i q2u1ZyfTIUzvOCl92/1AqcXgGVgnBTgo2bmrHL5nRas/W3gYurvHXyeKDfLPwISUnzqjlZn7rAlM eb/qXRTGn3lr3s6CwUpR+eGQjhVw2YLYfEn1ePST39JY4z/2IN4vCAyPNpSCee3ZHv/3QaaaSRM6 VFrmxfq0YlfHvxMR9KHpgFLKpk7ObmE0glh0Nnmnw7qU5aAOL9nfjyRKgyoVagS99DxGaKDRyhCY tTVRIfwlllW5sHVHMbwGrbvX0z2/eAfTHYpcS8rpAs3pWHQjW7qrKPn/l0F1AczSGIqXcIzwGp3E n2+a7a3CPiz+vifbKZ0g3w/u2+Y4ZBLUoA1+lMG3G0SnNfg3PhdCyMypwfh1F13Z9bve0FrdL+Dy h6Z28dLpUUr8m1f1VM/DYfreLUp4QMPOl0vaJwZHfdFHp2laDWbQRX6Hkaz8y8Iti6xzNz3tYVwk IJY2StAYudGo5gqUgrzMHrKQYLzCEFpjzQDo53+7Nwap7njZVWeGEBFSLhCu75N6Hf/FUDk6ugjs UOJ1zmPJXPFY3Ifn31369VrPsF5Wbl36p3rSbpGxsoko7QIIS9xWpHsyB6eIdnoxdi9U0vMUZqA4 3RZqWYclUKglV5BnB+6DsdZxQTFpMrYU2JTZ0v6Ub6fCuSTYfZZllIhge1IcUNCDxGmJ0YiOkyHw xKM+tJ5qButPdSBJ8VTGErFSIi+oOTQNerBKyLGm3MGjv4g6x7Ya5uCjjzaw1HJc7b3xtBgoVQzz ebNEVzusA80kUg2qfK++dYHfsF/RvHsELLJ7kKJYiU7kRvFqEe6g08pcZ1MXVBuxfdrQ6JshvYNP 7bTZAP4CvVZbkJDsW19a4di0LPgSOnj+ZafS00jY1eL3xikxsSsvz4PEgVW/qRwKYkKeHNc7QCPH Ve3yJYWkFiRnd1HYzz2l33acURuoBLcp3iZg000dSwB3lz5lrjEv3JOoa6If7xvTbsZK7MLR8VeB z5A6tWC7oVYjfJMVLoGSFhSUcc2lmV3SqEXem0P5zHHMU66DryQeQKKLhS03mEGbkIQaQ5XRqPw6 Rjmdvb172IcAo6ysmeHHFRJQL+vg+HblIuWYnW/p7hr8ViyujXo42UGRcVz8GdL6phZ6Zx5iKVQp vHTw8oPzVYGS5tb+yKwjPGf44b/SBzOQqou6uAZTdIKXDD3mOTf1X/scZ7NWVda+Kj63dzGRhJ4S WvRD38y2GZGnn2Y2mV8Nh6LdeqARieGMdnlciIIubO+vdICnS35Gw30MPzg4rqrJbTJrLu4/eBrE BHSoKvHE4mLy+yeyhblMEF4vF1TGd7agyaheWAF9gzzkdlPj38FnoG0g0uMANN1a+jI9f3xRTe1m khFlR1ubt/P3kfokiAO8qNvhAwfI5jh6gKf906P0aWKw3V0pH4lUzgflnhX4WiDhZoYFzFgioe4n QonnYjsxcNmTMxMO/D3LTMXyxpttqAQpgEkJo+GGyyHTUBNikEpGyGAhXZkr/ahReYtA/amBXRJQ SOjGXH+q/w7PqNlVf5f6xyKq+HwjbPeWfpohP4WHQzFQbDTz5evr8U15TKNfHtAgO2AR4wUJTzk3 fjpRMd1k8Oy+Fi1loEsy/DDZS2aUH+Jmm/fasYiqcxcKGjMj+VQzeM/41cOjgzuKBG/LRp4BBN9x cwyHLkaqcJ8+wdBBy1hJyu63gIGuRphJz3JrzvU7Ls+aoMXXDhU9Ua4c+q3I4C9oByF/EM2C8IlQ wFJE9AveDFnJgESZO5+60MD3v+geH3DJr6DiN1t38CwOr+WYoMs/9n9fDp8bHnsdb6tdvSpNzyIM 0cvpIIgKpeguoVbih5IYtjBcumC1g0Gcl0av6mhZOc7v12QWFLzP5wMmRrRv7iNTdmr4LL79NSe5 WfPiUrrJSoMGgkN2qIzK5F2qKjnunlCVwgHVkz2jzrVV+nr/d9AZVlzEHnO9fqbxoDGz6Tf2/yhP EoNLzaVN6W8TJiet8YIzrr81BIVdkgN5bydq+w/owrWe7S7EksDfpP2vobw8JII9J7ceW0+aM2Xv ob/7lHYfTbEt1sJ83kG9oMCTBLyJMNn/1AvXBdqPG7IIOvfHzlbhDelmmPMpZJw1cXGqEbDJY48+ NuRU9e01niceAfScsbJpVU5oa5YoyR1i/f2ZEtmaSlVEXuxYWl+Wu6WFZR8LN22NaKkxcaJaNuIk rTBaVZaA4NUEe8cC2egfz99dhMs8NM+dyaYSDvvTcy40LtiV5q5ZzZjz3M7jrywxk2LRvbcDfQ7z nw7Bo/Yb4+gyhlGXc1AZUuxENcm5XDv2CIAgw2YWK0iCc8bKMpPerTQ4VDdskSmFamYudcjs5aON F+4aR1YwM7GRbzxaUkn1gRQsNQiFcG5K57syWetUwo/hdcXhgzwLZq6qO2yQyf/OrQDpIvqRuEQ8 HvuX1glKQEK1l1LnLmza+CgCKmkNTgJaP7b1E+JsB2xgzpKqUHpw4565MFva57mb/EuRnYEXISqO tA8wn2o9zwBFNLu0KdKblHBsPX8MR4ZFDd09GmRUWUa6apIXAW863ixkyGIYn/ciEN26taCGz1pm dQTjA+i+J1Hv/7Rv3jf3glpXROiP7AFeo5xeGNYphOUkgPtFfp0dHtTPzu9+d6NJSW25t/iTeHwX WSly0uttJ5DQcmGZvqf1Rd82gPWGRwljYAyaQTR/UqGnSDjVu16y5/akL//GIxgENhg6Jn2kRpHB e1B4+xyohvs8JWFIHPrmFf587pxJfUJbYZjH3A1etEbF2SAyZH21D0MALl0NAAix2UGOuLzhtZdq KKD00Szj8tUri6ZaunQoG97gDW+9rvB/U7ps9oe6Y70xNLNu2eD2Wu6rHQslx0kig9mDz5hNQnMv nXzxmzcRVbxltnBRYwPojaGEcYCg0E4szD8OmkP87KCwfJfO3cmpGe/XIftnQvT/m9m8d5423+9i efsR16oMt1+14eZ3V2UjYfz+qMmENMk/MLzCP8OW+oFT3jWZ0yWjjDMMe0h6k/PXGTnQoDoKRvyl 3r4QJUAui36GzagjRpHPtM7kBjp3wQeQ4rvDquDF0YF8pVErvdGnm3JnZ7TF4cmNeiRcmlAhxjbi xfNzM1hvHne+PMeS+QGcGec0YIgWEhhBoSyG5mzKvrF9ZUX0H86NWvzfUJhmwjOEmtgkLn35ehg7 pZiVrlO08433Xd4p13ZiiaHsC2iRT6EGKCvWDWkx0Ppzt8SIkOauieCcCtLMN3dhKiZPKVYfjLgu iM1n3JWhIaRk2dB04bj4R7LzPkFcFntK7mgA6ZJGGfwuPR4IBknkr4/j3IK2khIVaU3tLQkbDoEw BGqY/eQOyRt/cm63JLZxcs76f8Hs6qeoHmHyWZbKqGg3an/qMLXjrGSk7S1+zkANKsfMI5IUEMFt aEzAszxQu+Wp/9C7lIoQKlOKhJf3zS5EvAOzguDaDJEypZpu1s/VArLVSiA8riHFalVhqnKNSTEc L6S21EhdE91twRFMegpruCeyK/l8MpuoHM8ihIPZGSQsfEiL3SWfNiubFqQPwAg7og+bcRUKsZc8 QX49DForIRspsMg24qTZmim1nfbtI++DlXy3I1X1NAGdbEcF1SAwHq+qHsylmSpsLIFnihlKDFsm Vx+2gtRf74vw8n+oDKLgaKOr3daAZm5pZNKtNRCLQnTrlBGlhMCS1c0XIxcYG32+8coksbmkjxtn 8CC/AT/R1e/+WjBb3Z1tyNQ6CIlKewvEsYVTkwMwz7eVQvrYoAqAQyl/8gDKIL/qsAp8OlS5tMj2 76d/A/49naTCccURv+6Ksv/O66CSkkQXFqencipGRFF7eYZgCaCV0Z8vEydsgcr2vOmJf0Lr8wON 1joqRGUWdqRZIJjnPP8BiE8eP95NbEyhLxF01HCk7hpPjxNjU2VJjL5VMwy+iReN+Bh3JX7lQcx+ +sLT3p7tibdNO1GFbUcWV33/Z8+GIeBeEv+wrQAl+5OYP7fmWRbS6xiAiEsVAn4zVt6urlB2vIP8 kbcEyxztv5+cDaBWDs/ip59fhIVaqEPHI7WbwmevWoI4N3Sh9FNUs3LCtHxY/98R3WLhgQQBiVmS 6C72YQ7gUXI/dxmtYqdVA1VVVPFibqQKwp0dzux0x1mL0qzpHxHAt3RqT1/zrRGaI0CYBS5S+gxn 1nz0DPKOO93BUKC8xIUOVVgjpIB73EbufdBK8F6PsFo/euZJydwn5cIu8v3v/bn9Pot/pqsfcA0g b5H72kTzynMnVK+1xw0n82SJt+S9Rw8UcEzVj9wWFlFiNTs1tpjDtryohF5i/lH0XzvmdJFrtwRf umB1YJtVz3lFI9UREfU3yt3Ri019m+f6tAA92djo8k8Xtdu+f687qduZUNnzDNaHvk90R6KWICVU 3NK26FQRB8LVPFkxSrWzDUpwNnaDjhfFfBbFhQnO8Ovlmcb8MYzNheMIPi6lMkhFRVKeKyqhtBGN GnE5z2m6sbt7NelW+2vfrJRspll5KeyKzKafGGcPg5xrmBVgdv08XQWKCvf6zTYzRRCBseHHvMmA TV7RJYcW3vjW5MIE4GUjj9Ge2zldsJLW5gCD0A4ZkKZN1W9jsi5yPU5OxXbImta5z5AG6IHHwdG8 a7PsMjEZx1LjTfUxzgLgb3DJTE2Sfc/3LPTqgIC6w02G+oYE4C56zS0K7FwUwhvyInYou4gHffd/ 1weg0dM4pbEBcJP+hkCl8VnU8894b1YBegxxfRhDE7DNd8Lyj7criEz6AlUlUGf7EiVEFt5bc0SF km5jHX9nGvXxC0zlCftNaieWMpsmc4WnV2IIAFCCL5NjIFfiRoX/2E7Z5Nzbq1NXcBy7RR0bZ+3W mPAxFdYgtJ4/I4fspH1a5wsBDLyDwvb3e2jCPl69NgqaJ2+FQ1fDd7Kw0BnRo0tpqWBUq85DwJlU a571QT8nKLnYoW8DDzsGvgBHkaguwqumwQFyTWXWkow/tnEA4c//3fOc8uSUhk3t+3zixIy8xZPc g9RWpk6LhVOcnIRV/4d/jigba5nQry3595pRHWt1dVCL9EjQPgjDuqmRD+wNHoHR31qNhVmaK4Kn j+QIHAl+sAjZISRJBM56G9oxm5Mi3HT7fP4FnJjvUpsfRLIRp7JudvERomzV7Oka9L5E5XgdeoH7 qFE6aGQoyO0GGFHzd0rlIrntxROcY+ICthYvG1hYkfvyjKcpHGhYS5R1GoQ24cHRn/rOonQOsu5q vtXcDgYQw/DAnSXRzgwDKvhVlFL5Uge9FCEZ8Ur6SJvXcVJb4mvq4yaY+ncZZeiCYIN3RfVZ1/Am lUlorqM19wGlE0awycv8aV6v+Nqs5hPHw9eBHWQHQa//Flwrmog742Iz6VWtOEhpKjjTOIkour/g 5wj9qbjge7+NESwvwE5rOjs2gDufi4mSna1Ms+gAtkxp6fgtx3uPICfiNQ0cjq2r6sXjS500uA4M 1W/NvavKyZu6YaFgbF4eoOXj5KyEmRo5qRurlOc1H9Y2qr1vVU7gAqZCQleOqfxIXOX4PoHEkjQi edJn0Dhhy4j/QmiC0Wj1My/duL9S3dNhvAsKv9u5V87b/BkYF5+OVVJKy3RZoUQy+/c1ApVkXz6G ilpsqnrD5gWA46QfIgH3eUE4MF6NRZ39472rYD3RyhAlVvbpmE50tyW7LmE1wLE/XlSr5xZGVHHR tJIBR2Wp+tE4DnGeFbf4hCbcqJEqbhKYsNESnhsck3XNQmgJkcpn1Mg5UoAnoQjEXwIeMi2Vf4Oh lprl/Fe9zhgu0tiaOR+GqDdfk4T6jqNjKjkdU8o3ZFUDV4ybg2FE2rFXoRSclhfhNWlEbDA2MDPO iDEKUbzo6GLQ5MJZK6azfbb0IHErIg1iuxOVdcApoxwyT2bar7h7WHLlq2qacokDd/cBmpFamo+C tSgoSciIocsiadycx2mPD3dXr+Dr7PR68JEfdUVyCXO9qWU5dyBcGE/Vd60vZ4bbHWxNUwlhdp0g Zo2PRu92i+oe/rfc/977uktKuo8duADVmS9J0DpticUt8eZbHt3Q9bMeE/mG0gFIKyIuKzWREt8s yJBXg54NDXjdpMAKO1ttk7aUqnWFffGArv4fD59qCHrRTHJCYxyPSn1orEgeIq61UkYJaWN4pds2 Afsn7dXo+VOecrKdohDF8vJYGv6S4HlErcdbu1TZP6yOqQ80gWTmSQA9GyVzQqcspxN5H0HxnbHd jyEQyV35o11iWW+lyQv5SFyXZm3q9jBoTRmK5m4o5f9Vu3cTy+FMv5iG+kLKQkHJgS7w0CRwqU57 N9OfYJc8saH2Hi5GvylZp0K21JEYCzcUrAgQBxV48e+KRsTzqPpGAKW1aNdux0CGffKiNe6G0wdr KGfMDYlTBCfEOoG2FWcQtsudCSMJG5vQOfhj1JZ0EJ+T2x0OOCJYJBwxyapMbuUuivqGJNwopf4b Qtj6nOA2R6gE1eeCrZx53SKezF7nboXyfs0PlwFkcwnk7C3w0ZmeT8WcTYFIZJ4/s7nCORGVXwpW tK2sVtOyBANFrRPq0wH38Qbm+GUtIGqbBYpmvQ0KWkq91BFk6sogjLy8CKUeqIkwx37NdcNSgLVp avFiesoFTfqKVSObGHJ8dJIq/WX+p357H+eni9SncUZ6BayGwROMlGrlIFYh0Ny6Ubq8Zunu5dFC ojjdSmb96hinXpaDviZwkq0U/RAxSHiDSKo7lBTiROvrsR0TZPmX4EEmEknvuNbPaE7VW+7K64R2 NU6hhSutDh0v2Fc6xI5B/3ydkNwXjc9gQDRt9ki44m3ySKg/0ywTg9Lj4E9Yt9kW3mclAd2SCx46 v2GvDztycQlW27TJJZDseGUGlfYwFVkil9RDeZjNKJ8Qwtcs0KWgd4St6y9lOpPIroH4gC/vI3gq /oZOGn2VwNWkWFaoT8ulQ9zZ5pzF5OhFco1aXuAMmzP0ItHxhLr2jKW1XH4YbBI6SNLiiVdpcDZu PpbPphXhm9Oi1gJPlDEnmAcGWQUmK9KHuD2HftyMokJUrV9cyUD6oI6rLMhPfkiYvbFn+kk2nw5e MzzkMUy5Ml/wKVo5xSvzk+9OGVDsPt0wq8j2g7PLVLWWGEI6mh6jB+o0+FQw3ePvLPWFUnD/BAET dJBgDS8qt/yGPoZbC9yYuYz4gEqoWi7V42ZtRXsXggIlrEIZBVtjj2GWrqpqmDlBgH202Nq/fz2P 9UQeGVmw80GSy9k3CFQgsrxU5djcs2sgpFBu06gJtAGvFGdYRRxUs7l2shlg9t3FcYC6wYcz7CS7 mp+wUM8ic0xwYqNLAY8lYllYBE9iHghDAp/tMNFCM3Kq2fyxsJQkJEq7uQZQ5Yj/9O78NtICkHoS nNznKX9hOP5K9C4q8P8rsgCnHZ/JthcgG1XsOYnc7G3nUaXsUMVnnCcznRtDUnSoxXauUAlPptCv jG0VqwflTcJ453+/4m4MczMNjGUedtDVXH8ITUjqzb2RO/VruxfHYtFZjdRP6BFC/c2WhVVIo8/7 +8NBr6KWtzhUPISnJXb0DlVM2wzjnsRWWfyTevlui0qecEpEyrBTu8RcCgoCm69Ij8vqHWO7og0S /l7yG5bGvU2HHXTXV7uqBg8/hIOuihtEBT+WjBrDrd42JmWmNWvRnpOt/wJLSI/OIZc3bt4GGyGC NnwsqoRCHycn8fiEd0W7wT9QdsKQWahd37KcAlrhZAuTc0q9jhxviHIgWqMyVDBDEeM3iZqPqZQo VpDliqNgrwpSG8m/Z18k2brtl+qbk1MN/7dbB+WOhSkqIT4a5fPogewhqwF6wGUmlTJdRXbim2rS mHOhqpOICplaNSx0wLSCZbtVPiCz08NIYFAa2BetFglLM7OovYL4B9hJ0XOBhPpfXAzI7kMz3zyw t1Pfz6LXWrdDeZrq/zs+hoRmyLIWQiPdNmmNtu++t84IAFILIlo34CPbrcHHHMLTcjGZe8fl+xBb 1aTnr3ZJlhRowN5G7k3tW8rrzKoKH/SjQNNgkzc41OyfoLeskidKcQ6GhYF2EByX6A5ZbyOJgviu lK3AOlfSGyJQZxhjmRPXJLDLSQKfESuu62BFtklnTeAyYWMzjOx3zrN9Pp11QL6S6sv69lOzggaY 2BRd9dzUj3jJv8l+/qa3Hk9dRmKYDRKCggoqpbj0rOEq6pN2LED1EMSw3KuQIWrUTD9QyZ9Bk3aW 8VoTLwQK17HpvmEFWELTr5R5Dbdp3emI53a3D0DAZfyB5g0TvJBUczZRlqW8HjYKMoXovog6ZUu9 0LmCdUc/sVCBn2fvudtxAEdsdwP8uwx+VkL9y2J93F4aNxa4SD61HF0SmQoCWqIcjGRfkpaveVkf WkCokVMn4YuMPKNMWzbyT5Ks59hzG2zTfC3uMltgGd/ztOXUTOKx6m6WHTjaK093/BR4fSbeYSXX npKsEzT84Bd8073hF8dRKixgpBq/4nnYeAF5kCHRulDD8uLSbm5YwKA/Hho0i/OyiEUMWLMgUymb zPAADiaBg5AlWIbIUiQCyQMQPydVp85fxpJktTeZoNZkaOVB6U7qnidEe5M03W2CsX+uAlCw0V5D Cj4QKxzkKkOUS6L564ojax108LzkA8kk/ldJVcZQ6pX98pyaYp81jt41Y0RmYwSnT03BO6yQRoem gnTIvH8W6Oc6zNhpWML6HnUlipPO1G3BavwUx4mZ246s/5GYObyAaCJuqCTk0jeChK+cP41qW0mb 6lyN5M7FgOUZJVwdLS7k9AiPZGnyk9HZbqgJhuWQUVDzhW0pZdjRKd267v0GyJNmpoqe/BYrO8Qm 7+a7Fr3b4kKoBzPU7LTK40+HXPQLzorzYEZCN25eCr+hbldxsbAOf+CArUej9mexx/IYL7oI8uLl iAoyTzSziCtemBcWmBxJCXA1PIdCVvVS4b5nyyojYj6Y9RHL+EJqU+uhln2e8meGnFLo8PmkItEU VioNXOI2o68s//Fp63TzgcXiFHIXDAQyBZqoEAIvjgT9sKl8HP8ojfSMPz2te9+hhpAnQ9oaLctb mXcCZIgwff9w9g2AW3r3b9d4ReP2AESv9sy+/o/5pHEMsF1P8UCxR2y2CVd42Ytr76/AMN4RPhw5 JSx/62ZAo0URqjoxOKEJ+eVYHKQSJ/f8HQ7Dj7k0QlstSTFarzZea95y8/A7YQ8NiUxjlpSf6cQp yLIGImkfrj2bFTNna8tGhS4b3n+9scKOv5tRMYijxq8cQVoflXmRSu0RZtlFxuR5loajHckIJKG4 HPi7oGha1RjlOOGAFEGVvw8nmqMOlqE46ElR4G1VGrDjd+323JcP6EnWSx6y0tXeiO7gMVi9YFCW BMv9XvDkwGMqhT3BE1rpuK37ECKJOnnAKkuBFM1p10Z5JFXPt3cJhQpOqeQ+BG3V2jKqWiX1/MdO EIOl0memcIPWJ5gqcnYf2XmonI9zrcgwzynfjwKoEbi+vMGq6U8DZyDHfhXL02/FuQM1B2sFODQc rwstT5+eyHt23o7ef/Bfk/g7M9xTLJNFDfO0wLbXXXqDD4kGz1WEUPyEyyoPvDDdeGpuEHkR1p7e VeB1VuxFisY14ObIPCxTEG5EUW20c3DUaFdI1Tr9CnsO3RElkbY1wHtzh9UhyFF2qSt37EXkcXUD 4w+RtMjNmzRR0q1JwzNwTD3c6ED9FDCOjVl8Nqx3RK+cT4M4HYhgeKJjLk+LFQDFkv6DAQB35pTp PNpG0p6+Qkj/uq5AhuuLCVW2/kohVs41BE+XNaK+/dAqnG6eE1MTrG016xc/jjJXMw3yfyA86/bP XkQMY4VuJI4oUwaJvNzSso3llWiBrvhZ8A3+Oop5lg+OnjJ1QBVW8cGHxXMUifcGVRknkt9Zf6Dd kb1i0SL519svUlwiZmepOgqkknZIYEzjXP6B1rVoWq43vRQlMs43lA2VUrnT9GJQNKPG505327BB pJ7oFGbvUioOaHkByHhcgFdiAd8hmzplSg6BNlhR1AP7tNyFyJMERfcTyGzS/5E1ULjADJDofgX2 kF4byi9iX8av2EtcmaSCKuI/b9QtbWSLdh0QzZdhNnOR8QuY3y7fqeQHu+3CF1Y1p7iLeewJb15S iiB+vCr1h2XvPD3Sy7/6nz4PsUFXzAbu/MUvzchmM+Qzud1cGk8CYTetYo0+3J21YAO2mw5vgSqH Tsyu1wb48Ffbh2BNyNvB5dMOF/PxnuwWrX5K8WqR0T7yJq6fGDJcueg9n7NNZywbb3dmxC3MzGJ6 +Ut8ggfYRfkrH9df4t2YrrSHEXSROzvwXw3Gxl3KcIQV0FK2jU76WzUvgk3vtz3+GqOaTjK6D6lS SC3G4bA+do/5HQDfK1vgTfVLC5LHiFxaQyBChZPvO2LeTksGmb5X/xr5XlC/QkfZgf0wpE1WdIao 7mivGNj1yVO1r+AH1hjclWGN9kv0gzQOaKSbJAZy83GG57yS3xwPAMw149Tnb11yyUhr+mUC/MeL 9wpksTHTkz+8MeGJDsLNq1o7LiD5KU3aR1NdGvSYLFkF2c0o9m1kPyvXG2Inc+/FquU27d8ydPLp prA4r9Clnvr5afYFj6MeDmMJiLeUzqy7M6qWN/SGfMrujlRd7BIYJw0C+MxIUdc2jONWL4p2/fdG dB0s4PmItcbu401DHjMAmXZW6CTk+cz8E5965RXcI41/Ek3ad6W98J26K1QeRakYhGlpQlKCIm0M e3BfZ0vpCClaOBRuNv+FPxIiWJ+B5dJReMqGTTwj/nGqnu4lXsZeJs9uiWZDOSF2mJgFVxZqfVRh pHVhGEAtSuAnqcLGdBOLTsDmtbnYnS9lerhFchQMgw+Jm9w5nWSp3tZLjvgC3Lg6y8f3aKCo6k5D m400yaQgeHgtF/0RHtLREBromd1xbvzlESWi8F0GET+CGr+aGbqBhBkUK4i4+r0JzhD8od6Uq9ar wLQWDofm8Z0ZC+vGcV8/zIifm/L68E2gpIGs1DCh6lBt4tI0hdN+6TUD++pwV7zoDvBg6yaQCDC7 i0ox6mjPC9VvM91h5u0tBw7soBxUDspboPLP2tfMoAPWT7WoAVlKSUj9bke/h7J+M5dl4+pPs+AJ QjD5PBE2wlOvdUROGNHDOz6/K7G1wyfWfk5O+TsLWII4P9Eb++zIRfv0+fiEr6lFa0R2CBEmEp8e QYGegm48jD7mzZ77OJt2sZHvnUGKGTcGBWKkc/1fsImhAv4EU3gR28He/jjT7ARN6CbaJ67zbzTH cmP0Hv6JAC3wf1EVXh6CJbasoQPkn7iXApyHJMvKaRHB3/B7IzLs2oSnlYlev01mk0FSqkpRZRrB WEDlA+qLONnrAyoX7bdbk2ZdJyBG49t8Hiio71URA/+MxEOwPjABxXQdTLDkhAEm9ohJw/7QVrkE jKWQhBRvr996dbwwkP4ym6zy17FAApjXuVLL9/GUIqCA4QtTIg4F/HH3Un0mKFmF0eU2ZMQ/RYBf rn+pVFceghRSmB8m7Ncp5nbL3CCOmlHKD3gp68nvY0JHzotFZTsERyukVEWbCravUt9bIb3Jt0XW ul10oojAZk7lO+rQpeo4XfBbDem50C5ke8I5IJN/3AEkYcaqbvayRZzx16WIOR1uNukF8hO+m1AC jjWOdqyjYD4YGtAo9+BMhgeVkj/TWPLr6uhDYzPVoUWbuRLInml9YOutKmEN40DR9US68HDVyzWY BMJunPVhbGgeDX3td/53tl7IekPs7bgspZDZF7irJWNWM6EMMeq8TjONohfIIB13RKSQ2bLWQgfU ypCs26R8Ltg/dpVTgpvW35yIBqQ5+hyXmens1e6+3jBc32lpSxFumOwMjsvWDVAJdOfCL5NUyI52 Y7bJAUhA0tHgfsfqZ3TA+OAK+I6vJBg8smChfVTVv/o08ZMoC0QSPTcJL3bBSJayHNkC2IjSC1Zg C0Juol1BtPgIuBr7dYTlARhMHva3N/qrtbcGUCg14ZAtoePqBzfT5ssp4tYtTf3VBtVMhpla067J 47h6bKktjlxyOJwIm9JxxtQhax5gQq/loZjmA9gw3/VSan8w5nV/MzmIIzlDYFIj6wE3tcriE1wW i53QvCx/UW0UCYo/NQXse921fgu0qLeSmz5zIJ1aX5n7JMXGQ+6j1L3yJmc9arIMUS6+6G2Sezps FAYCY9z1+Omo4tOM81W3VGXoaQK4HyDyl6qcmDcNfEanzxAZd+ejgJNU+cpP1Z6Ob+j3QnOGeuYh qCL7IWVNbTXlBqKyitjUtUZI12VZK8ZnAS1eB2zi0q8Ig/rSGpj+rTZz3enaiMLAbODBIXp3uUSo YcY9A3OFLNmkYzQ83hL129gHRMZ7sJHrO6YXscEHVckPw6SudOva8k4wx/hlmlsSECt5HnYqVitK ED3RbOKwtTolCYHgOKqDSDopOvkjVdLaTl+ckJlU17fcyN7RdZQpMincf5TE1X/aSGQG0EMR6dMG L0Mo6yudtct2cZ6sshqnlXd96DprgqJj1gB7mCE+wQgrbfncXxlsa5gkmPlFvrp31n76Cc5eLEX1 5u1/LDUxPceSp0uTKrE7AGDxrsLx4x6Wgebmg3Rvwp35Nr+m7r1d+MoW8L85OZpJQsBu97csGsyP OJd5eknkUbx1w+fW2nl/EyDAlqDSoIOXm5lupjvbncj9UoH+RFlg2WxHnaJY5pa26oMwRwrpNWn1 XqRgZQ2lxrdyhIJhezXOPD1VONs/t/r0hEUjHXxFnKrkiRwDv+ti8v73YiL74joWR/CVQk3Ok3v5 tiFCCZhAFMZjk1cCF3Pp57RmvHUvccr+0142eecEC4pS47vxTlyDw65o7ydjL/utQ4Q1Yej/m17P qfcp8u4euQCX/atNiCphgAo6143XokkBshS5me/1LohOF9ajo4Lr+lgdgpgULkbEFBG9zJNscZG6 dGjyE4xwaWeJA7GwvwBTpDdkPzth7/gUYc7Cn/sJ6hUAsmMae2a1bwW+8J3vSZHbYdodNAUT/GT+ /bvIAxnGcAIe0NgGMpr9LDhfdYzxkGeNp+ADV8p3TlM28/FEFYY3pipT+VbHJDjA+3ENHSOqXSVs MDmRLJHYbMeOne8sADvPlQhCIjqh0KtQRBWX7JWt+hSPEc6u5lU09ZUBoNHwlWVvU0HbVkgBEvHk lyHq6XtHbUhlgBKbcuRFHALoQoi0T4bu73wD9BWoMVzgtJrknmaQirL2D61yLz2ARilpb1UBV4z5 BOs/fg0QvO9aHlpmbRM2baYeEudSFjGSk6ajW2UBYCvZYbwKSuAd+JfWV7/SafV9pOPk6OZW+ph0 tJWzL9u7AoDshUmqONBNn3ECm3Tn59TNB7wPrXi/elyzXDlebqD3SqTMczU7oHo3OyB7KmCoCXEN g+mx1n0nJiabGz1nORecr8n95xj2zVRSS3e9/R8wQxQRbyQEvGLUC55NZ6CqlpUm8gYmuZ1NfT28 yWZcGW50fIqwP5yX0qDEHjTvLrafuKiD40wBJ/5t9738TT/UukIkT9IOyzTqIyODA8zVFZ1Q/NhI CqhOn8UBCBI1M3leUAJHNdGthAgeyfXuofhiDelfA55VLEIsQTozfg5X3qUrwAZ6UvnHmNYQgsUa xkiAWDiD7bVyebCuR5pXdMJPkXIyA8t1x6ulI/Dt0O8gS/kh2gPc+3RGbs/YFRPEfZLEF9ZQP8JW SKEl7JrTRPtrhVfU8PDhGlMH+wYIeITyFNwUR0vgPdgh0uOkaSMPwdvcy8jrRZ0HHfeiZBvG4/N9 ZsRqJ7tnZlWwrh8hLxqOG03LPyCw+CSrjuOwGRlCHc8RoiS5Ml4REwtZNrseVEWq0cqlTdjOajC5 Ymj3rYpCm8+3ApXfOmFu76D/LZgFlOZFdL4Zdi+rG7LQF1rh4dyYF375BV06hQ69Oczh/IZWeSdm OdGn8Zw5SXdvjQe18w1ic6bIUBQBp5R8febtU1Ju9j9Om/V8KEps1xRBClcmFzswntfY+dBToJ87 0Nt7aoiQAG/DGBHVsoZ2Ll/mYUqDI1RYC3gPAkIWbH3YV0Fcg8stW+JvvWRtevPfZtshHQ+/I1KX DexxxEivB/24I4qSwSMgq3jYJeAaHI65ONSEASUjvWoTnoWoa2KneTsUVqM9k3naJw7qLZuJdmqZ uvLJv+pEFrRIM2sNVgzcXHffzJmEPZsTOT6ktR+Mbq2uy9rGBp4lx5GmKq7flS8JMhgSC1Q6xQ7T q7np7gwpCVawaswIUJSAtGl1RDL3pm/kIqBwaC7NMPYqUk8Zo+4UBeIcJBt19mJuJioM4xL2u+SF VYImE3YZhjIGq19OqTZIaTVzBWCc0qdu+IVGSi77b8MTg/nahtEouV/VGzAtnsBBZBcq7roA9xSZ xyn+dwRDrYcJL2rC3m2+e1Z087egR/aJXny1gpGjRo8m+oRkDYUr81PRtpPe9Q6CEZ6Kq3LyzAJ9 3QKRemEvqNXBRfGFVtZEr5yhpBdRh3lFgnOFbkGyIpRjEMh0p563R/Fa8xEvHNwIa2RNN0daUKPS 8LwpXOe4dBCrEiWp+8VvvJREbQL80M5OEIX7CbzwF7nwVOnXEI92JNkmCQG/FO0oHF9SquKQM/Vj gJHzwp5sglQ8RwdJ2HcHPQn+BJcxTgDhHh7qmtQiafS5QVoo1hyUXhTAcOikYbOcOTuPLC9MLmlN ZZMAZ6uVD11WIEuW8xcTmApZ6rn09FSmwRmJSL/5xHXHC2mbnMEMTK29/Q73oeBr37gI6QDS+5k0 Re25U2vpvA8fKmkJCiJazCe+GFxhjmmZAQn0OCr5vRNozDyq7cO0lXh6l2Uljn++JiURX4dixc9D w8L8fcdmRrjv+R9E/VPYbuZuGfXiA9nhcLfvuBicHsZFtw8tsDH7tUCrFJ3IHRjavGUYT4BwP46/ JkMVMwqOA+bcWOZ4gDDCRYnQu4bTcGTUozmWlf+TsHzjv5TUmpy5mQJ4iH6MaEJFqwXxSzKxxaWh Qz030CuV0aTDecpg1m1uVv/WaL8fjfIdvHKjqHbMHud3JZAU7ZxqTTuKs9j4x6Z2F3XNkT+G3pZJ eHvfwnEOJX4xc5X0uZe2bJCFWJanDoODG3vv+B6MAH8JQiBPkW42MSuRBQQG5pyL4oPbH/jAzERw yZZIOceVHi0/98cWkCuASR96R6VkFJz1944p2ruf0OEUIKZ/R+9S2QTNlVh3+odIili0YqofB39h azIkl7icqSYFXrm2vqIQzru6fqyWaQ49HvPp/6DiG3i13/HcvxiP0RPr7sRhRaDmOr63ZWLEqk3u rqJ4B5U/HY4D3wTHt5ODsOjoO+lVYqzMqnh93GE2N+7NC7Wk4M+1x0xXDlwlRNZ89UHmwLlxXHE+ VBRCuUQlilbulG9YWx4FAIbBA6TkpADyCEH83exhdweVe4PqZ7jAWfJ3hjQNM1/V5JF+BEAvUu1U l2Q/CJwbzzb98/WwyjL2swqdX2ymOBSZAi1VC+OOvr06J3v1sYkA942Z8/s7A0tT6/qZoNoM6SJp 4fPEJCAbD8yfNchN5Zvn/gjJ1E6CSXEzjZzSXpc3MyrvUJTWocrCNTxG9HZ+Paj2U/0D052DdIq9 +j+k0mAechp/tsjGvKqPEJ4F1VHM5ELZjbQicqHXRuxzbt2zGTyZdRgK2Sg638gIqnRqyPFmZeWs ZxHLOMoqN97jKc5tMtkyBUwsl3cRrXtf5rHGpIKBmrdaxpqCwxQlKkAmW076nMeP0QgKCI7KJ9a0 CcKAHsfegd83IMDrED9ulGGueV4H4cvjj3yIaqcltRLIZKVd/RSGiBBLAlxRXIObrA4deDTYStix IjAoULVQkTiH6CuSBC+f/35MdEJ17pLFGRXhDE9OjcgyDDjnDMgXRJI8KOKdQ0d1SlxCIowzDpi6 /ljM7wpyC7zZFPxYqgD/XhUuMpnDIQ4LQ9wnsxQnmNnfl2kTBcRUvo2w2IdjgPKM9fJJYKHsqi0s tWjvK8tPovI8S/ego5sj8dE/WSboOLERG0KV/igD8Yf41wBkNQkem9lQDKAyFl+W8HyIOIKl1PC6 Oh26qTS9GdCrwimML8v7EBajhmRneLamRgI4L3WSJNUxL5v2nUD7pf+A6nb0dvS2lSsP9qs7Abk8 BSAkGr0hYe+0m37v0Ev8bRTA5sTGcB3rO9mALWLUGn6AUYofiD8gYLgKFtrXKrDpJILobIXod4oU T9QtqxIB3NgBFx0DRpEchJNgMuv7riWBYMWIGlHd1T+nYL6mArxZQ7TS9K7NpVmtQ+ZtqFp/r23v poJObLnOUZhUWQxDovSrc2aN+m/C0WPPSZuje9onOss2xuTIn7BzppCa/Br+g9/s8kbAWdBttBIU Oo9Sf9KVAzLbBpnmBwcEzwQCk6aSz+CA0jx2dsFOB0DyH/M/ucuz6Y5otxAsCmNRIvU8DMN7wPjx 8bRSeGoZ7GocGJs2iZ9Tgt6rePgNrYYr8TGgHpOXgdcvqlPnug+VhtrqEC30VPPOSkJhC5ZkJq9Y P7rzapBvUUDQ0Me7hZRuYY4tmUWG1uuVMCpRk0oNdzCNbUsapn7GVL0CSv4F89wmo4DvujeBSaaI lpEQToojQjRwFVONfpXtG4G5iGAMH1mdlKnpUXaquulLYOTdpgLNb7j7mWaVrZaG5Elkn9zBHfgh 3EMctBrOaGrqq/P85LZfORE5kOFBuLSeXjM/qAAMmTVl2poTP9EzAe0mGBVhvNGM7w55DdSvewVt COzJ/VaNz2mUK++mmjED47etGF3gsfURtI1m8Mj/DDK0X7i0Nj6VeXld93hwJ8GX/HybopgyE94k v0IuGDCK4GFxTQ93MbmxLou0853EdA9ylSi74eUMPf5xPH0GBNz5nCYGW34jKzI6RR1Ps/YsOOc9 lK3c5tvrDB4dvwsIRsW4ngZwsqP7ON9MxOdjcQNZ1DQwGKg6yN0wlD/Rsz7Z7Zzd7sgHBHCa3zdV 9AHRDI/Em4GIBcsqdf9wy3qe4WJaror4zqJ8CRm828pak4TDlttprIhM3uMrwsWmLAupg98arA49 LKaGjJS01ukirTKz1Xpxu2PD0+Bcem/JkstriI04HQAA1D7LRRJBKmRtH+MJQASCgKX2cMk86X6S 2KJxjs5aaddboWhBHG4YNqpM9uzhcjE0Ol8MnFk/Rd0nCjvAMJEao+XJYWtQdWuZX1I8bHXH7QpJ pi7b1J4QP31eDQQzP5R3q3h6z9p5ynWjLrpM45MJAXIVe6VWH9CrpsQyI42p1QTiSL6pXgG7YXsh 1wbcCjzkD6x9rzzZsiT1BYv9hAftoxgaeHYmBzmAeoXVW4ctBT62o92/Ph+/sXJn9cJnbSOWzh8F 1B9GyLwgUXsASu2XtOtPHvYCoXalnOd1kpI9w3nZe7rXI7JwavPrpEigPwU3iR3ij92cPzAWT2YI zjb/WNQ+zZLKhUQz2OJl4X37ui2K4tobUN5RUKFfAxk0FjKXTysH2x3mbnbr/z8EIdPMP3L7lAQI sDrgteAkmN5UPFZxjBW3pLF/FdRotS9fa7bRcUcdMmlDfxvLMyEoh65nzegL45RqfWLZeUTSJLoY 0XTIX+e3ozb9rBHUnnzfgpZJXOhUM6/WsbR1AvqwgAj1e72mxELfqzG1PV8o2fyszHtLAMyPKU3V M1NAn20AJAGYfw8PP9mvQobN8IwaWunA1dLsA8iSKaopJT0fImGg4WjQrCsE6ZYys00w4gvwUcjQ Ra3POUeQntruTc+L3axvDvqLf2IJAB5PT1Ce+lt5/+vOpMjsqWlVHPhLB9BeUukxRI2uCJTs3Wut d+GlKyfuOKmyWu0UtFXvejuBwUZhgONeLmtX9clalQwSL0PaXrXkVnD5omJXYvyE3EyWxx2hq+aU WBy/RF/Uy63ZKky8MUcVCBEdiHXkN4cmZxxgVTha/W2z4XABvXsUTlTEqjW6X8ccbhEHTVKBDAeI /1U1K5nj4aaurQ+JzErMvo7qEnytfmlM7uqtza0hA2S7J9+nKy1cccX2b9j97X6DEl279orxA6v4 zwigHyjLORevwuQu8qm9/9gkXZRWJkTEzBuGzOLsy6dklkzLfiIEioyv/DOJAHYjlhI+XHVPE6k5 ftIPbV0hi+I/htJLc2LHSaQQYwkD5Q07mXqIZ+Ny6QcHOjw4L7WHtpAVsVT+/r4ZbjhLfU3OLcDU Knty20wVG6w93LXtxWvY3ouBE89DqcGtQstNQd3XdRa+mejVfgNdXbkIeZidFr6fxwTsnj+2No4k R5cng8c5Y0fYpf3t5VG4u/nK2CB/pq60XHBN9rupxG78RG508KApnu+qgdSDGus2L+zBsNIPI4Gs 6VgSu3fUZtAJwu1z37A5Y1ED8ypI248pL3iwzPfra+YGFfpfQNI3jZNjX1HbPl5iVg6XcMnD7KTd +BWgb0z9+MCyLtsySnixeS2syUAEx2M7pIRBIi1bO/ySwnbLRbmUosvGqxzJqDmL+jeZSNibUnPq WDnjMy4zfO/mzLqI5b6fYFdP06DMvDHeT4llBtGU99t38MfwIb/Quz7pU3XO8iICxcIna2JJcICU kNPPY0Zn+WMzs+a9djTNqYM/p+TYvNyOHgOaU1qULmCPDwLJt0wvzQKaunXKdeZmDnCJ7WItNNE4 4pJfYaixhdK35uJcS58FWhCK4nVm1vwBW1ZPtYGokH8UOZ14rF5gub+g041rx4+5zyRgZlbq7UgE xc6at+HJr7A8WqQmqFfx0418Z2wxL2iDsqdaWUOv4VWwkccQ7CvTMo8gFPmwFhUk2LVg70xIexDJ OekzpClYfadjgWd/SQZUmkX5nZ4VwG+Puk3Mtd+1s1Ui0kVcMyFYGgoD2UnX9eMCGhJwzdBh4zuf tUdcbteobDMSJeFXQSa21aIyxQ6R/Yl71S4S+uZDC72hAhf8gnkMjebPXzQ/SjqqkFjkWxgkVpZ8 EHPLrV7kd4AY+zUEd7T3JXp3aR8ihGih+JS6j6nVV+2rfYN+Hl94jEkDSyztV11XXHdJUfdoolY8 YmjUfdIYQlAN2DqIvLcs5M9vL1tbTSkykpDTX+76BIPY2b83OSekZpJp2LmEBKW321qNXhX1b460 jTGT/8WScLVVNfXaI322KgnSXDvWkiqgKpbC193mrAP3LHYW7QE0BxDOC+nvPhmUxqdo+89wJmgx exTaESj2QdVSEjqYIw6S0gT9g2M7Pmm8m0Aq553Wt0shXHsndcDJbUenX1EJ75eplNg+9RMdhsiT CjdggAjXyCjvPJ2torHM4HcjhhlEUEgfK8mD+y1H21/R6Dx34E0VaEExy1UFtdENtdNhk38vd+rq sni13NAuxvrkhzwtJhIoOwKsnOk/jN2iLSgnEYwMvo2s9zeC0yGjqRtsNtIW3gAv+75hEN72woen UkpSyuYY82ImpreGOYcoK9bFvqKPsaIR5H7dE7IdQbpocuQwogw/Gz4GaRTvdGaF6VqEpDyL0q9B sCGr6TIHeXVxbLw2EmFHsHmagp6KK9F9ETW95kJrZU07jvKrkcp+RnU8h9btFGZF58yyyKb0U/V9 c0ugiNlK/bEmCirdwVEABgsMxAn2AM+0L+3fYgZwMUjXkp5rcgVaq1EelDJUx/Ftnr6ZBfiK3Zis jQCtiNpZExz5Bu/UpmECkk/QRU5+Qk98tpNxPlvZ798TrxBJKse5evnbuVvh+1yXeU2VxcUtRowe y5uQ+wVCRnLtxokBx1OMKNnUnm2dFgwc3qLFU4L13vPucAXFI/JC9LIlqDDJgicR9pE7Sx+xyJvw X/Itj7TAI/l4jWHQ76FMmI2Jglc3UW/6eTSgXnRkURH41AdlesRkprYGZ051RXlcei6M5CGevMiS ZWi6/pP4qYDVoo32mV+ACvFlpvQpqn5VOHALFUCFW0jSvtnUw/Nb4FQX/gJ/iixZBeVcCWkMaGl7 CQCzLx4GJ3ZRzJYOaRmZoqKmzt+0iGTxWFaEc+XONyu381hnYBsDYZNPkuMBlC84McdAz7OdXp8T I74//VlPbtn92PTPwD2biE5A2sqDMrQO4DR8eqzxVFiTRFVaK2UtIcS4Xjj8kPsU2GBNWJtsqUVW QfVyOwRQ8q2mPclU8PNsjEnsRh3FZlY4rIUexvQ/U2ApKUN2pwOm3ujYZCuweg17N/7lS7x8Cbps a6wZ03lkfV9vGyZrL/zKSf6wfAsDvFwOp3iqYLExiFzwLyxIq3qrhGFvS6jdrmiPscxj6vr4/mjH WVRwDvCd3NwRPE27y2Kc0XwYm5ZaFv0H/hcsSLBPR2jHK44+wdcBX/aC8uLNAWo0ER+uCCufz8YU CuTNAxuhS9PoJDwgRI4FQIZvQwKPpd0TX0jhXObAIM1I3KJdcvfuJNbl4Mva6kjc1b60PyGElfLK 00kJV7TeDFLlgtpMYhF0goLPj4WJqQ+exJerHy3rYUU5u9+4IJoxqnH+uaXG1aptOQmOBnhDWThF GNbI9HCI4j02v54MseSx2BiPYnRJusVbkgHhVFLExxyfxkoixwxAbykDUm/sGgsaVzSvSIr3fpeb LRyQBb17T7MbLNE5M6VJX9cg0jTa9gbcM3D/zyr5ylarL1z4TwdusqENGhIgHPMz0ltEnVYf5Kie 3uQjlX/yaRZgYXGbep0wVnFG21ren11khc+gVNeuNhwL1/J0FpZqGb2cE3lkk1C/hOnrzdGzQIUp xUTvcNWPWkULyaadMwRX7gEh97uEg1XKdJRUc1YJtueDouWDMNpaFLxcnP688drrPbSNhmlzzLfK 06J42jNuXFmpCrg7QMnoq6lB4rNJIanzKCae/zBAARt9w7B3dQHSa5Q1Xofj7JAx39VOE9ZxGxd0 tVdbAQa3Ic5u26JqW6b8AHjoNcKuPdKi91wEuTzJysgen/6u/KO4MnlHdM/XaDPiNDmBZ62aWtyy Ci4NJ6HT56lEOhPui1yuQgd9c8N2ccKaMMYzSYEBmSEEItXbUhxCYY4x2azrQ2o1ECFsYqzLndqM R13huYfHUV85Ov+VlWPWODUYVmcgPi/TJxly0UZkbI2dCPwQHxOgkSPZAEvZaepDT4Rm7Wsh9TJc nO8Hnh0YSnZX7fu8NZ5wRl1Jj2A7hwsZIKIRwT7UrKTmj7eaZ42AvdUnfKns5SOa2Vef05sPhSnd s3Ws0v91QkVrWv4fH2tJSamihm4cyqanKnNQSign/9D96yFeWzhXkW7YwlhU5KBgOyzu7zkiEsbc 9M7elOtyiUHDDSmpueiITEr9Ok79qBAMaV9IfXFrf6u7yM8l8h4IYnrplEH21+Kl2A/qMnsiT4Qk VBDp9mcGKyYZeozTqNz6d1FQI4sFPrf5dhrhMl7j0RcyXcELA9k0mPkkpNic19ReY6lyPfs+rQaD YfVfND5xVmbcRomZIoydIqlzsIS7KjzC5azBLYwbg/JNyt1WkjdNqfj35AjVjUFVj1NBfnH4ZTpQ VWrbcqfgGvPbA2/UsSJyVWXcqBfL7rWzZQV6mlHmH2MhsotlgbRnBtZ+5VWdXj6V5Gjdm7ESXJ39 57se9OeTFtmq8ZMnfVxGV9PYlH8ksfU/FxXQmk96lRLp8TjKl/4mlYskLKFVr2uod3NRamqMlspP Qu5lTS/HbnaOY2rwYyQKAstOzsIDr/X6W766wQfS0bwl5gKOzUJYrwLYV4goqA04MY2BsBY/4mtA +peDfIvoLxZTnfQI03Qscw7FCduFHq/P524XnRScmalvKo5bQvCEpQmFoCVIZOKMGeuTX+qM35mh DqDnFPLHH18FrN2VIzEF1wNudzz9gVldkEOvXSBbv0qv3b+fb2lr31gAapyM1e/x2NIAVDtuPr5i DKAHX3iDj16He0b3ntYs3m1/i9mDrnlk2g1gg/6OWQDewZqeIKflYPi0KgkJsnMiec3tiliSDnO1 j20FkjcESfsIRm+hnpO3XdHeU93GucWS8GsKBdNYMZUWt8bK344yRpAcYtyuDzJdU9XP5AIR4Vcl yjHuKiNSAAvEWSDRiiUcY+/t9hnGDG2RWchl4xVdixJPHl20wpndvbz6vg1j/5MzeWue54VrQ93L WYZ9RJAt6EWMpvhpxw1qAZIosn0SiXGBkNfWEy43XlzxuK4XFCKs9HsQSJm9eBlQ2FCVJ4pnjP+8 lXrcMRZ2KGzh/bmZdiXg9xH6Nu0VIGuBBTmAm04wznDmmAWuUZIOrRlWbGdcPmzn8lYnUVAijYL4 MUAOTeRz9fGZAFAA0Bbz4GkgnFfVe/MiB2vhYFkg4vu/QsZfCBKpVpFYf/wRP1K6m7C8aJMfZu60 p12oU6h6t405NffcUozHTtRGPtUw/TRgu92B3yMF4AletASINbF6W5UDKO0GXtbIa1ZSmAm6d12E S6lEO3FjV5osFA37LpVV/u0ds3euLr6inVYfih5L6zLSFp1GqoYZsvCxX6R0WG52RdEVLeuL4M+0 3akeC6PQQmWc8yDux2knI3uup3UTEqgWuz2aDcoeg5Q+tcW2YT5e57SKbOFNYP2HGF3XBqx9ZrQn mmDZZ58Sa53BLdKvPfbBkrBKgGK0YjZBI8aVTtE+2vTNRzNg+HCYN9S6+4ztcb/h20ojRdJkHXya WeBDr3xKxWp0cIsj95LviDLpLeVxgkusEdKTkuwJtx8sNZr3KgqFZ2wPBNdEqBniIx33Kuskavx+ Zp2KEOTJhldfANlpMLKVmtxJPjAyDa0wSLSt06qJS2/h5ZtY7vuGDpxV/j7xQ+gWJjk65UZRlQ9M w7maw7o4+YBFyiFOebvOn8dFgq30xFbq8kvbxQBAkZnHqJHCJFZL5k3ITa9PQt4u9D8U8hCUDzJj YyggJ62AU4tnTK2NIdoIMF6V738a8G8MSc4hLlaaSi8lHXgNCrlynhZFVaLL/j8a12fvLTxFY8p/ NxUOFicjaT3VycxdLOwz5tMlJG/fOBqvcQ/zjOqJthcOTeRrU4/CD0OQfI6hG/Zh8M0ZknJwSTza yqHPKhfDk0zcOSdAC+TXivWIu2O8nhbefZySwVEpUagvHv2hK/cPQ0ti5glUrlD3mNQ4a8kobkUt fCAm5mTif/9eYTPk3Kx/scx6Fviz2FF3DPhhcdl5vptqVdnyL/1dgI3LNQmE25a51c+mNg4VZxKj x66zIOWlIwh9FTRxG/NAbESbBq4vIahdkNcGZlxPIOY+1c2CIND639azu97JJutyPyLnbSggB8Sa Ix4xKzItcVn3GS/e7fiLutmA9ExggqZbFUIMyASy2cfJ/Fi2vY1FNaMCvF8s5afBoTzd0/Tdymzo JtJDyElFOkjaRo+npGoRzy2XPOm6a08V/9o638v9shVpM38oedq1+Ff15mfZ2ekzRiJFXJlfk8XO MPv3W9l6BzvX4ixuwzRNnUtCHrJV4X5pz4V2+Jb8lCNH/2+Q6FItUHDx+/zLFE+tFRTsP/7+wqUM DZCA2lVrXmstA01Y9NeUme3TmgoVGassuliVi7Mkl5AJjoeAyLWACwuWDHjkehUex2ChcaWKM5gS rtW0wF0lHT1h//1hqz3+zBOqcFErzSoA15VMQcaRCptjKAyeGleP3YgbJLmfbHQ79IpQKOER0TQB Pu68znJF8zSXUKy7U2yLtVVZOju2dMsI8zvnNwoztE12e56E8S+ksKW2f+7/FKEnbZ7DRklibrYP yPjuXruEoZ8mu+O7P92M+Mj7qh5ZJ3YjtcnwpqvDZ+lfVVfyJUJq0tDXZ/y/RDHFbbXatKMSMy84 22d+684MYMrSFTJl9qXnqw57c1nHJYqF6SYOrSy9wrrIaeCSfoL+EVh5EPg+/FLqdME6xl/uJSZA rp1vQikH3pZcnhRQL4JqjlmMIF1lzD/hfUS88L3vMY68wLJZW/2QVnKRmqc1SiCT+mfsaZ+STBFa ZPVFGHf/HL40O+hGorLFHlxNd5s76eUUxoOpJyS4yfAc98kpdfFbOOadt6Z2UWFvAFaOU5qoBOnl rx+BnUUZefyZuzHZpUgqtHsxu78x1PLMQKKp9cbX8qcz/CPir1YFlbfj/1xh0M3Ykn1Kd6+xHViX DwyJGiycMDTUS/ApTtcFtShf8INYLFotvV9omjpKhWbSpmX3MgkjiR406IsZORVEEj5ed0siY4UL /2Pg+QrS55nVsz99iM9TyKX8K8EKlRCW7W3Bj5LQv8xd2kATkhLL50fMxFYxuDnbXQvunRiqelAY 4g43tTRxcHih90OwAXugl2PC/ANXPWP66iM/DBaX2MGBkt/v1g5bCSfC0yRpnNdSMLHWQsyPq7Lf HucU3v5RnY0hinmEev9zxfDkJ1rB6y3uSTVAFYHmCb7QtRjE4AUZ9xDWL+du/9ovXafgQXE1dLgc G3BXdr4PeRt75HH6xRLupcXX4+31uCF+Gjn8qr87G3x5Zp23qtaGEK3+id5RvQ1vQGZNUleeV7bU Jpq0Ty1CcNjMvRiM9jDJ7xaQwXlg0cmlqZl//S4n4HAhNicF9herC6ZlwGuLCtnLe7p9BznqYPvJ ZLHwyY/vRUvVGN7GzHrkt7StS2u+ypP7bOT1jy6sqvcUMjQiWb4LAVMnmDQ/TrDinPfRBoEMrw/v cmkOUf7PyGbNNA7rEhMEMuog8YGmc7NvuWbEFTbBkHEAP7KZiW1s76th69pkZe9MUoHI6rq4sC1L bdpkFaFiACAMuPby0xEkhhzijsJ3JctlsOeAr19rg6p6uviJPr7PhCicd3f2sbSbthRQ25FkIU4r W+4PbiNDm1Ke+QYL0iGrXb5b8vmn3sGC5FlWTFo4qkAE//adxfWdDx1tIXwE5el+rWqSQU/uLaaU 0CENgt8mFSSbpla98P87Nha+8jQX4p2SdvqZNs3rB9GOoEoBAdt5W+Iy+uCHKtctHuExbGGVWr0A sjRvJJ8I1mcpDgontF1cWcRPXmIZ6xBMm9VeezRHCA1aeG/UFSi0qtzGt4O4QnB4lqVfzF2Y4GWW HZ0fVkHxYU2YhRS+w5yKwbxsnotoo9woeBXHHjJr4B1PeCCPez4KiaLqekhbfFSB/HCWmqGVw//L sOnT6pokKJHiVom0WiWc2opucWd9JUA9qzDqhUUiyFCwLRgcMeVBwjzG/1xBuO7rD+yAU5B1u0v3 K/5cVDaJliq0qrx9wkfHeUgesaS24TFqyBi44HSthJrYHTxsOLNgVLnQqw6l2IlmcURD0qYHQyQw eB8vXydWCRHoJcrYCZWLrJ35snxsXb8cr4Vp7Qfqnud7mlsf6beEpZ6MRz3vlLtLhEUKcCcFh5dr R/0LxcNWPjKShOlq4HoEz5bPWu9enjE9XQPKy0T+BKXmuhxwCyYSXbDuCxNezlPv1O+3kpMHhkYB tRzdgGefbmLnmi3/AOqbPYbOQl4CPwS3ZAFydxdPKDa3c2xjNRyz+S+ltdVkN/zvOWF823scbBQp 4C5b4mBKP0jpyk9fCeekkqbsQTfGsGid6otDHJfGyubtELiOp30i19G9RVF78UfI7+uJh9Xx+XOU PD5c6wl+jYoLQ/zFGKp5IPU1kOy9ebmBKOtHrQDNAQbiNNOo+GUpY6FFImO4LrZ3vSdUJ7oJT4JT qku33bJMRdGv0a63byr2WyBHjGgXYk0BfXS1DtGKf6ZtSIx1Yccit+SM+xMSQ4n2gofwX6qex7eb LScUlFcINDrVoLwp1htGN4rdbR15i3HHapaVe8zFL+qTWiE+bHILsgdKxz8vNxKHnJGD/CpLhdIO I7o1apSjyZoG2zCRm1IZ1xXq4reQtZXBcVI9FmxRmalS36bChfNvH9jDMUsQYkhU+bXgOXzvBinG x0pEw5wwT86XESkh6b/iwScWpUIrVpMnSdIi4d4OOC6eyV92IUrCnuFAsmuSlj0koRdWLqTAc7RG RTo0U/ZCsLErYurYSK3B0u8IKwYoeYYzIh7d53t17cpKle5ArFHRmTOH2kMCGfEkXgHxmyxBzvSW d4JrLh3YNEs+/3dJ9+VSDSJhBOapD83AxYwzs1ud7r8E1KrXZ9hPYn3pMFAjJ8/XA2wZ6peJnUuo teaXKLUiigC8XKNhsdFiZyfZ91jT0i5jotna6sfdSrgqY7xP6rBQ03fOKVfEfk20b4kw7m7hPZvS libt/fHjtKluF0Sv5PJJ6EZA+J1JvRiBK7nuBXwzl0hSIl06ADJOWjBFOhCrVVbxZmVWSpNGYLVH 8keqiosvQbg7shYK9HoUCW+6lqFUwF1KCdqnTYIlW11ACooJCwu9Apq6GimtM4cXUgbth/WMR8qk rFxVqu/Q+Y1PCk+WXFNB8lZfn+sIyWqhJE+sP23B8tTklA2isWWbHxhJYVRZgTYEhBE5pVAIMlAq bDAaHxP0TEb35kT47s1oYfZTMzk9Txq39DkvCwB3j4gj87mUGJQMN+OpbdsyB1cuHWMRYawW98tb 78Sm/n5OhlyBjUx01nWRE1nXZttp7Jl/tAcCB+JhG/O9E3BiXa3P9+QN1iATgBC+B4sVG/M+4TBi mki5LiwqLp4ci2dR0i3XivTpYOj7DCla0OqxItZqWdJ0e/hPPcfm8aO3jgN7iBThuubTGnJpi9J1 CCIyXaNd7ayBITGV+85E8dF/xhUzwX75KNLpi9z2thYzTb/T68B8L9H1tDYU1AXbWs2RzDM8l9Jx VAMetoZYb4SOGhuGS/+5b7aOVzlae6gxnNIv5tet6U6hUGJ+ieyO4rDcTMvnfdJ+ZfUR3uIbbO0Q CNIMWbA6WXfdc5w3yMt70RNqT+Ga8nrLNhaRW/KgdEDMw25esEl0Bna/NSa4oIywNVyC7PTt3mOC KXkGxNDvTy7ILbTULzO6Ckd65j+onirO+/YJ7//3zZOK7So/Lx2dc59sPwvtslKXVQ5H5XZqBvSM QuijqXqv1gZ4uODsqc0ZZTeNuv/n5Mu0mMfrOrH0zVWGR1NhptPHX0Zr3EUJTt2n6UTzugoFTBNj UEHDWsjLw3gdPjTEMNNQgBpLmoKJeQChkSI7bcXqTSrJQDF88z4mkmiEv/djRIRXdlAz4fINzjU5 UvLBTmFHXbyFMQx24OSTiUPx1T8I0q7HL50jKtOLc4jUDbg+GQMr/hJCp15HN9NluVN7y6CnLEEB hGlydDSVY1vhHBQfLndRx+27nxfiozs2rGdXu87YDyBEMWY+GpyU0VG+9+g9/o3VYmuIZdXTPvPv K6BxPfMoM4irBMNLWcLdtZNB9+a7s9RdcTXvhkbEFcCL58qnBLlUyi3/VMROLRQ4PLgEE0iRMC/v WYNBgrgfHJM3S38IMmpXEallPhGLiPev+1rbx6dc9tNFTvt3mLLGZiH5J6TrbVu+gC0BVs+sl1Iq wo6+2ZDSCoJeR6SpbJsWDhqCb7aaLllA/UEXgJNjTHdpMdYVS/lSB5W6MQX2GNDpY94adS6ZRDrV 5BB0v2hq3tuzPVO0U+hx9qp9tq8kUw7g4ZK9mMM6FHMrdGnBBbyOG2m5tl67dwQB3QWE2AvRZEnp XlrzYDnhrKfV5gCHU8sVvA2cSBctaLwfQq5mTpT3+0s7r1HXJqANQS1TAiDlf4psbfABpmxfMUHe gqHnsbNshyEOY6J6lZGVQr5d0nO8FA8Xu9VwuUHduEcDCPNkv3hDCP2lLGZBpj1dJ9TibSt4mF2l B1VlxoqvlJ1TRcv5ZHjDf5Wvrc5awx91tylOcvVit5xELf+lO+ncBmYGg16+z7HOIw1918arONhC 4iIR/1GiKF4YnADDvecSv9hWBGY2uBqtSC+I0U0zA/dMpZj4qlzcsqrN7Y9UK6PYen9LHQsChomL vbKhkSgyQmH+VpPtPaH7HEvHYEpRkR+UsA50O9VYJ0gF0wCyVRES1G7onVhsolPVa9guB8c7hTQz /BOL36VTbn7Y/yeCb1Sk1UfmKj6m4DFq/kgokWFsDSHSOE9G7kGNVOyP9c5YMK/6ht0R6s6X9bHh 8Mk0HWvm+sS7qOquUQ3rdmlCURAZH2udO2u/bre1GpQ0pUqMpxpEWdOo+eNnABOeodVttiGmd4nx mAUCX9nknLMUswvGNmvXNhTy6VpqpGi5zJLxfSk53hHqoSgtuFz0rBd+5D+g4RMeReWp17UgFKV5 q+YydYvgl4evVNgnefJaQFk5Ca6ZtIIlH6W9b+q66zLgVTZIxomWl+hvrHrlmVcUgILGVx2MLxTw FwCsheI6OxNjCXGjfv0qExirR8nvysZfPxr8jFtA1GEvK+WPwB8nWNYK/OJAjJDt2bSGsUgpwsfa ta17L5hbHNJjOj3cl3lt3TRv8XtvXmIC+zGXbDmqvKFQ1Gn8Eo38FuX2pbEoJ1lBzzodX5uk90Mg f4Clk0Ye5UXRqJ0quqeuTx4t6usHck96PQoCYB3WeHo3hiiQON0gNMC/vYWv85OHPCihsqcpbHwN yy6A1WwAFWjGvKt50iwmvc40ZdrNJoxXwymdraF6TAWJ02zGvC8qjHvQFvpyHRCjctf31efoD81n J2kGpGUBCrm0WiM+kkqgopAow9CVaxNA3FA1wo9TA4Tl3C4X0J3IPn1I/VYpVWWuQ4gV5Y+ZM9e4 vyhESZLDRq8lC8pSTMrup3vtI0O3VLWWhocW9bCrcqOXi8X7iYEd7G2QGTmmur83O141B6UMFq79 A2daY08sWf2SOZBzNThw9Vc3anYHTQdDDvOKiGX9Q/aPeGmJK1QAzIvwW5A5Gtsusf77l6D1rPVd 4pWatcpi9vY8CBvZChH04vUpv+yF1Gfp/3nOjUWJ8nW7fU/g0AcXvIagbxP5VDOq6KHouv/oEG63 9yW+jbIdIo/ioJ2u0H5lh7P46KyQWSNDCyh6gVQM8j3uM74cowa1RD455eFOUqVWBgjsaoYGsAap qCjMceFsns8mQoure6WiXEBs2Efndu4fCVXoDcVXf/UJTNyoiA4RQtrD4WEal+7Fd7PHCxUPWOUM sLDGvAtWObE5kCgwJWLi3zSXazaN0vUApKLuQ09iVNj/mU9i7oWfPItHCxRu5FvvJI4immTklvHR FTtIwgJ2xy8BGVYRrGWar1r02jBOOhZO71XsFku2hgN7zG5WeqV2uP55WNNZGeBok5tcJMYW3VHE Ky0GMVzKN3cDJKuQDsGKN36vQqsHT6y7RoMQXM4ORfRj3Hph641+Rc9NZV4CebppEcCYSY+Lv8nU K8wJBjrYw51ll9DPSmxyeTsQ2Yg4il7bN24rWcIWIa25w1O+2dglheQfZPz2TIB0jsmoSvx9mnZe BaIRbE43SPQnPbKUTPwfjQAHmN8xiyIfk8Ym7LQfNRJoIr4egWvs5vUXdndw1T0FiP2T83L/a/V6 ldRXtqj9KLyF243ITZ35z5uwIVzEhQ58ijA2eNAG53R9mO2N5SyUPGsBjwiUx2zS1BmAYqh7rQtR zNGDnc7r2SQGbSNjkZOYwX8QOGcP2CzLZ+qivyUY9aDKv5cyZOPuzzQyeUPjfL3ViSM7fUPbxJWi S+468IMGImFSzfq7y0KT0IfZh3Xq6LCcswYryHOENSx5JewBBCFyEqSXjZ4ecEQDcz6ck4Bov6uS apjSSoXVZRX5C+fdYrC7M0/BAjMHIx1mu5SI6/UelIZwNfzZYnFak/h0G3egT7WzMzJo4T8+m7Qh PC2gMH2hgRkH6q8P/NCrsbNeCVOemBb6e+PahWljMurcVX5BAyQokgvW9q3NKEN5PCCoOGye7/tV ytEDPKwviVCWS7kc1b5Mcprd6fVa6SnD/sLnKYx/wuxGE8ou46p30kGHuZzXdLNhW8VyJzuwrNUy DrEKH9TqqdwAvLetcwLMrnP18Ez3N0gkuWYQdXmZA2KcW0CjWAQQfLUF4g9Dny6m/gVR0nnaUegL fLRc9pMrO1wlyVOZQl/h30ISvARbgqUpmdpFhhJ2C6jutpT7ARzroz/jIsEnhLMiv/Vq8YjEeYiK i79/wPeOXsf/B9ToCiQd3TnAe+IuCXUdz2szqiSTwSymNtc+b2sL65lUVUuZq0wpFRdDyODKpy1D uNhTTkVj1Gl9OpMBp9Wu/sNAQ5ViuqUOET1TCISzXy+lYKAOgLUsLL5klVwgkDI97gcA2ZZrkOUT bZOOGVtIsecHFfS9lQ40WHTHqLOU/8Gq8tmKmgNOyXOZIse176cJ54r6JYxyK3TrzvZtlfoeidjE TLB9MqUZyVc6KwrueV3oqjTiqXU6RH7nbPCJdfdQLY7MnfUAa3I7uJ3vHIE92d/u0isxjDDvVtTQ gFsxtj1P3iA99Vnh3QkugbGDDA3ZZs2TXhUi1u7kT3ugCuOST5Sz6tSz93hW59Y5KeOvLupVkdcF LnLWBMG6zCAyhxAsgYwuc0tQIwu5AxUgAmuKaioN+DY2/GBgelCScSbS8zxM/WvTnls68zCl8+t+ fdeG2oKzxz9l29kGnZZE27uD53lQlpBxf0IJ8iRhVqY9OiuNGwmNTyU3HBFnVlGnvDHTMYZ43Qn8 0jegY5j2V13dWT8rXdCJgeSh6q5CsgB9expO7mGHDBrSbc5k0+2zzFQ9GH+9ngp1B/Xiwjh71JZm X64xsCauvZJSDvjvgdHtA/C9zVyzvCy+D7ptfzISrP94zEWNvvNVN9O3Hc4z8JI3Jto/81Yt0CXT 8oqs0yIiN5Gzz1cf9KtX0amioRP2t1rvrrfgT4SzYD/tG/+URcw9arTJ2c3iXzabZkF71/sABnX/ UninCuImmBeetYVyVNMPyPyX2yiMtNUzSWBtKadZwR4UDyyfimbCqm8qHWZYLTikubxP7hOBzj3v P8LJD4qT6PtQodTyLSunzgq3rr3X0s8xM+4YvTCjlB8H071hNvWBpg69fBoNRivjWuhYg5NF2WRf Ix/n3jriQttSH2FDTr7Mxx+dmEu7GhFVpmAly+627gcajJkDhcTUBIQIU9JAGB2zI0z9qtSDsllp 7LmVHdoub+TSdxCWOVLzY6H3VsqUGfRMz8qoGS/5wqXsd0wHISAe2LcAUSrB3/NXh+FffoGwzDe7 lzc30CpzXCpyoANeMLdBl3j7m3yWGP+F5kxpkRnfP07mG8dh+mtmDyUDFhTBIBdsqBR3qjX0YfK6 8TiODmFjcPIc1fli/AdpkLWLC4kvuvNcgxlgTrCpV6tIscdJV5UBSP6PdL7a3hUtO1g5Lg4xCX81 9sj4dig3B/1+9ZltrIGiFjU7eJUvTdwWS7Sp9+NXoT1HATp0DD8Q3I7aMSCJQWdtDK8ZWiel3Xel FYPS3BB1fbqbV6fbE38YQoSHpai07mq82q99KN5TkPxiuuebR//nwuPX5tby74y978sUar/7WLCb iwK3qGu+4nFT/Y0DEw2/2VUUratQrX5kVdr84zG3JYyYDJ1P4rFQde1kCnGbq3R3ZJMKkbmkWiZa rohwyGuhmVvQX2hf8gVABHQyjODzJ6mYy0crXJKX7obV0KK+B41U6o/HGYQkEbqxL9yPT5dZVv7r IOIfr+keEddShFP2uw3op/OZtfpyGlkPTOk25abYMSl8QPofAxV5c2YS3MHm+pP+ofz7hI+VEgc1 C6H8ek2oK/3MLOqPljhH2xSSpk2Qoo6FzUAqygqgB3UL5k3XJe3orEL/R3wELqBtsrYM2utiSba6 tmSirTcj2FJ3HvCbnAmrsRcPXECVv7LxHTGcguLaO+bHzA63aIZ3YeSEn5aBGA8JyFNVOUp+sqDH JBliIByYL5TONbXpo1eANkAnro8WGM8Q6ceyeoVXJmj7rQ9U9e9oGvwEqdpNG/cniEYSu8o4NqjP 8ans0SgMpWMC1VePg3mFqLU3F1tclkPCTugwtH9r/S5+D5oRz3BovuWXXISpxAscuS4rfzc4Dssl yTK0LNldX2lHtzV0iQO11mGpoz3W3KhKQ7q1xk5Xc9ZfMG8yJsfiKQe66Oi3X1wIBuNv5W1VqMhN mDKtuW1HvWz2fli+TXDiPV4PTiSgkQnX0c2YMWCUccpAGbPX+4Jzb/hNohRjZE+Rg6oW+PMgwn2A +PATx5tFrPwy6DsjV5q7RnCNRZSMgXd85rtl+9XxAtajD1LDfcqeQ1yAoY718bYPxKk2lEoH6KzR mLHq43OFWDc5xg8WP2iNjdJq7mNhQdykFiFQaTR7Jr1LzVszCF5Xh5bFLT6Potpe8j74eK9UFlrJ 7Ii3bayv6UzwK7X0Jh0i2htSUcCHERLIEIj+riee75X75MTHc2hQK2NwYKq4nOyIfd67z8lbUsqm FIR3FkIjzfKV/FxoD1Ov0OirNrB2nmDlaRgbHzUUVkIKlC48cp6qjfujlTlXyEjrdTLbVksOW9WM YLc9rROAWdee0gmzuOM5BWh2Aq/jbx/tekUGfFzA0RAbML49wpSn2KDMb5zhl1MjH3X7ialNVii6 KSUWaJ5oHPh5jatjhfl1GgFAjin/HARzoG1pmT04YhgY3dbvwHH5ed0/7K0nguS/pgtkhLHBM78t /tkSRigmdNsZlsPYbKw5vpfYuZ/xdWH5mxE0B75+6fJGzSFfnEs4M4FoYzwQPl/R/zw+gjrADrbZ sFFKUmN7Hj+dVjsAIxFLyowlQccePXR0HMmg7WwBRSluuajQaYJDW1ccpZn29A0aa++J9eCNeSod mtcn1IxXLwsTbuLDXve9vuX+35wYNwgGunthiWnUX7EMIPbHJF/0ytP4H36+d+cFcQVAWWSIXEls ETB1BwNIIa+mVecRcSYyYeza6URlQdyPICYxd8CRTsK/x7gh2FieQorGNXJ0O1I5Vqu8mIz/ltyq x3Ec1mgFIaS4HZGisbLK1FVCi/jcoDt90ysrMaX4ENADLuLGohHUCARpH8DNj/y0PNro7VsekHkS P4y2mpksof858R+fbEa+Rf0LERSLYHbzH2mi314ImsOSpYya0TM1wsxHOxkFytSeWdqLtf3zClTU eDbT3FAyHhIbhKSkdaSp2IxduH26SuCcmS7hlUMFYKKqv+Ls7BXAyY5Qw87O+BEXe9DFeEZMpEVR 5mqjCenRiY6L2nU5FlgsV9twTd5TF786NBI6+rH9d376TzJyfJTiCjGUFn2SfhwAnUfEX31Sj+pB dUBVmmhAXLY3SmLjxMcmIzrY5lxxvdHxfFYGRbStfSw6rfqvuptc3n8ADDusd25fp5yzv3WrIxMu zXDMAp8VqV8F3VWLWFRHheEurg9RyqxjqxoJr1sozKUUxlETyfPCospRQ5I43djaA0DwyBLI29Xw GbYBIXg3zPieus3Q8G7LS9rSPsqvJDqEg0oUL0lctXqCOO2Gr8v4YjOgMwalLFcF3UoLcToq6NLK nrycIOQ4M2culb1Ueszvy9e/9A8Za1bMvkhZDgTj6jqRsXG5858O8fuYLOmmDI24gIEUaZ8DgRA9 cPACbhVHIiI4Ok51Tr+rZAp5Goo+FL6da+RkSf2ii5AC8+zt2X6MhJNdfXuXeteugyg+3hNl7WdQ pDl8XzEP3dkD/g8GgI36KC99bp/NrYo+BRyVbm+X7RwkIdcOBQ/ohriVzBu/2YyYbDsopu3eEliM z3MKLJEQ8HwEKU0FpSvL5R7lK4/uMtxeyMEmjRMYjj/PFyixfOaQGQST4CQlfFgxz66YA5VQLMlK l0n+Ei2z61I8Ymw7BjxGNKH1l3V3qT7jZHjGNScveIip4oggi4lRNPSdpPKzEZFO74MnQWMVhpqq MBvhDcyhM2Hi6hNQRmiykst6Oeeoa21M5A2ra6VXgDiDPDbE+gtujT7IteQrxk/BUVuyf/cOebeP cnSuajpk+CwOHB73c57gpO/0k12VD8Q/DAZUYFZg+pvS1T5ruO8p+fypbNFQtuK5AlUUNGKLrQtH oyXpjjlCTPPkD7Zl5DMjvAvby+HzG5UnXIMnIXIlhB+RfqwAGMDdzEboKNv2fyFfjPneONlyU9YC hnbpiM/JeewPQkkCt8/PVCkkb6io/ITfG4QKAUQSZFxsmF2te64C5s1lj+k10M4xz8urcvDtZvcq laJmeC8oi/stfUYw54oAyNb2Q7LsNwOtbwYVQkk7Oks3Iowi2u5keZLOOeG4rkfyf3RNHntT2cyr iYVby3eVQpf3hPPK9Fwf5A7lgsqcANDj+1uHFf4Rb02cSpCzj1l8OeROMA0M7G2Jm7Y62HUwPbfi A3ITseZQEnJlWDTbNjdVH6C771pwkVLU5m73eKvGQD90hi+ROmUI8bVS5aRBWbGbCgXlle3sFOe/ 0SHByRm5HmksgXDQr8CNQL3rUqS3a7WCQDMspIXDIfRjqudVdJcRYBrMl4GxUUbUMSOjcnNAfQGP p3YDQR+00wg75HWgygKfijUeh1QFGDKRNyAaLNC+eH9xc/RBC8TUmteoClcqmvquH/b5XgFMaqXp MVy+AxdmMsbR+gVi5VBbGOGFH9rmHf7gAf3S0stm3ivlTxiKy+S0J9iTD1MSkaspwE8rgZMZliIJ pM5DpfG3myIlmxYeA6P29qrqvmZvBuZJWqRMrCPQY2Slr3m0pNS6KNiemER9HwxE/u89Mc5BSTmW 2lmlb72mQ5ETi+mfT6Q8xnxDisoNJqOuGBubis8vTSz2KR/uylQZkAx9VIccLjUZFxdatzo/Q4X8 tqWkAAD/7tBjpoHe+R3OTCFPT3tB8s9zEUCF+JFIKqkHhtq+nmDHU9b90a4middaDEoaU+NQwXfQ dKutMhyLK0sEFKt9BYnxXNokIpoKUHLUPCkXeUPNY0sh9I5kmpNPH1JjEMyO6QgNITFPsuqzg3eL A1M3P4MCzaZcSRTgcEulgPp5xHvVPF4WgvTSNM5XPqENCbj4uJ64quiPLVPlf7vfpeEqEBOSPPPt JmOhMetGHGc+qBn/TLBckG2ycPYPRNpg63ZFfDiUp+vHkiIsRaKkSV5xb8ecd2ZiLS2D2LUFMvv/ G7hrg6odd7zvQLm85oiIt8AIrEVe4e9r+GBUIw9vb0tnc4+LcmKd1rOZeEnmH0x7bMLPCuwzZsHj ACs9dIFkpl2D3QsbYKU27PV5Tcrb5cBVJPYi24S0yDZM6OGsnYScqgmju2tPTBqXylAsLzJLZ4Mo b9adY/yC42XZdrwwAS7t+glINwHSOVbR6+V4e1Hb+u+BbSDWQSEgeLss4BGp5gLqA/cO6Ftl+y7o /G2AK/kduH2ymCoSddfSrLLs5hSJP7XxX1CAaldP16pU3IOxks+rWWawgvshBcGSHNp+k4IPF9fO V10QSUhcoJYHiuuZE42QFoCeSzEwC+mPFhESk4tmUC0KSYbLCNn7KDHvkEq09PAgTFMJSKfhrvn1 KipG9XlBzSnoT+8qU7NDvN+tYXFYqNdmm+l8aZAFY4XPJyTNSKNRLmXxUIyxf6dayeqrtztNm58D yv1fMcoObzYFhgcBXefC4Qn83BIritRk+hilm4Hn9SZG4IdTF7I16B/G2pVtP4tcyG/fQG5ThAkM dSo1xp3ofreVeljjKjQ7JSQtLxl2NE0kqjo8dZmNb+HPqX1dr5gmxRiaPw/1Zqi8FHjf+48xAjmu BGsVGR2axUbkLiW+tXQxbLwWt3yxtEIcYrTAGP0X2etb67QESmBZn4FeULFHfggp2o0pOfI2dNfa 0x4klj5nJCIvsXOaxPmdCF7EHcM8V5BRXlBfwczD+mdhYyRMAzsI0jzuKpOudY5sD2JDiAcxk7gJ VUidUMT9TWpSTwQvnbX+z4Jyu/9Mmu8qvAOtz1Bg/Z6LmCmJ8jvMgZBva8+07t58nDRQHRyiEbMZ OkgzsRQitYfB1+SRUonhzgV9f6wUYLIqkci5PtsUkfgjn1+Jnt2R12kiLGh+WbOjBWOHENwiTMHj Gm6yNNXrSaRFzPe1t32bSDuazVD7l0kAZ+8C2y0y/GQOHnUsZR6gPGkAdKRF4807TyyKDyoihL5J SG9wpgijVtv9Mx3BO9+5Hh6nUwnFrVNZ0kMOmmQEfIWRmKhJMikrXm0JzWFSIx5SmFqFFmURXqsX OM1HkgJOvz+B+vvOEeH166osBvdaVKiDbFaxQyCLMDJHJ5X85Lhnps21s9HlziKBnpgxlvxVEfQH xkIq5fVA1thbxf4vkmIyCjYVgzeYWwKpu2ulmInSNrIjWjTh0PKwJbtwq/Bfi7958GIqNxNwhIzo i64wy2uIiQKGrneujoWB3/UyEb2iD5lYlxgwQiPXhsBhYJp3G3KiXKTJy8XqnAMeaonVodo7H8WZ EUbUrJjXMfACm2zsDcGHF/gYFcZQrE2UwOWd7gPdD3njGgZ932u3QWvP47yKXlLoNBFIK+PdPeU+ kSFZp9uhQBsiG0XY8A8vos1eXIALAJ43lLmOAg5pzdqYdDg7HxpXmoOtHDQ9RgfT+EfBfIaKxRBd /p6KWtgYmRTwqngrALm9b5hBiujFSXqDHs2vG6puwoLq6ZEmqXrIEu97uWYtsA/9tvnZlsh3in/V xXmJ8UpL1AwfV1zA9sf/4T/0mR12G8umxpvSamOY5VvBSXRQ05gh3xDs6mybrbzAMKhoobC5JSLr vjdOLaXvIGQW4iOWlTV+AFyRErpFHGppcWqU7C21FLqFEnnMeNd9/azwsqk9x5hvS3vlN9vMw1hp tZ2yOeymckn7jO7x95ohNdinBKJ/Ji/gnd6AgeEyimjJjqFidmWbIFKrtXVjBJoQJ8TE763tVMoV /zuUM9ofure3i+o4r38PYBBV9IVxTKe+1Em8Fo8EP7HGEYpm9L1UOWN8z7n6KDc1I3A3u9NGDb8/ sdbz3xxbUNhWxOBknDIdC+RoAZRExniGXwB6yHExq3iHGMSj9PqWgS8y7C1IYs7AAfsFvIMT16y/ BwFYWi3MD/odV/Heg4SGJX9cc+Ge5VxqCLR+1uiF68E/OL65gASQNQn9BKj4GenPiKE8HP3ZTfbR HXO+BmK/8rSK0pP/rVdtNMR6PstlfR+Spz2s3dLuyUNN4qVQEWUXuYKEhJb8uypKwnoB16sQwMps s1c3ssL15MZ9vLHvBrW/W0rVdRjxWJ4DaVVw07Mtl9qIBR35XZ1+9WT7HX9dV755ThKrfh5Yw60s W2xAbJCqRo0UnNMLOGSTd8sfHcLHQQ7X2hwvwFxTSIMm6fnbGvhsKnRuvJUri/iN/uBvTfxoSUTM z6pY2f1aoqiQMb9ExXVNdI3L8eXDGv3Zrrn8CHBeBEQQnMKrHtpahBgMVqrTHQ1jUenlXcKsaaux L9CGu64yJkJy4KTLU2RlubB6nxM6lo1bW3HQGNoNGPqmkeyI+6PxwsOzPAQhZKdiLEKrLP5AL4l0 ihszfmwVk4SMhDlghRrNr7MzRIRlwL2ot7sziVbJQllrJKRp8CImxEYHMxDK2r/zQBACv+bAmOGw RO7xwT7BePSmPNjqH62Y2IZ2xwV8Mo0i4LrpCeteLGAN3VZGqHU9vg4WUXChBetX3fpNcBs8EpMm 9Lm2sZiL1mTQGhB/a1KGCP7VinHUQfdNXurZ2Lt5r8UuIzHj4CRPBJiyAD6Ryzwmx87jNh2g/+i6 jPGt9bJiOcgfx+o4FZLYFcn4j1uADLOsrasRoXAR8pNTWOdHSowgp9QeTfQ9eb5+q+BrjhIS/Pds dxIT9B/1t+o/oIlj4X4YGYTxFwR81+xkYsS4kI/lJrK8VBVPoykx1VslBgBXHKlBUVP5By28pPN4 XXczn8Yx0Zzjj4/klZoRnkq5BRCQaW9g/IXOKPIdHzSn0+jd9gTzb4MCSx6bWaRAbY9buTZQ6dma jdr5F0s7opYz417AIhUNdYrrA3fyzzNxMIjWLlKePr6wf3olvZ5GGNUXsOIFn8SmoWhEuqXkH41v JrPWTNSZw/cteLZmlWJrI9k5KKXr2y7kjaxs0i//rH4eCFPz5nWMF/hlhGaicRiPXGheXytRCPiP Xux7DwQlQNPIhHOlDr4omb9IPG9loMFWFnsyD/k4XeWVf9aWT0Gdu6xwvo/UlRl0EyYxPmf+FNJJ q5ivmn2xAF+IsxMtx0liMY8fUpgJytJSkGnCfCxlM5aISLXzZj3JtT8a7n64nyjEmq1wgjR96aPj kEGxKgkipkse6sTrsA8we35eATcTrmTc9OZitAEmr2/rrgyI7Cmvko4K9lwfl3hiKcYj74zQ4/UX 4zuzB00UAJ3sp/Z8RdfzeQFlWbrsc6ZF0HX47wA6wrLD8b9e26a0olBRTqHhc4ErLJjjh7m44jQ1 6f6RP58yiPcW9Q3dAISKPjEaJ4MqE2nL4xq4PwcqA6On9mbdJsnuG0tfbLp3JnMwhqfs8DQFMNte BE7+9m1T1dG8rKxoPhMr/sQ1ZXqaEfgFxUgHFsaiORkwwe4DuMV4qECUlDQwMrPxcdxjT4LynY/E YdYL6LQXGdq+XrTN+BZ/3q9fKos98ltv1qk5PdtfEMVV38z6DGSmMYvmHoWi8ycB8w/Xh8hJv3dz AWnYF12PdGZk8o8t1e0W6xfAyJyKy0LABFfk7SsYj4SmbfDw1yEiPO/jKqNRHk+OhRSczr7vAPW3 SNBk9p/eE5E7MDEHowxHs1H1YNeds2/QyLvLakDOw6Snfu22SFvnAYcsEPkHAay3HWH7hpEhYUbV jCepL9FfZlixiaXm6jgqO9pfpX2odauPzLbgL8W6/5/0SJIjHFYX6HzlDNNYnkijGKfvuP7kDqec bIP9XS+3GYgclHFIcLkimQvIDJkvIZUu0LAClZw0zHqOochiBH+WaUdsT0TojC1jZDX48R0LYI3J sQNDKIBpAsJbw0NLOVQMhGkWbbkfxbkLULGcfgjK3dK34U0l7jMKJLg1mP8/HINU+Qvdc7YaZpmK /2KvOFMNUEt986tt5VTlQSRELra+43VStT0BXm2A3FsHyoJzG/dEiybvnrvLJX+4q0jK5woEAEap 7CaNncW7f6EkXcjiY0J5GbHrXBneeCkKbr7To9yOpFSCyaB1QqmqBnZXatcV4rG0wId5HwXrTndG e+cIQblSIsxCSi1QN7Wyc98p3btUWJWsj2N9SGifxrIsGVeXCd6eoh1JKPEI49QsFFLAWweRHHYi q5aLNIGEUY1MOMnAw4jeGSjqSci5p2hsKKlJzwEMaaIsMQ7qTfG+iLK/jBu/UUl/RA4cO3TSU9+C mskIxsTAhbbvC8LVjhq+NqihXJE9SLYXWrjiB5uiNxI1BCkgtkc87jO4zq/YVwz1gEwBMIcMbajt iimDqeYFHoL6MnuqTQH67tzYuNHw3iET2oF7fC5NsXFDyOUFzItC37cag5GX484e+9JuZubi2V6r pu2HWbnNjRPFoYQPBP1zTe+SUikMVpgzlswU0xtA+c/3U3jdehmR9j+bS1dytjA+wrn74tC7nK/U tQRabO8qYcUXI3V0v7tqYN7QzP51R/VpZdg+eVBL50mxWlIpPdmAhVSLG02sNjAksewTNwPbgqGc Hpdm5x1unrhn50YthdcoYQPY2hcUIpdfxUvsNYJ/+at2BzKeSKwR4AGDzmKOI/jaBCD0ZMl+y+MC /a5VOrGmuWiRqioL1Za+D2LYb8QMKS7h/dUo2v0zQkVCPIORvuqrvDX7uHzrCxFvO7UlzVXHHKnI gsyqV2rclRvAd1A09+bHfU2lFD28Z8qj9fXFMHsr3BdfvMfbuGECFhkY/vNcSvGeR1WDi7/E4B06 P68AV+ogMdsEBw2Lulf0dhyMmJCSSGvmEcKs/syCocXAmpLA59vnCffKKMMzWDletRGSTRVpUHoo xenDGKra4uE6i/jAPl5doxGravOfefxbd8u3RwwUVdcTL+EQq1rfrHMN29dFIVnigccsqLW/l2Kv bkmY9WS4rMEXoHQ5dvKXs2n++o04uhyaILiGO+5jybUBIpP/E4zMycKWY4g394+lo9XyxUDCT3Ph Izl+crpa1WhkaRNjUuyT6h16CClBc3MSoAvp7O5sOcnGMSSYeeOrXALmxiRo6YEzeDkrDaK94xYT RIywnfXq2OXlJ1YyjpThU9WRotCV0nLTHTEqoSyxmnrZ1TPEO2k3okL4LmEB2JqgnS3534LPRpYe ufdyVkAe1bEoz+oYlL7eZhewYhhnlKNCXh3B6IkeIiScIbVXaTrC+bVjjSKfH5aN6tbbkDY7qK7N yT2cwzR+i7hHvMfm8fEOl6WggdUiPKBANzNiMNuaYCZ52I054Qy2fhlKNpCcrUP4MSZ+qEkAGP4j so4WqnGK/XDjlBQ3IedYMTlrCf3bQrO0NLidcuQN05VNJeBF1bh4OCREH47Y7PbaR5PD1X9SZGZq 08Srqoo2896O+8jUIUIcfj8y2LDN/Aip7CZbV3NBBeMWLYoh5rqTMz9gJKLiOYt4wdM4uI4f5zkJ iOW/FdwcNlSeJxZUXBY8G/XRSk5jVD6FkHHK94b4LqbaHwISecfS7tF2Gc2S6YQotc+cs4ImTYSQ qnZ0lNx67FWmGsitZXoxnV9l+wJTue8HxLf+Sy39vbVuN0ODBrDB3xpTizU51l5gxnQZdle1XHut drZmuXZICh4E1BeTnkwmvG1jHYPzXLqxjsh6PflyDqgu0cxnW2zA5BMGXZzdM5ND5heniVYBUYwF mdbNPQDJD1ZavAMp8daVnVsf2rRJzevvMiPC0wMC5TsM2nM6/cwbg1PNS//cXgBGEx1Dzgtetyb0 OcyLrYquEvZDhPjHuA5Drw2RSDcI7Ci7DFiZThrrcqC6Q2jIkB4ny4Kcjs34VRUsS2CJrRuF+O0G CPqCihOtK4ggyArFlXTQoTzKgzanPbxBDCzT+kH04t5iUuZeguxI3s7WHZ43sq+f3w9NOxZbndIY nV82FMl3F+s4HRxu49TuWalM++W75TxXeDnxNebQ6ApBSlIbKFn15yje6CbW/TOZ2fOrUy8RXulH iEdfLemh1vg9srz/I1r57Sn9q400wka8ZAqEvtcMRKacDNmcZVXlAKa6+3ZIn42WetOT03XpRuSR 5qj4XsIa0jXnqHrmOIsIQLyz3nPxUJC+xBxBs5KvvKzT3q94Rzd9ro9J8Xq+qqgcJljfPM4PgAtZ FYwyCctfu4yyXQ7WpiV0Uy8cyVkQruQrSZ9oyvHOrKspmzH8r3nS5lWoW6YOoFnhJANMKrgUzu8x JBzFlzZJ1V5I8leV6pe4oquoh8anGxzjFU/oRqVkzWfXmvxQLQmlukBdvynmYMv4RIOiytM3eHFh ZqJciQS6kHQsAUGbKhxE/Hi4Otm7xP9ewjI72nDQ6vYKT1ndq1JqCKluBiyMiJw5t5E6rkHA91cy i50JHQMg1y3i9L/rH14njXPfOFqK0HnMPdZdJzUF1DtgsiWnIc0KrcyCBaEJ/N+Hv3hoLI5roZEs k2eLeN4fox/TVLF5sMDNbyjmMQV4siwpr/fLBOD38dE/UjyVveT1A06LnWHQB2OU5OUkKjUW9pI3 iETmZ9pjpNyEk+rET9FnCzeifn71aCX/7ONggCkz4ZZeX2/kCXYmw5t7hhiCRkpounEjbnkTDQRR s2P0po6tiIHZK8hc0wxBXybcAMqNaAfx3AIsIbafPCrYMiDwpaPV6DxgIjCE0EAqWzj2jB/LJ84y p49YU8hwT5twwNgFqvWRlilkps0P1woadydyAJfS4Vktx/9r+Ana5+fGfmi+aebgXKLV3FbNha6s 4jSQuA+mnB0ETQAJGGOd9lPakbMemWfi0X/czPJCl5TjxeoG+aOYOPBr3p1uqwPlF/0bJX0ocMLt /4x+mrWBuOVeTVsHFm4OGyYy2QeLcx9eDrr5ZPWQmt/WfqCHaIa5JR7Fbnq2tWS0tJOrn4LvWYKo 09wUhBHZAwgIZlU2dR3CYZm1oDb/EUbNYCXSgJEd7aaon1RDFTrfw1imsUWrP4RB994DIpjeiN3O y3WZOw== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
27
91985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF AN2ca05J0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe 3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6 BNEUXajqHzh/vB/QNBQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1 cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w m1jdROr8ONcmGBJr57g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x 1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe 21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352) `protect data_block a8U68t86Z3o/C3FQDJmOiqxctxRfzciGtiexeWX5/tf0YTY0s8Y3Y0I7bLXU1IZx4byo3l4tenB2 kusR0ylj1fHEU0otcwy6uhkEj1fBdDkfehty39aVpUPBuagKC3Im1ayCXGtzUoPoild7NpYA10ZW 2jGK3qYKQ69zfUu41oM6AJChIYA3g+BEc/OAgj2t+TnQHXwT2LsyuAmSYIFbCJCyTAyyPtpIr0Nn zBrAnG9wzCjZkgFSy8ze/vycqshGS+8Y+IyIzi3+Sa91w1OZfG2CvRoPx0zArX82JxTm5TmlFU1N Uo+UXTX5EZWlBfzrPAZfGpUtNkJslzlT3Eb3G5/98LCTJb/3TGWjL9PinvuEoEGzklGYjPZneyNc XZNYLESXa4QUcj1iroZRElWxvh5eMXAkwSIIoNIenJJFM1Q17TMT0idV3R2tNPjGjSg+ZEUqJliG Cbu6e82qUxu/r08gMVLhuJ4yw9opI2mndnh8faE77agfLD1UJVsp5GM90YaDngbn9CjJNTLDCtDJ 1YhNhCDlszRo5Qm1ArM3sOm6P8K2vJ0lSPW/Jpn7ZAUW4z8lUTcrLDB/X6YztwcFLpGIrBglHhIX 5NISCDR0AYL0Q0aOl2HmdBgF/hu4+Qdjx/Ya1UP0hF3t8upC4DOPdLYl8vTMGYrQeK/XBTh5dGi+ H0MJwVWdCUk0mrEGMvcl1lYvwPYr1inGd5ttzpm9OFZalPoJlNvq8MhbOuhdw9y6WCfJRD3fr1GH pBs0Qx2pLTMxcpOuAYGLupIYrJyMl+CgIr6dN5i11acXmnlkftgCqvdiWt1ADFuQDS6vMlb8xWRP H3/WAN3BiHEsSmTuqQEJkMhBS9SPMteSgJjYuNiKzXRFSTCO2/Db4pq1EJA4b3VnrfqXqr5rLtsj nyMcMENcvv0ROoHS0CWpdvgUCbeOtGyfyk/0blI9ZRTpMpNirsmbMNjLRMKoMu0vjAGgTRUYKw2x PxPhI3eT/aBuqbjxQox7A9VCCmmFJw39wYsOqjdZ4hE/UEQOoq40hJHR0tGtqwwFWtpNiG/vd+ND YUEVOZXgHQogHIbNKNvidjC+j4WxTX8r4McBAP4tkzoGswouPXaQvnC3cNASv3HT39+RjQuHJhnJ IbV7x3scjd7VoBupA+LPFxACxCbnPOtDPPiJUaob51ndNl4KG+A/99JxATTfmT9Iy/UtlwfKLWsE H6gnHamAuygEvVO3Ul9bQFhLb/7Tr9qJabvhw0aItvfwex+4r5GbH/m9C/7CDr7N1AkrzZRAo6vB LYpk+7k+zz44bzcQGxRQ+3ZCYLBAZCxWYSG0BsH7l/GfxAwYOB01t0G39XO7GpefCLk7UhZOUceV +KyLziOEY80Z7ncER4EUFQ+GI7HenyL/9m8yiipibF0nsPBNd9i1ZYJhXTbUWPjBE6N1hKHsicv6 EgmaKgc0EY0haEi5Jkn5TZZGYF0XMIXs541sLcfDUu2NyUA+idMXBkmthwvceA7N6jw04fr67WKT DoxRnZT5MnjTrOeltx0gh2lTaddl+pWAekkop0EQt/rPtpEstZY3vlPOla4gg4aJsYlFZqtHukKf AoP2GG2RoYnjt4kd8pFKSuVwGXCGhHlxnlDzMynwlA3qfIWSnYxhIXFdYxSqjD/aduxgD4oB2lw8 ZfZB6D02+1kgAl4CixPB64JDd3D1BBXJaA39Vb3Cg0qB/kDQtMe2dDBAKNTyK2sjbz18YFAy1QZS IApSGo8E+JFb5VPZMrWHOActhVutz6gKuvMfjTI0zxZ9MEM5CAh9Lec7TAj3oCB64zly2Jwz2eDu G/OO5ag82qbd3FidjTCjGZRsIXdkWBYnKiy9cz+dYFNRkozuF1iREik9ieBtALoprO8fgJmO27S5 yJ4QQ9o1/gbCohLfzAiULwGIGUa9gmGU1gX++2GB/nrC3XehdfV1VNygWlssc/vFfiDyO3XlEmbB sK7UsixXlAFQMTsNtzOQrVqqnXccbCaHU6OPLOcUes5jdgiEMIx4uZY5UGIm8tRuHOOwDXccvYgq 48G10taO/Rwamhq2xa3FLP7WpkZtxO9F/KRrnJMuABtKlWt8WIaQxOPlU65R3xgrxPvwBGFbch04 vX7nTp/qynBU4S2IA0J4OV6/Jdc5cmJpGC6KZKbKLRSWugJBtyOmvuYMCwWR4+kt5vfkNkKihP05 g8qaCsIRa3Na6CJ7U/OoBMWMkaTVE4YtBrFsHc5ygj5pk7ScfAoIGmFWLi2w5RDOEsY/fddBqdF5 smt05WMw4yR8wsNK6T8WcDnmCpsdih7ZBoqou0e/TcxNBaHOcUChDvf3ZHakNP1juM+rwMDMZZQ7 aNG6JX2kUSvQG3xl3MTSTLIJ1W+3Kr14AsbcQxy27BXE3BPb1EDrSrLNdo5qYdCeul2fja/GIyX3 Oia1UDpa3Y/6o2KTBPRZu391pzpM2oK24mkUmafw1pv3c5r2TbalqhJzIhCsYxCM2h1EU9fsSP7w 0PJh2tL8hqoCdNaeI5Tkxr3DSom8Anrm+w+e8AcyDomDbY+S5q/cRgP2e+nxGr8GVdTBSBjBMK24 3EpyMLNM7UzMysH4bLKlWNf0mzEzvQJOAPhgVIwkm+V1R7rn/yuYzYBoVB/PEF6vrxItLXYiWOBJ IyDtENmti0R9VOu0dxTDgjmL4SyhOUHLTgk2YnYoNSBoH0rz2cuMh5G/F0EaowL0I++bwCBxlSNm ycbrPxYF51AhP3Wyb5MfGlO3JbP6OEc8UgCnSS6ZnvRWOd7g0gHLk1AdEvbu5gez4gaKFl9bXhmZ jD3p7de3dF/TYGnbeBxugL6MXghO5TnLCjDVI8L/Kg1MO4w9AAhE5rcRlbZ9MYK9tAuZ5TAl03G7 6iKgKro7CRFyjcoNAS41j234X5vWkIvBlvI6OvTRDQrQIEr4dInM8tk+oXCowQQrFIzCilDPHe75 leQ1Dt6MeyYFFnfq7oBFCoHJMisRuBC13xZCKsPMEKBzwNPMFocVz9Ud9rx59lkqWsGobkd+3H83 ABhb6bMuMRC2EoMXo9trlHlcmTyxGC0IyaQlvjSbUYqHDCRT8NB/e0QRA/kWbDHdMIER8/OLwamq Dg7nk/+zDsocmQhl2JClBEmqdT6EVacw2rY0W4mInosQs4MHfGK6eT94JdoY4cG96N0j9LJu4uUS IdLUSIzCrIIHDRuZCaXiB5IsrEqTG1QVkVZA8Bv3FRKnqzSybeE83iGHoPB5o/gWuVeoNlqJfjIa F728gKbH5W/YBPryRwqiiOzMb9NZabtPWsl29n76jNwCHagGOQZO8jXvSoS9OP1im3tPgZN0vbb0 nRTnRK7VwAcPiUDaRh0wzUXw9z/uYV26wv4z76qLql7xKeuxRbFaEjVxJxQIgYCa1nq/1FJktDyF JEP1d8yUxMTIWF7p0V+13ZKu+xBIkD0/lJ/SP4mJdU2ODTfCA2Zl2s5foXIQo8LkLSJA5tKnuju3 2gJbppH3Spv/n6fvBjs3LtB+VrDvwZnMTIkN7EIdqBYpNHPuQUbjezuWcerW0YrUdT3tw+mq4NGW btT8PAq5NXAgJWlMVvgW4vXIaWcJdumNOhwLRi46hIico7qVbEi2C4E06KHvY6crlxI0QN+cUVZI ACpF3FyGQp4CD2wMQwsyweBeQITuPeY3eJpxxKWIDSBB4o9TByjToBpaMsdWimzNUzh6QTMv1aaW CyiXrwybWk7SrN1pqv9JiLdsakT+w2SLZgo3QMQXNuIJmw5zmZ/5cqlu4mzCqP7yhXdQn3EjJ7rE UGTc8ub3te9xoH33kz7Bm1ZnG2KdrfcSTUf6YltqYwFf//1WRSSvGf4bI6hcrJodwwV4+ocbuYUF dZe1xkhA3PqcKFSrSr1TmxS/wHq9iQtNk4C6wF+GH4RwJHPb25btqsbnnQooFI4B78AdHBC3+Y+T 2qkH2ptjh+A6a+q6v9dp7wm8MNSqAWMtVR4fUzGk2jVRtpPb3asYrnUXJU5+JvcprxZeDSYlmHS9 JBx3kq27pdu77ZHtNgmv7DevsYarW81nI9byNRA/eYm9lI2clzRqB8GFR/gIvSBu2nNj0PXCMWoO 5EExDq48Ltl0OhGxW74EhJhu3kam3pDzDdcXMOsTQF/8XhMGnzEaa7EYQdnM7R81Ji6WjSGzuyIJ Qvn++2MPVMflQHvcdcz4wRZYi0N5cyZm9EvcGxU5stSmBjddBXsQqeefRZjX+tTcTz9EKpKqxShH 5DpdQ3Kpzye82dkri5rwf/JzHNXZB7gzEul+ekvf1yVn8WrtqWxcxQFpCnpvwvwcxZ9A9rtWVSfs f05pEaTvrF1OCJzAUNNuGz7z29DGVSCN8lz7ACzJsLc9pLwkw7eOI0Mf5FRqAll6aFbBvSmcufNl vjbYdK8zSOOr3v8+C18bVrFmofsp1uAzZa/EAhdo9jv51odTVgM3UubOrpdqb3jypI5gFJ+e2d+1 Cc/ckiz3KvQujgANYLgsUxxFVanreiooze+SxBKOGAcIx+7C6ysNZGdawxwMVvRd6Its2tjy/nnx x0j3Pbexk42JYzNWxtbU4z2l++vA9xZn2rpi2Zgzi7ahK585H2Hw7GJclYJop+EYslN7Qzg9dNvw 3aYyEzm4o+lNt6IoI8G5KW48P0QJjVQ4b9e37Vs4zElpz/uxYqU1lsAgnyAHJhhUffkHRb6Knzfv VoWkUrUdX/Zz8ceoykL45nDjTdHN28qkoGnPhUO9876ZwMC+gFBOU0J+Vt5/72Xwdf4TkedRNiYj NTWmtPHX/SWtPEsJ3Wnsme8yo/7hwhvOj/2PCkeDDk7KfinZmKVqm7oiDBgj3RFOk5ZRAcqpNYZ/ s2WDlMd/pypkEoNCAYg1TQK3o98/vDAVZE3m3okVrPjr6gIoE41DaB7Nw7eNLBQ+L+FNKCk1Zvj/ TbP4lSpbwkftVqVwMf9FkX+4BwtnnqQuU3I7zNbfwNbJWxg+ShMOZmlsJvpXKHyw7+QzYMXpc2mo 9288treID9C1HHg2WC5FBho4+CsNunav0e94i4cS8s//GpGC2JxL+2Q9p75qunke9kUjSvkwJ7YI 6Pxkhn0dJaSh6HCDGj+Sdlx/zkhFaL85xzySdJU9EirznmAhRMS/eWzgg65FjU5j3ayYcdrYf3Eg RpVEKULIQpiack6+JiK7oov6GX+3s34+rqyHRszklujUJ06lxy3U5/mldPOuXo0TFHD6A7nCrzkO GRQlD/Ye1/5Qt1IEoho3tuOTvyGThmxx04Q98fu5hFLC80463B4pGmn9XjqE9iEuhIzdpmp6U/d9 tV7PK2MPHTOO6itIglDhweeYnGf3xI5cmDB3SDAgikO5N/k0M/h3SE62uNNj4H01E7GWCeWLUq13 Z1ME3QT88UYXnZl8sQt5+oR9tm9ctep5UsH7OQjb0Fy8o6m1mDuxBiRi02FwtOXShLTlQmx+WFkC BI/cmrHFNaii5EC/JQSjIX6etewdv0s7Es3THd27PBtU9nRMQoSBXoJO+DeKXS2ivs5FTIqll2zE LL+gfKCtVM0IG/7Y6GYh7hM/XmBilVnWdLGMlIuafB/AvNupIze5LXdecQT5+8Ds/gxaBQZwu7g2 3rT+MhdnVRp0V1JUNHhfkNZsy8IVAEReB4sbbytIO3nQAnTSjpWaixpxjMER4tzeJHoe6MU9aFlQ Ygqi1Nx9aMWcLA02zO2CeZt77MdCo5b97kYa5rOZM5ybjOPi+xgMH9LJtf43Twb6yk5Aq8C7Nvwa +GymQWeDFPeKTfqL4i0YxCagsmrvBH7PVtnuWkGYj+Qc8KP0F+Qg6nNR7KOUN/6eCoEjn5OA9zwt zCcsyIl3gm0dDyFWIdpXBpEvSfX/wy5I4PY0JbPiEdwqqoUmYVhAHH8xxPQ7b/jLWGvRhfyPq+VU taecyn27515xlyIxn5LYsXi7Gy6903argo3ihNbrMGEx9JhfyNxqPnN2ST45hPze8HGxATRqFpqL CLFf+ja/moSPHDVtda6wZ1RZ9/EE8mj3mXrvyfe9KcZSRZgBt8orpsqQjq/D/9K7K+woxcASOAAH xumBo6xLXQwU4xLXEFWt7R/ZEvfsYPIEXQN4Y517DUAlIY3kjdif/sL8FmoeA2xJHmQKBYb3jIS0 I5TEl2Pl2FQaaGJ4j9hi2Rhfh32CId5F9WkHNc/22a6YY7Dtg8FZaGBagEaYwNAddiGsSqxNgYW8 aoDliYCfHOlR7So7CJU7dgObrNBXyrEf58PDoBqZb4U28b0Fhj2/6k1N/o+IMHDTDrZeZTjMtv3h siJ3m86frKbGmKEzKLx+4zs47ooTqYlkh1IA1HVxf8JbMhehjWX4wYdNzzKfJ2vow53P6nBQ1hE4 dsnfb5VrztlT4jEHDV1xFrxipdLk1xuzS3EiV7hxqot7mdlmAGvWsKfLp7PeCa8RbbqilQIWlYA/ uOAiluSsX1hBfnGDvTL2jXeBJYerNIXfM9VfsNGDocOR7yLoOvDa9P8Uhn8HJEqE8KMFLhJe4t3N dXbZVsAdav9kykswg0U9jeSEvr2xM0DtB6lQa0dWdMOeisBpbD+0WehFDZZ2d8lqiy1ltTPqLpWU WSG0G5T6xdANaQkcHG9uOL3UQl39Q2l56OqLIkOKqJmLctHKPg7db5VR7bM4PyyEqt6R7aZfD6k+ y2Q0TxEjG7YnwYi1wPen3/YXGvosG5Ib1tw3HdITclNeB+aUubSIJrtPhkxV0cLoya9iY/474lDN 3QIDxzlPxezJOIC6DYZiXsw2UVWCpNP07EWa+vKmvkEVxuK6qqwZB0zqEryhwrYwbX6y/VvjbX9e 3polAcqjAysoEFMQF0MyztCgCLQxhVlrtIdwKyZLHAyiSuSNgYZc/VhtcOqS+8obWEbUvZp0IaM9 NyuSr9EAltybenseduXJOFR5xYpZ8iDxuAuyVdnRFc7L+bvPUAJmdM1PYQ3tSO+o2bdFlUdv6cTU eLmBt3FBdKXPxTA6o892JqF01n37ycz0t6sBOD5amFvWvlz8EhyIgKnxIb2T/tZhT148vtt6NAXb Xgsm+Ma8v4fzMft7VflcvkwiXQaIZiNahl8fegHu1+AvuZNltHAtsKCKgi6fLmUvvKf3CQeUAO2X tizJsVjTBdX3rJRWP6qNV/GVYccY00Y6U2U/RwGKLTQSHSVPo/M5dxWAOdC5oBTCbkk4Vw2ZDStT yGIxF5SKLogxcsnNj6juX5G06B22HV0dz9w/QoYlE4Kmzik6iE//mhuGjXIPwFLyu8tn+MU2Rg/Z oViN0ZL0bchqqGbLyb0O6bGvZTvqYCeoQQ75wllEfdsFR+2WdtulZgNt5cjDmrzTpX5lDS1nWVwZ zAuLpn587mhMMngtxQVK1UnfWWS6TYJBLeM3NzVOvskJAV4RDSg++FLyWiV/Bl5pTOKyBppC5UpL bDgEMHnTMGV0phn2PthJN1NU+eHCWy5rqJbSC+eiFNwEJTr6pku4h+qnFKUj2ZduIAY9OIrWJPW3 Nxmg0KySvzTj1KX2FdPdKGS5MQGd7JkIIHq7s+HsDCi3c4rYMEqI4AnnP9PscQO4VmxTLJhqMaG3 Qz20ZjiCij1nwo+DvpagX6/sJWm56bYuSm3enBPod8cqe/aczpmk/uKbUr1FvTAIGp27QwdW5TQ5 lpN9bKvRUSkT37QZeFxNUbBh09X1LY5bg982IGx+Zc5qXuIRjkgFMWAgE96waQyuTIpbkUFAfFr2 7qf+bYkdCt61C4e/lsYPagUCuM09U8zl93umfGmvGPf6j9DCM/h0Cj3kYXKsrxdeD/CViYLjodtl 9bc8KhyiBSCTVVhdqs9UX0Pm7if8VKMHUee6Y+5e9pBgosjGewh/xtv5Fzn5e2kZW39ZdQhkBI9E R0txJKz7WjK/2l6lqySBA0ZB/NI0pzVNk5dV8xM3EO8vNYjT1CDwJjcjmzI0eoQ5PSiWqH3o0QGw 1XbJg0SxncI6grHfIDreDwvY75BLmseMNwvR2CTusI8jOgWViPEgWL1KfCOt3qHBujT9SinKDzKQ KNVNf1WeidRhQbRp6rkvv/gpsZjfJQEph0Nkoaeq/BUnCghI/PMrAXkwLTW96p4aAMPM/JxN9jmA W78mmThytHPRezau8ZHh2qn4sWgvqQtipjIB9T3kJEBObkHJqgUCndojtKk+cjBewn+FY9tKmk3P OC+94l0WMJRZcdN2kX6nhaoCUzbZ48LHJB/eEIWoPHr76DtoEVHC0yVS6mFDOJgEmkOoWhi9bHWM jwpZJ7dUaZ7HeYcT55geb3QHDojuVG0seiiJ3A2LZdVgJ5M0XjzeyCyRBDMCEkr2IZp/L8HaEcnE UEya28qvVWQifgmCp9GZeYHle2p93RQ6d3YueX6eE7iE6RvSxEkP0VzOorEjAY1n3uZVlTqqXm9S izBjguPio0U89VBzBqzqRaXyn7mA/o24UzoysWemPSEooa9SlC1bbKrUgY9l7RR+RGNsMy3hZTKU YCLi4SZ7Ssy+oFf3YO9nMRFPshOJr3EQvyvhLAe0KXPK/eun52EsvfYSA+0ofw4g3TPOHw0SAudB KH82G4GvD9YOuNsZ9SxCdKmVFPtgsF01UhV6lIiQ39MqPkRuZ+tLXdspLI+dvGl+3O4zyYaUREb1 EA4wo1wpr80jwP6AvPL7S7DubWjgHb4nt0bmVJ2YgRGtiTBvaNoalZhNJNa5msmUDsyoTVb4yMwv 3U6MpxBbX7Slc8ot8rEnOPYQ93V1n2/byVXYhcEX+KJ4swM10p9BguT2avf5T22851SXQ2xvl/mB SiE4iJdruCGAs6oqv+rkOv1TuLfvFnlE6NR5e8hK9D6TTVhZPeUQ6OheAxHI3JlCML9W5N1qoCqM ay8Dr6PV/42zUeqMC3hE2bC4WIbLhIrmbp0cVBXC6JAdegO0TfHYIhjTE+CucdtmuSySdg1I4vxx 5qT3SySRqhjTcUOqmzVbQU3Xujp5XcsXKo7XsbK23/sCMcM40Y2vcW4aU3im6cInQRDHlShpPCNt ttCG+TPBx0CbbCpgNx1a8bzJKBlO9eSt5iujSH0aiiN+j3gCNi/1gDnkpcM7Bfd8NYdJG2Ga7Kzw 3XdjnrC20XsjArtovR86qa0dFEW/w9vZOAFyOwlGIz6CPBxQisd2c01nyJH93bIf5SHYYmFbARTI kPhtb3yFMAdMsNFqOiW1p/w85Ie7e5R6VHQiU/LcKtbwn+sinq6NSEfbak882ovcy9WF0zviPAwH nno1qhsy+nWw4HkTPfxIpA4W79+05H8k1yZ4GRTpLhruknCf2JoU77mIUfe1XKhZHiY0tE5Uug5B R+QZw+5P5S2HNinECESTbRB6zG+wfB16tP3k4u6Wt829o6dTAVzEJH+sgrMDwkLcN6s07KVSoneA gdMH3QoIyCkyxcTUMZJ9TDyNLKZNqgPT/TbZGKPPQYkKQrLmbaz8hhXX+RcnBuJhLpXtuFpJk2SO Qs8SLfn65HiYK8SaDDA06rsji4lIJQImgR80VIOlU9ZghvDlYApFsVefGTmCu7C+rvbbdJf/UDg5 oKisvlD3S1B+LnJdauMXcC6qXk4iIwt9oSGaw+xz4aKxKB/fmcxiLFb/fyhb3pXwhoMTxyZfI/gJ gNWFYTC2ZbW8a66K6JtMCAMDYDbuy5PrZI85svkxgmo232cu6mLxiWViwEe/PquKpUtwEdmnkDfF DmuqGG6rDpTy8UqCDtMf2dogJqUvB3o6zaQndMJiizbNaCS1rPPdinlTulFpL/qnGhY4VJDLNMRm 2tVh+VWR7J7msjI1HM4n66mR+rQ6SuDnd+61WhWMkr8+xY82T7d9j97+uWB4zGcaWMzwiJZsnK4k sAGMecXvGMDiWEtiMuchFTlA/WV/y5beWDUdPgdVEUP7pkQYKo/hLXSK2QZptTz1FUeJTi89zsT4 N2sZlD07f4M3V+zd0JdVPWusmbq2OeKzLn0rkZYAvBSskARlV3ShgpcXEJpkCrVPCKVu2VwsO8To vcyn5dHPAuO+0c1tmxHAcsQtFHX6ZMLniyU8CvKErsjGyUp1AUcDuU4ElOC4zvzHKuGwMV0sn3qW A/iwAjEI3JL9VlVIhznWdPuSZq2hD6qGX8GTxacadP26xEuzsbU1jg1sshV0AKN95kMFbTK+O3Fu EmgjjrhABhJWsEbqZKY65kk+hxTa0OMJKzrLFcFlnNo3lU+4zi9ZoKenj+AWBERaBGAvKkzye2nZ EG61OY2T8vK8UBBeD1Wt6klQ9b14lKXU3U3wGf1+QsE20UHxWrseFrX1pCnC5vRvjrdXuCtRH+bU QYI63AM5hFH2gsCvool4W+oqiGVNNqURvNgPBAVGPARwmQijAuSURvj3I4YHXpLPuNzsD+BZT7Sf Tf2H4PnsGQ48mzyxGzMvGYVOE0ZxFJYrHFxiQL29s8j39i5Ms7WkONeL8s84UzEqLqdSZbbzJz8x bToCOpcJXbJaa1tq6H0JA5RujEUl4aMbDWlU9pHzKhPxXR+RjYO912dYriflhDpzpliE6w/Lc/EB mq6BSZFD9oaThXhyuKNVJ0yVBwNWKvLqGauzluAWAS9wWfN9FbiWy+FEICeBmqbR/Bb5nvAewjdA 1KPEvq6ruHTAx2HBoOO/DEi7iTLF3P3ZEwiSM/MFtMBA1caZA+WI688wEEJbrVS8jqu7LZiJdHh1 GcKJ1MSXtao/nohxTyAQIXQ7rBRPeg+kts75jqRlXcGZTJTm0qRWkfSEJcToFltRq7Ls9ulR33zA zRgNPChaMVnrQkqj7bsocAaL0yiwAyfqV7mdAoQK1oh5AkVLnFbD154E+ArDVxImSS9/Xb3/Y2K1 Zi2N2gbKB26bDOdjbtoS5ryMch+rIdHDALQf6JVMXWz2YgOeM3g2yCTjHBIgc78OR3o8hk8xIIYF Tb4PCRfe53Bhk5nfIJ95acS9ss1DmEWaO3U9qwg1f6Gi8CBIjke5SZ/rOUxGXVS/TGSwYlgZEqey POpQf87N3L/iKPu1CieNvii51lwis3Scs6Rz+yEYtYqtJlGQT5c8HzAjC3bzz4q92nIL+nbtzVh6 VAFX9ZQzvsGD1IubYa71BDmpwNV9Do7DS73cN7dj5OFe1rp5tTlO3XNZyzF/eRei7Rl9rZyXrIsV Fy+2nalneGilFCJ4Oji5QrgiTZpMofqfNKtSxy/kLQZ5nLdM5yNjNVFoZ3cIkOcknUd7nplibMEl xUCqohCjQajRrvsKq2WnqGhcmDo/+datBrBRAMbXKDMAaQ6X61f4eyf2RXs53Yra/GwLlvgl+BjQ 514wZ0YZU9lU9Stip3XvAHynACEn8aM4eLYkv2xp0UcoX4O8imM7WK/pgn+OCQK+ZktX5Y6TmQXO 43l463k6tduVZbhrAl1DnmcgcpoLGR4mSA1iAdZd01BCKfV4aHsBkWK17fkSkON0/dQ7vbzIh9QG BHWS5lNjvfLWIgLUm9IzrpQXlVqshbt4WbU75rp4CCTlq+/gAyiZ+qPExevx2S8e4OjRjIiS2idV K2zjwSAoXSb6hC22mK9Ue0jRL5iu1VOXpDj5zofsTx+veix8wEDmE7ewVa8IUB13ujINXuaaa5TY QMXMzx1oPRO4CLoalYjZ1wpII2+dUzuUQl3qyLtCebiRvWitWoku+Ua/X8kyoLCWE+7W8m+7e86H rSD0cTR4gMVWcQgJAwwRHTH9/cIUtgc5dJpFOhnrEvylMW3UbkOsjH1bERMJFB+TyWrgvOLJJr/x 7yjnnKhWPjFQiz+MLA+P1fcv2Uz9a1rGtxUYHO09BswScjzEfL68kuQsmRgR8RNCK8WEn4ws4MAa OINBWve67KtEqRRkJnbscSiws8RLvDyK1W/MyDRkLUSpik8AJN9+USszYSnNNsAlk99TfGiizT32 pI7vhIW0SjcNGYOb+tgVm+A1teQbq3UBMi+v5uGAORQRa7BKwYhZGQvEac4kVMpHqUlJokAZODHX h5HquymAb26PDXkz+xkQ0kEo7N7qMwxg2he4zdI0BEti4+l+Be0mVLxD0S7nlWXJk9xJwKV5F0TO fw9WoQR6SfY6rFYdXDEMInV6WoKUx+mnY9avQ8o7M1BO5ut9Dnr41vyQMylbokfQWkaJdbFRBWhk HIalnRpwkVTsQ5EFUAxl8QFIH0FJhvLdH3CPErD2maoqCIGIxRGyXbASPi+YpRvsclK8+f2YXgjt IFhFpXs2dskBetdeMoGII9IhPiPKtF/r7r1Yd8XwD+HxusNUZnHBYa2datc9nVnwooMW9jPmzewj 8GgDRUoO7et9Hgjzo0/vxu9/jk5utFJApbqaj6K/1Zsr4jA/+OvN8HjVb6FwD9rADe9J4x3AoCQ4 K6mWCxfjJUAk/XnOLyIMolpz+r6HmsF0Og+ccT+ztXGK7A66ZfgAPGlQrdPO/SThfhhpB/IQjqnv Jb/ZXtocYaPIoqEM4SK4t1p0AvXgX5V8v8MueIlfqzhCe5yP6vYC0qHdAxx7lhYNp9QVHlruCgw/ GCy9hwN56TUPbsWIQoCG6eWJFVZ/zYSmqu579Ig95/oCPOhFqI67MOKBPo/2FHyoaPEKYpAtptzk GVY/yw5+J+DZlsivXZlBzzo/SqwA+8BPAMaHlx8EM94ZK3KJn0yQcUkg/NjWOvhKihB3fh4O402a dxJ0KwYv15b9JK/fhOvxgUWfdj2dGs8B3RQtVOYl/SMuC6TAdvjAAla58QW2ZlzJut3q4pR9Irq5 EUpxS6Lr/IxS2OqUcSW7j7WFrzeetqXmMMU8pp6wWdNkL+0ce5hfVXPzzFKtDVeA+0UZ82DtO1Df ZqtbTUDZyzk/hEvQFo53bDOIGkHxQKL2c+oabY/I6L98eq99lvSn/YmE7tSNaW7L5En8/nD4bMgz uhyfNbFW34gYuOuxa5VzvABcllGQltZ9IHwpl8fzgMhmH5IXhov1cyrrzazwCoJpaaXSup7Mqcxp AUnwAcL5f3lqgVNP5/maDuh8d3DIAgx/WhJNaiEgQh2uBiEtkXaBSDLmt0R2kxEZvWOHBJvBzB3G yWCaWEmFlHy9n6srTIxAGgY5KjOnrrUEKvFeXYvnGIKyc4lgLanXStyACvEZf1jr50A5990WuH+n EZwpFzOd1thCh7Wy74tZ8SPO4DRfefZUjd70B3lQ6UwJ52ewmp5QtV4xzglsvAW4m7sZWnyh0cWy R8kxpVH8PYVGvtolTS+92KWLnNG1bG+ko+KTMnnJfx692oUNY1Ok6adVX95ptgaua9nBo9xjoZwK yHdKroyFV7CPOMeLMlACkksQOIpGjeSKphvwR454vDI/t3oyGlS+Ec0n31bjs45apWW2Au6/By8K f95m75yq4gPj2q+wYlkdqAtqdamst/WkVXK13qrBLqVoRZ+8HxFskskN969QmAsrJ31FnBkf6CbM 8DSTzFbXFPv/ll1lQI1CqYWuboppCJeDUqXwhQpcH9GAxQFe43yEqC3HhPnBcYzXlQfKp/1yr+gR nwLbV1LQNd0HK3+exPj0roMvMfcxe9iOY038kIlCGJ1VcJ4UeVIjFiY/F4u2q0iV7UqwgXG0H3Qv T5lqQKNcWiI20+Sc989Dfaj6KhRrq8VGK8gAqnyW0gbTocXDVfigMwbyxc6mPL+ka1gavVLcISi3 TFk64qUYhqUyNjSnnVUs9f7KmZuMrixshuNEZRbHRpLwpnIbYRD2kSjWOQKk9HOi9z2c4BIttExQ jq6jgLj1pcagDL25JrZF/8a6NLUl0CLnwwBwLXflCE7TGIZY5TKzmufnhhBvQ1yYeyI+nRWjEo7X i7W3qvMQ3QgwETWRi1KbmWMTM9pIEkLz6EMDnD7ruDy5aZYoncnre8fdSXBYB2zSy8fJBWIJEcMr f3BORpeHqQlkI7RQNaBnZJJnZkPgyaU3wpLS0ofOXLWA811rGF3ygJYAo2DNfwf2gbCpsy7gHcfE R9Jid3XkH4lQVfBJJnwF0MGmFV6FO5s50g9031OKhNescODHG68328qV3S3/FeL7CL8TCAtvNVaE h9ByglsfxCXxAmTJZDWtpcVFsMHUqJNstWZMaNxmZCwr6o7RgyvIdHu6fd5KzR82+i7xiCmjavLQ b1tgjb7qQcSp2q6NwUHlJWg+geSXugVVOXSc4E0miiwuhRNpz8WVAR4wzoptyKQFAdYtJIHY0iPK tkSX1gDF4mqUdv5RaCDe9zHdxqHrw+qDazuKczddF8q9aGC/l2qrL4ttJmfeef4JSx4kwgQeIix5 Kd/lzaAZPctvEZc4Dy8x5emeTGh9mJNSduU5YcLa7OU+TDbjmJt71hZTIGhNhoRdhEApXvLSdsBV pF50cyfUV4tbRpli8Z2++hFgUn0Y/ViaMbc/QtMmuaC88Fr6RMb/9SuPS/xGAbV1ZYmG/3fzqkLY 0He+SfyFstMC1mabQB8rdcSUJvsaKO2/46uT2emikFQe6/m4Tb+224dh4uw7pW/kUcRa8I8KIHVA HNUba/F4fVCKBItVB91BBUxYN9wUX9QV0arbSPwbdH+Ioa79v6It7YMA9IrIklq8yUKyNuyg8PFy anNM9W5oVL89Ag5IJjGxF1tUn36ZudKMP83zZvgJp/TkSoqahHptBDfeQwFJ+bglkUasEYRU7oqM rbLjOWGcam5mYqHpbmrIzjbskV745peCo9eR+r21Y64La9OKuSm5fEngo9egyDut7qs0o1PlOvsH cxEW62pAhqP6QjK2oH+MguV0BE5sv2Q1UvGyM0aG654lNIIuEiaCFyaqi96ruONITHfLHyc4VNBH CZRG8jq5eN4B5e8PYG7cxvxnNyAm98KUPZ0vmDsDaJn2GhVSw6l2ijQms6N9Ig4yAIJjD2bN6QD6 asMtRrsgzomfYbgGFXmCkEw4tXQBrdjKTogiCFG2SzFWV8fZ8T47jUHDpOfweMAIZOYyTQnlCAsY Rsu+DVUeFva1T92pSsl6PqnbcEZbttPBxQpFLsUQsf/O1j1lSXasV3k5he+hY5WYAO25/TjHU20x MkU1kZL8lU0i6cnl36Wqp9BQ6lUl0GvsArDcm4uMvTNzjT4T2agIcQs9XqogEnd1d/zuHuF29rtT vhxXALWkL7cDfCLCzkBFPQ5PlIeNj4MHsbNbAwHTqd1pz1nkVjfrcTq8STWgceA74QOFliQd7tuU F2kHgOMi1RKuK+qlDFe+Z5gKd/sRf2oS5/Wx+v27k+SDQrLM21VHl0Zplet9C3A+FZ0kofJ6JKE2 uKhmgvixTznd0gul2gbvpoCbS66CPma09D9xZi1D1GUW5RcAevVccJO8xEM4kYtJV+gdF0ncKzwj 7uZ+PLyeWFe4IUMLAhZHkjS7apAl7QuIz7IenoYlTf8htq2W1qA6bi5T/A1Wj/66nXkx2I7F6iiO JqMFXCF1oPfCsNKpdEFsWm237RCS7ErN+70AiG8L3EVNq0EEZ2yEqXr2PAPIKOfm8l3G/m6XLheR g9AQDuHpYY896ZvWrXtFS/XY2YXssUlYy3YnPO6PdytACm6kLudPHAN4wNHc+Ok2L/xoH6fR+jjh lBZX33iBV7f+HWO5CIqJ0mZH7D3i1LSJvuvaUHlPsoBKv67fJyR3ClClq2CAtxeCxc9szaEXgq7K 58CFj6mpUaZpiQERNZiQ2rZuq8amzt35spAp9EnylzkvBoADZ9xtjqV/bUBuq6G4E5hHYYEAjfnF HV4dGg/K6/m3LB0YenBHoLhWsyxr2kQhO6atWMDlB8Vdg4YtSiQ1EpUDw2J4VZ3YUnpmQQ7dI59a IVcEeqA8nYRLfuVZk+WT+45PMw1nCZVEJRe7c2vHwW3dY8RFrmVqARuI8a8qyn5hEKUfoL5gxNmA 6IRS/mfqUTKLhJxskAWim/Ozaha8/UcS2KByOG3HBSP7mayPENLHgkFQBkF0/vDLrJmYd49T+jP4 SkMujI6FjDrRrmuLvlLX6otJqg1pI+tIRThx/vtIUy3ZCLXWpy2vxK7owykjZ1pGi/hmy7dlZfFw gYzsPkkLt/DwrTazfyzcdX1ijOLbetaekkcmaArjBHa0D/vzN/+d8YXB7tXqN63YddI3MbD0oPuf uWIL4y8snvxtaA5/YStw8dKROa5nT1+3hVOCFXO7V/ra+j5OniY44mPvwmUjGp+vN+caqGz8FGpS 63uJp24I5a7Z2oCu92taP9hwv6EFCS6kkzy8IcdcuJr/h0xAVYD1lsfsZx+AsuN9GsD8YjEx+KDu EnuPraTxiOCyipBCfF/inpffFwzmaNMo6bCNNPwkjw5CqkOKnhIed9SWYs0+tbReyk3RZHFHLIfq GIcueO+7cs80FocgtGDjuxlokYddHGkpYlMryzl/E8mLiS1ZNlhwLvuFigEKTGoakOT9dBmgVyHa E8vKKWzcEWhpKceSCCPzdrwBgxGSvZjCigINoBp2yil+DtY67iMBJPPyd/5pod8XdMNx8PFYgQpz p3tWUhAz+kvidSvoaL98jmsWai2zoSxBEigTSL3DzUqW3fnB4Wb/vke861koXHZ+j5496CFUX72k 4DeMSa8D4l6DEWKxRRbRcE7fup6lBPl/7tmniK66AYy4kESW2IY3ZFhFcrC2EEV57KdRe/Omp0Iy mlvxoiklQlpSBaVgQcRvCFyVcXpEOyMYcQUBSQrU2AfxFC9NtUvj/ExrVJY/Qhg18TlEvdu09BJ3 FUyjBNkzO5HWNvuGt9OSPhZvg0hsAulhOkm142iRfPZN0a8PsPUvqt2ON0D/aANroZjIfJwJkRkQ BiWDjWCK316oOHObgqibzv4Bpwekkfm19jJBqpP3YJFcHOJnCd81F7Jp0sJOfELHo9tIJkvb6niN rP3hyWvUlGZUWm9/urhQJgf/hcBXgqFsC6oUfEdGl7WRcs7BH7ekY0vOnSlfU8EfSFco6lwW1wQm VmLmpRcd/5LARtA0rVZj88kReLlJUVxI+7lTWldb55M1CJDBZcZvxWGzqmWTB0g87/QrdK9W5sZJ z09jJmYYBhmsSl8N2rkQ1qs5v56/yq/rkZ76aoG4u0VGZ30Yi6xSi1drlGu9YQSbvrXtpW1CLIaz kgbnlIEaBXYNvWf2ohuTD2/7OpNu+MGptxJpA6nNfp4sEn11Dg6VFQ8M1OD0pvVircHtC7pikKGV lpEPzRT4HBQWfyDRvDt2pidz6w2SK+X9wTWbVCAl+d9LJoYdHDq4d6M4ubNHDnhTkeJlcSfXYM7V UptBC1td2cSqBmIo6SqNp1r80xtd9nOUZPpKauN80OhFg4S0Bwf0czapQSPrfFwkejuXvuIWN04U i7nhYoLMYXhzej/+cdiKSNe9xYhuhSApnJrTAVmJfxARo2vSJjWi2nEiMJSt0ZjOR2nxrPfVeS7A T5Xwev4h1R8aQYuDJhXoRrfWN/PxevTL0egeWMNndWpFLa6r0bb1QQuqD4Qsx9rc71+EHLlgxw82 q9MIMIBIC9KItWzHq1AQfQIDJd5h3p5FlB6IvibI8CZXHE5kHQwkyUBGUs5RtYO5BV2ZRvXTs0kv dAbZmruU2Tdr0FEWqDNTT2jiI97w3IwAWw9fWe2UscptFvkL3AN8VVZ2TGNiO2L9CLTCrA4Dm129 w52wLy1Sw+LYlOTqZKqyKASXUEWry3OWcf+SbOc60opPjyqFtSM+IT74nvF22AfFdHDFn8FGix9T WzOkEf2BlAYzlw7DlK8KEBlUd8jWoY0S/EfFdrVtFd5/LMqpblc3IrUMCPwxeOVbiPdp2Q1kQA1l V8A4cblabnj+GeSfju65M+IJHnif+BL7JmtSk+jy0B8ctFR828Y1g1fWyhp/7WdaNlDUsG0ITjkw lS2VLP9i0eGtcYZEdeJW5GlfALtcMslmxPHAdoi7boQKY+PAobNlynXYAhQCBv6Fq6kJf/usMT/P 3b8AucNK1DH5IqwlAHY6IORsHIuUDAkJ/n9tTN6K3QZSb26wOEZu6KNCm9ia5ve5OaDAWFg2+FgX t2z9uWOgJDPHdNY3BZpdzqoiOZYyrDhHv2fHPZ2vLxv2iOAWPVzLQVhMExuAp4aq29jg2D3LlYbU Khmnys+gbbJTa/Al9wSFmWS/WC5atimyMnZ0itdzYYp3lBQBjBabM1S7sRpzGHpd494C+9U1iDg6 kAqxU809ushTBx7prxiAK0osWgU3wIIJm8TcGld81byOXTYCB5A7EyxqgVXUHVjqpTExpQ3CxExG NqDJnJfCq/PMOm9xsdVJ5w4x3IOxnPzjPoGzXMUNcOJqlk9ZuyJ5EcjcK71VsUDeiykWcqXEhLDq 9iSoY13zNZURK5LYlcCIeyEzlIcILzHTNWvs+nfvTDUDIEcqDVLMA/wMzZcsbMWubUsU04Csb1JD qQ9qdXuCKchgUP3EyPOcNa3aP8ez2m7I+v7mtcaCzer928pWHHvJZJn//O7QTEc7oBh+4d+V3NEB +iHTi25Gb9ZVJYeVB7+DekbTofB7qI3gB2aOz+UUWQfxtw2gEvq4D8XCl5MnYJMONntTV4bUoLIw /HmXsSQUdVI9JAk6YC07rLcl56UKHO1lRqEhoLbvVff79GC6L06PjrJlbOn3UcN6rFWlSxWlMjcE DDN1JLbTNplMhlJsO5mSGciSL3xbh78/srk2tZii26Fdk5tqD7rm+WJVSONTHIREQGNTz841agWF vFcIjXLkn3vY74Klo/pEPb7gmW1EkgL1dqhrsH/WeTO0N3AetcTTK+yQieqHT3rDJByk4LGlPe/F 3dKSuhEZk4sxhXWMgDpZJy3s/Q9f6p6FRNyrkF19fdj+OHmkxxwEOG8nC7Rc9/+4gkW4U6bdAiLI ESgjikbxiViw9087bGPKzzG76u+2h2hVtQjUc1jqdJdURdTJXrw95X/+pnkB593uoW17vMx2mRgL jrRojpsdNJ79fwn5df8lMZzis72tzo1w4HipPEwTDeHZkp16spocnQDuVwZjN4Fvcrj7hfW6MtNE xLHUOJi3fQM61aLrSz/84F8/Rcyl3TiLJ829TNIHroerXf4uKbuqUJAG8puOJFshsqTNO+hS98bV vQXqCwd2FdLG77Xl01CTjr5FTK1WynKsaCtJVoADPOV9y9v5bGvvAJFGQ4Xq717oD3ICM9E6uNv9 cY4j/KHEYzlBg5XD1iDJxizylyGh1eETAQdpZ8vidkXvLqsXxEbNufffh5kIXzsqtQa8FOEAo/p5 2shxNq/1jRQuB0xf6Iaql2SeAvwnF16O9sO1LP2kXleMTKl5IAOs4j2L2xRbUm6gSmac38ah3Dze M81bri/ux4Vfsoeq6FsNo9cQjq89vnpkKfqWEdRBTOGRbWk7DKp5utG0gVc4Zv92eHrvXJzqdbA6 dNusjGlhIvVXGpEjq5QLsvRjjIrs7sPvL7+fb7SozNb+e2X71hQRe/VMJaGhfn5S8iwi7PKzVIHR SdfDu5jIJHOsNwylG6h6LpfDTfbTFKH9WOwwYJ7Cl2Q1PZPsmO6csBCKQzPmCJMC0clxmvui4dXT 3C2PjdAqYH1ZM5TaImw4v8N+ln7Hda+XIBuiQW49crr19sN5ZnENOzyOjHVvl4Bu9+7wTPZ1Sbhh jKkqKXOmxGew9X5B4eV9bEMCclRqfNEI6RD0W4w15gKJ8/ViVSa82suVoI/AWdcHHsplPb7LVPV7 c8u+xySKF8pk2X2To3oULDXin+KEpSxGoBS2dhzGCBVPBJbEOGJZInozj3F7oSH2vu5Yaf7mC7+y ve1zCdvPhmFQSr3hHDmup9FgjpuEFJhNzNpwKJBBIBj7gRquXqa/hmIy18TzErx67QapUlwVtK8j jMOIZJCXcDoTzIZxUcAEGzyXLYfmQ3PQXz5UjNDjdL/EzsEz3tC8MGPi+1tGToeu7+N6dpEHw2/r GVyeI0jhfdZED2zce+OquXbFBDsN7sfwhqdZeUZZBdr8a9CDmt/dLMsP+f5qenrUlrX2oOb+cSEO LuhFxcdGSioDuU1+7v/JznT9h/Xsnft8W97TUzaUfJ8kXau7utAXl8qpyPuqXklij6dGZFYgNbjb GlpQ14CsPBEa6hHpIJLn+sCXyjrTu7k/w+zw/rZfTCTYj7yyKiuFAVghkZbAY/lOlxd7bSMYIWu2 kToWzNsun6cIyI3N1JGdZQCtWM5qFZYIJdLIzJ2tvx/QWK4BglIEHnEzIUrsiKYth2Djf968jyN2 PmnYKj/PjcpJBoLiWjI6f2qoXhZoM6yFPRJjvpXpgjEXEbL7RSrL2f1wxhEgizoum+JBmhuyZ8Cv ECKDpdyevAd12Igke3JRxcgwNwbSMH/aMqgixBWl9qlojZBu0y7Pl+6kr2ta4kXhgwVLplo2XfS3 oyFgzPuxBA6hCot65PUQ5pALgdmkaGXZGkJ30H/PCPJRBdVyzHHfJEfuV0Aa5TlcSjMoqbKSdJBG +5KwH6cAwRgDMuERqzVqiXwJvhGnp+1zFyxC2Mso9ybzCRyqzP7IvMzUY8Ugm+CjVrmDzeFJEpEn e9fG7twrv8pibikjK6SC6BavXJyKqx5eKB7cPIl993M9SATQ/uk4zdlxDNKVXSw7epzOT5ml+hXh Sv7wXzimsFwGNJFd/MPUorj3+kc1lGSe2yeiunfT2zI0o9CcpVI1gzQANoc1dPPbe8i1/qUR4chf 6VNxiULC2uliypGTo7elO1MnwT/7j62BCrloDB1piOlGiOyid06HwdSnHVZtzysmdhmDZtwVqiDZ gIuiWt83mL5C4e8Zs6KUMeYjkMm3Hifm6aX3Fo9Q2hl1sdPWUF+DnHRN0/ta+/TSnqrTp9hvohWq MTQNGsRjGP5QmzslXg/5jtcxq/viU3KGw/nkR4JsdVqmecxTfYO73mFA4ubAZJh/HibHhZLFMxo5 KysRYFyGhcVDQYyg+mXCNosIOxBDpN5TSmvtUclFfIFeAv3n2NeKQ5jxXc0vFADLE74E7znlL+96 hBO5fpS1D4JZFQOlRCy+Zl+Eioubjg6/RUrY9sxyyU4HbQ6EcziSn/ECKQUPphMrYo+Bdwvm9/22 D+UhU7YES3vL734sx9pDh49+x4S8HYUAWzu7a1MbFi5Grt4st7mvbp6mRnG46De36jhMxb1K3m+s BODg9ron9B+OdLCCC1j+pf+aecAc3PuZndysSBp+n4sjm2L/QXQVDJFcTs605uaDixG1llRukkB7 RX8Bxks4wGg0gYlfTI9T1FTXJA4KYCX7rAR59nVI7RAfzmnSYjG40FSFcQvkRscvRf1uGzRyGLTq huRLhmKmHERklteB/32lENajYc//yKkmSlYYmn0Un0c3sPAck88cBuj7wM1NGiwaCeISYGGmOEqQ MYFWbZu3Q+nSoThyQUoBFIwfS7vgFcWYIpIFalxB5brrgE2V9HG6HyNTXeqtLotNoxOB5KjHGVpR FEcpgXj7j9/sf3TRUsRbI7X/KsqiMOV3HRzi23u5AGozgHXKktj/pn0kQ105wE1OTyLnM/n6AHeQ hVcaklGIYcaVl0tgLNWY0bWc5LiqlwSJo6G16XH5FByixCs5Wab/oZh2N/RH6EtZu59/A1rXE1xc I4UQKECNZc+lZXVRp2QNm7EF3Dd7xqZgRLgXyWFPmqnGoKqmVuzxhEWTt+qf7K17KdgZaxTaOovj hm6puVZs4YbR91x5xO6ztzQh19llR9LzzqlCI9hTpXqZa10IBvTbbtTNFnUkmXKW6/EKifxOC6Jo 4lDveI12VRN5PotHPUmLumApNDlc1F6T3E/R3780NPLl3yxJTIqsxQh298SFhDz7p3LiUTVte2Us m+Pe8egKezHJ43y7wlkPWcvXlUEEb5r+5TVwsoAL6TebTm/n39JFImPF4nOv6sKxd3SfwSd+EPXn MQ7arb0aoIN9f1NPmZuxS0Ai5NNy7OIiX4dVQRMY41XFhKlzCCGFdl920ztLAIn1CWh5tRYqu4nv mSpHykhsk7JMMcU83Zg2aCIcIt76lvGofyxgQ6SsK6ks+VqULodCUwFnow0AOfFAKFd69KOQq9wf MIqHS35d/VsU1wZmSYuxJde8c5ls9iyYtQ0L+5GQ3J3AdmjunrIHh48J14DhsBA65PfIVRTuZZLE 4bNHDSEmX02PwVB/rYvNLNKU3mxUzjn10Dw/Girbt3g/01ysT3pJlbznxp0t6xXPzXBZNP9SM7nU HdyHObEbmx4/W+0p2R04m9+jsFg8gkhdFVkKIHEqx8VSdBVqs8TVZzRQbega1lpuMQKiuZD8TdI9 ebVhvzYz39wiNN6T/Ni6h6nsJBq5W+w5BulfrqTimW9nGMHbHjYrNnyREp36gpjwBqe0yLt8Jbqr B9sy9m970vjMOGDhJBBVncpLs+BvSJip0UPUV5qisNruE5qpk/tlBMqNMBq9/C3JtJHNi9aqEi8U sPLro6rDMDfrLsyG4/KBy8SdUdrgHSutcUXp9AETMD9AWXe9u8I/djb0dDCLLYc5mJ6t0Rd2dxqh ORWc/+cgJQq9L7XybQN/9lHeRyAetuu0f8nLwfCQneiCWQApGytKzPtbveAy+aczbjolySZ1hUqk GCNIsAPKthOfzQstCVTmd487afx9iDyFYexg08nzLGGD9bAiyf6wFcZKh7ksvefnKfR4RlC7kH4s xclP/iCiM8FBQ0ho549BA2QH75ga/UtXuBcYMkOjFwvZ90ovchiBCuX8dehboaF5w+7B4D6nqbzc 8Ia3CdJ4SsoPbFRyYjX9+CUcFezHsgOjXNpnRjWJ+mp1haQEDdAC7+nFQk31snRBRQ6GRcWw4Nfb sjCoQMQQWKEXsDO4MV4NFCN8O7/qX0t7uBn9X3AIPJOZM9ZQw8mtaYuts64HdWBDqRZcJnfmDPbb 6W0ooJHBcTW5P6bqLYBDeTFfl2giucqtpr/e7Y1z2cYk6IQux2UVumecywK7JzSq+c6wfpjTAX7k fzGsp8Usp2q3kIz3ZOKcAh6bLkMdDExs64rzpO0dQFtlFab98O5tt/2AO6bfxgWLn5GoHKslBYIo a6zkUCwhazFfFq+wXTMqMZjD2quevckCnJptzqwJCUJip0MKFXzNMTR92tYZBbOUHoSbKkjctC/s 1/5qWG42WO8uKrZTD6b546Bp1Fscv3gTVR44BUC7KDkCTk2esF5fLNajNBVqgSotDTKA0Z4iIjl7 5GN1d68FjtAMTMnxiaqaXWwoQbC0zOUrMxTFeL08h5m+HnLBciNl6Qiu2b4UuXQTOTzQl5I6mhHF jtiuLaNddNOXdShhTzjNqSBK/DwaMP6Syf+o1AINiiMk4B3xVXyrIWx4WeNBDzcvntFWpjDm8ShY B7FFKNVn2Sxwd2SjNB4HQXwFrUn+LUH7oabr3rT95Hip2n/24UVfyhydXjW7twvRFET6j7fFwfgN F221nSZ5CEHjc5AyX8QZMk0Tz7JOd4+jEhVDULgJfc+8x/7G3vRIeO85Xtf1qaJoPd8qy3frfmYh pEvT4B+iaJQqa/lf6VnBhD5znp6hcRwW49ha9Vi+NStO5zX/HnlXfxiOWgwbS8SiM84MmPB9P19l N7N7q5O1OEvtaSyNHah91/0ntdzv/WFrBHxDq/8A7qSczRGBURqmnflwv7AY8PvYd2SfcsgliGjc Msa01pcKESGQ0mrUDTfBPne7VxdwP0vxhbC44jwh5X0b8jvaJtPBawXF5/FfPcpPEA4qSmdt6zip oKxfQKr9WkcpGdbCXNXeMXt6dGs+BmJAG5VPCiTKxK4CXPOMBESdfYFUpDhJBNHrK+MD7UTdT8z1 s3AsZXFC/hWyx3HRUykwXSG1TdD8J0fSxREnkHZ9Dh8S+jf415+fGSq/WAyUIF/k7w/PV9g5KBb0 RRZ3YFwcubfxwZNiFuO7tSD+Po3T02lFhQ7zpJk4A1rH2klnbhK7Sm+AtXp/JrEMmtG05ue/yw4I P0s+7ttr22tqa+pyUlye932RJMBBvyDhx9x3CPDHI9PAnRgZ+f+n8SwmdY4q4bmIxNIj/mXV+A+X 0kXnEIiCOH+Uw2MKJgUcbJi921lgnOdkQiQOfrqzPMjAbwy8ixGvd8TT56YS/4evdguo7BBsX61T KvJmUVz7/8uKZ/gHgcXng78WuQdcTM7W+mCj74f6FI+iUbmCsnupTpyPJNcl/w2Rn+fNkWcnsKqI 93/S5xhR2IyYH1yIDIXBf/GNcCt4Fi9x7lAsZd7y6ZCXk4GrMqEi9Ll2uK8v2wd6RO332hmin7Y5 OIllPM6hnweWvRvMJEGp2SAY7klK9HpLmx49r8Khmxg5pl8kFLU9/aamTCTrdKePZFUnRgZ+c5Pu qDiWSe2tennDKJsLwP2vLf881e/BLC/nWv1aMOW9ful3Xa2j5W7UP2kaYLprSi7CbeSe2onnj4Ff DLQXefbBKOI1WD+2yMMyQnsE7zGHsWq7Ue5Ede2ZKIhiuObW8YrOOOw+vzXPbXweSlY6Q+qWdsAK 0T8RbKqIrP+m9+KiOoVW379WzzdAEjmMuy5gKb0IxVMC5A0p8+5nhmXiplMJAXYuWH25I3PGLTpJ FVPrMAQQfeOFZz9ZnY4mupoK9Ymh/v+4y+QYVYH6zJC7/O2lWdxqK9agv4NdmrnUdMyFKm+oRbnl SYlhQ6GntVLo0SmVqhWPL6I7ryvbt8XSpvoBxuYq6o+jxwehtc+0G67K62UTfDdYSK68kAf6GCFi ilW8qbsEUoD+vjmrfCG1I6+oqyY1WatHHKhHXTcb65yPIOYJX5rrKpPqR0u5KGjzaW6lAe/DsrpO 6oPXdd3SMwpwkRwJbZa30DqPDh+S8wgG/8JrL9G0ucRER3tqfW3+Ax1JYERgM8jZi6W/QM6Dy8ck grd6ehamLtlN9cECJCNDrevK7GHTNyao1Aes8tTC5u9DYxm+Xvh8HQlRAJDq9RW2iq4YmrVJgO/F eyBKrp6zYPTMvvp8nJIHFx88WqAuNPiigDpwoHUkQWeUdC0bJ0pC2ooql7w0e/+IrjI0jpRAXwVE cNghFKYKhGzr43b4ic5e9eDkc7hVO2LZs/FlF9W0r+ESj5DXAd5sWTxPVGPE5IyZincrhU702OLU CN5LII1I9NKVpcneTB1Jkl6c5vcMLhn1Anqu25gizDNo4XVgNySbo1+W7NMYE9HqUy+I6xwpTvgL zt/BTTPykoopNWaLY2C1kA8O+FyHbyOHKZFrh1WKUVX6DBpIgFdGDZMwmbLKmFmp6dB6McCmJa3+ 14rsX//2WwfUbsI0jJqdVKIcLRefGz/Xbiin1y6DT9gJEhsZ/BbeaY2nGih39LEWt2v20ZoYhCJ0 hv+j8LNRpQRSS49qCfUg/4ElUZFOdU03DV5FpHG0zYcq+oeem63jD7aPTQaQbkEANH6C3ErRYKC2 ad+tia8nAmB9/1tOGXpzXShXreLcXRux8G2j7KoPpqsEqX48AMg6MiwtgdNgmqmcPyVYqR2oqraY eMVOAIuw2ts9fS3J/PeDDKy67+EkwwfkQ2ri4O2mBIJnNczd/Xq/3PFc8BKnhQzCmAAndus7t4yL VpFKxZKo7btWscHYQe1hYIMYoLMVRz8I5k7EzeMutiIxwKbHNUvuDyULt8edLn4C2g3/KU4GAa0G d/u3vwhyEH/0UTl+KoKzM4jkZ4xlV5nuXSQ8V4xf4n+kq88giCfSN7l56gF4PruVgN0HvpNYpTeL iy+AJ0oKbvS5mFH4OvDO841q04nwytKUuXXVFTHBOR1YoY0JwmV8Olkrq02iX5hWv681rhikzSdP Wog8AnzCbZVPMVwoV+zxcHbauLtplz3+PTTbQxOFnZB/a0dbUP4BNBEq2CkaeC1CpVbWUia/y6wE c5g0k+scJgGjIQjMNd4dQxFi7TPentRGQo/+IBFqMnrIOHPuEc+riEdnT3qtjBI0RbTv6woEdOVM gGN2gMTKKBUmSiH/wqQqJA/6S4/1t0GMlgR+RypzLtov67mdNd6zvSldRzjMTI7SPKRCx9jfGA3c rycory50WUaMwTNZMiiez1lkWIOZzJDB796C0W2jz31h/GJsMQvRBuex0hTly9PC5gqFfxfcngPp VMZ8G+p1Qtn1YOCG8fbL3ABwWyWDROTs+JNdQLkDTo6q0kZJVZm4Lm+c4YVzD60EJLnH2TQCk0bx c4QPGsm1BIwywi20xGKfscAtB5BjhB2L0OL/ww9LJhfP8ts8vm0WxI9Cg+zqWM39DISX0/CNyUS8 IMwFO0Mxb5OwkBoYnqHf4iVajsoni5k02fmE/I7gRG3lq0E/uiiCXfAeFRbc3FXZaNguu23StMm6 Y4wyqrGHM1V7n4g4TLecoGCjnqNAUAhOKGe4mmwKzh11HjWFRi/YkoSlTLsVrevfepcdxjwlFF7K 8yUUsNsYjXFYog+OOQ4TB5EhNQ5/1sZROE2LIx0I64tLjOVFyqW6V/eEdcv0R+QtHrSBJkyWLpTj +SePO19maJJGD4W9qIpKCf2UNsL6+dZD3aB1uQCxUuS9CqiSmyT9Wunv8tRBj/eONbCwqybEfKk2 n1Lc/+56w8p9sD15PslKJ9h6UKc8nxOvyOJBukqoAW2U3+hlbr7JRT2ipNP7okwL5zJcSk2RJFfd Re64+BaazveD6pbPLNxjPFxueUdHfpUHwW/1ODaWma2uZQntHiNi+cWiRMjwMvUM1eZFKwGwdVrD 3aOJRcPuDBejnY9J4pLvQLOCxBk3002gxv64sZ/nTvhrgkCNqJv4pgzSzCisZwTJa8+B6wZV/6Qw t71aHaiheUqBXeK1Q/rseCJRxjoJTsMrzeoldLFjUjwOINyfnODz5PtYPIGm1WaV0e/mEkwKvGz9 S1hb2K6V/Tt9HF40H1KifgmtECv1rb1yIn/Tk3B2DfI9AUG3Ab9rwEIqNkySZ4UuKqcGMfTQ31cE sawZftEVNZ5S953pk4xceUJ8KMEmcDysPa2mS5DGBSt2Ws9k/nVvb1sry5mxJnrKFgutGj8/RwB7 /vSau+LLKfqzIMrPYAbg4o98eEnQNUjTsQ0eJDBZFkvWa3ALAltRVMNQnlTKfA+gR5dPA8+WbuRj pr5Tzge07AF4HVoVVT1HFVy1OEkOXAAMn4gS/bnAdREL48JCmL1XGwMRwYq82AcBuLgY8Bil9kh1 H1vGmqJzKjvwdeu31H1yhwmZEV9gyd8WObSYP1/uklDO016QY3kxbl45TXmQR9j/mEEbc7ppgsWr jn8ymXppbcm8vM2eKN/g1wAj5VGwSsbdyhqpSDtW/Og4nQS/IKNkF9W4nKgfy51YS3iYUiubszD/ 9JtoaITHUZ+QK2ALjQFUjegmymJEYNp8H2A+Yf8OAL9cLACH1WxqZgX80DI+CBNiOTNPuo5X4U2Q od9Jchsvm9Q6YfuLXdgke4llorN+JU0ykXAboxsw9DaI/QGZ0fQrCXAqYs/D78PmLJdY69kir13b pAuel5ZIw0ySbHlt/Iegf809xShr+6J/jdzteyZJCYXN/zZG8wmTdn2pfVni6dJ1nF3QezOCSPQb K3HYA2OyExJsI81wzrgAZ9VhsZ4BTkAku7BMahZP6JDcABuRM+Vpz75eSbjs1zqbcHfmLax8sTF0 2o4C7T4kUl5QrS9YZZVkJ9wcbYfYwD15f/jDppzvBAf5G31jnT6G45sFPbcvsz747jCu5gOYmmjI EMpUhTmZJg48xT17SRkH/iWx7bt6BmScpG7SN4TTCA7UtPyeTvVL3itr9C6I7spcyJIJc56K5wEe PsMtWIWfmdgZ0vGKhq1yGoz0Ga6ZYS6aIthZdx54nEsMGR0nyr24Ky4zrSe/JjTI9avUpUOtlMOQ VCv3wfYAtjD33Bjhhdw6he4fD2vDKDfnQ8D1efkPJg4EpAMaf/ZswlIkKVaT8PmbMgkpEKUkO9ny czM3G6pQSuyDYDMpkSMwt+r6KiYevHLasSl2WZt/E2T4NZQ95efmXVEXpnH0Aer4PJ+adbkGkryg vuoMGiwQ0FZ5yWxEgPhdH/TNaDJXHZ02SVJpXJ5lfpwgFUVXSno4NiKYwgtEzXRgh8AQaBHpFi9z MwTT0qhTe9illHau13yBhN93ejNYgBtcMxUkThqAwSYle4WthzC1WhXSWS0972Rw1orNzhM5ROsv UWwHJuJ0u39kk3feDboQKh4TWTChrhxd+KXU2bHjE8SjPcJTriOkDck7YsVY7+U46YwPiGuheAKy kXeXwYjndRr5o5Di7rc2jceoBxrdOxbzYKGwouHpMp1vQVG71wvdbJTHt2WMOPdSVdj5L2W04+uR 4h33pGK2sg9mBK7FAaZfLL3iede8ql8MhbnSjZAZYfrITyDNYfuk0AzoUpzY4xaEtxOmdQrWMLVR NfmK41OlG5N5PWtVEuVp8QWw2VkeEQESEH+138wtNesFoGLCyfHnq39gEc/6toI7jvO/X2UgRrRc tsbb6hzVDov2U18c7MHtB2N6Olb45w5VUaxULhyz8I+rhOcOjd7ik0GGl2aW7eQ/6nWpPr2AcCb9 UJpriSxLpo5k7Fb1hNXDRhS//W7fiGvf587V2RX8zl7WyxSfYitF/74qDZRs8KKWhU7z2JbYWWVO NZNAQm9RTHA6KqtnCjSpi8s5ouBxLB/D+Z6feOI9xRSZLY5A4iKxhT7hvUwjBWEMx7sFwXQa2iwA 456VZcYPdMngmBNncIjXrxmu/jHh621knaSjoBW9gBqzeXafRd4pAtKW6j2khuJ86Xv60zSviLR2 OSTVYm8jd9iaCD2pFgqqqzEp9b5VW/XD+REQPYDOHCWoZqu/c4Ls+OFuqfCuiRz3KhzyH7DsATLL UHnyYihIz+axTCznM1X5kMcDnL8TWfSbwQCfUSuBu5BoYi/pOjIC7sx4YMCuJp5Dn34N7VEwinLS FgjJVPaMpt3VVSn16DeTJU3QyxfynrkQHmGRID5Vt6BVjfY8ZbnimV2uYu3pvwx5H+dVZAdBqF9j I0yhI2YBbDIhPVdm7tgpgyQwHjlOUJEdEdBZFiClpszKPjQjkh7LGVrr5GZtKiVrqWD7PNNFXVqu qwua7WrHWeM4NTRdCUX/WDKppTmy2z5A/WPKpqwqSAOroLhNMfiVH+0WTZQpnWW4tRdLK3egohgw /2MQ+kSBJ24gUNIrEkuw+QKiCLe94Z5UfG3I1bHqfvPRzAY6U9b1A3WyAqYbdzGb2upZ3vSDNbYY 2/fTIw3Y63mxKJcaOTOGhvoxw4eQ6mC9/3PdvHScZWjPQ4B+JUSkGg6WBhi3eBsvVXQ7KPFbpeZ1 DjEyudvH0eU6JOP06stYUH1bbd3Vv+0HA1X5MgJJWMngKDAw43TD9lp4krUdCYEh8RcoMiLdvJxn DWi1Tk0SZ6whdrPRY3AwvB2POYo/T/whZSeELgL+JExtksowpOrLX5wbqF1N0jvUjvbIA92Qzczi 9xHiF0Q/9mK5NYXAtFSjphEdFgN6DLYyT+S13DICiWPnhDuVuNOQw2LK7N5iHwzzapWAp37s0Xs4 Hr2WtBbFO5R0Ov7oEa3F+/BMc7Am3IDlP9UsvN7GZ9+gn65KK9jfIxkpw+gtaAthpWx1GFr/hcQT xwmmEJvLqDddC4Ua/PRVUWJioLv1SDLK2Q3V1MAizkOWJrXJdwsgMm6AG8zq/7XPBq0RD8/UcXy3 n7DDjGQk73+jfN4KzKit/yLQxwu5nEuu/REGMi2Gj/uo1S93tVEsKHH4L4xc0CioYCBo7tnVfYS8 xq7BcHKrC5pGjs1jIYoxcKK9ettRnp/AqnheqaXz2XIThA26GWigFfe2tKkZIC+SC3EjiMNVWFEG mGQgq4YgWreh6JQUHsL/kbiwSEEwZIBoqa6VW2XqsCoe6wJipWuIWFKvZvH1Znhqv3Cs5P9gorjz TTXizK8L+xSI+qq5Ez/IVajkreJxmbxWYlxRNDyZcJIvqV4rgKlmTZnlfTIaS09bIwVJf2FtJ3df xgiihrnFdm76vSEQX6xoQR++d4fFvdqqYkg1gnYKvFs+0bioPHHsOUcQzCVxlTe39Bn2pkB5UJQN Mw3/cOlTsQrMU7F7pWz8Rpnm/e1iqjp+6yERT717QrYV31FPQYnr0fZa6S6mRq6djsxBqrW7+jX/ nN3Kzme4tiiX84lDbXlwJSkarvhJiPj36e/7/nFSQP3RyrRty8a0LGrK2SJh64I2CiBI5oh2uju+ drKKi6phjrghaQqSC2A43oNtifkvlz6cCsZ29pnSCbKlRHwIzy3NV1wHYYlnVNxsukOXV87CoATj PEZ00U1DooefsBxepniGh/+SdpiFvv//iDRRdollRLBJ1zb8QFJyPm2J99SmreYF6f7tAmcW23W/ NoFRglU7wJrt0aVFu1NX3OO8fGOvd1A6qthBnC2G6RMovpoAkzSw2w6g9Wcy/LcAb0MDLVg6k6xD uDdM8LP4dEifl/0o/qhxSEO+vNofZLHZBPAt7bfEVtfIrvn4Nj8JFxCiIoQSaeiEuChH/p+hKcuS 3eZQRkRPbXnZ19YB3tZX9t20v2/t6idwgp1MZ9j0XTUFTYtv7iXLf3KXxd7KsEZJuqH3rYM9BQyI Uk3VNJCTIrCfDA0VVHNumzbUTE+NMS8HoogzuTYJl1OiwBtfaUMl2OUpNf/G57Xna2QGvAXbbTAE SwuVDCkqd/2JhEywu4n8Hvb/lzM/+rOMnBpfu+Yfk0tXxfkgVg9gN8DJH2BtS1B9bmeAJjC0KBba p5aHeqfUwMQy3nb5h4SYb318jUAc009O+pRbCq0BwhOxr/jVYbtz8gffch89igLduWdITlmxd/JF P7OwSg1kxnaFjuC6XKBGtPiSgFCcqBVQPUOHpvlODP3E8T8EAmzTvAsR75v0qzrlvyUTcunzRZch C4TukASvL22OnFeYY22hCsPOuchO1jAOKf38eycafH5itxvHb7fVj9ipU7LdDfh6dJ7FVlJLkSCU UDUkUECz/tMIq01JomcNhaQZ6uL7DyAIqB0TaCeYxZeUW0Fbe75tXx8SpUS4idrh89oLsLjyFxsc S1FM9R0AQ4JaBRfuraV8aie5NiV+YSL9OvJ/deIQaRsNZnqVKOJ/c2mzbWGPRoN91tjK/wLRqaki mvcmjH7O6Bl/UEtQJ1x550bGP/W5HvjrOQRZWD+ThZs1NdfdTtwmeK+MvtO6sfco9jBmIbamZ0jg 5yXjyZpRXzf+cB9XytS0JlXco3b6JrFgOP3wwK5C7QImT3L7FsbbJ9VlASjwDLxduX6u3WcuYrpU 8m1/uZAC7B8/uFBcCSRiYlEUS/I85wRVk/ychhgpLMD8FsD5g+wKDS97nPEOaIwA4wJ+iZ2e1aew ovoKeiHv74Vr21jQhe+n8KrMsG35SQXmTA+FNekRjMQ1tBPziW86rBU8LpSzdjgiSawF7WuB3Pcb +kxwjYNYwKQp2w0kjnFAVm9AkKXqb7O8AOBzQwmZaDo4kYsTcBCSgkbUf+2zd4Dk3rpyT9V7+m0b s9hQ4t1LXb677Mmcdana+U7MTOgTIFQAZOaVrsJMEizGtbhSHaic4T/8VNlSxS/gCiR2QpXpts8E weSLlU5W1ke8asbWkhLIuagN4jfiaAtsqdke0RBuAqLq6IhgVo227R7ZGCudQD7XBCdNaNryUPbS 7lWqgeasU1q9tSuYSHfCNKu7kYFZP08PYgDLqwVJrfuRGFkbfRL6P4N4xbc4hYnOcy/TuSDajUEc WxPXcHwFnO3MvDuDtns9B+vDzGQjMu/HgdV53YwqVcmcLNDa8SyUmiYwZlONQ+xMPH5qNXfuVpvh sUnZwUxBisyH9dtfwypxLFcq308DDCmrUgv8OWboBUiptoJ/BAftD/qvoShWGmmxoLjlwBEqojLy LWCc0skVng0zB7B4ngj4IjBwdmi09tIqpdRbDlhymX85tzIBo8wW1ffdr5Hktv7wQ9l9ftKKc4dv /4AkYG9A3G+RRRsEcbH3emDl1jYvPuug3ow9YCPQ34ukmLKRihwZYbVjlzWr6ZVuKXHP1WbfQztU aoUwARqYU9ElCcOUDO6YKeFTPfvWFxa2jK2m1RPaHxNkpcVY4S5GuZmQ2dNEhCwXpq5EB8JLQpGx sPMbxA0lBYiuCxCVHFTel/pgMw0afH1OAJCMjDt4+OzucyR6AAG8UrZ4aBKxXIzgsXMjrKPDm9b+ mqp9panvZVWefW9vfKcJYDuS/JAdfkoo8n76qTnkplCIwaSvBDGO/igQHkHNeFK10Nso+2AF1J2R TT18FDc5+PiZpPy0aNtjLZ1hKmnZOwJ1WcZ3uQQJDEx0WMY37sfKhuqwHPFh2/t7vGH0g1cwCIgi xoyLQjzqODu2onlDWkpuU0Lcl1NM52aJo7TllyGfBLBGjf1Z0/u8oRbC0u/MYkpZ7ZmcqG4d1PZS DLSJIvzMpvmzDVacQOso+VxW+E3kxeF/0bln7R4MTfAjVQzwwY/rs0ciawR9MHh76a1QDgQGLOyN X1Rfp5ZFvu+fBGDzVS1bnhMOxUu5RyOhqzgeDrSDONA7Wf3nYHdiW4hNSAr8JbuuhOJQiICT4KX3 BfK0ytrLqE6fPsQFztoNvGjh/vY4c6fqGFXZK+j7VAgvpN7YSpNFM/eJC7Lq9/FYLet9Cpo4Bh3c 2M3S+Fr9G2I0khHdhvQLgwtvyoDQW7VNNydom2DiwPjXqFLa+hHnX+XQPwFeqvgI0MadaJfkixzN 7KZxUQULsKMjxjD7UnRnvrexY/3qiBPT6flSoLOo78dBqIp/Ef1k/vk6DWYgysY12rfsJ0pW52z6 SzJP8NCxRa1f69KtVVIblOqHEgH9vRPu/kePm7VmTcRcsBhfoZoOHE7d4U3N7riLRMiu67bE4dxi ST1s7OfvGT2iWBcELon5f1THzqa6mwNMMMryCNEHnpYfBF4errBHfP5ccU0Snme9BFIpeyvAnWcB wEaDj4dHiI1s/0ufgkykMcgD6WDkri5w+3gKJ8DFoOFrTxGTgbv8D2KSMeNN4KkUUzjBLb+kjUna CmZC6f594QOO9umiuadru+FdIOcNcp3Yp9hD1f5YLFOTOs827o1ghsLaL+z8ZCbdlmOLj+KWHppT MyMMpKwxCYOtgpwYK2zl3PEh/8eXvsCzaXEBhNk2egxeOQZS7rZgsAQJyN7IqRzuq8eYg6v17UoW iYk7IpJrAqxAFH36tMWKbIVHH/NDwAWDrhPDnrHj9Bdn//c59zAbU+cNuyyuivzjTPea7d4dCav+ fRssOQudwJU/DlFrBRXZ/Q1dhZZb3tKVh0l5MIkInqyb48AFAREYvYnYIrtBxXxCYeUShuVdfEMY px8bDhdpvvh8dO1zubxNAfWn3wJG163SKppAEjbPndpJcHvaGjcOBszTNY8XxgXlXS8PCKo/GObn DWva6buRrkTcrCrxpLqfE5sFIkAhQAt/zrRYFtvRsd0gDYWt+rWspv90ExCV3jhOjdSc3ZCPAR5B t4R7LVDb7e/T1A5bK+37sUlKIYe0XBKb2jCZBPIY9FvsxAGZIAgMM/UozDS8Mt1qpn1qfODDrsdt WvNBmvh/HkiPpMnFEsuf+5vE0LBrdJ6ZoqscidUObTIQ3gIh2YTsB9hKjikO6+rc8Tv9rY5CDmlE s2y4yLsKO3OLbyNagvGTo4hujky9ZwxVGn2WOm8Ty97cbvxAZdgP+dkwUrasXrfKV/7XsTSc/R+F WGXhizxY7nOk4xpjgksr4+5dqHTxccH/ta9A/xbfUVSIK1C6KYSUX5Jqk5m2QfRx5KS2GIEu6MS7 qjANh0xmvMJ6a1KGt2Y7QNJT78a5AX2q86CmUi4moX7opIkcE+4f0fCJtNCRfsQevO/bZMJOSvkZ hq2AB5ju9J08lEsKV3vguWGj60ZVh8v3GPj8xc9+tmYwXsRQ6gSFmMQ4u7V7U6SvJcQs+nlkgtmp MDlKN2vbLXM6R+Xbvudv0woZD0yUXohoHDY7sbF2/blppueK2C+/INCLUuIxMuRukXC+CTLjoSIR rhnaLYDD+baipCT3vUU3kZgtkHOWpvIfTjDj6OMUO+1Dxk7f25OUTrdfflq8Z9wP7o8A1tAXWWXq 7XhmXRvOTp2WFA207rHkJ8ldIABAef+KHIUd7TaeSuS5mrhChmE6nlcP3CSjFdRHe0NhwyTAjyAr uRZZYn3UU8XH0dlmvfiV7j8RkfoPYK93lD3UepVOYXbJcSAVDtw0RCQ61XWV4YR5f+QqdKpsBWvq PISEsnySTnnXi7hccUjDAigG7dHgyf0mqjcO0+oZAoAJt6F4JVyWaPivEQDT4rhQRPpQL95SF7sy Xh+pVthMK1wx/5sCNxrZ4jFA1VDX+Ti2oISD9ruy02P9ASj2Z6LWG2q0yjOhs6i4kJUieF99E8VB +OCrBxi5dUx5i7ah/xHMYPDzMGTm7/lVBiGncFAx6a92lK8FVQbvTMaNbelAeXdyAFAbZ0Wi37hv Aeef0jOiE5DoYFHJKttaU3vocx9Xn3foXDUnCZiLYVC5H3Fkc41MurABwEi+9NqyCI7Ev+OzhHh2 oMiUJTsHEDMCFC1rOko7VsNgUN/uFpxjXyS+7KUe0xZs0WPFbmu89sZvS3hjrjxHlODfkp+J+hFk DLtu7fq5NDwaTd+Yv3jrkpdl5Oe8+9svIdPiwTE5I66XNL028eadoVP1kl4v2Wl5E8fc0w+mPFBP yTZiHE4oXkVhOcUq7tY0pznzNvhDFVIyWIJPebxDt7Kw7crFGuUW8rZ50pTW0tF6w7IcMHcFnbXW Qc+gCt2n42diWzJnygoEB2Wou5/p2eFu/VBljrNhbmQIwhsic+nsP3nCOJPbyW+TquzMsR78z0y/ oyFGMzQtDN9Mq+thkh2RXIo4qI4b2P/eXntCDts29gP8SjtBgPapZNlGoVl3zt+GKd5sKUitliHB UdvEYOBYIkfWSqJFYBkhu+0eudxP2k9QJ1drjQ6Gz54n+Q7U/J2hijgcTw0Hk+W6RG8n/t8DfhUm 6LgnzuGyYR36R3Ti8kY//i3/4XsPrnwt2tDFiI57izM05KjttQ/AoDZQcYQ4LIOyyj9Udob/wmtg a5FOhapKHBbcianwE8IYAgncKoK2Aws5XVdaa1U80ohNlErZZV6BtQoQ/w1Q3uLK0/MaG3dSSX68 heb8NkNtbtMcwu73dCtvAsqQUT/HcEwxYg8nxibyWgSW6SVYc5uecHH4mZXEl05uuOv2iOsR0IdA izlKBe4S69zZIEZQo1XlTUvhpaud5L+cRgZ4bik1kiwzq413I/2VDRgnxuPKXfA3Fiv1piJkCrZ5 rzsQfGKpYQFWIVER0zQHsUwt1H3dIGWtNm5sfT9bEEcxBdITn6cwAIDUCSg3RojaukOKtrqiAe7C Bo3yUWrJyrHgjFDtTzHl0Tpi7fYqMllVcRcILkmZaNxzws1YopqDa9HvdwKWZ3oV4pHEu1LKXr4f slLZSPJ9u5+dmcLRvlSVUDe52HfY1yglDTV3c05eV9U/EaYJ5zGrHkA4r2Kl1es43Vf/XEGuunZZ 49iLQ0hjTncLcsyea8VJPgnF8AfKdhXkTZfmpvwLhoCvw2CqQiaxBNd8CQr4Q6+xIKRklu3z5YB7 3qyVV67Np3rV6CUtxgTHxF6CI/Spckr4k7iwid8NuoWKBkVr30YSKkGcrpmR5WOc0PfkU+QsTjX/ ou4U9mraTKmkuQQEzDIJHjmm55a9QqS/7Os99GSeIpQHtHntvVz1BKUAddmJ2DoXcHlWbGpyOp0G /jNCrb1XLZovjQ5+90J+B95k1bjodHoQVKCuSarDIOAiA9fDdW2IXspdkEjDlFcpeKQlZcTQDLMy AucbBpOIv4/wNtP3WDjBcTJ/7d+x3CQ6DaEGznLTZ+OnKzFev894McJBPNmzAxjPAaGkM8VTN0Wi w4ueSzL/cJIP4iCQtCLaKqbK7BWQF2pxi7JW8m1BQBQHJYvP2lqcyydu2P8TM6x+1O74+D20yaos 10z0drmgzZz5t7Jw9wAldoF+FS/2YPzgAFifTG9WppomldZZW3dbgMvVGp6P4Wp9Y693UQbp53My sBQv81XhZGXDrl4Bg8mHjrJmwFMAAz5YdiazDgOGUOhC5RQgOUetYBd4cjlQ+PjYaVDXFU9NQdvy Crb1rUJZ/eHzkNgLuZczYsPO+ex/C/O+6UJaf0nBKd2KaVK1iEzXw3OZeho2gpjq+H+iGiwr+12L 5oWgkM9Ze+p4zJ+TaBT7Cq7T5Tvq/sAfWi0ex5aeZt7+9/pHim9hn+9gLVql1b43gp4I9T49JcPE /js/L++0n2TYpNvaCPwVa1yeMrH4eCSwJRwZqenB8EfbV7jO8t3/Og0hocGATxJ+XzkhTEwplpuq lmLRR5MbUb+FVnPFifFSXNMsa6Cj6WjCrK+Hby+uBOFlrVmDKYNJELaocOYzAfQlBJDY0l6YAigx jrHWtm05xLyCN1SHJbQw9z4xIv2GfwTh/8lVXKOKgmnWVO6OGsIGaISB/gblhmo4OvV6/RY5fPTr KTgAqvvp50ESmzfF/WTl/RNjPrC3SWhSzRaTBmb23Hf0PR5hX6PEebC4A9HqxwE0qFmbp2RXLeT0 Y/FgmjJBIbYl5KqRU/uqSAXl7kfwkaZy+FP5CmHKOwxmrn+FetBIhU6shsiT1KTpNSHgERbjpVgV Mt2dUU4v0m0YdOGgnJ6QcoEOV6R3V6KoA5qsY0B6zTt5DrWdbl17mzBY9kZHbyzrp2j+N2VXxEEA VTSx1OZuITrIjT8eI0G0mj2zgsoSN3IRgPeHANzcq8x8HD64VLItDw/l+Lv506zwY2BMsPnZNPBK s6gL958BR/K0AC89wnF7hE1BfP0uSIMaKDAXfRoOf2vOFZ/yBiRq++y3lE9JIK1tksyLFlHS6Ixc 4ObGxPtT05Q6s3fAgrUYgfG+ROyn5ZTS+grfcbA6Nrpo6hrS7T2VvWmJqIbOY1POG4Jda1ZAEW9x Vli40b5YMr5M7Fo74iIcn3zrrhNUIQT0//QGi22ybr4t70tDWEVCNRKgBwD6BRbO776yOxuQC9tu srB5mfRg4g+D5zOMKPmOLs3IiB3JAIQaQnau2YZPIGMyYL4Vhki//KM8e785H1zxLdljHZKnC/3w LKdIeAMG3VLhM2hv/D/mY5OlvqEGtGqFuyvVowbykLrDKueL3IkuNu1SSx9O0GcTV85S5Mbxewfb ta4uFLseI1lAQuC8uLKX5f3UNkamEBNLqiu5g/XoyOPrnflAmQNBccuM0hMdampeGeNxKhkeEgES uX80pFNpwvWwiGN3KpRilePcjP5xtRq1CUPiKoHH6R16ZFZP6ncAsLbsWBwOIvuegQ8stGV7dL/D xgR2I6sE3oDchPBlNC377PR2d2otgs24PgSD8hkWgjM6o5rmRAtFZJ6SuTxOH9CeYTWw9zfZfLXU UpuyOE/FyupfkVaUrqDer3AeE8PMKtI7KQ+qck2N7ISP8h7Bmlhk1pqYbFK9G97m5/RcKVH6BplE sbNHzfAx+Q4f9n7Cs0DNm4J0OopX4O1RpkdGKMOIVQg+njBAoHvcRFVLG2cLb3ND3msLTwn5A4oP tTP3sEiQeiA5MIfNxhPbI/TFzTfV+qJztejQeAWRWPov++xYpPo6lwZI4Qhrms4d1BfB8WVi3Lze mxcAkxxILBJx3fJLNdRMtB59JxrFiqOuH4j8khWZcKOVT0WFeVzMjBFz8IkdMSLaa29WJyPJfrXM tB9dD0Q13Jq1PV+M2x2dG5X4QFAlY0r9EJ/FZeZx76Wu0GmAOQJWJWVgif/roMNdI4+x0Pewbziv w6WmdIntXTSodtww8HJHlGhiHkOKGLDjZ0l+WhfkIFLtjkc6MFUVfjRYPFq4YObFemLM9i2+u1vw um4aouZmdxJ6Eq3PdBlOStZwO0+vvk0dfn934RI61i3XPIyFm45faQG5q26VGZ+cGknZzx3SKqaI WJNp1EH1ObJrnTKTHC48VaJ5wqu/MfRh+40rgzjA0PLYGWovILsPPpZfusklaAvDO0brUSgQZXcd HT+TMRv2hwjtGgsMS6NyrnCp+RLihIWOXfAavs4rFvBisEJEPjmqsTqb1UUPLrIwgZO4FUcBlDG0 6F1DbCCvabb4BdIUiX5wrTnBRrqu7bt47ghlhgmkulZk34YDgvTNPhnooOMdtqVSTPx6lXWzpX8X TLkakbwck8gap4tbyFmvOidWVz+s6R2Vf2w2K782VTUDtmBC3Wj7mARsgo2PvHWEn6+X4FDxXuyv biiJSYu+mkUu6rZ+iPFaTWnVzC+LP1zkIecpm0B1jfoNN1ZTtxuvRZizv62t1Ma6zjEzSF1iQe25 yAEMARsvSk/IP6FS/cw8z4lPIwzk8AEZ5vZqJUO/seqCMrLag5C5nGNVuJlLUfQDS0v3372BwSdg +MRcdV9I+r1IK8QWbU2uhXAYhK5kTXylFu00V8vuIvwUQtJTBK6n0vOKDg+jJvpIZjZqNrIKKcnj fOpuRaG5NlNraakPipB3TF2eFW6Qz7ZPf4OeXt93PMkRQHWT7xo5ukz5/3+KNirCt2wAjoDxKRm1 0xVAfK/hfYMe4GOWKzxwQYTnb5IR6NEyFs3LG/8n2LHCTUoKAv7V3MnDgq/rSWkaAewMNfT5lg3i 5WFlhP9MdMNq2l78SY5SatpqzdWZBfF9O+ly8n9gfMKrZpNP16/vy0pzP+xHze/iQpyP9onwkFEK 1s90oUYnh3atUq4tEhnT/dvBEusP3fZ+RM73Upp93/C0nFPJsB4gI2R6el0oBCmBuXaz1oMf5C5X tAtJSiGIvhI7LrMDSDuSO3GHPlD4e9cqkiqb8BFjeN1yQGfAgkHTua9Rlf1+AGTl6PAgTwAwjask 7v0IzCDnGmNcue5U1bKFiXBBNRotU7QjAImd7ff2g6rk/z/juz6H1CMAEUii0dOlZ0h71F8WS6Qe WAXp8ILzBnTquB6HBRPCiAyPXwT6S9IMVPjPyQC9tsJNtKnDfDLD4S493ODHy0G8cTAdztv9vUoS p018ZjVi1E9SdyR6ewTwwhvDUUaRGVLljwulDOddzj/o1S1B7cKoTSZusRBPDmJldZbzJ7/CCQKC K2a9w6GeB/eQWQLnV5BKHWbzwf1nxPexg7EMmwZc7er7LBE7M+75RNmDvJqtHvvqjoN5OEk2QgXS mkL8kLoKmtwIxnrz5tvC/V+UXq0UZJcZLZlDyhI+0UQcUae69HQzzUcVn1MkjZAZ4K/nj5Li/n7v NnEIdiiUA/DHWygN/wOopl7cc8CxxcKt0WeVH5LWOD4yMOFb4D77aMbZMUVEnzA1pGsHmb/7UZFU 0taofj9/AHasu7JrfxH1LjcbGXvFk0IHpYuOjk8Oo+y3bbrLNcGt26BMrzHNF24AdDgOnAfTf649 6x3ySQYbF5Zf+xxpKw70tCqGC5f6Q3R9sEezWuR8ducwoUrmsHdDOIlX9ItzkPUjSjmPG+dKmcBe HtIrG6lu7h/Yq4rkVTakxHvz/O8A6dIL1EGFnU9XoFgamAV9iXENkTfyjgO8J25LPfwuMIxHY5c0 wpeNNfOTyhyM/tP7pHj5swNXrhg4GckGqBB46BWPEljGRa9Xo6H0Vo61LfLYZj6eQAEpLc4laNDB SOZbQjzDGQaVGfJUtCZw3oDW6avnWcRABqpw9rt7PxX44f0MIHOMwNdAgK5yh0r5nhmLBe7w4rtb pN3s0HH7DIVOx32GXPKLcFyiYVosdlmOXl0YwkXm9KsFaK1BbvBdchCfbpu672tZxyUHDEe/m+Y9 6TU/qVkFVkLxfJ/VzOjXmb0Buv4FGcPACET1PSq3RBB1giCmggFYylyGNMQ286fCfk2Qcb7Cwz7W H4gz8ZsC8+kQPz/t6XDp6IEaeJEqdrTyg2aNAXZbB4Gsz1EAoCfzRSD2cw9+MfK17TxQZEwVkzOo S//BO5++bP0Ar7doHm1VpOc71GsWDpOY4T52y+NaZf69sToYLbnPsqg6dn6BUQaWeJjqSf5VYFF9 Qom649DipQiH2bYRdVgjEsd2hxzuIRx8+dGCvrcjpOWSvtRjLcHKlHNP7DU5YKj5aD4GERf3B9Vw CeT21ir831D/7CNt21teqox/FPQOElyTVpMzDgD/vKUeLnwxK4MVwGO/Fr3zK7R0K8z2P3gW9SEs Q1riE1lls10XxOHTG5WxDBT2pHlhzLvwxKJIocMd5Cwi5RPVYROZIh2ken7aKWQA19/VJmvu25Oa B/h/Dp33gYHNxrPed5qHU77LmO3pSXsiilTxOtqYXIyWz96hqBQI5P38DjVfi8hiDPV3tZry0JI1 JYGtfOTh8xCD2wrLjFnu3vJ070Om1WgtQzpth9/OxBe/4acxrkevLA39NLPyX4/R9S1MW2uE9c80 MDnQ/X0+5RF9eCvisE6F3cAGqevdUtVFvoGZoEgrceCLpFUx2smG6SOHaFlMPI11yC16iUVL9RMw SZ3cJ2Dj2x39TTUCg4s6x20zTLdNGJzF1cQ58LiTgDCn/4XApPIMBAHJz1ug1Z7TBe5LhBdHqPWX Y5XjWbuid4+EYandFnOlLindR+BePf052nu8ZJd5K/d9zRYqYWQIMmTfubpF7Ad6WMS0fH8n5tgn iqGxJBBEVJA7DQ6pR1/ERHr8GHSoueDeLXQ2AvRe/K6sd2OhoPO2VVxuk3MR2g5vKeT3d2b8Bzn0 KVhuNslFB84VfeMe+VsVg+/H9+rCB9B707MesHh6WWRvF3tJ94ym1JzduOYVdrJanH5sZv3Nd0BZ xfcHwbLh3wKqT8EzY4XXNwph2YfhTFUmSEh62+o2S2O6fsh57MbbZXX5ObZH7lXGaB4VG59qL48x DrbZsX5sjHv1ZlN5clFf1InYPmrjHlNm75FJM/SrZqAwvTZVhS5Ep1G80zI6+ry0Shp9BtkY+Gxz lBlERgxmGBm1lsc+XE8TYmIClfJw4Hmvhindi42/8ylkBn69e+DVUmlbnsDVA6B3hosknQ/4qFU3 YmtvGUlKG4hvsWR0+vWwkHl32GqEYD9OpHGqbmTQaKJHlO+0LdmEEBpNRHeNIpFjvbd+ZTQz/scz +g0gmvxagFqsFCYrlpQo+pMxYUqDJtGGMBwzQTL/U8wWj0VXiu4EdnOCqmEOFvomqoVrd0InuTkQ GFh3qBELSXshwOlTfsWDW10NIq7tLIt6pdIVccy/Rh+nEgqx49hwkGUplTKgYgF6mZCSyjKRG+vj wD/vsm7FgCkavj7wz1hJ+Wp4JVV5ZBxqPC5PNMIXrCjTR7XQCOVdhvXM2Gdb3xGWk5lHdQhDn/2B kaDYs3nBWay4UULg47Vmt92X9qKVQWR9RYMp5SDeJUKfS98LTVqrSGZe50sWhcW36xQ3kisASnmY sP87f+LlmtdK9NdKCtmqjgVtlo1qULQHQf9Xywvf2DTCp9Xz3mqSAOT9cnLs5a470QgFKi24r5KS 0KyhMZwxaod7Y9+AT9GcpEucRnYZOlZWEMUkjOlsUlP/WsfCbVOo48h9iokiKS/m66sGcNsbHTqe qxxEW+UAQLxzzaW5umuiMdKFrkg63O3qo4uyEs8/z64JZJyt5XBzoO8zvbXc1sxOiGeeTa8RPsLP H0WEmvJCxTmQnYaY3dT0kDfOpZKqBC90IiW+/ZYJ0iCTqOxIRXOIGGHuJ7ZEl14Gu52dY8X+5lHn q9vmNOVOWwwOe5jfcb/1LCeB3HfTzd9ChcIJVAM5Mv5wfUPnpKyxeDnlxuuXXmHMoPsja7MUCxSR obomk+aMeH9Y37o+YgfcXuIlmqvjnGuVE6jJWWYwufFv19LzfXVQInuN/qgCDlt9acLhXKbnjMbx BIi/VUxIXUgg05Q4LGv2fUAUGCAmzzh6hF8m0S+rrRSPg80N5D/pWXI+FFzvZffbiYlg9FX7JTBU GSZ3RqHWt8icdhFij2fsyb5p1UZKuTQM07h62O8vX29IWZwpeXVmK322gORxnjxpGmHNQYhLw6fH 9Jvr/iZLINgnaWIL9NkvfPv8OTjlnc5vrmISnrb5+/+aeJTpEYgYyfrhqjKfaNDgxJcN+aVEieAK uiaVsUg9imyIcCr/qio+agzmj43ItlhVhncORBoiHEZb4rSFVoi724Z+tjl9QgrXemJ0JlvINbUV VYJVKmL/ihI8Vzv8x1ltzdrXAnyFDLuTcaC8f+P2SO7ZCkW+kFRKCcsC/HTGjfC6QWQ+MgQAXts5 4Alr8wUOIG5HF9vNJaNSXun+ZlMJYsbkt4CLJXi4ONgdmV0UMxSfYUM2UxnXoE+SnRWFa1qWcx3U bzzB4liKdDo0+mxTQoYgAfFR+S0sWPDlnWezmU+xU4jICsi3UrApxJIel1bkv1ZYGmvskUA7G9eG 1/Sj3APyyFdP1yhne9fFQXlrls1+xfzfYdSKbBiemsI2iBI82McoAWAEF68v/bfKnGkpmN3tNwjo J5doyd+qPu4WBQXv+VVob3um/Itq6fi8Bkcb79NAVvpJ6jB53c8a0e6hR8nAJO4IupkPucVsqpOq rIOcdP5216Q8PajR+SL+J2bAabyPAw54wLcyl0vsXk6zQVtbt3MWMGOBIfoy5uYedRS/X3yBcpHy IZuMandNFYpYxseJrbPt8+Qf4XB3UoviSw+vZmr2/vLDswL+Fw2rx7/tAuffWOSlQTG1XaCgf3wO C08Tk7ahhSsDrDVzovBWZNO816Z24aGsskGm3QxROYtWTKzy4kqcseW/m6wlRq7s36LqWklC+SYt NHxOi2DIHfmDiD6vdaAI1jwe5uCmYWMClBDE8fdiVXmTifqIRHo5kjTwvRjpywj+3YxkZVUyoUMG LtKPSdTp1Fni4/EvGn1NnaKQpbqU0N31xJGHbI3PCAEXqKGRip1gpvFObhDTNP9OOIO0mEWupZHY 7bPwmtew9VyFF5fawMGxR497wt4KrgI57Fop0YaODZA2JkTxHRtY1+buc6GywUI4e97gLoq65l6D ifE44ybwDhGGDpbI0Vj6DwrWgHgiChRqIMwagYqWDVgsawg78EHBoUNFHOHbOSkb+rYjPZ3QWkpB ccE6gdbUGu4G44UFWoc8ZFoEzJMhCWJd+Ax28NeXTdBa96lgDVin0LTPfZD9ZA/698WeBjCJEgSy vyhrtALJL4rlkWxoKztrPVdFcXwUbG/tpdGZAzKNp6rr7U21HXW2DdEY39JkVC25xFL+tILLPFB+ RdeU/ywkOymxfH51yOKMfqacXtZlHT7n/q++MQZyJV56X0wWSCSVmDYU8sT1EtDhZuiKQ3aM5yHl 68To7PBGwwWN4+YhpvtRITXRemgYCbveZhmQaGjxIQ/a5RcdviY26x+7he+ss1uBf+JjQA39MeaA 4AOdYOaKrZSoYj+eR5MmSP55ls+/ECt108sN41xPRcneSJW6+7F6X9y/oSBmrNo7pR3Wmc26A0Y8 DupvDcKIt8sQ77e7GOKyXmeqqzri4o1wwbWtguIbrOSW3Wi490yAE+hVz0OeV213HCMLY/SYT7hl dbW2Iayq9bgJBPytK0BBSoT9jiFYGIGRzxiyti42QmjguRuTZwW37fnHQ09lBXbPeod1wExj5TC/ 4Kk0RZUnIkNOzkQFgNR0MMdzm55568s1HRFQYoCck+NgD6YLjP44yDr9EArYio1H/JmEn6lSMQb6 QkJmHww0fOxB8QBA2s1Z8h3/WbEEMogoKgGtLq8MQIsz96Cgv8aeQP8trMA/ioyXt9taOKseW+9/ tBWqPSUojpuoUXutI7tgCH/z1qZHuMxg7NCtmI70HTP4lpTXSIxfPD+pwU3mV9mrxQoxMefB/hCf M2Kq+POzvl2LGlgFy7SkkX6/oKr1jPbhoH9RIIuBLJzo5H3vvSsAq13jroX2+QocMwStefKNzqnu rkX85U/afyFUtdpFGRN+/xwGTNnwgiDYUHMBIkw5kOZNebs/sJ5rkX7CjRAvxE3GVJIXkCpV3QC6 EeLI6GzTNbk2htImhv7rd6gQp7cruedTmpUKd5IxSe3dTGZ4jop1jZj5HctR213S5XEo3msNp0UX 21+GWyJjL68K0F0sZxQx7F2jp7gAAit8BQESxxswZgP3N/Db6+bLGiDOL7KtwZ7eiPulbrwws9Xu BeB3hbdnXtc7pzlTUf4Yqmr35YOFe1K+GjwCFLpYw8JIujzE2t3E1CgLDrocTTvm+Pv26omie9rv RM8hSKHFsEtFvBHDGvkZqERRbVdh5YYEIA3hmSrzNE5Eb6heYd4jOgxv/q+ss85inRZ3BPcwS2sQ dRkBVg+nTnM9r+Vb1YNGyvRDPfSVMWcvLaiwyy0h7ZVD8eD3SuylVz3m9Jt0rMK0LMoIXzyWILFw 5YutqgZygqr1SWvEMjFabW/3xTrBPc6YpUzslQcUG2MthYeXKLsdUkpglODUhk0tUZR46ATZTy1P 7YREoLzmEYMBM6saAgFPXl/MNQFhOdAscrdTRZq78WnIdTLpQa3b/ykLvFyYXbfGjTIFnZAc/huO YEoNV4aJlKkUJb5ZQbzx8Czi30Bg0Qb03A9ULjVZaGaSJ0a2V89itb3jekPziUA3J3Cvbk3dkgZd 9kcXPJfAGlgwFCakh2Vw8tZBKQX2tOEA9oKfBT9BDVr1OtZu1bPhCyaUgOv+qkaVDu8MRv2DYwD+ kL3E2UypkZBI+9pnKMo2Rv+JUxWpR5P4xa3rw/1lQoz3ICxGxSds/Mj/h/iQWQHfW4M+LieVcT4r mO7YR6HgHnBKaFiQabU8BtRz5vU+ULyYye2ERaHODVnVD5mZwTM1lTRb1c3zH0y0c1IbTZ/vWjYA pYX9z1opi9ysWyLdE8yHc9nlaUmCLXGro0Bw8ArGK8UER+oy3HIApGJazRQuulx3YQ5Z1ug628Ew LlPSg0Jr2tWnl70My7TWEP/ENxaFUyzhtY5RIZZ2TFujWc2l+s5U36V0tKSE0lACaOgA7qt4fAg4 3fOVM4ELDKpAJzaK6guDwgbV1BkoK5vIfxUDgC6Reqjbq+RLs7NmbmTVaAeaDbdWVBr/JlebZe/h yO9Rvk7FB5nubdMyrdOT65aOHkfFoJIOUBIslVjajQZIlVPmmX+8ieXOEhmagXB/lnKlkFA5vmDw MdcStdHCuBaApdAwWAT4GPcYfNitIE1SKs26ozJjvE382Nj8CIDrCOhcTAYc8sm2YobTdM6lg82o qOrDLMOafh6DRF8v7AOUBi9odt7LaoHRg/Hfu75f5pF+/OJt1Yg3gXx/UzGc7WcnxlPBndinSUej ntHsR+Boxr9ffqDf2ISwyf26hRelQEdHbInZFJH3/rBuP74dUn0dJo+SHXzezkIo0tT5E3tU2BzL Bi10NnMHLFydOmuGE9VgqZs5UO9RmjCaORo9dMwF4YrSXM26e4oRxy07UwDxBhoLpqIUEDzVbfsO BFoT6aVcbRjVnPYKYf3U64vhLrmQbvfzKvDGCwe6KLkLcdEsnQ+HBHDafW+VQbFqzGiQWzz9B7+B bZbVZ1fJyzNmO2q5+d5uF6N5GvgSQRXhe2cgVEdXumMQlFNrxlYI7rEc20BYuJcO+6eIo+tDYZe0 6Zsfs54ZuveUm2vvIIIDz29qQ6GUDSCL+icDg+mIK33VOkStjF4TrhUZ6uyj7vnWgaIYDtwKq8z1 TCDL+P0qnlhrnJtsl6NpCY2EkLOkJGExgHsR1U7LO9JJtoOqcWrO2icwVM9SMTmRmVFs8ak49gsc Zcb0j+KJ+ShwECKZSiGr8v+mx571Ny+gkm2LqJi10UJ5+sV+U+ozZfgCdRtwXEK3kmaOg11PeehG ZRCSRBSe0nrVsrlUWUATZjxv22RU1+VAfmlcdH+djSGHiqXrYmz7MKBzPAK1kqnVpFdNRHJ1RODF q5a5IyiBus7zfyEl0NuG73Si/IdPnkcnaXY6nmA5m9+7lqEfUTfttQhQ87tmX7hSEKsKB3VdKqrk Qj/k6ASxz0fHBuXHTFlP0qMPumeLflYqL5TQQUPdyNt33HAe5tOu4ci5rK4xDZ8dr1bdjAXWsSOD 7k2mQ1yvT0gMcz6K5GX4nH6MAR5jtBo77DefVldknNMmuS5F+roO8iE0GGgmOP+dkP6uAI2dQAkp rPlb2Rq2Ha8lEQ4EHrX1Sqh6g89idxvgfDMCPsG5L/DuhQZ66HCtVS6DOGI5OtHT6cTE73yQkP2m Uo0xH2i1oRl5pLWE8ydjtwwuxNnVeXL9nnAuSmiiqOrXzBWO0+b9GCA5tlLe4aAWtmgiRQK5co6R rNtk70PNm2yDasBNgXWW4cMkDeCjU030ywViNTm3Qak4FXLeeB9WTHOEPCeMjWngVPc3f6qizQiE lVfLIy+3Zh2+Bf5x1hWHeFlA6Z6va1gISETrfIP6L56xH3lClkejoFcOdZiBBWKhlvmtNmos9872 fo223AWKIqvowEjygRVWahpyCts8yQKUv3K9gHlVG674s+6N9iyN/z32xFTgMfTqePknYxf8bWMk 4Ul0wOcegIp4nKR+7XOhsSlcjOIQ2vPIp9vx2UIVTjRf8QFVSAmg2hrGr/iUVQKDhsi2J5b6Pfnj 4/fxd/f12oMzzFeQAdPiCzXg7F1tmKgyGpYcDm7bIUT4/16k6Au57dSDmUxvFko4LBx+X5+g+3zF R+IXvn13ZvBMCQsWTdvTQE2XuCwUH4l4F3A1nDTMM5lYDBxaLaLAKa9wCgYz7PvvmW4OEIFGqxAI JL8Dd9p9SfN89juSwfj2KH876i2y9n3m3eU19QW5pDymPlfQYeAn+2vf/kGU/8DP2D0bNDPbZj4i q2u1ZyfTIUzvOCl92/1AqcXgGVgnBTgo2bmrHL5nRas/W3gYurvHXyeKDfLPwISUnzqjlZn7rAlM eb/qXRTGn3lr3s6CwUpR+eGQjhVw2YLYfEn1ePST39JY4z/2IN4vCAyPNpSCee3ZHv/3QaaaSRM6 VFrmxfq0YlfHvxMR9KHpgFLKpk7ObmE0glh0Nnmnw7qU5aAOL9nfjyRKgyoVagS99DxGaKDRyhCY tTVRIfwlllW5sHVHMbwGrbvX0z2/eAfTHYpcS8rpAs3pWHQjW7qrKPn/l0F1AczSGIqXcIzwGp3E n2+a7a3CPiz+vifbKZ0g3w/u2+Y4ZBLUoA1+lMG3G0SnNfg3PhdCyMypwfh1F13Z9bve0FrdL+Dy h6Z28dLpUUr8m1f1VM/DYfreLUp4QMPOl0vaJwZHfdFHp2laDWbQRX6Hkaz8y8Iti6xzNz3tYVwk IJY2StAYudGo5gqUgrzMHrKQYLzCEFpjzQDo53+7Nwap7njZVWeGEBFSLhCu75N6Hf/FUDk6ugjs UOJ1zmPJXPFY3Ifn31369VrPsF5Wbl36p3rSbpGxsoko7QIIS9xWpHsyB6eIdnoxdi9U0vMUZqA4 3RZqWYclUKglV5BnB+6DsdZxQTFpMrYU2JTZ0v6Ub6fCuSTYfZZllIhge1IcUNCDxGmJ0YiOkyHw xKM+tJ5qButPdSBJ8VTGErFSIi+oOTQNerBKyLGm3MGjv4g6x7Ya5uCjjzaw1HJc7b3xtBgoVQzz ebNEVzusA80kUg2qfK++dYHfsF/RvHsELLJ7kKJYiU7kRvFqEe6g08pcZ1MXVBuxfdrQ6JshvYNP 7bTZAP4CvVZbkJDsW19a4di0LPgSOnj+ZafS00jY1eL3xikxsSsvz4PEgVW/qRwKYkKeHNc7QCPH Ve3yJYWkFiRnd1HYzz2l33acURuoBLcp3iZg000dSwB3lz5lrjEv3JOoa6If7xvTbsZK7MLR8VeB z5A6tWC7oVYjfJMVLoGSFhSUcc2lmV3SqEXem0P5zHHMU66DryQeQKKLhS03mEGbkIQaQ5XRqPw6 Rjmdvb172IcAo6ysmeHHFRJQL+vg+HblIuWYnW/p7hr8ViyujXo42UGRcVz8GdL6phZ6Zx5iKVQp vHTw8oPzVYGS5tb+yKwjPGf44b/SBzOQqou6uAZTdIKXDD3mOTf1X/scZ7NWVda+Kj63dzGRhJ4S WvRD38y2GZGnn2Y2mV8Nh6LdeqARieGMdnlciIIubO+vdICnS35Gw30MPzg4rqrJbTJrLu4/eBrE BHSoKvHE4mLy+yeyhblMEF4vF1TGd7agyaheWAF9gzzkdlPj38FnoG0g0uMANN1a+jI9f3xRTe1m khFlR1ubt/P3kfokiAO8qNvhAwfI5jh6gKf906P0aWKw3V0pH4lUzgflnhX4WiDhZoYFzFgioe4n QonnYjsxcNmTMxMO/D3LTMXyxpttqAQpgEkJo+GGyyHTUBNikEpGyGAhXZkr/ahReYtA/amBXRJQ SOjGXH+q/w7PqNlVf5f6xyKq+HwjbPeWfpohP4WHQzFQbDTz5evr8U15TKNfHtAgO2AR4wUJTzk3 fjpRMd1k8Oy+Fi1loEsy/DDZS2aUH+Jmm/fasYiqcxcKGjMj+VQzeM/41cOjgzuKBG/LRp4BBN9x cwyHLkaqcJ8+wdBBy1hJyu63gIGuRphJz3JrzvU7Ls+aoMXXDhU9Ua4c+q3I4C9oByF/EM2C8IlQ wFJE9AveDFnJgESZO5+60MD3v+geH3DJr6DiN1t38CwOr+WYoMs/9n9fDp8bHnsdb6tdvSpNzyIM 0cvpIIgKpeguoVbih5IYtjBcumC1g0Gcl0av6mhZOc7v12QWFLzP5wMmRrRv7iNTdmr4LL79NSe5 WfPiUrrJSoMGgkN2qIzK5F2qKjnunlCVwgHVkz2jzrVV+nr/d9AZVlzEHnO9fqbxoDGz6Tf2/yhP EoNLzaVN6W8TJiet8YIzrr81BIVdkgN5bydq+w/owrWe7S7EksDfpP2vobw8JII9J7ceW0+aM2Xv ob/7lHYfTbEt1sJ83kG9oMCTBLyJMNn/1AvXBdqPG7IIOvfHzlbhDelmmPMpZJw1cXGqEbDJY48+ NuRU9e01niceAfScsbJpVU5oa5YoyR1i/f2ZEtmaSlVEXuxYWl+Wu6WFZR8LN22NaKkxcaJaNuIk rTBaVZaA4NUEe8cC2egfz99dhMs8NM+dyaYSDvvTcy40LtiV5q5ZzZjz3M7jrywxk2LRvbcDfQ7z nw7Bo/Yb4+gyhlGXc1AZUuxENcm5XDv2CIAgw2YWK0iCc8bKMpPerTQ4VDdskSmFamYudcjs5aON F+4aR1YwM7GRbzxaUkn1gRQsNQiFcG5K57syWetUwo/hdcXhgzwLZq6qO2yQyf/OrQDpIvqRuEQ8 HvuX1glKQEK1l1LnLmza+CgCKmkNTgJaP7b1E+JsB2xgzpKqUHpw4565MFva57mb/EuRnYEXISqO tA8wn2o9zwBFNLu0KdKblHBsPX8MR4ZFDd09GmRUWUa6apIXAW863ixkyGIYn/ciEN26taCGz1pm dQTjA+i+J1Hv/7Rv3jf3glpXROiP7AFeo5xeGNYphOUkgPtFfp0dHtTPzu9+d6NJSW25t/iTeHwX WSly0uttJ5DQcmGZvqf1Rd82gPWGRwljYAyaQTR/UqGnSDjVu16y5/akL//GIxgENhg6Jn2kRpHB e1B4+xyohvs8JWFIHPrmFf587pxJfUJbYZjH3A1etEbF2SAyZH21D0MALl0NAAix2UGOuLzhtZdq KKD00Szj8tUri6ZaunQoG97gDW+9rvB/U7ps9oe6Y70xNLNu2eD2Wu6rHQslx0kig9mDz5hNQnMv nXzxmzcRVbxltnBRYwPojaGEcYCg0E4szD8OmkP87KCwfJfO3cmpGe/XIftnQvT/m9m8d5423+9i efsR16oMt1+14eZ3V2UjYfz+qMmENMk/MLzCP8OW+oFT3jWZ0yWjjDMMe0h6k/PXGTnQoDoKRvyl 3r4QJUAui36GzagjRpHPtM7kBjp3wQeQ4rvDquDF0YF8pVErvdGnm3JnZ7TF4cmNeiRcmlAhxjbi xfNzM1hvHne+PMeS+QGcGec0YIgWEhhBoSyG5mzKvrF9ZUX0H86NWvzfUJhmwjOEmtgkLn35ehg7 pZiVrlO08433Xd4p13ZiiaHsC2iRT6EGKCvWDWkx0Ppzt8SIkOauieCcCtLMN3dhKiZPKVYfjLgu iM1n3JWhIaRk2dB04bj4R7LzPkFcFntK7mgA6ZJGGfwuPR4IBknkr4/j3IK2khIVaU3tLQkbDoEw BGqY/eQOyRt/cm63JLZxcs76f8Hs6qeoHmHyWZbKqGg3an/qMLXjrGSk7S1+zkANKsfMI5IUEMFt aEzAszxQu+Wp/9C7lIoQKlOKhJf3zS5EvAOzguDaDJEypZpu1s/VArLVSiA8riHFalVhqnKNSTEc L6S21EhdE91twRFMegpruCeyK/l8MpuoHM8ihIPZGSQsfEiL3SWfNiubFqQPwAg7og+bcRUKsZc8 QX49DForIRspsMg24qTZmim1nfbtI++DlXy3I1X1NAGdbEcF1SAwHq+qHsylmSpsLIFnihlKDFsm Vx+2gtRf74vw8n+oDKLgaKOr3daAZm5pZNKtNRCLQnTrlBGlhMCS1c0XIxcYG32+8coksbmkjxtn 8CC/AT/R1e/+WjBb3Z1tyNQ6CIlKewvEsYVTkwMwz7eVQvrYoAqAQyl/8gDKIL/qsAp8OlS5tMj2 76d/A/49naTCccURv+6Ksv/O66CSkkQXFqencipGRFF7eYZgCaCV0Z8vEydsgcr2vOmJf0Lr8wON 1joqRGUWdqRZIJjnPP8BiE8eP95NbEyhLxF01HCk7hpPjxNjU2VJjL5VMwy+iReN+Bh3JX7lQcx+ +sLT3p7tibdNO1GFbUcWV33/Z8+GIeBeEv+wrQAl+5OYP7fmWRbS6xiAiEsVAn4zVt6urlB2vIP8 kbcEyxztv5+cDaBWDs/ip59fhIVaqEPHI7WbwmevWoI4N3Sh9FNUs3LCtHxY/98R3WLhgQQBiVmS 6C72YQ7gUXI/dxmtYqdVA1VVVPFibqQKwp0dzux0x1mL0qzpHxHAt3RqT1/zrRGaI0CYBS5S+gxn 1nz0DPKOO93BUKC8xIUOVVgjpIB73EbufdBK8F6PsFo/euZJydwn5cIu8v3v/bn9Pot/pqsfcA0g b5H72kTzynMnVK+1xw0n82SJt+S9Rw8UcEzVj9wWFlFiNTs1tpjDtryohF5i/lH0XzvmdJFrtwRf umB1YJtVz3lFI9UREfU3yt3Ri019m+f6tAA92djo8k8Xtdu+f687qduZUNnzDNaHvk90R6KWICVU 3NK26FQRB8LVPFkxSrWzDUpwNnaDjhfFfBbFhQnO8Ovlmcb8MYzNheMIPi6lMkhFRVKeKyqhtBGN GnE5z2m6sbt7NelW+2vfrJRspll5KeyKzKafGGcPg5xrmBVgdv08XQWKCvf6zTYzRRCBseHHvMmA TV7RJYcW3vjW5MIE4GUjj9Ge2zldsJLW5gCD0A4ZkKZN1W9jsi5yPU5OxXbImta5z5AG6IHHwdG8 a7PsMjEZx1LjTfUxzgLgb3DJTE2Sfc/3LPTqgIC6w02G+oYE4C56zS0K7FwUwhvyInYou4gHffd/ 1weg0dM4pbEBcJP+hkCl8VnU8894b1YBegxxfRhDE7DNd8Lyj7criEz6AlUlUGf7EiVEFt5bc0SF km5jHX9nGvXxC0zlCftNaieWMpsmc4WnV2IIAFCCL5NjIFfiRoX/2E7Z5Nzbq1NXcBy7RR0bZ+3W mPAxFdYgtJ4/I4fspH1a5wsBDLyDwvb3e2jCPl69NgqaJ2+FQ1fDd7Kw0BnRo0tpqWBUq85DwJlU a571QT8nKLnYoW8DDzsGvgBHkaguwqumwQFyTWXWkow/tnEA4c//3fOc8uSUhk3t+3zixIy8xZPc g9RWpk6LhVOcnIRV/4d/jigba5nQry3595pRHWt1dVCL9EjQPgjDuqmRD+wNHoHR31qNhVmaK4Kn j+QIHAl+sAjZISRJBM56G9oxm5Mi3HT7fP4FnJjvUpsfRLIRp7JudvERomzV7Oka9L5E5XgdeoH7 qFE6aGQoyO0GGFHzd0rlIrntxROcY+ICthYvG1hYkfvyjKcpHGhYS5R1GoQ24cHRn/rOonQOsu5q vtXcDgYQw/DAnSXRzgwDKvhVlFL5Uge9FCEZ8Ur6SJvXcVJb4mvq4yaY+ncZZeiCYIN3RfVZ1/Am lUlorqM19wGlE0awycv8aV6v+Nqs5hPHw9eBHWQHQa//Flwrmog742Iz6VWtOEhpKjjTOIkour/g 5wj9qbjge7+NESwvwE5rOjs2gDufi4mSna1Ms+gAtkxp6fgtx3uPICfiNQ0cjq2r6sXjS500uA4M 1W/NvavKyZu6YaFgbF4eoOXj5KyEmRo5qRurlOc1H9Y2qr1vVU7gAqZCQleOqfxIXOX4PoHEkjQi edJn0Dhhy4j/QmiC0Wj1My/duL9S3dNhvAsKv9u5V87b/BkYF5+OVVJKy3RZoUQy+/c1ApVkXz6G ilpsqnrD5gWA46QfIgH3eUE4MF6NRZ39472rYD3RyhAlVvbpmE50tyW7LmE1wLE/XlSr5xZGVHHR tJIBR2Wp+tE4DnGeFbf4hCbcqJEqbhKYsNESnhsck3XNQmgJkcpn1Mg5UoAnoQjEXwIeMi2Vf4Oh lprl/Fe9zhgu0tiaOR+GqDdfk4T6jqNjKjkdU8o3ZFUDV4ybg2FE2rFXoRSclhfhNWlEbDA2MDPO iDEKUbzo6GLQ5MJZK6azfbb0IHErIg1iuxOVdcApoxwyT2bar7h7WHLlq2qacokDd/cBmpFamo+C tSgoSciIocsiadycx2mPD3dXr+Dr7PR68JEfdUVyCXO9qWU5dyBcGE/Vd60vZ4bbHWxNUwlhdp0g Zo2PRu92i+oe/rfc/977uktKuo8duADVmS9J0DpticUt8eZbHt3Q9bMeE/mG0gFIKyIuKzWREt8s yJBXg54NDXjdpMAKO1ttk7aUqnWFffGArv4fD59qCHrRTHJCYxyPSn1orEgeIq61UkYJaWN4pds2 Afsn7dXo+VOecrKdohDF8vJYGv6S4HlErcdbu1TZP6yOqQ80gWTmSQA9GyVzQqcspxN5H0HxnbHd jyEQyV35o11iWW+lyQv5SFyXZm3q9jBoTRmK5m4o5f9Vu3cTy+FMv5iG+kLKQkHJgS7w0CRwqU57 N9OfYJc8saH2Hi5GvylZp0K21JEYCzcUrAgQBxV48e+KRsTzqPpGAKW1aNdux0CGffKiNe6G0wdr KGfMDYlTBCfEOoG2FWcQtsudCSMJG5vQOfhj1JZ0EJ+T2x0OOCJYJBwxyapMbuUuivqGJNwopf4b Qtj6nOA2R6gE1eeCrZx53SKezF7nboXyfs0PlwFkcwnk7C3w0ZmeT8WcTYFIZJ4/s7nCORGVXwpW tK2sVtOyBANFrRPq0wH38Qbm+GUtIGqbBYpmvQ0KWkq91BFk6sogjLy8CKUeqIkwx37NdcNSgLVp avFiesoFTfqKVSObGHJ8dJIq/WX+p357H+eni9SncUZ6BayGwROMlGrlIFYh0Ny6Ubq8Zunu5dFC ojjdSmb96hinXpaDviZwkq0U/RAxSHiDSKo7lBTiROvrsR0TZPmX4EEmEknvuNbPaE7VW+7K64R2 NU6hhSutDh0v2Fc6xI5B/3ydkNwXjc9gQDRt9ki44m3ySKg/0ywTg9Lj4E9Yt9kW3mclAd2SCx46 v2GvDztycQlW27TJJZDseGUGlfYwFVkil9RDeZjNKJ8Qwtcs0KWgd4St6y9lOpPIroH4gC/vI3gq /oZOGn2VwNWkWFaoT8ulQ9zZ5pzF5OhFco1aXuAMmzP0ItHxhLr2jKW1XH4YbBI6SNLiiVdpcDZu PpbPphXhm9Oi1gJPlDEnmAcGWQUmK9KHuD2HftyMokJUrV9cyUD6oI6rLMhPfkiYvbFn+kk2nw5e MzzkMUy5Ml/wKVo5xSvzk+9OGVDsPt0wq8j2g7PLVLWWGEI6mh6jB+o0+FQw3ePvLPWFUnD/BAET dJBgDS8qt/yGPoZbC9yYuYz4gEqoWi7V42ZtRXsXggIlrEIZBVtjj2GWrqpqmDlBgH202Nq/fz2P 9UQeGVmw80GSy9k3CFQgsrxU5djcs2sgpFBu06gJtAGvFGdYRRxUs7l2shlg9t3FcYC6wYcz7CS7 mp+wUM8ic0xwYqNLAY8lYllYBE9iHghDAp/tMNFCM3Kq2fyxsJQkJEq7uQZQ5Yj/9O78NtICkHoS nNznKX9hOP5K9C4q8P8rsgCnHZ/JthcgG1XsOYnc7G3nUaXsUMVnnCcznRtDUnSoxXauUAlPptCv jG0VqwflTcJ453+/4m4MczMNjGUedtDVXH8ITUjqzb2RO/VruxfHYtFZjdRP6BFC/c2WhVVIo8/7 +8NBr6KWtzhUPISnJXb0DlVM2wzjnsRWWfyTevlui0qecEpEyrBTu8RcCgoCm69Ij8vqHWO7og0S /l7yG5bGvU2HHXTXV7uqBg8/hIOuihtEBT+WjBrDrd42JmWmNWvRnpOt/wJLSI/OIZc3bt4GGyGC NnwsqoRCHycn8fiEd0W7wT9QdsKQWahd37KcAlrhZAuTc0q9jhxviHIgWqMyVDBDEeM3iZqPqZQo VpDliqNgrwpSG8m/Z18k2brtl+qbk1MN/7dbB+WOhSkqIT4a5fPogewhqwF6wGUmlTJdRXbim2rS mHOhqpOICplaNSx0wLSCZbtVPiCz08NIYFAa2BetFglLM7OovYL4B9hJ0XOBhPpfXAzI7kMz3zyw t1Pfz6LXWrdDeZrq/zs+hoRmyLIWQiPdNmmNtu++t84IAFILIlo34CPbrcHHHMLTcjGZe8fl+xBb 1aTnr3ZJlhRowN5G7k3tW8rrzKoKH/SjQNNgkzc41OyfoLeskidKcQ6GhYF2EByX6A5ZbyOJgviu lK3AOlfSGyJQZxhjmRPXJLDLSQKfESuu62BFtklnTeAyYWMzjOx3zrN9Pp11QL6S6sv69lOzggaY 2BRd9dzUj3jJv8l+/qa3Hk9dRmKYDRKCggoqpbj0rOEq6pN2LED1EMSw3KuQIWrUTD9QyZ9Bk3aW 8VoTLwQK17HpvmEFWELTr5R5Dbdp3emI53a3D0DAZfyB5g0TvJBUczZRlqW8HjYKMoXovog6ZUu9 0LmCdUc/sVCBn2fvudtxAEdsdwP8uwx+VkL9y2J93F4aNxa4SD61HF0SmQoCWqIcjGRfkpaveVkf WkCokVMn4YuMPKNMWzbyT5Ks59hzG2zTfC3uMltgGd/ztOXUTOKx6m6WHTjaK093/BR4fSbeYSXX npKsEzT84Bd8073hF8dRKixgpBq/4nnYeAF5kCHRulDD8uLSbm5YwKA/Hho0i/OyiEUMWLMgUymb zPAADiaBg5AlWIbIUiQCyQMQPydVp85fxpJktTeZoNZkaOVB6U7qnidEe5M03W2CsX+uAlCw0V5D Cj4QKxzkKkOUS6L564ojax108LzkA8kk/ldJVcZQ6pX98pyaYp81jt41Y0RmYwSnT03BO6yQRoem gnTIvH8W6Oc6zNhpWML6HnUlipPO1G3BavwUx4mZ246s/5GYObyAaCJuqCTk0jeChK+cP41qW0mb 6lyN5M7FgOUZJVwdLS7k9AiPZGnyk9HZbqgJhuWQUVDzhW0pZdjRKd267v0GyJNmpoqe/BYrO8Qm 7+a7Fr3b4kKoBzPU7LTK40+HXPQLzorzYEZCN25eCr+hbldxsbAOf+CArUej9mexx/IYL7oI8uLl iAoyTzSziCtemBcWmBxJCXA1PIdCVvVS4b5nyyojYj6Y9RHL+EJqU+uhln2e8meGnFLo8PmkItEU VioNXOI2o68s//Fp63TzgcXiFHIXDAQyBZqoEAIvjgT9sKl8HP8ojfSMPz2te9+hhpAnQ9oaLctb mXcCZIgwff9w9g2AW3r3b9d4ReP2AESv9sy+/o/5pHEMsF1P8UCxR2y2CVd42Ytr76/AMN4RPhw5 JSx/62ZAo0URqjoxOKEJ+eVYHKQSJ/f8HQ7Dj7k0QlstSTFarzZea95y8/A7YQ8NiUxjlpSf6cQp yLIGImkfrj2bFTNna8tGhS4b3n+9scKOv5tRMYijxq8cQVoflXmRSu0RZtlFxuR5loajHckIJKG4 HPi7oGha1RjlOOGAFEGVvw8nmqMOlqE46ElR4G1VGrDjd+323JcP6EnWSx6y0tXeiO7gMVi9YFCW BMv9XvDkwGMqhT3BE1rpuK37ECKJOnnAKkuBFM1p10Z5JFXPt3cJhQpOqeQ+BG3V2jKqWiX1/MdO EIOl0memcIPWJ5gqcnYf2XmonI9zrcgwzynfjwKoEbi+vMGq6U8DZyDHfhXL02/FuQM1B2sFODQc rwstT5+eyHt23o7ef/Bfk/g7M9xTLJNFDfO0wLbXXXqDD4kGz1WEUPyEyyoPvDDdeGpuEHkR1p7e VeB1VuxFisY14ObIPCxTEG5EUW20c3DUaFdI1Tr9CnsO3RElkbY1wHtzh9UhyFF2qSt37EXkcXUD 4w+RtMjNmzRR0q1JwzNwTD3c6ED9FDCOjVl8Nqx3RK+cT4M4HYhgeKJjLk+LFQDFkv6DAQB35pTp PNpG0p6+Qkj/uq5AhuuLCVW2/kohVs41BE+XNaK+/dAqnG6eE1MTrG016xc/jjJXMw3yfyA86/bP XkQMY4VuJI4oUwaJvNzSso3llWiBrvhZ8A3+Oop5lg+OnjJ1QBVW8cGHxXMUifcGVRknkt9Zf6Dd kb1i0SL519svUlwiZmepOgqkknZIYEzjXP6B1rVoWq43vRQlMs43lA2VUrnT9GJQNKPG505327BB pJ7oFGbvUioOaHkByHhcgFdiAd8hmzplSg6BNlhR1AP7tNyFyJMERfcTyGzS/5E1ULjADJDofgX2 kF4byi9iX8av2EtcmaSCKuI/b9QtbWSLdh0QzZdhNnOR8QuY3y7fqeQHu+3CF1Y1p7iLeewJb15S iiB+vCr1h2XvPD3Sy7/6nz4PsUFXzAbu/MUvzchmM+Qzud1cGk8CYTetYo0+3J21YAO2mw5vgSqH Tsyu1wb48Ffbh2BNyNvB5dMOF/PxnuwWrX5K8WqR0T7yJq6fGDJcueg9n7NNZywbb3dmxC3MzGJ6 +Ut8ggfYRfkrH9df4t2YrrSHEXSROzvwXw3Gxl3KcIQV0FK2jU76WzUvgk3vtz3+GqOaTjK6D6lS SC3G4bA+do/5HQDfK1vgTfVLC5LHiFxaQyBChZPvO2LeTksGmb5X/xr5XlC/QkfZgf0wpE1WdIao 7mivGNj1yVO1r+AH1hjclWGN9kv0gzQOaKSbJAZy83GG57yS3xwPAMw149Tnb11yyUhr+mUC/MeL 9wpksTHTkz+8MeGJDsLNq1o7LiD5KU3aR1NdGvSYLFkF2c0o9m1kPyvXG2Inc+/FquU27d8ydPLp prA4r9Clnvr5afYFj6MeDmMJiLeUzqy7M6qWN/SGfMrujlRd7BIYJw0C+MxIUdc2jONWL4p2/fdG dB0s4PmItcbu401DHjMAmXZW6CTk+cz8E5965RXcI41/Ek3ad6W98J26K1QeRakYhGlpQlKCIm0M e3BfZ0vpCClaOBRuNv+FPxIiWJ+B5dJReMqGTTwj/nGqnu4lXsZeJs9uiWZDOSF2mJgFVxZqfVRh pHVhGEAtSuAnqcLGdBOLTsDmtbnYnS9lerhFchQMgw+Jm9w5nWSp3tZLjvgC3Lg6y8f3aKCo6k5D m400yaQgeHgtF/0RHtLREBromd1xbvzlESWi8F0GET+CGr+aGbqBhBkUK4i4+r0JzhD8od6Uq9ar wLQWDofm8Z0ZC+vGcV8/zIifm/L68E2gpIGs1DCh6lBt4tI0hdN+6TUD++pwV7zoDvBg6yaQCDC7 i0ox6mjPC9VvM91h5u0tBw7soBxUDspboPLP2tfMoAPWT7WoAVlKSUj9bke/h7J+M5dl4+pPs+AJ QjD5PBE2wlOvdUROGNHDOz6/K7G1wyfWfk5O+TsLWII4P9Eb++zIRfv0+fiEr6lFa0R2CBEmEp8e QYGegm48jD7mzZ77OJt2sZHvnUGKGTcGBWKkc/1fsImhAv4EU3gR28He/jjT7ARN6CbaJ67zbzTH cmP0Hv6JAC3wf1EVXh6CJbasoQPkn7iXApyHJMvKaRHB3/B7IzLs2oSnlYlev01mk0FSqkpRZRrB WEDlA+qLONnrAyoX7bdbk2ZdJyBG49t8Hiio71URA/+MxEOwPjABxXQdTLDkhAEm9ohJw/7QVrkE jKWQhBRvr996dbwwkP4ym6zy17FAApjXuVLL9/GUIqCA4QtTIg4F/HH3Un0mKFmF0eU2ZMQ/RYBf rn+pVFceghRSmB8m7Ncp5nbL3CCOmlHKD3gp68nvY0JHzotFZTsERyukVEWbCravUt9bIb3Jt0XW ul10oojAZk7lO+rQpeo4XfBbDem50C5ke8I5IJN/3AEkYcaqbvayRZzx16WIOR1uNukF8hO+m1AC jjWOdqyjYD4YGtAo9+BMhgeVkj/TWPLr6uhDYzPVoUWbuRLInml9YOutKmEN40DR9US68HDVyzWY BMJunPVhbGgeDX3td/53tl7IekPs7bgspZDZF7irJWNWM6EMMeq8TjONohfIIB13RKSQ2bLWQgfU ypCs26R8Ltg/dpVTgpvW35yIBqQ5+hyXmens1e6+3jBc32lpSxFumOwMjsvWDVAJdOfCL5NUyI52 Y7bJAUhA0tHgfsfqZ3TA+OAK+I6vJBg8smChfVTVv/o08ZMoC0QSPTcJL3bBSJayHNkC2IjSC1Zg C0Juol1BtPgIuBr7dYTlARhMHva3N/qrtbcGUCg14ZAtoePqBzfT5ssp4tYtTf3VBtVMhpla067J 47h6bKktjlxyOJwIm9JxxtQhax5gQq/loZjmA9gw3/VSan8w5nV/MzmIIzlDYFIj6wE3tcriE1wW i53QvCx/UW0UCYo/NQXse921fgu0qLeSmz5zIJ1aX5n7JMXGQ+6j1L3yJmc9arIMUS6+6G2Sezps FAYCY9z1+Omo4tOM81W3VGXoaQK4HyDyl6qcmDcNfEanzxAZd+ejgJNU+cpP1Z6Ob+j3QnOGeuYh qCL7IWVNbTXlBqKyitjUtUZI12VZK8ZnAS1eB2zi0q8Ig/rSGpj+rTZz3enaiMLAbODBIXp3uUSo YcY9A3OFLNmkYzQ83hL129gHRMZ7sJHrO6YXscEHVckPw6SudOva8k4wx/hlmlsSECt5HnYqVitK ED3RbOKwtTolCYHgOKqDSDopOvkjVdLaTl+ckJlU17fcyN7RdZQpMincf5TE1X/aSGQG0EMR6dMG L0Mo6yudtct2cZ6sshqnlXd96DprgqJj1gB7mCE+wQgrbfncXxlsa5gkmPlFvrp31n76Cc5eLEX1 5u1/LDUxPceSp0uTKrE7AGDxrsLx4x6Wgebmg3Rvwp35Nr+m7r1d+MoW8L85OZpJQsBu97csGsyP OJd5eknkUbx1w+fW2nl/EyDAlqDSoIOXm5lupjvbncj9UoH+RFlg2WxHnaJY5pa26oMwRwrpNWn1 XqRgZQ2lxrdyhIJhezXOPD1VONs/t/r0hEUjHXxFnKrkiRwDv+ti8v73YiL74joWR/CVQk3Ok3v5 tiFCCZhAFMZjk1cCF3Pp57RmvHUvccr+0142eecEC4pS47vxTlyDw65o7ydjL/utQ4Q1Yej/m17P qfcp8u4euQCX/atNiCphgAo6143XokkBshS5me/1LohOF9ajo4Lr+lgdgpgULkbEFBG9zJNscZG6 dGjyE4xwaWeJA7GwvwBTpDdkPzth7/gUYc7Cn/sJ6hUAsmMae2a1bwW+8J3vSZHbYdodNAUT/GT+ /bvIAxnGcAIe0NgGMpr9LDhfdYzxkGeNp+ADV8p3TlM28/FEFYY3pipT+VbHJDjA+3ENHSOqXSVs MDmRLJHYbMeOne8sADvPlQhCIjqh0KtQRBWX7JWt+hSPEc6u5lU09ZUBoNHwlWVvU0HbVkgBEvHk lyHq6XtHbUhlgBKbcuRFHALoQoi0T4bu73wD9BWoMVzgtJrknmaQirL2D61yLz2ARilpb1UBV4z5 BOs/fg0QvO9aHlpmbRM2baYeEudSFjGSk6ajW2UBYCvZYbwKSuAd+JfWV7/SafV9pOPk6OZW+ph0 tJWzL9u7AoDshUmqONBNn3ECm3Tn59TNB7wPrXi/elyzXDlebqD3SqTMczU7oHo3OyB7KmCoCXEN g+mx1n0nJiabGz1nORecr8n95xj2zVRSS3e9/R8wQxQRbyQEvGLUC55NZ6CqlpUm8gYmuZ1NfT28 yWZcGW50fIqwP5yX0qDEHjTvLrafuKiD40wBJ/5t9738TT/UukIkT9IOyzTqIyODA8zVFZ1Q/NhI CqhOn8UBCBI1M3leUAJHNdGthAgeyfXuofhiDelfA55VLEIsQTozfg5X3qUrwAZ6UvnHmNYQgsUa xkiAWDiD7bVyebCuR5pXdMJPkXIyA8t1x6ulI/Dt0O8gS/kh2gPc+3RGbs/YFRPEfZLEF9ZQP8JW SKEl7JrTRPtrhVfU8PDhGlMH+wYIeITyFNwUR0vgPdgh0uOkaSMPwdvcy8jrRZ0HHfeiZBvG4/N9 ZsRqJ7tnZlWwrh8hLxqOG03LPyCw+CSrjuOwGRlCHc8RoiS5Ml4REwtZNrseVEWq0cqlTdjOajC5 Ymj3rYpCm8+3ApXfOmFu76D/LZgFlOZFdL4Zdi+rG7LQF1rh4dyYF375BV06hQ69Oczh/IZWeSdm OdGn8Zw5SXdvjQe18w1ic6bIUBQBp5R8febtU1Ju9j9Om/V8KEps1xRBClcmFzswntfY+dBToJ87 0Nt7aoiQAG/DGBHVsoZ2Ll/mYUqDI1RYC3gPAkIWbH3YV0Fcg8stW+JvvWRtevPfZtshHQ+/I1KX DexxxEivB/24I4qSwSMgq3jYJeAaHI65ONSEASUjvWoTnoWoa2KneTsUVqM9k3naJw7qLZuJdmqZ uvLJv+pEFrRIM2sNVgzcXHffzJmEPZsTOT6ktR+Mbq2uy9rGBp4lx5GmKq7flS8JMhgSC1Q6xQ7T q7np7gwpCVawaswIUJSAtGl1RDL3pm/kIqBwaC7NMPYqUk8Zo+4UBeIcJBt19mJuJioM4xL2u+SF VYImE3YZhjIGq19OqTZIaTVzBWCc0qdu+IVGSi77b8MTg/nahtEouV/VGzAtnsBBZBcq7roA9xSZ xyn+dwRDrYcJL2rC3m2+e1Z087egR/aJXny1gpGjRo8m+oRkDYUr81PRtpPe9Q6CEZ6Kq3LyzAJ9 3QKRemEvqNXBRfGFVtZEr5yhpBdRh3lFgnOFbkGyIpRjEMh0p563R/Fa8xEvHNwIa2RNN0daUKPS 8LwpXOe4dBCrEiWp+8VvvJREbQL80M5OEIX7CbzwF7nwVOnXEI92JNkmCQG/FO0oHF9SquKQM/Vj gJHzwp5sglQ8RwdJ2HcHPQn+BJcxTgDhHh7qmtQiafS5QVoo1hyUXhTAcOikYbOcOTuPLC9MLmlN ZZMAZ6uVD11WIEuW8xcTmApZ6rn09FSmwRmJSL/5xHXHC2mbnMEMTK29/Q73oeBr37gI6QDS+5k0 Re25U2vpvA8fKmkJCiJazCe+GFxhjmmZAQn0OCr5vRNozDyq7cO0lXh6l2Uljn++JiURX4dixc9D w8L8fcdmRrjv+R9E/VPYbuZuGfXiA9nhcLfvuBicHsZFtw8tsDH7tUCrFJ3IHRjavGUYT4BwP46/ JkMVMwqOA+bcWOZ4gDDCRYnQu4bTcGTUozmWlf+TsHzjv5TUmpy5mQJ4iH6MaEJFqwXxSzKxxaWh Qz030CuV0aTDecpg1m1uVv/WaL8fjfIdvHKjqHbMHud3JZAU7ZxqTTuKs9j4x6Z2F3XNkT+G3pZJ eHvfwnEOJX4xc5X0uZe2bJCFWJanDoODG3vv+B6MAH8JQiBPkW42MSuRBQQG5pyL4oPbH/jAzERw yZZIOceVHi0/98cWkCuASR96R6VkFJz1944p2ruf0OEUIKZ/R+9S2QTNlVh3+odIili0YqofB39h azIkl7icqSYFXrm2vqIQzru6fqyWaQ49HvPp/6DiG3i13/HcvxiP0RPr7sRhRaDmOr63ZWLEqk3u rqJ4B5U/HY4D3wTHt5ODsOjoO+lVYqzMqnh93GE2N+7NC7Wk4M+1x0xXDlwlRNZ89UHmwLlxXHE+ VBRCuUQlilbulG9YWx4FAIbBA6TkpADyCEH83exhdweVe4PqZ7jAWfJ3hjQNM1/V5JF+BEAvUu1U l2Q/CJwbzzb98/WwyjL2swqdX2ymOBSZAi1VC+OOvr06J3v1sYkA942Z8/s7A0tT6/qZoNoM6SJp 4fPEJCAbD8yfNchN5Zvn/gjJ1E6CSXEzjZzSXpc3MyrvUJTWocrCNTxG9HZ+Paj2U/0D052DdIq9 +j+k0mAechp/tsjGvKqPEJ4F1VHM5ELZjbQicqHXRuxzbt2zGTyZdRgK2Sg638gIqnRqyPFmZeWs ZxHLOMoqN97jKc5tMtkyBUwsl3cRrXtf5rHGpIKBmrdaxpqCwxQlKkAmW076nMeP0QgKCI7KJ9a0 CcKAHsfegd83IMDrED9ulGGueV4H4cvjj3yIaqcltRLIZKVd/RSGiBBLAlxRXIObrA4deDTYStix IjAoULVQkTiH6CuSBC+f/35MdEJ17pLFGRXhDE9OjcgyDDjnDMgXRJI8KOKdQ0d1SlxCIowzDpi6 /ljM7wpyC7zZFPxYqgD/XhUuMpnDIQ4LQ9wnsxQnmNnfl2kTBcRUvo2w2IdjgPKM9fJJYKHsqi0s tWjvK8tPovI8S/ego5sj8dE/WSboOLERG0KV/igD8Yf41wBkNQkem9lQDKAyFl+W8HyIOIKl1PC6 Oh26qTS9GdCrwimML8v7EBajhmRneLamRgI4L3WSJNUxL5v2nUD7pf+A6nb0dvS2lSsP9qs7Abk8 BSAkGr0hYe+0m37v0Ev8bRTA5sTGcB3rO9mALWLUGn6AUYofiD8gYLgKFtrXKrDpJILobIXod4oU T9QtqxIB3NgBFx0DRpEchJNgMuv7riWBYMWIGlHd1T+nYL6mArxZQ7TS9K7NpVmtQ+ZtqFp/r23v poJObLnOUZhUWQxDovSrc2aN+m/C0WPPSZuje9onOss2xuTIn7BzppCa/Br+g9/s8kbAWdBttBIU Oo9Sf9KVAzLbBpnmBwcEzwQCk6aSz+CA0jx2dsFOB0DyH/M/ucuz6Y5otxAsCmNRIvU8DMN7wPjx 8bRSeGoZ7GocGJs2iZ9Tgt6rePgNrYYr8TGgHpOXgdcvqlPnug+VhtrqEC30VPPOSkJhC5ZkJq9Y P7rzapBvUUDQ0Me7hZRuYY4tmUWG1uuVMCpRk0oNdzCNbUsapn7GVL0CSv4F89wmo4DvujeBSaaI lpEQToojQjRwFVONfpXtG4G5iGAMH1mdlKnpUXaquulLYOTdpgLNb7j7mWaVrZaG5Elkn9zBHfgh 3EMctBrOaGrqq/P85LZfORE5kOFBuLSeXjM/qAAMmTVl2poTP9EzAe0mGBVhvNGM7w55DdSvewVt COzJ/VaNz2mUK++mmjED47etGF3gsfURtI1m8Mj/DDK0X7i0Nj6VeXld93hwJ8GX/HybopgyE94k v0IuGDCK4GFxTQ93MbmxLou0853EdA9ylSi74eUMPf5xPH0GBNz5nCYGW34jKzI6RR1Ps/YsOOc9 lK3c5tvrDB4dvwsIRsW4ngZwsqP7ON9MxOdjcQNZ1DQwGKg6yN0wlD/Rsz7Z7Zzd7sgHBHCa3zdV 9AHRDI/Em4GIBcsqdf9wy3qe4WJaror4zqJ8CRm828pak4TDlttprIhM3uMrwsWmLAupg98arA49 LKaGjJS01ukirTKz1Xpxu2PD0+Bcem/JkstriI04HQAA1D7LRRJBKmRtH+MJQASCgKX2cMk86X6S 2KJxjs5aaddboWhBHG4YNqpM9uzhcjE0Ol8MnFk/Rd0nCjvAMJEao+XJYWtQdWuZX1I8bHXH7QpJ pi7b1J4QP31eDQQzP5R3q3h6z9p5ynWjLrpM45MJAXIVe6VWH9CrpsQyI42p1QTiSL6pXgG7YXsh 1wbcCjzkD6x9rzzZsiT1BYv9hAftoxgaeHYmBzmAeoXVW4ctBT62o92/Ph+/sXJn9cJnbSOWzh8F 1B9GyLwgUXsASu2XtOtPHvYCoXalnOd1kpI9w3nZe7rXI7JwavPrpEigPwU3iR3ij92cPzAWT2YI zjb/WNQ+zZLKhUQz2OJl4X37ui2K4tobUN5RUKFfAxk0FjKXTysH2x3mbnbr/z8EIdPMP3L7lAQI sDrgteAkmN5UPFZxjBW3pLF/FdRotS9fa7bRcUcdMmlDfxvLMyEoh65nzegL45RqfWLZeUTSJLoY 0XTIX+e3ozb9rBHUnnzfgpZJXOhUM6/WsbR1AvqwgAj1e72mxELfqzG1PV8o2fyszHtLAMyPKU3V M1NAn20AJAGYfw8PP9mvQobN8IwaWunA1dLsA8iSKaopJT0fImGg4WjQrCsE6ZYys00w4gvwUcjQ Ra3POUeQntruTc+L3axvDvqLf2IJAB5PT1Ce+lt5/+vOpMjsqWlVHPhLB9BeUukxRI2uCJTs3Wut d+GlKyfuOKmyWu0UtFXvejuBwUZhgONeLmtX9clalQwSL0PaXrXkVnD5omJXYvyE3EyWxx2hq+aU WBy/RF/Uy63ZKky8MUcVCBEdiHXkN4cmZxxgVTha/W2z4XABvXsUTlTEqjW6X8ccbhEHTVKBDAeI /1U1K5nj4aaurQ+JzErMvo7qEnytfmlM7uqtza0hA2S7J9+nKy1cccX2b9j97X6DEl279orxA6v4 zwigHyjLORevwuQu8qm9/9gkXZRWJkTEzBuGzOLsy6dklkzLfiIEioyv/DOJAHYjlhI+XHVPE6k5 ftIPbV0hi+I/htJLc2LHSaQQYwkD5Q07mXqIZ+Ny6QcHOjw4L7WHtpAVsVT+/r4ZbjhLfU3OLcDU Knty20wVG6w93LXtxWvY3ouBE89DqcGtQstNQd3XdRa+mejVfgNdXbkIeZidFr6fxwTsnj+2No4k R5cng8c5Y0fYpf3t5VG4u/nK2CB/pq60XHBN9rupxG78RG508KApnu+qgdSDGus2L+zBsNIPI4Gs 6VgSu3fUZtAJwu1z37A5Y1ED8ypI248pL3iwzPfra+YGFfpfQNI3jZNjX1HbPl5iVg6XcMnD7KTd +BWgb0z9+MCyLtsySnixeS2syUAEx2M7pIRBIi1bO/ySwnbLRbmUosvGqxzJqDmL+jeZSNibUnPq WDnjMy4zfO/mzLqI5b6fYFdP06DMvDHeT4llBtGU99t38MfwIb/Quz7pU3XO8iICxcIna2JJcICU kNPPY0Zn+WMzs+a9djTNqYM/p+TYvNyOHgOaU1qULmCPDwLJt0wvzQKaunXKdeZmDnCJ7WItNNE4 4pJfYaixhdK35uJcS58FWhCK4nVm1vwBW1ZPtYGokH8UOZ14rF5gub+g041rx4+5zyRgZlbq7UgE xc6at+HJr7A8WqQmqFfx0418Z2wxL2iDsqdaWUOv4VWwkccQ7CvTMo8gFPmwFhUk2LVg70xIexDJ OekzpClYfadjgWd/SQZUmkX5nZ4VwG+Puk3Mtd+1s1Ui0kVcMyFYGgoD2UnX9eMCGhJwzdBh4zuf tUdcbteobDMSJeFXQSa21aIyxQ6R/Yl71S4S+uZDC72hAhf8gnkMjebPXzQ/SjqqkFjkWxgkVpZ8 EHPLrV7kd4AY+zUEd7T3JXp3aR8ihGih+JS6j6nVV+2rfYN+Hl94jEkDSyztV11XXHdJUfdoolY8 YmjUfdIYQlAN2DqIvLcs5M9vL1tbTSkykpDTX+76BIPY2b83OSekZpJp2LmEBKW321qNXhX1b460 jTGT/8WScLVVNfXaI322KgnSXDvWkiqgKpbC193mrAP3LHYW7QE0BxDOC+nvPhmUxqdo+89wJmgx exTaESj2QdVSEjqYIw6S0gT9g2M7Pmm8m0Aq553Wt0shXHsndcDJbUenX1EJ75eplNg+9RMdhsiT CjdggAjXyCjvPJ2torHM4HcjhhlEUEgfK8mD+y1H21/R6Dx34E0VaEExy1UFtdENtdNhk38vd+rq sni13NAuxvrkhzwtJhIoOwKsnOk/jN2iLSgnEYwMvo2s9zeC0yGjqRtsNtIW3gAv+75hEN72woen UkpSyuYY82ImpreGOYcoK9bFvqKPsaIR5H7dE7IdQbpocuQwogw/Gz4GaRTvdGaF6VqEpDyL0q9B sCGr6TIHeXVxbLw2EmFHsHmagp6KK9F9ETW95kJrZU07jvKrkcp+RnU8h9btFGZF58yyyKb0U/V9 c0ugiNlK/bEmCirdwVEABgsMxAn2AM+0L+3fYgZwMUjXkp5rcgVaq1EelDJUx/Ftnr6ZBfiK3Zis jQCtiNpZExz5Bu/UpmECkk/QRU5+Qk98tpNxPlvZ798TrxBJKse5evnbuVvh+1yXeU2VxcUtRowe y5uQ+wVCRnLtxokBx1OMKNnUnm2dFgwc3qLFU4L13vPucAXFI/JC9LIlqDDJgicR9pE7Sx+xyJvw X/Itj7TAI/l4jWHQ76FMmI2Jglc3UW/6eTSgXnRkURH41AdlesRkprYGZ051RXlcei6M5CGevMiS ZWi6/pP4qYDVoo32mV+ACvFlpvQpqn5VOHALFUCFW0jSvtnUw/Nb4FQX/gJ/iixZBeVcCWkMaGl7 CQCzLx4GJ3ZRzJYOaRmZoqKmzt+0iGTxWFaEc+XONyu381hnYBsDYZNPkuMBlC84McdAz7OdXp8T I74//VlPbtn92PTPwD2biE5A2sqDMrQO4DR8eqzxVFiTRFVaK2UtIcS4Xjj8kPsU2GBNWJtsqUVW QfVyOwRQ8q2mPclU8PNsjEnsRh3FZlY4rIUexvQ/U2ApKUN2pwOm3ujYZCuweg17N/7lS7x8Cbps a6wZ03lkfV9vGyZrL/zKSf6wfAsDvFwOp3iqYLExiFzwLyxIq3qrhGFvS6jdrmiPscxj6vr4/mjH WVRwDvCd3NwRPE27y2Kc0XwYm5ZaFv0H/hcsSLBPR2jHK44+wdcBX/aC8uLNAWo0ER+uCCufz8YU CuTNAxuhS9PoJDwgRI4FQIZvQwKPpd0TX0jhXObAIM1I3KJdcvfuJNbl4Mva6kjc1b60PyGElfLK 00kJV7TeDFLlgtpMYhF0goLPj4WJqQ+exJerHy3rYUU5u9+4IJoxqnH+uaXG1aptOQmOBnhDWThF GNbI9HCI4j02v54MseSx2BiPYnRJusVbkgHhVFLExxyfxkoixwxAbykDUm/sGgsaVzSvSIr3fpeb LRyQBb17T7MbLNE5M6VJX9cg0jTa9gbcM3D/zyr5ylarL1z4TwdusqENGhIgHPMz0ltEnVYf5Kie 3uQjlX/yaRZgYXGbep0wVnFG21ren11khc+gVNeuNhwL1/J0FpZqGb2cE3lkk1C/hOnrzdGzQIUp xUTvcNWPWkULyaadMwRX7gEh97uEg1XKdJRUc1YJtueDouWDMNpaFLxcnP688drrPbSNhmlzzLfK 06J42jNuXFmpCrg7QMnoq6lB4rNJIanzKCae/zBAARt9w7B3dQHSa5Q1Xofj7JAx39VOE9ZxGxd0 tVdbAQa3Ic5u26JqW6b8AHjoNcKuPdKi91wEuTzJysgen/6u/KO4MnlHdM/XaDPiNDmBZ62aWtyy Ci4NJ6HT56lEOhPui1yuQgd9c8N2ccKaMMYzSYEBmSEEItXbUhxCYY4x2azrQ2o1ECFsYqzLndqM R13huYfHUV85Ov+VlWPWODUYVmcgPi/TJxly0UZkbI2dCPwQHxOgkSPZAEvZaepDT4Rm7Wsh9TJc nO8Hnh0YSnZX7fu8NZ5wRl1Jj2A7hwsZIKIRwT7UrKTmj7eaZ42AvdUnfKns5SOa2Vef05sPhSnd s3Ws0v91QkVrWv4fH2tJSamihm4cyqanKnNQSign/9D96yFeWzhXkW7YwlhU5KBgOyzu7zkiEsbc 9M7elOtyiUHDDSmpueiITEr9Ok79qBAMaV9IfXFrf6u7yM8l8h4IYnrplEH21+Kl2A/qMnsiT4Qk VBDp9mcGKyYZeozTqNz6d1FQI4sFPrf5dhrhMl7j0RcyXcELA9k0mPkkpNic19ReY6lyPfs+rQaD YfVfND5xVmbcRomZIoydIqlzsIS7KjzC5azBLYwbg/JNyt1WkjdNqfj35AjVjUFVj1NBfnH4ZTpQ VWrbcqfgGvPbA2/UsSJyVWXcqBfL7rWzZQV6mlHmH2MhsotlgbRnBtZ+5VWdXj6V5Gjdm7ESXJ39 57se9OeTFtmq8ZMnfVxGV9PYlH8ksfU/FxXQmk96lRLp8TjKl/4mlYskLKFVr2uod3NRamqMlspP Qu5lTS/HbnaOY2rwYyQKAstOzsIDr/X6W766wQfS0bwl5gKOzUJYrwLYV4goqA04MY2BsBY/4mtA +peDfIvoLxZTnfQI03Qscw7FCduFHq/P524XnRScmalvKo5bQvCEpQmFoCVIZOKMGeuTX+qM35mh DqDnFPLHH18FrN2VIzEF1wNudzz9gVldkEOvXSBbv0qv3b+fb2lr31gAapyM1e/x2NIAVDtuPr5i DKAHX3iDj16He0b3ntYs3m1/i9mDrnlk2g1gg/6OWQDewZqeIKflYPi0KgkJsnMiec3tiliSDnO1 j20FkjcESfsIRm+hnpO3XdHeU93GucWS8GsKBdNYMZUWt8bK344yRpAcYtyuDzJdU9XP5AIR4Vcl yjHuKiNSAAvEWSDRiiUcY+/t9hnGDG2RWchl4xVdixJPHl20wpndvbz6vg1j/5MzeWue54VrQ93L WYZ9RJAt6EWMpvhpxw1qAZIosn0SiXGBkNfWEy43XlzxuK4XFCKs9HsQSJm9eBlQ2FCVJ4pnjP+8 lXrcMRZ2KGzh/bmZdiXg9xH6Nu0VIGuBBTmAm04wznDmmAWuUZIOrRlWbGdcPmzn8lYnUVAijYL4 MUAOTeRz9fGZAFAA0Bbz4GkgnFfVe/MiB2vhYFkg4vu/QsZfCBKpVpFYf/wRP1K6m7C8aJMfZu60 p12oU6h6t405NffcUozHTtRGPtUw/TRgu92B3yMF4AletASINbF6W5UDKO0GXtbIa1ZSmAm6d12E S6lEO3FjV5osFA37LpVV/u0ds3euLr6inVYfih5L6zLSFp1GqoYZsvCxX6R0WG52RdEVLeuL4M+0 3akeC6PQQmWc8yDux2knI3uup3UTEqgWuz2aDcoeg5Q+tcW2YT5e57SKbOFNYP2HGF3XBqx9ZrQn mmDZZ58Sa53BLdKvPfbBkrBKgGK0YjZBI8aVTtE+2vTNRzNg+HCYN9S6+4ztcb/h20ojRdJkHXya WeBDr3xKxWp0cIsj95LviDLpLeVxgkusEdKTkuwJtx8sNZr3KgqFZ2wPBNdEqBniIx33Kuskavx+ Zp2KEOTJhldfANlpMLKVmtxJPjAyDa0wSLSt06qJS2/h5ZtY7vuGDpxV/j7xQ+gWJjk65UZRlQ9M w7maw7o4+YBFyiFOebvOn8dFgq30xFbq8kvbxQBAkZnHqJHCJFZL5k3ITa9PQt4u9D8U8hCUDzJj YyggJ62AU4tnTK2NIdoIMF6V738a8G8MSc4hLlaaSi8lHXgNCrlynhZFVaLL/j8a12fvLTxFY8p/ NxUOFicjaT3VycxdLOwz5tMlJG/fOBqvcQ/zjOqJthcOTeRrU4/CD0OQfI6hG/Zh8M0ZknJwSTza yqHPKhfDk0zcOSdAC+TXivWIu2O8nhbefZySwVEpUagvHv2hK/cPQ0ti5glUrlD3mNQ4a8kobkUt fCAm5mTif/9eYTPk3Kx/scx6Fviz2FF3DPhhcdl5vptqVdnyL/1dgI3LNQmE25a51c+mNg4VZxKj x66zIOWlIwh9FTRxG/NAbESbBq4vIahdkNcGZlxPIOY+1c2CIND639azu97JJutyPyLnbSggB8Sa Ix4xKzItcVn3GS/e7fiLutmA9ExggqZbFUIMyASy2cfJ/Fi2vY1FNaMCvF8s5afBoTzd0/Tdymzo JtJDyElFOkjaRo+npGoRzy2XPOm6a08V/9o638v9shVpM38oedq1+Ff15mfZ2ekzRiJFXJlfk8XO MPv3W9l6BzvX4ixuwzRNnUtCHrJV4X5pz4V2+Jb8lCNH/2+Q6FItUHDx+/zLFE+tFRTsP/7+wqUM DZCA2lVrXmstA01Y9NeUme3TmgoVGassuliVi7Mkl5AJjoeAyLWACwuWDHjkehUex2ChcaWKM5gS rtW0wF0lHT1h//1hqz3+zBOqcFErzSoA15VMQcaRCptjKAyeGleP3YgbJLmfbHQ79IpQKOER0TQB Pu68znJF8zSXUKy7U2yLtVVZOju2dMsI8zvnNwoztE12e56E8S+ksKW2f+7/FKEnbZ7DRklibrYP yPjuXruEoZ8mu+O7P92M+Mj7qh5ZJ3YjtcnwpqvDZ+lfVVfyJUJq0tDXZ/y/RDHFbbXatKMSMy84 22d+684MYMrSFTJl9qXnqw57c1nHJYqF6SYOrSy9wrrIaeCSfoL+EVh5EPg+/FLqdME6xl/uJSZA rp1vQikH3pZcnhRQL4JqjlmMIF1lzD/hfUS88L3vMY68wLJZW/2QVnKRmqc1SiCT+mfsaZ+STBFa ZPVFGHf/HL40O+hGorLFHlxNd5s76eUUxoOpJyS4yfAc98kpdfFbOOadt6Z2UWFvAFaOU5qoBOnl rx+BnUUZefyZuzHZpUgqtHsxu78x1PLMQKKp9cbX8qcz/CPir1YFlbfj/1xh0M3Ykn1Kd6+xHViX DwyJGiycMDTUS/ApTtcFtShf8INYLFotvV9omjpKhWbSpmX3MgkjiR406IsZORVEEj5ed0siY4UL /2Pg+QrS55nVsz99iM9TyKX8K8EKlRCW7W3Bj5LQv8xd2kATkhLL50fMxFYxuDnbXQvunRiqelAY 4g43tTRxcHih90OwAXugl2PC/ANXPWP66iM/DBaX2MGBkt/v1g5bCSfC0yRpnNdSMLHWQsyPq7Lf HucU3v5RnY0hinmEev9zxfDkJ1rB6y3uSTVAFYHmCb7QtRjE4AUZ9xDWL+du/9ovXafgQXE1dLgc G3BXdr4PeRt75HH6xRLupcXX4+31uCF+Gjn8qr87G3x5Zp23qtaGEK3+id5RvQ1vQGZNUleeV7bU Jpq0Ty1CcNjMvRiM9jDJ7xaQwXlg0cmlqZl//S4n4HAhNicF9herC6ZlwGuLCtnLe7p9BznqYPvJ ZLHwyY/vRUvVGN7GzHrkt7StS2u+ypP7bOT1jy6sqvcUMjQiWb4LAVMnmDQ/TrDinPfRBoEMrw/v cmkOUf7PyGbNNA7rEhMEMuog8YGmc7NvuWbEFTbBkHEAP7KZiW1s76th69pkZe9MUoHI6rq4sC1L bdpkFaFiACAMuPby0xEkhhzijsJ3JctlsOeAr19rg6p6uviJPr7PhCicd3f2sbSbthRQ25FkIU4r W+4PbiNDm1Ke+QYL0iGrXb5b8vmn3sGC5FlWTFo4qkAE//adxfWdDx1tIXwE5el+rWqSQU/uLaaU 0CENgt8mFSSbpla98P87Nha+8jQX4p2SdvqZNs3rB9GOoEoBAdt5W+Iy+uCHKtctHuExbGGVWr0A sjRvJJ8I1mcpDgontF1cWcRPXmIZ6xBMm9VeezRHCA1aeG/UFSi0qtzGt4O4QnB4lqVfzF2Y4GWW HZ0fVkHxYU2YhRS+w5yKwbxsnotoo9woeBXHHjJr4B1PeCCPez4KiaLqekhbfFSB/HCWmqGVw//L sOnT6pokKJHiVom0WiWc2opucWd9JUA9qzDqhUUiyFCwLRgcMeVBwjzG/1xBuO7rD+yAU5B1u0v3 K/5cVDaJliq0qrx9wkfHeUgesaS24TFqyBi44HSthJrYHTxsOLNgVLnQqw6l2IlmcURD0qYHQyQw eB8vXydWCRHoJcrYCZWLrJ35snxsXb8cr4Vp7Qfqnud7mlsf6beEpZ6MRz3vlLtLhEUKcCcFh5dr R/0LxcNWPjKShOlq4HoEz5bPWu9enjE9XQPKy0T+BKXmuhxwCyYSXbDuCxNezlPv1O+3kpMHhkYB tRzdgGefbmLnmi3/AOqbPYbOQl4CPwS3ZAFydxdPKDa3c2xjNRyz+S+ltdVkN/zvOWF823scbBQp 4C5b4mBKP0jpyk9fCeekkqbsQTfGsGid6otDHJfGyubtELiOp30i19G9RVF78UfI7+uJh9Xx+XOU PD5c6wl+jYoLQ/zFGKp5IPU1kOy9ebmBKOtHrQDNAQbiNNOo+GUpY6FFImO4LrZ3vSdUJ7oJT4JT qku33bJMRdGv0a63byr2WyBHjGgXYk0BfXS1DtGKf6ZtSIx1Yccit+SM+xMSQ4n2gofwX6qex7eb LScUlFcINDrVoLwp1htGN4rdbR15i3HHapaVe8zFL+qTWiE+bHILsgdKxz8vNxKHnJGD/CpLhdIO I7o1apSjyZoG2zCRm1IZ1xXq4reQtZXBcVI9FmxRmalS36bChfNvH9jDMUsQYkhU+bXgOXzvBinG x0pEw5wwT86XESkh6b/iwScWpUIrVpMnSdIi4d4OOC6eyV92IUrCnuFAsmuSlj0koRdWLqTAc7RG RTo0U/ZCsLErYurYSK3B0u8IKwYoeYYzIh7d53t17cpKle5ArFHRmTOH2kMCGfEkXgHxmyxBzvSW d4JrLh3YNEs+/3dJ9+VSDSJhBOapD83AxYwzs1ud7r8E1KrXZ9hPYn3pMFAjJ8/XA2wZ6peJnUuo teaXKLUiigC8XKNhsdFiZyfZ91jT0i5jotna6sfdSrgqY7xP6rBQ03fOKVfEfk20b4kw7m7hPZvS libt/fHjtKluF0Sv5PJJ6EZA+J1JvRiBK7nuBXwzl0hSIl06ADJOWjBFOhCrVVbxZmVWSpNGYLVH 8keqiosvQbg7shYK9HoUCW+6lqFUwF1KCdqnTYIlW11ACooJCwu9Apq6GimtM4cXUgbth/WMR8qk rFxVqu/Q+Y1PCk+WXFNB8lZfn+sIyWqhJE+sP23B8tTklA2isWWbHxhJYVRZgTYEhBE5pVAIMlAq bDAaHxP0TEb35kT47s1oYfZTMzk9Txq39DkvCwB3j4gj87mUGJQMN+OpbdsyB1cuHWMRYawW98tb 78Sm/n5OhlyBjUx01nWRE1nXZttp7Jl/tAcCB+JhG/O9E3BiXa3P9+QN1iATgBC+B4sVG/M+4TBi mki5LiwqLp4ci2dR0i3XivTpYOj7DCla0OqxItZqWdJ0e/hPPcfm8aO3jgN7iBThuubTGnJpi9J1 CCIyXaNd7ayBITGV+85E8dF/xhUzwX75KNLpi9z2thYzTb/T68B8L9H1tDYU1AXbWs2RzDM8l9Jx VAMetoZYb4SOGhuGS/+5b7aOVzlae6gxnNIv5tet6U6hUGJ+ieyO4rDcTMvnfdJ+ZfUR3uIbbO0Q CNIMWbA6WXfdc5w3yMt70RNqT+Ga8nrLNhaRW/KgdEDMw25esEl0Bna/NSa4oIywNVyC7PTt3mOC KXkGxNDvTy7ILbTULzO6Ckd65j+onirO+/YJ7//3zZOK7So/Lx2dc59sPwvtslKXVQ5H5XZqBvSM QuijqXqv1gZ4uODsqc0ZZTeNuv/n5Mu0mMfrOrH0zVWGR1NhptPHX0Zr3EUJTt2n6UTzugoFTBNj UEHDWsjLw3gdPjTEMNNQgBpLmoKJeQChkSI7bcXqTSrJQDF88z4mkmiEv/djRIRXdlAz4fINzjU5 UvLBTmFHXbyFMQx24OSTiUPx1T8I0q7HL50jKtOLc4jUDbg+GQMr/hJCp15HN9NluVN7y6CnLEEB hGlydDSVY1vhHBQfLndRx+27nxfiozs2rGdXu87YDyBEMWY+GpyU0VG+9+g9/o3VYmuIZdXTPvPv K6BxPfMoM4irBMNLWcLdtZNB9+a7s9RdcTXvhkbEFcCL58qnBLlUyi3/VMROLRQ4PLgEE0iRMC/v WYNBgrgfHJM3S38IMmpXEallPhGLiPev+1rbx6dc9tNFTvt3mLLGZiH5J6TrbVu+gC0BVs+sl1Iq wo6+2ZDSCoJeR6SpbJsWDhqCb7aaLllA/UEXgJNjTHdpMdYVS/lSB5W6MQX2GNDpY94adS6ZRDrV 5BB0v2hq3tuzPVO0U+hx9qp9tq8kUw7g4ZK9mMM6FHMrdGnBBbyOG2m5tl67dwQB3QWE2AvRZEnp XlrzYDnhrKfV5gCHU8sVvA2cSBctaLwfQq5mTpT3+0s7r1HXJqANQS1TAiDlf4psbfABpmxfMUHe gqHnsbNshyEOY6J6lZGVQr5d0nO8FA8Xu9VwuUHduEcDCPNkv3hDCP2lLGZBpj1dJ9TibSt4mF2l B1VlxoqvlJ1TRcv5ZHjDf5Wvrc5awx91tylOcvVit5xELf+lO+ncBmYGg16+z7HOIw1918arONhC 4iIR/1GiKF4YnADDvecSv9hWBGY2uBqtSC+I0U0zA/dMpZj4qlzcsqrN7Y9UK6PYen9LHQsChomL vbKhkSgyQmH+VpPtPaH7HEvHYEpRkR+UsA50O9VYJ0gF0wCyVRES1G7onVhsolPVa9guB8c7hTQz /BOL36VTbn7Y/yeCb1Sk1UfmKj6m4DFq/kgokWFsDSHSOE9G7kGNVOyP9c5YMK/6ht0R6s6X9bHh 8Mk0HWvm+sS7qOquUQ3rdmlCURAZH2udO2u/bre1GpQ0pUqMpxpEWdOo+eNnABOeodVttiGmd4nx mAUCX9nknLMUswvGNmvXNhTy6VpqpGi5zJLxfSk53hHqoSgtuFz0rBd+5D+g4RMeReWp17UgFKV5 q+YydYvgl4evVNgnefJaQFk5Ca6ZtIIlH6W9b+q66zLgVTZIxomWl+hvrHrlmVcUgILGVx2MLxTw FwCsheI6OxNjCXGjfv0qExirR8nvysZfPxr8jFtA1GEvK+WPwB8nWNYK/OJAjJDt2bSGsUgpwsfa ta17L5hbHNJjOj3cl3lt3TRv8XtvXmIC+zGXbDmqvKFQ1Gn8Eo38FuX2pbEoJ1lBzzodX5uk90Mg f4Clk0Ye5UXRqJ0quqeuTx4t6usHck96PQoCYB3WeHo3hiiQON0gNMC/vYWv85OHPCihsqcpbHwN yy6A1WwAFWjGvKt50iwmvc40ZdrNJoxXwymdraF6TAWJ02zGvC8qjHvQFvpyHRCjctf31efoD81n J2kGpGUBCrm0WiM+kkqgopAow9CVaxNA3FA1wo9TA4Tl3C4X0J3IPn1I/VYpVWWuQ4gV5Y+ZM9e4 vyhESZLDRq8lC8pSTMrup3vtI0O3VLWWhocW9bCrcqOXi8X7iYEd7G2QGTmmur83O141B6UMFq79 A2daY08sWf2SOZBzNThw9Vc3anYHTQdDDvOKiGX9Q/aPeGmJK1QAzIvwW5A5Gtsusf77l6D1rPVd 4pWatcpi9vY8CBvZChH04vUpv+yF1Gfp/3nOjUWJ8nW7fU/g0AcXvIagbxP5VDOq6KHouv/oEG63 9yW+jbIdIo/ioJ2u0H5lh7P46KyQWSNDCyh6gVQM8j3uM74cowa1RD455eFOUqVWBgjsaoYGsAap qCjMceFsns8mQoure6WiXEBs2Efndu4fCVXoDcVXf/UJTNyoiA4RQtrD4WEal+7Fd7PHCxUPWOUM sLDGvAtWObE5kCgwJWLi3zSXazaN0vUApKLuQ09iVNj/mU9i7oWfPItHCxRu5FvvJI4immTklvHR FTtIwgJ2xy8BGVYRrGWar1r02jBOOhZO71XsFku2hgN7zG5WeqV2uP55WNNZGeBok5tcJMYW3VHE Ky0GMVzKN3cDJKuQDsGKN36vQqsHT6y7RoMQXM4ORfRj3Hph641+Rc9NZV4CebppEcCYSY+Lv8nU K8wJBjrYw51ll9DPSmxyeTsQ2Yg4il7bN24rWcIWIa25w1O+2dglheQfZPz2TIB0jsmoSvx9mnZe BaIRbE43SPQnPbKUTPwfjQAHmN8xiyIfk8Ym7LQfNRJoIr4egWvs5vUXdndw1T0FiP2T83L/a/V6 ldRXtqj9KLyF243ITZ35z5uwIVzEhQ58ijA2eNAG53R9mO2N5SyUPGsBjwiUx2zS1BmAYqh7rQtR zNGDnc7r2SQGbSNjkZOYwX8QOGcP2CzLZ+qivyUY9aDKv5cyZOPuzzQyeUPjfL3ViSM7fUPbxJWi S+468IMGImFSzfq7y0KT0IfZh3Xq6LCcswYryHOENSx5JewBBCFyEqSXjZ4ecEQDcz6ck4Bov6uS apjSSoXVZRX5C+fdYrC7M0/BAjMHIx1mu5SI6/UelIZwNfzZYnFak/h0G3egT7WzMzJo4T8+m7Qh PC2gMH2hgRkH6q8P/NCrsbNeCVOemBb6e+PahWljMurcVX5BAyQokgvW9q3NKEN5PCCoOGye7/tV ytEDPKwviVCWS7kc1b5Mcprd6fVa6SnD/sLnKYx/wuxGE8ou46p30kGHuZzXdLNhW8VyJzuwrNUy DrEKH9TqqdwAvLetcwLMrnP18Ez3N0gkuWYQdXmZA2KcW0CjWAQQfLUF4g9Dny6m/gVR0nnaUegL fLRc9pMrO1wlyVOZQl/h30ISvARbgqUpmdpFhhJ2C6jutpT7ARzroz/jIsEnhLMiv/Vq8YjEeYiK i79/wPeOXsf/B9ToCiQd3TnAe+IuCXUdz2szqiSTwSymNtc+b2sL65lUVUuZq0wpFRdDyODKpy1D uNhTTkVj1Gl9OpMBp9Wu/sNAQ5ViuqUOET1TCISzXy+lYKAOgLUsLL5klVwgkDI97gcA2ZZrkOUT bZOOGVtIsecHFfS9lQ40WHTHqLOU/8Gq8tmKmgNOyXOZIse176cJ54r6JYxyK3TrzvZtlfoeidjE TLB9MqUZyVc6KwrueV3oqjTiqXU6RH7nbPCJdfdQLY7MnfUAa3I7uJ3vHIE92d/u0isxjDDvVtTQ gFsxtj1P3iA99Vnh3QkugbGDDA3ZZs2TXhUi1u7kT3ugCuOST5Sz6tSz93hW59Y5KeOvLupVkdcF LnLWBMG6zCAyhxAsgYwuc0tQIwu5AxUgAmuKaioN+DY2/GBgelCScSbS8zxM/WvTnls68zCl8+t+ fdeG2oKzxz9l29kGnZZE27uD53lQlpBxf0IJ8iRhVqY9OiuNGwmNTyU3HBFnVlGnvDHTMYZ43Qn8 0jegY5j2V13dWT8rXdCJgeSh6q5CsgB9expO7mGHDBrSbc5k0+2zzFQ9GH+9ngp1B/Xiwjh71JZm X64xsCauvZJSDvjvgdHtA/C9zVyzvCy+D7ptfzISrP94zEWNvvNVN9O3Hc4z8JI3Jto/81Yt0CXT 8oqs0yIiN5Gzz1cf9KtX0amioRP2t1rvrrfgT4SzYD/tG/+URcw9arTJ2c3iXzabZkF71/sABnX/ UninCuImmBeetYVyVNMPyPyX2yiMtNUzSWBtKadZwR4UDyyfimbCqm8qHWZYLTikubxP7hOBzj3v P8LJD4qT6PtQodTyLSunzgq3rr3X0s8xM+4YvTCjlB8H071hNvWBpg69fBoNRivjWuhYg5NF2WRf Ix/n3jriQttSH2FDTr7Mxx+dmEu7GhFVpmAly+627gcajJkDhcTUBIQIU9JAGB2zI0z9qtSDsllp 7LmVHdoub+TSdxCWOVLzY6H3VsqUGfRMz8qoGS/5wqXsd0wHISAe2LcAUSrB3/NXh+FffoGwzDe7 lzc30CpzXCpyoANeMLdBl3j7m3yWGP+F5kxpkRnfP07mG8dh+mtmDyUDFhTBIBdsqBR3qjX0YfK6 8TiODmFjcPIc1fli/AdpkLWLC4kvuvNcgxlgTrCpV6tIscdJV5UBSP6PdL7a3hUtO1g5Lg4xCX81 9sj4dig3B/1+9ZltrIGiFjU7eJUvTdwWS7Sp9+NXoT1HATp0DD8Q3I7aMSCJQWdtDK8ZWiel3Xel FYPS3BB1fbqbV6fbE38YQoSHpai07mq82q99KN5TkPxiuuebR//nwuPX5tby74y978sUar/7WLCb iwK3qGu+4nFT/Y0DEw2/2VUUratQrX5kVdr84zG3JYyYDJ1P4rFQde1kCnGbq3R3ZJMKkbmkWiZa rohwyGuhmVvQX2hf8gVABHQyjODzJ6mYy0crXJKX7obV0KK+B41U6o/HGYQkEbqxL9yPT5dZVv7r IOIfr+keEddShFP2uw3op/OZtfpyGlkPTOk25abYMSl8QPofAxV5c2YS3MHm+pP+ofz7hI+VEgc1 C6H8ek2oK/3MLOqPljhH2xSSpk2Qoo6FzUAqygqgB3UL5k3XJe3orEL/R3wELqBtsrYM2utiSba6 tmSirTcj2FJ3HvCbnAmrsRcPXECVv7LxHTGcguLaO+bHzA63aIZ3YeSEn5aBGA8JyFNVOUp+sqDH JBliIByYL5TONbXpo1eANkAnro8WGM8Q6ceyeoVXJmj7rQ9U9e9oGvwEqdpNG/cniEYSu8o4NqjP 8ans0SgMpWMC1VePg3mFqLU3F1tclkPCTugwtH9r/S5+D5oRz3BovuWXXISpxAscuS4rfzc4Dssl yTK0LNldX2lHtzV0iQO11mGpoz3W3KhKQ7q1xk5Xc9ZfMG8yJsfiKQe66Oi3X1wIBuNv5W1VqMhN mDKtuW1HvWz2fli+TXDiPV4PTiSgkQnX0c2YMWCUccpAGbPX+4Jzb/hNohRjZE+Rg6oW+PMgwn2A +PATx5tFrPwy6DsjV5q7RnCNRZSMgXd85rtl+9XxAtajD1LDfcqeQ1yAoY718bYPxKk2lEoH6KzR mLHq43OFWDc5xg8WP2iNjdJq7mNhQdykFiFQaTR7Jr1LzVszCF5Xh5bFLT6Potpe8j74eK9UFlrJ 7Ii3bayv6UzwK7X0Jh0i2htSUcCHERLIEIj+riee75X75MTHc2hQK2NwYKq4nOyIfd67z8lbUsqm FIR3FkIjzfKV/FxoD1Ov0OirNrB2nmDlaRgbHzUUVkIKlC48cp6qjfujlTlXyEjrdTLbVksOW9WM YLc9rROAWdee0gmzuOM5BWh2Aq/jbx/tekUGfFzA0RAbML49wpSn2KDMb5zhl1MjH3X7ialNVii6 KSUWaJ5oHPh5jatjhfl1GgFAjin/HARzoG1pmT04YhgY3dbvwHH5ed0/7K0nguS/pgtkhLHBM78t /tkSRigmdNsZlsPYbKw5vpfYuZ/xdWH5mxE0B75+6fJGzSFfnEs4M4FoYzwQPl/R/zw+gjrADrbZ sFFKUmN7Hj+dVjsAIxFLyowlQccePXR0HMmg7WwBRSluuajQaYJDW1ccpZn29A0aa++J9eCNeSod mtcn1IxXLwsTbuLDXve9vuX+35wYNwgGunthiWnUX7EMIPbHJF/0ytP4H36+d+cFcQVAWWSIXEls ETB1BwNIIa+mVecRcSYyYeza6URlQdyPICYxd8CRTsK/x7gh2FieQorGNXJ0O1I5Vqu8mIz/ltyq x3Ec1mgFIaS4HZGisbLK1FVCi/jcoDt90ysrMaX4ENADLuLGohHUCARpH8DNj/y0PNro7VsekHkS P4y2mpksof858R+fbEa+Rf0LERSLYHbzH2mi314ImsOSpYya0TM1wsxHOxkFytSeWdqLtf3zClTU eDbT3FAyHhIbhKSkdaSp2IxduH26SuCcmS7hlUMFYKKqv+Ls7BXAyY5Qw87O+BEXe9DFeEZMpEVR 5mqjCenRiY6L2nU5FlgsV9twTd5TF786NBI6+rH9d376TzJyfJTiCjGUFn2SfhwAnUfEX31Sj+pB dUBVmmhAXLY3SmLjxMcmIzrY5lxxvdHxfFYGRbStfSw6rfqvuptc3n8ADDusd25fp5yzv3WrIxMu zXDMAp8VqV8F3VWLWFRHheEurg9RyqxjqxoJr1sozKUUxlETyfPCospRQ5I43djaA0DwyBLI29Xw GbYBIXg3zPieus3Q8G7LS9rSPsqvJDqEg0oUL0lctXqCOO2Gr8v4YjOgMwalLFcF3UoLcToq6NLK nrycIOQ4M2culb1Ueszvy9e/9A8Za1bMvkhZDgTj6jqRsXG5858O8fuYLOmmDI24gIEUaZ8DgRA9 cPACbhVHIiI4Ok51Tr+rZAp5Goo+FL6da+RkSf2ii5AC8+zt2X6MhJNdfXuXeteugyg+3hNl7WdQ pDl8XzEP3dkD/g8GgI36KC99bp/NrYo+BRyVbm+X7RwkIdcOBQ/ohriVzBu/2YyYbDsopu3eEliM z3MKLJEQ8HwEKU0FpSvL5R7lK4/uMtxeyMEmjRMYjj/PFyixfOaQGQST4CQlfFgxz66YA5VQLMlK l0n+Ei2z61I8Ymw7BjxGNKH1l3V3qT7jZHjGNScveIip4oggi4lRNPSdpPKzEZFO74MnQWMVhpqq MBvhDcyhM2Hi6hNQRmiykst6Oeeoa21M5A2ra6VXgDiDPDbE+gtujT7IteQrxk/BUVuyf/cOebeP cnSuajpk+CwOHB73c57gpO/0k12VD8Q/DAZUYFZg+pvS1T5ruO8p+fypbNFQtuK5AlUUNGKLrQtH oyXpjjlCTPPkD7Zl5DMjvAvby+HzG5UnXIMnIXIlhB+RfqwAGMDdzEboKNv2fyFfjPneONlyU9YC hnbpiM/JeewPQkkCt8/PVCkkb6io/ITfG4QKAUQSZFxsmF2te64C5s1lj+k10M4xz8urcvDtZvcq laJmeC8oi/stfUYw54oAyNb2Q7LsNwOtbwYVQkk7Oks3Iowi2u5keZLOOeG4rkfyf3RNHntT2cyr iYVby3eVQpf3hPPK9Fwf5A7lgsqcANDj+1uHFf4Rb02cSpCzj1l8OeROMA0M7G2Jm7Y62HUwPbfi A3ITseZQEnJlWDTbNjdVH6C771pwkVLU5m73eKvGQD90hi+ROmUI8bVS5aRBWbGbCgXlle3sFOe/ 0SHByRm5HmksgXDQr8CNQL3rUqS3a7WCQDMspIXDIfRjqudVdJcRYBrMl4GxUUbUMSOjcnNAfQGP p3YDQR+00wg75HWgygKfijUeh1QFGDKRNyAaLNC+eH9xc/RBC8TUmteoClcqmvquH/b5XgFMaqXp MVy+AxdmMsbR+gVi5VBbGOGFH9rmHf7gAf3S0stm3ivlTxiKy+S0J9iTD1MSkaspwE8rgZMZliIJ pM5DpfG3myIlmxYeA6P29qrqvmZvBuZJWqRMrCPQY2Slr3m0pNS6KNiemER9HwxE/u89Mc5BSTmW 2lmlb72mQ5ETi+mfT6Q8xnxDisoNJqOuGBubis8vTSz2KR/uylQZkAx9VIccLjUZFxdatzo/Q4X8 tqWkAAD/7tBjpoHe+R3OTCFPT3tB8s9zEUCF+JFIKqkHhtq+nmDHU9b90a4middaDEoaU+NQwXfQ dKutMhyLK0sEFKt9BYnxXNokIpoKUHLUPCkXeUPNY0sh9I5kmpNPH1JjEMyO6QgNITFPsuqzg3eL A1M3P4MCzaZcSRTgcEulgPp5xHvVPF4WgvTSNM5XPqENCbj4uJ64quiPLVPlf7vfpeEqEBOSPPPt JmOhMetGHGc+qBn/TLBckG2ycPYPRNpg63ZFfDiUp+vHkiIsRaKkSV5xb8ecd2ZiLS2D2LUFMvv/ G7hrg6odd7zvQLm85oiIt8AIrEVe4e9r+GBUIw9vb0tnc4+LcmKd1rOZeEnmH0x7bMLPCuwzZsHj ACs9dIFkpl2D3QsbYKU27PV5Tcrb5cBVJPYi24S0yDZM6OGsnYScqgmju2tPTBqXylAsLzJLZ4Mo b9adY/yC42XZdrwwAS7t+glINwHSOVbR6+V4e1Hb+u+BbSDWQSEgeLss4BGp5gLqA/cO6Ftl+y7o /G2AK/kduH2ymCoSddfSrLLs5hSJP7XxX1CAaldP16pU3IOxks+rWWawgvshBcGSHNp+k4IPF9fO V10QSUhcoJYHiuuZE42QFoCeSzEwC+mPFhESk4tmUC0KSYbLCNn7KDHvkEq09PAgTFMJSKfhrvn1 KipG9XlBzSnoT+8qU7NDvN+tYXFYqNdmm+l8aZAFY4XPJyTNSKNRLmXxUIyxf6dayeqrtztNm58D yv1fMcoObzYFhgcBXefC4Qn83BIritRk+hilm4Hn9SZG4IdTF7I16B/G2pVtP4tcyG/fQG5ThAkM dSo1xp3ofreVeljjKjQ7JSQtLxl2NE0kqjo8dZmNb+HPqX1dr5gmxRiaPw/1Zqi8FHjf+48xAjmu BGsVGR2axUbkLiW+tXQxbLwWt3yxtEIcYrTAGP0X2etb67QESmBZn4FeULFHfggp2o0pOfI2dNfa 0x4klj5nJCIvsXOaxPmdCF7EHcM8V5BRXlBfwczD+mdhYyRMAzsI0jzuKpOudY5sD2JDiAcxk7gJ VUidUMT9TWpSTwQvnbX+z4Jyu/9Mmu8qvAOtz1Bg/Z6LmCmJ8jvMgZBva8+07t58nDRQHRyiEbMZ OkgzsRQitYfB1+SRUonhzgV9f6wUYLIqkci5PtsUkfgjn1+Jnt2R12kiLGh+WbOjBWOHENwiTMHj Gm6yNNXrSaRFzPe1t32bSDuazVD7l0kAZ+8C2y0y/GQOHnUsZR6gPGkAdKRF4807TyyKDyoihL5J SG9wpgijVtv9Mx3BO9+5Hh6nUwnFrVNZ0kMOmmQEfIWRmKhJMikrXm0JzWFSIx5SmFqFFmURXqsX OM1HkgJOvz+B+vvOEeH166osBvdaVKiDbFaxQyCLMDJHJ5X85Lhnps21s9HlziKBnpgxlvxVEfQH xkIq5fVA1thbxf4vkmIyCjYVgzeYWwKpu2ulmInSNrIjWjTh0PKwJbtwq/Bfi7958GIqNxNwhIzo i64wy2uIiQKGrneujoWB3/UyEb2iD5lYlxgwQiPXhsBhYJp3G3KiXKTJy8XqnAMeaonVodo7H8WZ EUbUrJjXMfACm2zsDcGHF/gYFcZQrE2UwOWd7gPdD3njGgZ932u3QWvP47yKXlLoNBFIK+PdPeU+ kSFZp9uhQBsiG0XY8A8vos1eXIALAJ43lLmOAg5pzdqYdDg7HxpXmoOtHDQ9RgfT+EfBfIaKxRBd /p6KWtgYmRTwqngrALm9b5hBiujFSXqDHs2vG6puwoLq6ZEmqXrIEu97uWYtsA/9tvnZlsh3in/V xXmJ8UpL1AwfV1zA9sf/4T/0mR12G8umxpvSamOY5VvBSXRQ05gh3xDs6mybrbzAMKhoobC5JSLr vjdOLaXvIGQW4iOWlTV+AFyRErpFHGppcWqU7C21FLqFEnnMeNd9/azwsqk9x5hvS3vlN9vMw1hp tZ2yOeymckn7jO7x95ohNdinBKJ/Ji/gnd6AgeEyimjJjqFidmWbIFKrtXVjBJoQJ8TE763tVMoV /zuUM9ofure3i+o4r38PYBBV9IVxTKe+1Em8Fo8EP7HGEYpm9L1UOWN8z7n6KDc1I3A3u9NGDb8/ sdbz3xxbUNhWxOBknDIdC+RoAZRExniGXwB6yHExq3iHGMSj9PqWgS8y7C1IYs7AAfsFvIMT16y/ BwFYWi3MD/odV/Heg4SGJX9cc+Ge5VxqCLR+1uiF68E/OL65gASQNQn9BKj4GenPiKE8HP3ZTfbR HXO+BmK/8rSK0pP/rVdtNMR6PstlfR+Spz2s3dLuyUNN4qVQEWUXuYKEhJb8uypKwnoB16sQwMps s1c3ssL15MZ9vLHvBrW/W0rVdRjxWJ4DaVVw07Mtl9qIBR35XZ1+9WT7HX9dV755ThKrfh5Yw60s W2xAbJCqRo0UnNMLOGSTd8sfHcLHQQ7X2hwvwFxTSIMm6fnbGvhsKnRuvJUri/iN/uBvTfxoSUTM z6pY2f1aoqiQMb9ExXVNdI3L8eXDGv3Zrrn8CHBeBEQQnMKrHtpahBgMVqrTHQ1jUenlXcKsaaux L9CGu64yJkJy4KTLU2RlubB6nxM6lo1bW3HQGNoNGPqmkeyI+6PxwsOzPAQhZKdiLEKrLP5AL4l0 ihszfmwVk4SMhDlghRrNr7MzRIRlwL2ot7sziVbJQllrJKRp8CImxEYHMxDK2r/zQBACv+bAmOGw RO7xwT7BePSmPNjqH62Y2IZ2xwV8Mo0i4LrpCeteLGAN3VZGqHU9vg4WUXChBetX3fpNcBs8EpMm 9Lm2sZiL1mTQGhB/a1KGCP7VinHUQfdNXurZ2Lt5r8UuIzHj4CRPBJiyAD6Ryzwmx87jNh2g/+i6 jPGt9bJiOcgfx+o4FZLYFcn4j1uADLOsrasRoXAR8pNTWOdHSowgp9QeTfQ9eb5+q+BrjhIS/Pds dxIT9B/1t+o/oIlj4X4YGYTxFwR81+xkYsS4kI/lJrK8VBVPoykx1VslBgBXHKlBUVP5By28pPN4 XXczn8Yx0Zzjj4/klZoRnkq5BRCQaW9g/IXOKPIdHzSn0+jd9gTzb4MCSx6bWaRAbY9buTZQ6dma jdr5F0s7opYz417AIhUNdYrrA3fyzzNxMIjWLlKePr6wf3olvZ5GGNUXsOIFn8SmoWhEuqXkH41v JrPWTNSZw/cteLZmlWJrI9k5KKXr2y7kjaxs0i//rH4eCFPz5nWMF/hlhGaicRiPXGheXytRCPiP Xux7DwQlQNPIhHOlDr4omb9IPG9loMFWFnsyD/k4XeWVf9aWT0Gdu6xwvo/UlRl0EyYxPmf+FNJJ q5ivmn2xAF+IsxMtx0liMY8fUpgJytJSkGnCfCxlM5aISLXzZj3JtT8a7n64nyjEmq1wgjR96aPj kEGxKgkipkse6sTrsA8we35eATcTrmTc9OZitAEmr2/rrgyI7Cmvko4K9lwfl3hiKcYj74zQ4/UX 4zuzB00UAJ3sp/Z8RdfzeQFlWbrsc6ZF0HX47wA6wrLD8b9e26a0olBRTqHhc4ErLJjjh7m44jQ1 6f6RP58yiPcW9Q3dAISKPjEaJ4MqE2nL4xq4PwcqA6On9mbdJsnuG0tfbLp3JnMwhqfs8DQFMNte BE7+9m1T1dG8rKxoPhMr/sQ1ZXqaEfgFxUgHFsaiORkwwe4DuMV4qECUlDQwMrPxcdxjT4LynY/E YdYL6LQXGdq+XrTN+BZ/3q9fKos98ltv1qk5PdtfEMVV38z6DGSmMYvmHoWi8ycB8w/Xh8hJv3dz AWnYF12PdGZk8o8t1e0W6xfAyJyKy0LABFfk7SsYj4SmbfDw1yEiPO/jKqNRHk+OhRSczr7vAPW3 SNBk9p/eE5E7MDEHowxHs1H1YNeds2/QyLvLakDOw6Snfu22SFvnAYcsEPkHAay3HWH7hpEhYUbV jCepL9FfZlixiaXm6jgqO9pfpX2odauPzLbgL8W6/5/0SJIjHFYX6HzlDNNYnkijGKfvuP7kDqec bIP9XS+3GYgclHFIcLkimQvIDJkvIZUu0LAClZw0zHqOochiBH+WaUdsT0TojC1jZDX48R0LYI3J sQNDKIBpAsJbw0NLOVQMhGkWbbkfxbkLULGcfgjK3dK34U0l7jMKJLg1mP8/HINU+Qvdc7YaZpmK /2KvOFMNUEt986tt5VTlQSRELra+43VStT0BXm2A3FsHyoJzG/dEiybvnrvLJX+4q0jK5woEAEap 7CaNncW7f6EkXcjiY0J5GbHrXBneeCkKbr7To9yOpFSCyaB1QqmqBnZXatcV4rG0wId5HwXrTndG e+cIQblSIsxCSi1QN7Wyc98p3btUWJWsj2N9SGifxrIsGVeXCd6eoh1JKPEI49QsFFLAWweRHHYi q5aLNIGEUY1MOMnAw4jeGSjqSci5p2hsKKlJzwEMaaIsMQ7qTfG+iLK/jBu/UUl/RA4cO3TSU9+C mskIxsTAhbbvC8LVjhq+NqihXJE9SLYXWrjiB5uiNxI1BCkgtkc87jO4zq/YVwz1gEwBMIcMbajt iimDqeYFHoL6MnuqTQH67tzYuNHw3iET2oF7fC5NsXFDyOUFzItC37cag5GX484e+9JuZubi2V6r pu2HWbnNjRPFoYQPBP1zTe+SUikMVpgzlswU0xtA+c/3U3jdehmR9j+bS1dytjA+wrn74tC7nK/U tQRabO8qYcUXI3V0v7tqYN7QzP51R/VpZdg+eVBL50mxWlIpPdmAhVSLG02sNjAksewTNwPbgqGc Hpdm5x1unrhn50YthdcoYQPY2hcUIpdfxUvsNYJ/+at2BzKeSKwR4AGDzmKOI/jaBCD0ZMl+y+MC /a5VOrGmuWiRqioL1Za+D2LYb8QMKS7h/dUo2v0zQkVCPIORvuqrvDX7uHzrCxFvO7UlzVXHHKnI gsyqV2rclRvAd1A09+bHfU2lFD28Z8qj9fXFMHsr3BdfvMfbuGECFhkY/vNcSvGeR1WDi7/E4B06 P68AV+ogMdsEBw2Lulf0dhyMmJCSSGvmEcKs/syCocXAmpLA59vnCffKKMMzWDletRGSTRVpUHoo xenDGKra4uE6i/jAPl5doxGravOfefxbd8u3RwwUVdcTL+EQq1rfrHMN29dFIVnigccsqLW/l2Kv bkmY9WS4rMEXoHQ5dvKXs2n++o04uhyaILiGO+5jybUBIpP/E4zMycKWY4g394+lo9XyxUDCT3Ph Izl+crpa1WhkaRNjUuyT6h16CClBc3MSoAvp7O5sOcnGMSSYeeOrXALmxiRo6YEzeDkrDaK94xYT RIywnfXq2OXlJ1YyjpThU9WRotCV0nLTHTEqoSyxmnrZ1TPEO2k3okL4LmEB2JqgnS3534LPRpYe ufdyVkAe1bEoz+oYlL7eZhewYhhnlKNCXh3B6IkeIiScIbVXaTrC+bVjjSKfH5aN6tbbkDY7qK7N yT2cwzR+i7hHvMfm8fEOl6WggdUiPKBANzNiMNuaYCZ52I054Qy2fhlKNpCcrUP4MSZ+qEkAGP4j so4WqnGK/XDjlBQ3IedYMTlrCf3bQrO0NLidcuQN05VNJeBF1bh4OCREH47Y7PbaR5PD1X9SZGZq 08Srqoo2896O+8jUIUIcfj8y2LDN/Aip7CZbV3NBBeMWLYoh5rqTMz9gJKLiOYt4wdM4uI4f5zkJ iOW/FdwcNlSeJxZUXBY8G/XRSk5jVD6FkHHK94b4LqbaHwISecfS7tF2Gc2S6YQotc+cs4ImTYSQ qnZ0lNx67FWmGsitZXoxnV9l+wJTue8HxLf+Sy39vbVuN0ODBrDB3xpTizU51l5gxnQZdle1XHut drZmuXZICh4E1BeTnkwmvG1jHYPzXLqxjsh6PflyDqgu0cxnW2zA5BMGXZzdM5ND5heniVYBUYwF mdbNPQDJD1ZavAMp8daVnVsf2rRJzevvMiPC0wMC5TsM2nM6/cwbg1PNS//cXgBGEx1Dzgtetyb0 OcyLrYquEvZDhPjHuA5Drw2RSDcI7Ci7DFiZThrrcqC6Q2jIkB4ny4Kcjs34VRUsS2CJrRuF+O0G CPqCihOtK4ggyArFlXTQoTzKgzanPbxBDCzT+kH04t5iUuZeguxI3s7WHZ43sq+f3w9NOxZbndIY nV82FMl3F+s4HRxu49TuWalM++W75TxXeDnxNebQ6ApBSlIbKFn15yje6CbW/TOZ2fOrUy8RXulH iEdfLemh1vg9srz/I1r57Sn9q400wka8ZAqEvtcMRKacDNmcZVXlAKa6+3ZIn42WetOT03XpRuSR 5qj4XsIa0jXnqHrmOIsIQLyz3nPxUJC+xBxBs5KvvKzT3q94Rzd9ro9J8Xq+qqgcJljfPM4PgAtZ FYwyCctfu4yyXQ7WpiV0Uy8cyVkQruQrSZ9oyvHOrKspmzH8r3nS5lWoW6YOoFnhJANMKrgUzu8x JBzFlzZJ1V5I8leV6pe4oquoh8anGxzjFU/oRqVkzWfXmvxQLQmlukBdvynmYMv4RIOiytM3eHFh ZqJciQS6kHQsAUGbKhxE/Hi4Otm7xP9ewjI72nDQ6vYKT1ndq1JqCKluBiyMiJw5t5E6rkHA91cy i50JHQMg1y3i9L/rH14njXPfOFqK0HnMPdZdJzUF1DtgsiWnIc0KrcyCBaEJ/N+Hv3hoLI5roZEs k2eLeN4fox/TVLF5sMDNbyjmMQV4siwpr/fLBOD38dE/UjyVveT1A06LnWHQB2OU5OUkKjUW9pI3 iETmZ9pjpNyEk+rET9FnCzeifn71aCX/7ONggCkz4ZZeX2/kCXYmw5t7hhiCRkpounEjbnkTDQRR s2P0po6tiIHZK8hc0wxBXybcAMqNaAfx3AIsIbafPCrYMiDwpaPV6DxgIjCE0EAqWzj2jB/LJ84y p49YU8hwT5twwNgFqvWRlilkps0P1woadydyAJfS4Vktx/9r+Ana5+fGfmi+aebgXKLV3FbNha6s 4jSQuA+mnB0ETQAJGGOd9lPakbMemWfi0X/czPJCl5TjxeoG+aOYOPBr3p1uqwPlF/0bJX0ocMLt /4x+mrWBuOVeTVsHFm4OGyYy2QeLcx9eDrr5ZPWQmt/WfqCHaIa5JR7Fbnq2tWS0tJOrn4LvWYKo 09wUhBHZAwgIZlU2dR3CYZm1oDb/EUbNYCXSgJEd7aaon1RDFTrfw1imsUWrP4RB994DIpjeiN3O y3WZOw== `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/fifo_generator_top.vhd
19
34705
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jtXjITQ50a0ecf2Im0hc5gDMz+eLQYg/zzqRdEOtUonTsMauUR2I/zDZca/cFZRkz2Bn/e1TcNfn wKr/p3+6Ew== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ANnTEQ5JJem4BDOpiZXGW1BGnlByArgufttfMLkwemXR407wjOM5c7+DduQ2B6Rws3h4VtvHo6rO wrBVcL7VsvPq1+tV939t3BGzv7HmeOgz+bF6BolXyM301AxlRkWo/0oJhXt9sAWYr7zYDeoXtQZb l76HOHad93vrCilEPkc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XmwNj23lI8XFGQYG7vF9oV5Kxca20ebqjV8UOZJpCCCr+xVAS7ag+llpfkHEOHuw9tSDfsd4Eagb WTNoLsXhoBdOAYPEcNzU+W9qGu9/wjx0qrsJ9f6NyxsR8o/IzcMAojV3xWACKEn/35hhcf9UXdPw jFtFMZBq82H3pspBY7rQB54QzJyh7kwXdtgWfJuR8vKgpz2Bgw+sWz2/D2DHqFf2M9nR9Jj5wsYi jA2guHzbYFRqb3Hyb8w16e2ODRs1Chv6CQa8J/8jZZjpfNE9JYFfYFbj02jB3GIgpxkUh95YsKVS nyG+AAIy66AvGO8wjxEaZssb0O8bFU7NUeHAaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jhiEXYtW8X8urAKsC5DlhfR1BlhyMUwpr7b+LLkcXXJrwnqMhkaTCeeV/MLdD2fZlxbKcfLK7F9V JGPVeMHqW/OgkDKoPYInFHgV4dQ8+vVlaEgOkFd21VNxhDMogpMeEu/OUw7EcrJ+uVFRL9Y4CZQe 7QVrICfnVX7/1Uf6PJs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fOUx+hBZ6Yu+THnpJi++K5FNQDW/3h2F0eesEGevzvwYAUzmUKIlynhcf5gdgPU7azk/daFeo+yk Krq/01NBV0vQpvK8q0FHFH+ghuL05juk1koa24QZKqKLJESEoqe8+SMhcjfeA/1/cXTmsbZU0sOR 598davhiRIPeODK4SAJwb2vC+fldvr29ZQPfn7IqVQ1mWsnCoHzWBSYPyy4Xw+6asrFDW88G8kf8 wyRSd13FqmDW+hKwsLgtlOhvBagW21tHVBbEEW2kPEAMrlmNhaLMf5utkD/lTPuEPBItEC5xgDps hn/cW4ZYOpIgB7hTnFioHxnAEnyoEZ+mfU5gPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23952) `protect data_block 4DYrczoDihzJh7u6JzyKZN948HBVYdRYa+rf19OHQAQc70Y/ov26W5NTC/Jmb1rD0WYJtEs9ZI85 +RN9IupqStjUDUCS34mUz+a0svcwsLHMJgZPvLggvbBkNaW5VFLcq7B9e0nAebnP9WHZyw6dyHmt S/3Dj0RhVJY0eMCcUnG/OhTImpTYPUD5mOIC4H+oeKqzgBoLBTOfOX7xywCQovvb279mkKeIm6JT eO6g2eIN5xMONTJK0Z1reVRmOPULaHpYig+COozn2T9Pr5d4U+cVc+prpn0I47Fedrx2z3BOjbJW C7ZVWB8+b8QscYk0QQ7pge0Sl8Yj+ETFqhtoOPjRLWffZy/nq8YF5D0thbgmuHt/CWkXm7quIaeN h6zlMMRZXB5cwOZTKScft/kESrfmzwHZsolwcS9MS3sm5uz0JNthOFqUmqd/t2VxQQ4Hkqqz8YRF t/TmTH+hU5TnforOcEclA6bEp4BtpEfLJ9hCxckm/81ypG/rxl6/5Rr/S/2fITEUqjQ81/emTmQk AFLNww23QNPaekPOdvcqp2Wim0HngSi35ujzzIjSae5bX35js5wPywpYiU1cs/56xKGAFmEh+hLD GoaQUexRcjgbyphJO69kCM42+l5bcQRztxPRKPnBvyy05eB13By2Gz0LTNzYm6vHDgGm2Wwxmj5Y BBenzKQsXHRT9bpIHZymihYyH8yAJyPPRc2P5tgFD7tNj3cLviwzsPZJH/gIyayDQOEn4mcfgNIX GpsXwpvdeSKioQ/spV6RYR1qvcbiWP6a8QhUIkzgTy7lFHflVAJkWxhwTrAGuzMLoNcTtP9cH2eM MptUEwc90yrQSPiIC8/r2KU94mU/Ft6hlv0q84yRgAnYt+QQrnRFo29HWq0j7Ihqq4hy80mq/4dz xgIRchVqiqIfHWkKJMgG8AB4x2nsAcByat0RsVzz6uiA8WxZSq0Phv3XjukI1EM2JU5DmjlHbFSr G0y+zYbiv4nJ57qyJHOY/bBPqgXQY5X7a8JHydXskvh8Hv5Cr+AUhprhe4TxQmoj73hqpG1j+fGk rzehnyLoxyVh1vCs/Wy9QtZQfEJ2PbWMzdsqtB/LvySNW5gN4aRUFCVGJyoYCaMWfpJifHOVDPN/ eJqsZsSNjNGAbfnbrvuF6WSURINpFtqOwkLRN7wh2qG6rzbKSzG1avcHDt6T9Yuxz+fRdl6+RcTX uYWdDcMS5JyWETfysmboZOsWFT5ak4yExvR0z2diPKjIziuWgwqjugqL61pNMQJfzW4jaDVNu8YU eT9Brr0E/pOXy/pBLnOCjoPQ1ALiCobuu/YE4BaKLHjFwfkmCR+Dxkluoo+4vc4z8p7iJ1hurb8i ZJglb9Fkn2j4mIWJwxwEhF+eImQC7WjtR7R1ez9wvnb0l8WH7QgnvFuXgYHO1SFrVzPghmoc1ETw spu0iysQk5Vgb+qcJHALUFptgkktroGxtwwUMhcKZOKZYQL8i7V2Fk0mqjEdvWN9ZTufMDFUajiF W0LyaCEhtKwv5gAGBao/f9eJNj6uy3OrnUXIIrrO6GKclHevXKwZvCii59sNXL0ETrtMlpL3MLa/ l7ruXvWRIJPb1nZVlhAIsT2FPYR12Ndv/0Bkzi8kqxHZzQ37zU8NSVrbXa27MmZ2GemGTNUp9H9J sYgcwDsAixMy0nHjmfsy7nce8eRDbfCNqrsBui9zAB+3uMUsVIpMyU46BIVlhbeIrUB6tvR2VNML fd6m0Heq9Nc4Wpr4Z9luwMV1XRIJWj2RiplPzkM/1vRthLA37O8iaRRVDxoItn7RDDLBRgTPSsbX xAjuQvyGQzL9HNAinpam1LYq9Ifie4vyaLnRKojDyoIgyZnzEeVdCncjtWC5wdrrhJBBmGq4IH/d 8ZSuy3MT9rLLP0tIaDQyTNUfxoS07zqmGSX46yotMfF1jlyO9qSCff8UWCinLxRVsCc3UwiJMuTE MfdbmuL5TnnX7SgnYjof58OGFwCD8EjYjDRZCvtFmzmNg+18vAZEXRANw4G5ugLX2KTewnallh8U QSDoUvsJzjCenh7RhA96KL17uyPz4eF9gYOqDKdcP4YCyOfEb/qEREGlT3AZy2OZcObBXiS3zs6s +5L/oP0qXK62ebtVR22QRLXrmoUjKsS18vWsnuYNc5rtfokcFQIsu7ylBC/lZF874CooG9J6l2eS Aj2TDH+H+HoJaHn/s3veLJT8xpsxJEqc8hoswhXrQNBqpJPgg06KgSh77VAihV3dFGavmL28Fsmd A2WPmPu0Xgqar9DDd8KLVGjZ9nppAdtnmnNd1oqTsfSHtlB06BDG40erHPHYnhNi//PEJWPUWehD fDdczWfjozz/mGAHUCmkfmHbbAclx9K/Dshk+Kaicc9cIe9LYh+9Uscg0Cha6Ht4zYpb3oM45Res VJ3F9ZczI1b54yykT+27n0f/Bpo2UvfWuGFCG18NZCM/Q6atf4BMx25dSc+xaMW1F4g9BFxedV0w MKLxDJ8I4syuSz0qhtFI+csCx6Yrnq3thWfNQUUzJF/3kozpsp/O6yPsuEnETj3PCR0mtTfOtPfd gXQlxphqJF1i5OLh+FmcKclRWRZUNRKSghOUBufnNPLT7Aw9drrEPR4tMUyyD5tbGNcqhsiVv0qC htiwdYd5nXvEeJcLvCOFBmwuYANPn5qoM6jLeJ6uWGwZgAseIJA+2dEgPbu5fvmfkrDjCNUVidq2 yb+M6sgwNl45Otim8wXtJuJMdiQeG0UaPacGS4PetqYaTdmde3DLQOEanZPvfQUWyWqPtUm/jbfv ciwswUCVAf+kUkwR060SvaNim2hTHYaUqV+k0/Xv4Rm2mLCDf7voKgrD6tTJBJAARNaB2GCuA47N I6uOQfdK4yEC7nB+WfciYuZnUAcYPezgk18qUA50wsnoFo4fZ+disZPbup2XxkjX8uLNrTZFR4jc 0kBJAfDQ5JSKcJEADa0N3GlJn1oQ/bmwShWLE4tGkidYKj5/VAiSXrIK0e5z8GkEt61asqjMThhu 8TYmAO6viohsAIFosLT1ekOm31xGaHRdL87VXvlSWRH49XfHcLMiAEN1m83If6O49obQQK9KpyqG jST7Znwek+cMaTkctVQj0GmTi0jtUY54XHhlLLlm7LXaaKPi9SvTgK/IiO4pJWRxsbKzJ8jlVLmW PiK4aoDu/TVtqYBy3qEQ6X48q1mCnhTfz4oUoY0Tj8J/kUOY9ZJ4pDOT9OKbw+KwHcKSjX3sqv1i Lgk1HS0pCVrV41C+pLhciuz9QTqkssORiZz4dNqDDZIt6r8pKqy7tRYRUQrgBSubvPQ+Owp0VcCt lXbWvMxWX+nbT8SAo3XlWsI9/cTDBM9sF+ADR3ggrniw4lPSCi+WW4Ea7yA0WSd6dKuMzJrdMuyn fAU6yyUK3/Q9rApjBXIongv95eAouSS+bth8/aTzSMWEyvsSPyIFCwK+5cGMY/kZz55rouiOev9k LUVssz7UnW/uOgzt0GSOsID3QsJi+SkyhutcsX81GBnCO9KF/3OJIq2mr7orWfPfrofFqn+J4JW0 yMzqfKIXjj4KbTDNEAKSo8La4Mh29XwyRnKVpRKle+3Ssdib1qLR6rY3PIMKflbiU5cCHLgdKGth TeDQcMAyImcXL6A+FqRKfI0kA0xh2zlg+mUjA36xeV0Qo3y5B1cW5U1eW0i/dgZ1KThsv0YuajHD 6RWxxAAdgk8GPXCydjJ3sxih0Sioe9i2bP1TAmODgwBH7J6iJXE4MTmFF2lYSXyhz1AWWRv2koqj HhzCkDIYig3haY9qkmxOkbFAqWcLJzRbAsvmaK2ix3YhLyl5gVVmxVRRMQPIt5Q8y3Ig9jTole+t /QuvcC3bMJ1FYKxMRkpb5c3iOx3YNNZ7LHzZnRukTF758NMZpN6tudKSvpVuLwvapx71gJhFLSJQ XkR8nLNO24TF5HjfsZS8+BrRL1jrIMpsk66XgM8RNPbDN4mJF7KQWVoyYev2lhI1tb5mO2gZy616 W2QjbiaaziDgkCXxIpBzuzdEAtfj9+sFtHD7sn3fBXdmLSHtHlveI39muOm0Gkk/rIJe29SamL5N G1aV+LaXZpHhrqy1KQs91nDaYgWn+dsqpjZ9WIi8C0Ay00rF6bmGxSSa55x9Ral1i+CWy78ohXKs hV5CWxeNVDsFDLDRIjVNwwwdInd+zVTbJiZDl7e1CdWqGYOdA8DYO7zH4z4+Cz+Txmam5EILhaEI bzPC8/Abg+Nb/bT6lmevS1ngkPfeSxa4Kjp12NWVBswWLM255dSQy0KRUsknxHg6AXndyf/psrV6 sBfZjIR5UyZTzIFEOG8lPVew1JATKaJE+/Ff22RI59m//BcQGCQpgSmQ3uw3Lgo4waC6duY/aBt9 zhTDrwBP9+pSAVhhu0QT1H0wQpzYJSioXScSpqP2qWWzn+GcEhsvN/J2tLZPTqjZFgxhdRi2p5/L VGkXTR2o2R6jo4FpK1HcM0Qm/pHbPveuUHfseseqm0uGC13g2n6vphsnUyDxGCdqf4NKOlNRLiKM LIltmJnpjxRMKiMMH5YmCOa+7Chsng/gxDhAH/ivCV6yqJW+5hujk5x07z8YINc5na43ZPJJlib+ wpfcPx1c4OLzAw7rvvlBhWUoRD0wexdJZl5uftg7TIaJYQWDqAl//zbdVKZ50PFyNiF/UJMkzPyg jXbBzD8qdr1j5cZXb4EqRqqsSshPQXN3xesebp9Zs3CHwW7DA/3yoR/4iwMUK9n19P7/3jjxUwAw 4YaOu2DrwasILxJvXBNLiHz4vbHSX+rzeA3kBp13FetG815EfjQmPDeRAZw/13dDolpoXIz6ecVA zDB1wJMCnBgN77jr2oKEkwXlM5D0KybWZCU5Fkex4YllV5dkjeJrj1o5RR/H4Fl9jYoJlVgKyo1+ kMk09v8dXzAO0RT5Ms9PCQzopH5Zt+NDVsRwzJOJ1V3LwgMdHF7+moD3HoAln9Zw6O7mU+C9jaMh RzrXifWoZAMH44g6vWJBeOfzCpbkAE8CGveqOquklltIe51w7ig7nve9DmYak0DLFodCmd+wRhga qF+SkP813q9St2JCGAgYaAV02fHK2Y84QKmMsLzUtJSO4C89sC217x8Bgg0aBf+uGfr66AhkskEV tIZ0GLZE6N47IIDb+K5/20rM1KhUE0pzfSbbZiCa3XzBVwNSKqW2CV7r6HzReoWGJAJxY7ECjTEj h42f8bduVeBu4HLfQffSYl6SL+0xXT/tm393eJLUrIWlFUt+yQVZYOYcSmz0nygNuvOjVAEonWHo hbjYJYQM7P/se+bzQhPxvY+g666sCnNPYCY90qDBGeKbT0Q4GnbIeuikRg+jnM//+do6SlCm+268 zwbvnV2LXV8GCX6CiAGlLhSvMGMBfdEUW2B8MULAQ0z0+hfmMWkAJ2lMysYnwLDI+fuOTs9E46XD /Q+tcm8c4eYZF8lWrySwdGk4Ar/EmlgWyMAcXETUs6pW+49wfgv6ulSqvnY8lrK86BOGqmXL/fPq B41tSqv2eLIO1ZII4oas408f8tQd8CMtu4uyN7LoEWeJoA8vpixwUvTxBVI+U8m+nw/MRUuw5i+1 F+PFoq2L/jThEOBCEVFlNWmQ8KN3ME3Mpr4FjwVZFyxUd+vwjWSNJzPMRYmWqIfuiw6bIZRwcyWe cew6WbVqlsugd75n+J+UjJjFUz6FLVGoszmWUE0BnzGCZyKd0CIouZ/N7x1YUvZIHasr9EQLUyrX OLyrPKyXVrt1YqASDljsnqa3PMCMilZeA0JVEgrzjOQX6d+oNzqK132oeJpRjB/w+RT2o454qqte f/CLg+52hOOQ6LTj/cVdNU6B0FCSq+qV96+H9uWR8qm+U4kkYoMRDNHo0pgXR2SaOuLmGP5tNEAC G1E/+dYoJ/ds17+F81+w2rdy7oCG66+Zrbc2Hdz1KJIMU3XiylwOS2Al0cvwS8/UVuXSjZ7lhZ8j A1YozzRfL3/R7mEo28BUrRXyjJXitxT5Atk2CnZOiDEX76xPMrlQYlF5NBzwuDSUZF5gxeRLZG4t BLTXouX/Fy1MADnaTA3ou/6I7cGwVfv7DqRBLjAm7wopbTyBSCX9Rk38pHgc3A0snoTY3g5WNV/k USbZO2f7wO+AXqgmMnh8OxfaqiahaHtpVgymO5N/NnQrFOas8mHggNQmYXto9/zgmkc/50NmpuvU W2h4NK3bv+9SRKH4IXoGm8/4oFgohSheN8uc22h6QSI0v3293sZMdcIHcZuO1tKcWgIW50HEZNcO Qp5uL7WETiI2E+SIe0mcCVWobVhn/wF2MfQCeSZCUCWyuunOrgoANPW6vsJx+7Cj6JZNt3xt/S7Y 1+BsvPvaPO90Xlp0QyetwIsspRuYTCxW2oWtMEBnbVHZyxaFVBTS1D0AuH1lVNXDeskRj8Motvc5 bBJ9npoOS43VweZbdJ1dv2SwkwVmMgsNYILze0i/V+OOlpca0zEoJh5LYsxKzWExD5LwTFIkd0hK e5kOKIJ1TwSmnLLTa8VcdlmOrtpEPtViXiKiEIjxzwpwuiGHrnRnICkAbVqrHoSiGEZ0sqlH9ErT zx1eTd8DC9WMD7wiIbuIVPFJFdDrGnQSW6vECIrXayuwKBLDallseNRekq7mND4zHcxxQvpnFPEk d8jvsIzwCLLFPFdWKUaTCybNYimrDOFvAx7fh4D03eGTuLxlLnnf+cFcBAkLqUL6rF39aQGIhYdl 6cGKFNXCXf/83kBg9/SxlLcIdIsH5s5uIqdRYmC07SmvoBDflAQxMphF2Pris+WXWjpiAZlodfTD rrmn/4kEUCMfsAoExRHMPFoEzLABFIuw3Fo5P85yVDNID6HHYcl0i7pZx4alKyksqNZI213eeo4G GB1ySS5KkUmybORaGr6i173IdP3LTMmNoZSt36M1Xb/Ajv+Bn0mwA334rCVYGKYoMojfXB2ib64N QERzPkpxUUk5zIRy+CaA+fp91VJXAjPcobcbAvlZjtT3hR/9tIIPITygNicmKUphjA+zEKBMxLgJ ++9LQW7dhVmYJ5ivMyDF9zmbG+nQGUcsHhAxaFxVuzZF8Y1FpKwwxo8ZFQsvDvHGSgzcM11BNvRq wGX+C+uFYBghWyS1ir+ZIB1GYr+6Fxnk533ghFREGJNBuVsPcJbbwuX/i+pKGF8N/wftJfUlFXD4 mtH1tt/QmoNsn4ZDNXspKyeVOEeeinqJw/AE4GpGuqi53CAj0DWAeAG15cQaIma46Y/6O4W3Bpah ohFHT2txY7AWh47yg6fM9b4bRGcnjGyzPPbRxmGl2UcxEMjCg44/4oKY/y4cQQafei/MsR/NHQhT TRXIq5za+HNf5IOGJCMh/66kluW6R/MjBsZ3xJJsXJB8dhREyHHVzz+hkfkXHpB9tXAQ6t88DlNa N2YKMCB2yuTZvfXYIQH1OxQIvmkZzS/1w043d8aplvtBCJsH4X34lRvYBhv+uZcvNS4oEgZJ1TzH xltIDBV1bQu66JTpHlys0t24CdTtVYVi9cLfxtV3rBHNIftDfu2mAlQxiXwchGJ8mdCGZhHPCw/q OLFJKPjW74tzvnB9C4mj+HoDoz2cm1StjXSDRX30ZGFur+AvJjonK41PBZhA10GtSmZt1JaTMy+e tam1VTpKOu5S/ktMD4i9xKE112GEZQt+5+y4N9bfv95EDgd3Btd2opxkJlvrXCZQp+c7FSLNIC92 E+YFZIG//AMZzxmaVYXDSxylBj4TRnEhftC9i0Yq4ABsfmIZ8PbNr2da4ZTEXrU4SPPqgsof9GcC +B6TpyiSZVlwpjwVK+YnWa/B5Pp8JOZEPM/NMqSrjNxihkQVz6qVInQi+zEfBhaVExoWcYhmvMrt 7ZrLDGSnxkWoyyKKTAZzo38u4dIX7DShf6VDgNLOAlQ1PFAnV7nkoC4mmeqDZc8B7Yp4oKi77L9y PSSJr2bbtQ9sVfU14Qk42A8e6E9YtT9KRFDbU5T/bI/kzk06gk7kf21K5eoujBRRRjO+P7XL81TV oL6VfNpJ9t5z7F3rqnUqPbjKp3Bf+spiHMQfOKbaJ6MFpVNOkrHSYN0BdvdcR9Em3u6rIHUmimPw EbvQDObdAg+ZjGubT9yDWuZZHU8nMZjteBMHqHkD8JKFTs/LPRTvL9n7cJ5ds88WLSEZpCUGbCee zzUtN+sCd+afK5236Y411sHfajtQeG4zjNlWP7Uv0WwjVU8iI6piA9CNwGUyzvl0S81uFIMsWJQx wZ2Rbi2PuQ57FV7dkLfoxwCuH9YgSTaxxZQM+4YN1LMbZ7lIV7CKFKy7aG3ssdfXToMAko83skb0 l0r6T0cPq/5rXbHluLfK64vcgeR54QTdgba8FUbof/RvZSoYhU2/mJVhAyG08VtjWz6T8qQQa81s tDa/le5/plAB8h4EpIJWqATFdb5oLHDWMw3um0Pzcf9zjz8aEu6GSPS4E/ONoSeclC56KMm6b3sV gPzMhiBTbkpGBE3zMtPy/5F/+iZUuxjuAIANySlz9bXbOwNmZk20ac8LY8Qyc2IQqMvJGlHtXZ+p Q6w53gqbgEBJDfVbih3WY2CVJIgiNQMeCQlgNjwD6f3UL4wO1fm+bj7TLlcXvifRJq2O7bbLGExv QvyhRxe03gEtSJtZtah+eeWySoVLb/e8ktx1+nLJsScSjX83yUzz+6JU3dPR37+ACUnceZhlgbf9 MfgdVtZk6O3cMSBDwe2OJ3Z0E1qA6ah7D6N2HNlIr9cAc0eJ7BI3Jwln1oTbwR3q6Rb3pKDFLLfR ygc4qmC+csxD1g3qgFztNfHkcSTRcQEeVKbx0xF/ocGriGZebnrFE5hW7aXzevmfpNdkyUZtdyvL xiIXQqB0owQW3uMHDyGwmrJ3bSVIy9QfHPesoikyL0n72zKBz54aPYD7dWNT1/pmy/I+etPljbtd +HYSGXKh1680/M1XUswR8zm2PCNlli1yOayU3YZ1KFV7smF1ASyPYTUgwAQsnK9IFLDD+25Jn3m6 NAWlhDw8YOheISdMD7dOmSY6WgLyxJwZMe1Ffu2v95zsnsHXciW5dpENGPfeH0QQi+USp+Hl1JsU 1//bv3/YbybIBty8EXFhqUjw1k5zkrBmFOR8hu/WARxN89gXnMfaXq+4CszinAryXPNLFb0KFs9D 9ximxCyuZki2QTz07tHYach4IbyuBq4BKgbc0BoshiLbbGKyPLONi2/PiGJnj3rPfINBhOnNAQFw ssfF37tBt7r764hlXm/TcmJQgjz4qLoeSEYk7hStFjkJBCFRx4flcFUoZqrhaMU0lsA0tDVlfwrT a8eptu4Raj7WbBIeEOHm+1hOCyn4eqwLRrkZncNIIndkxF/7oHdCG/QHKs3bTxlFPPi8j2swD7A4 NXv2KXuofkFH9qZRAO5+nzPB8w4wu45b/ZzoLy2akpfQ/sNh1iDzBY0zGCT57HBME2+0PwES0vL9 XdiytjP9fSbXUqNGzEpxgPqrstzO5Wn9b3SUGfS8uRrDC7+RC9lcSLsrkrNvGG4HEPTNAJXeIE2y DqT6TAUU/cPBqWWrXUrM7VafihlcenGCIUhatHrBt9myPg+ZnQBglJGiMC2vQl19GP6/O2G/PTLO s0RbWOEgIpgagnUCzP0GB+lgpMrpxGWkLAUXlcxk9NO6Yk7/w1whfYGf2bCy9q4DQerGR4xAaBSG n45p9tA53+ALMHqdoSms64qVzrSx5fjtykzMngsp2OrwdqgeAuuGV/bSWDJRLSUCd3mlHbLt9D2l 8X8PXYZ/CsWgvnKIBAdHQ7z7yBPeDS1Z9azg2x5F8OTVCTYc9hiHGlWQKRvGlGQ3vmHlijAZ57Ul 7LMInNrSwb1umxLmHOfBJ6BdHkYARE/K+lBaCvBu/APKMM8M0WYmnuQ3BXCh/PeXxHg/Ms28XU2M Oq7hhz++XrJetMKdjksdf29vMK69mLfjWCgnmTJrO8Da7+trsWRUoLU3StTR74jwOWkDkQ2jqU0J 3KeRoHO1UkMhWh02ge9UJK3+BZ9I+u4mVlr1Ig2IsPtWTkQ3EiWzh/KWKY0orCLgGzaQ6PCdczko WQDpDnJVNKhlkGJCdpura3jNjK5/wMmY0YH+WCzSXI4mfRI6/SxvYdszHpLhQ0ycNFNC26/h3wDF CSptg2GX1LEc7fHhGjfPMKp1lVs+MvwZe3JHBnuwQ7U1xNjHMgsqbdK/E/OXIa7Z3Ld3cfYkCaKE gnJOf0KqofW8vl7ukKwIkIgEuDBxeKT85MEDsYwFdrLSMtWZuPIGeJ9a1CFVENSw/EyHEDNecFj6 LDKetyGtyX9eB0YL/7lZ6AyeIu5q3lvvu4NsinW4LwJdSes/94hSCrt+HzmZ+AOOcO4j3xsVySNp o5GCUDjgJU0ld3Cu4/M2KD+i3HEpN6bygI3d+a9UDbfwpE7OLu7CG28EkZuHnirFkA/UQJz5FNHu agOYQiuCl7uPiVxlwzdruqY3Nv05ruEUVHlhQRGXG2QMNKmvgOVe9q2OFxdiU97GMPP1LQH06oZY umNwsZ5dwi0T+UlPGpRb5I26rYJrwRAhVmUpUtXtjWt6wtqQQBq3yVt8WRUzhslw7frTu6R63AgY zxkLlLOyY6XnJ86vuAIQddddxEnrRQRHJPLDQXhG4ewONMiaBY+xZcCYcq2M3fVkVMMYWvjBuMIn TRnxoKtfZiIqG+3lNU6v6hg+m6vWksyJbxHEwvin/EwABQtwlhi2PSehBu61A0+m05wfEWcYh4/E MqsSM8IpVFFCmmfdtT5oS8x2p+id2Io/nALq2r3zh04my2ShKjiL4yYREqo6hOpOeC9DWBuWDhc7 yuDYyIntW0Wcr3IVhRmCQ2bCD2ariU3yZKWOLumjSPxYudyAIRrP3QgLHVp6K6pPIizLRIhLXhlD WXh9J07A1JoGDZQlUMba/r3CQPriFug5S+iC5pcRZeUcDfGyd8bR1F6SJFwRgu6QZTb9aYdTZxip Us+hgk2n9osgqfe1iWgiWbrWDi/Mthqxw9pfR04cg4UUQtIKS94TX71LMiFMt1idDnMDA+sLh8wK VzkmmvNlQs+ZKVlxY74kqwQ9RjQd2PN3Qr4/sM5RVe8k/qh6VbuF92UoLQpU4ORBYtS6rOiRp8Dm VXLUEOagki8zOPX8isd4pYVXoYaWYr0rdcRstC8Ame9Z6vE3r9nKfYzKiollhJM9/8u+rpYQhwMH 1LzBTLEE+vOdZrSelP3Md7y4QGVVbEs42pujUkDL8nFiu425dA4g2YJbvxFtQ0OyWrHqfn64tcSW dAx7uaJ5vxD10IYYmqa6zqIJTbAoXD6WYJ5Uw6+xIVztbRETG709vzJsWwPy6GSgzfXwSDGcmcBZ rK9yeqf+7WQtaHzGrXaB4flYnfVLdcL2FV/Ozj6h/Wipgnt4722Cz60wwA8cMgGukkhzKbQMIch7 bF3B4VN+EtmLyFaRA0Co5KAjgzsdNdpSgMnwKX2Twdx52owtm5lifnbueFMzyAeM8lYC1X3Obbo7 7YWPwz2YvUiq5MHPUVEJ32Uz80AueTY2PqoDIAGHUAY8ElBoN8S0j+vPjFkq9kE8Ah6Q2aM0QhO4 JV1aUqlhBqV8TOL6+CcYT5e/yzUVgkNxgwaay43abtzNYyxEoJ+JREa47CPF3B9p9bC8JfrXGZFD +n5Ogh/SzRofill60XehFl48+eJLrT6S7wUAQbgO4fsIu7uM/YlfiC8QSc/LOCcCryZEe405v8cF 7fbSZIMxd9mixmA0uwWK4fAJK5pV/NyXDgK3stlKXoo6mvjJ0vgIhBz99hnInsWEPRG+J+sJsBVn SSVEwPkaRfTW3718Q4KXBbtAah6yRzBD7yj+CqM++JwlKBf8rpcvOKOvHnE6Jk/N0NmXstSXXMlx 2F8uZcQ6/TSxSkZ1hMKjU6MmHU4+DQ6eg8PuLHwQTBpN0S1XuT4fV1QaTpdC/Qkjpj6fW1TadCw1 AGUZQ+Qt/4DgSCxn3PQqmB1/e7wq8ghjbUX64Y9Joepqij21dGhoQNoSYbiOjWO4BzWCjI1PPu8f iQwr2swnG4lxrtIbJwEw/rLFjiaS47CZGPEgmZr64UqcKOfJPlbEUHquXtu2p/ngIcTcuvhcVWPe kiav3uRrdrScA06L3ARONZivkBpVcXwsfewHMKJCdg5Ds7h3/ig0uyZleg23T472ATFLSjU3jSqE ITOCEEEH/RkxtQ8JwgQ6KtwWNK5/6iOsSg8VrMI1jUSvXowsspCjrqlfpq6nJ33HwBt/GkwrxQ6M viY8VsagH2/dNshJafUt6hZNtTgi7ow30CCMjZxeKB/l8pZyD7AEtojgcj+DlYT079BD1lU5SU/q 74herVQMlILlZ4zE/NGwp90fzEBZc5zahDjKmRBeYlELGWTvTLjg7TiXZbPnUxkfZWfci2DLrlW+ ZjSjOEyrGP7EJbvCWuNywP/qfP4ftWSCu7q9eydCxBCD5hMBw37AlNFobUnjZu65x/W2g4uk7uc0 TNx5hlhldhhj0ox9ZosB4Lt2Dy1bw0xupc+2iEkKMC+nMHMddyGcdict8FYdsfBQ2zLOugXpHtuR /ZL1ELcM1vLQ30HB3oabzXm4s2swLTWkbpkRL4tqGtGcbuk3nqkTGt6XNfA6FkEGk7tXTkKHSNf/ L1w0vcr+rCQ1g49mgjKCyqlxLmLdEn/9SDucufIPRl84iRtw+69EjwHmh/eHDFe3u8Idn9KWyzVu DrjXw5MJZv2ygp6Mboc09/jptbq/wLj/ak0ZYRU4kg9MUivsX5kFvuDhO9VJhfSMlWMhbj8nMnRZ +AM8Eb6tYxWEFRxNU86Q4apTyHbb3vadFP4VIqVmxtJGGar3vCHmxwh0JwhST2/+tPzu6dqHS3RD OVsg5KlT8ai02GDtl7D1yQ249OPHhrB73BUCQ3zE7XrP36ZTSQb4ThMqhY6Zlh5l1rtf1LpAoeHg ikeR6s0q4RBPdN2WhNy4Zmdt/1y7uiSEcUvfyDv+deagEmfvZGhUcORkHwpeg/gibgXFsC8bRG6f OOfGMFriUY5eNLeKgzkOCKz7HCF6j0UWH3ejSpPl+/tYRs3NJaVpW+1NeZNK4gyelpCcCmawWLJG nRrl9ulEJ5qNjJsgmre9ht8EwecxjCt++9v2uN0HYmHu2R4zQ5RJXqHys18KKaC7SPHre2E/vuXl 3FxnJcul0pHFuFn6Kij90g3Layg4eZbmYD42hZ/kGp5EqC7zuvCuCejUzmjj1IVhFr0YmcuUnt0B QyZ4iSOJ1rQ2yt2wTxu5ay4vmG20dlCfEkVVrXfPJcm4Vr1BZHIjFdBu/hP874Ay6NKr9jXg5DX9 zfIitH0f0JlT0PT3OIq6S34Jn+7/ij/by9X/wQMa0PvZOf3wahJGiaKKJXtduRPSRasxxbw3EX/U J2qCH/dsBzOHM0OiAD8hvqbk40mBu1actlFt/YxXxFmo7iucHBAPVN7HjTdjzuUQkrku4dbHRl81 Hn0F5KvHWuQi2MMs+Wzh/WVUhaJoXTQpndk4bb8lr7nXyDIvioGk1i4p98TkQ4tnPiVYqFZ9fQtS mLy6oDAfWuVCa3wNbQg6xEIN6N1sBExhDkQ0wpYsCQemYy+AZFAv8vm6svjL1fvJ9kasvzsF6ktR Pvt7xr0ITjv7Dpv9KJuFwG1h9YrSPJiNP+0qqib9qOEIgA688++HXKmLYZcjH1piTOUZsMZ0MEOO k9WNPp1yvZFz0OjS/V+eSYzVcLWfMH/7lW3xVI6bUTksHNsDMPwNbHeQ3rHR1WSfn+djGm6giv78 HA3ovSBfoy1c3eUQyb6JXE6faJ9PuDfQS1ddgNL+8F1lq9jVCp4/FNckNIyq3OaAg8oJcHDvpzdL Q0JYbMjXQ0IK8coMfnz+sjwf7b3p05Hn6pBcjRg4vbPPXOy0Vkd2ewU2WAUJs8gVWgRantxnLAuy 8lFoGHzdUzuoIWP0CdoBknC51nOfQDjZVmhVO60vI54XGQnBL0IuJrG9BZh5KSD1hKB8RYSLciiN 4gQxsZN0dmnYCru/hZanfXe+ixa+5sWG7JeeAU6JXwj7ewldFFO8WdVULKPVJ0Cf5ctMqE1Sk8K3 j4EcwxxX1Kl7bVPqflj58UwSJIngTc2wgWqIAF49/7Ijj84pHkw8FbSVXVqQOPyHpKA8wdxVDF6C 9R47UfXae7SSXrF7pKjTUH7Epaf90LH2BC8S/BEYAPABPeLMB6oJmDufJj/R8AyhH+ZrHGcb/HwU QVJyM2Ukc7USpOS3d1oMpqUGl4fsZHPaB5T+p1QSLk912k+0PJD6FZIiefxbWQV12xbspeV06tZN BwYRSsJnasqILlXLPXUxwINpbgGImqZJei7mNj1t1G1lJiAQBgIV7EPSycHWhfXu+93lgPUyim0c TQAkd6/7dretBdg1/KKQbKeISs3Zohhw5NEWbU1uPsl00IPT9XyouaLk+aQRbVgwLj4LNoa6orPA DEYSYniaj7WYxPZQM01Jl0J1fbhfDSxZQKSL/2/QgJsqLlsgxJ2+iBlBkBtBI8AnolXDROY2/vlb K36lqa6Ua2Xi5DnuupQAl9UXfYXTKaUGu7eHq+Frpsf/jknc9sIFXS+0CZ8tf3+kioeFn3kMZh9P fOavc7J9+3tRA6HosbAe8s0oUyoRfSqyQQjB1i+5tVeOtWjKZGsv5y2iTv5yntzEnYi12/McTPE9 GtjFF2RC36Qmbu5rieJlZG4h0jUBd7PfG0Rsdq24V5V0JE6kHLJeLc9KKu7hRJj+r+CEuPgEEE8i aOPNewif2V42A7GVdpr9jm1gSpEbHq4MFtahhwnuKF9+f/1zUbJzJmJ3D01T/x34/UlmiF+VwuRK WCsgo3hAnTpUSauYOEsKwWWHZCN5VxKYn5VQnOmxSr4vd4vjM35GtinrjmeuUP/+uedUg6Kaghf7 1DujIjTDfWa2u8nXBEHDxtUBIUQW+VI+bY7ia+aOASwcefN9WiHo34DKGWhxXF6ILncCDkbWmTtA oMERR9uNr/eoZT1z9/hwOf6mfX+UCBCiu3dUvrE8ZZZeYmw3gT9iP26bEc8+jikiOMrNog+sdNxL O9EZkMhfYDWquciMNaSRoIOWU7xC32/xYl8mLkV27eKDby6i337K4Vfiyxve3FmOvx704Sf1DrLX koYafa0FLdpapH+DrGJvy3YieeAzaG4Fww1MNyu1x8Q3bXuqNzJIXcOnbHIjnzuKVUKnq35s9wnL hW1cPSubcxzwlMhNRS1M1QD7QkI2v2H6ZZ8F9GXPLpLbpKF7tmgDmwFzVibdjEjvIlEgLVTto61G wQUw3JyekrV34somL0YlqIhAO5JhKo24bhfZ85kfZcF2/3UpztfpRchJq+H6NTQNc78bFIZ3SUG1 EGP8DpVqCXHviTaVJQdfriVt8lyYiwVZALUQgBCrPZI32owoLbVZWnydIM2d48HpDJkS8/r6EHSN OkMe78sT9WJTofLS/GY0P0CIGjlj4FmYiL7JFjic50TOGw1smVjTMZrlNSfPSIZOrr+foVDKFULb kuTNRj+p7HzF5b9opw+7pHqsHnBQEeXYCTJ3mjpQisrYANPLa+wspoYvnLWOb9bD1WLwCmGp9mzK iauYlct0aTtRq0L0DZbif7rVlJp8Fwj6fRhZ3nlrSn0zlbVU2BBPbj7ZX7QtxrLZWX29vZD9JNQe s3VJsSEc9dXNhc1TyAEexAprap5budxcXG74zH6LYoR3IA9y0jrUIzltXbRuH3LcD8GbB5SUjMKX mGaGkAyMS/mydU4e012sT9cUu/ILj8HOuGFFzWaxZU0LCQigzFFyKZ++L7+UzyJyDJqxyVWe3uMQ cmMeam4yvcoasvJ8bE4GTLX8oy5Xw14wFXbfCLUwuu0i79V6gVDnR+SbafexwLpVK6g4vmE6NGtr VlVUSohOgzNwE353u5c2uVybyIE9ky/C8eIgUONX308uW42RfiJH0OwsALrMTM1V8m95ES7vEba1 jPRtEx3zuZWqhhnWnU75jUSIXR+3G4nKIgTK7DEHGTl9kEmZCBKsSYawLaTNWfC41SJxIusyslUf MpbC5cZ7bq/qmt06vmGJ4o6OQMaV2pCZfWKyMpOxc84m+MFiWd+854wBvVuocXEJRCfn5cq2Xevd kpiVJHvqbAUTUxl2So/vHUaHTBx6V7bgmlbICoVUq2Oy3sKPeQF87ajejmclUFgLbEoViG63TDXD 04LUDzXlZ/nTVc2Ng2WB83S4YyJ2ZiwI1vIfFMPUC3nKsYAxXlR0dRe7/C5raG8lvSmW6yRG+y0X e22/xq9qCFzd8GdeAksqlMCF6WE8gwmzm+u0JtxWbNsVH91TNqz20k3+y6v6z6iPl+n4B47U6Lh7 yDJwiMkQxKSltMIx2MnovRWI9VVRfZZZvd+HFvQHuSOtYU58TMwS7xqezHMRdsbrzUzVQS3DjYHT Y7uSx/Afz73p/oacM2fjkP6N1F+BeF056d9OPDYNf3RYFJ1sUIZWSIrWkxVG9/QFxwIXJ70+iqEd bGVUQXT9PgnyN7Dju5dRBYRy/gield0a6kLdiBC6JsUstHFFei9xkEN/tHgyNJ8KTiamQksXsZ6t jmAuexTI5WH9hxLm54lN+Gmro/94b72881hO/TYi1a2KMY1HEQJMuguMOmCAO/ya78eLxRDSexbD //D6y8Yk1bZ+2GOJ3bPdoHFLtI7XXD6+D9MRwZoEmDRirrcgxlEc4MdxvH3qfF4XMgnJx372eOhi xFFRxRAZCaj1IzhfhyIn8Tl+HJ9PYrfR+qlWbGlPqOk77wVz4hI90+9zH5R9cYDNAuMSteaFJnR3 QksHuEfvTt9J9kmc07UaNaGIEgeImJdkHydCOiVS3uITbFd9syU5MNvVDAZpsfl2AXwNU7LMsSUE 63pABQTWQ/J74syNWCzi0nJ+JA9B/293v4c1fixTwi3ai5rmQAjxt6YsKAN0ztYqgfa4u9HkBab9 cqQspmd995aQmUJr2Nldb/q514SXKE+KU5E9Wnq+l7YRwjEJqZoAYwX6wnKXE8kg9+X23ccxi6bN Kf3c+SAW70r6ozI+Qln5v3jMZMwVK6bHZ7zuAO/nQVNs0kjltN9TatsWh0gU+iH172B3OIG79BTY 9BQVmwJTkLn9b0Hj1gD/ljz3eIBZWGgj7ESaWPCwVdUb4Ip1b0mQ/PdUArYBp4Jk4DXlbGFgiSLv pWNpcS8ciiGS5kDddSnSn+fkBK0HIIqZsVs4NgKZjfy8SrVexPEgZSVeUIPurAjT5p5fHp2o4Kse aPEjzsm3MWisza1jRurwC2yB0idoTGgBqENBPikwvGfByAMo8q6v3nZFnKX/Co8zl2FBvl7Rc6LB NrAtCQZWvKE9JRpC0Aaj1rsr1LQxp4u+Ese86BMUWTAZ8+jVdPRlLRU+ii+VDOEAxvGuI2tZcwfA zeTb9dmlxEJagyOq+BT20bWiJEkl41kNcNnBuK8uMzShQ/Etkz6j6O+oLJZhUIQuhQaeog/2xxoc BAjHB7FL5zM2Q7txPUCIwWAuNHtZGZXBf7YS6O/eQsJxBz2J1EMnr35ySYgtwEYU24F51bbshuyP T9f+ePNRxMwavBgWNvFBJqoo3ZZc8GQ0XZDUm72IAysiQXLxnX8S5phXmiAMupEuOAykxzqrXGan WRT1npWbySNEbMDbIHhZKecOsnGXdkDv/ZfY4xdnQC1jo/cpaFz2103bxS8pybZG+XU20NXZamaj NRY3a/z4UY4WM0PBYdS8VRaq1vnA68IfCYpTZM0SiQxtCxItHLpG3i6MSSZ/y0kIs7C5sz1i09LM YFl9+YTH29nrPik2D8lKQal7Cluy6T134Uzp/kNWDEm2hQDzkzhm4wT19eT342pTEaipvLaoUzsB INhOcGIj8Rz5hGdPD7gTGefRBKc5Nr2xyo/9Yisv0+Nl8CtsTePm2DYwByba1K921p9LGbbCyLbg hdqw4aeMdbdvoH3bRChghIqEOV+kzIFgQo8e472dAh26RRCbYR0cQwQPt1kUfrQGs7Z2ab0T96so V2gXEYJf/hAvfw6dA5cmIe6lULsM5mdctIOBbMWVrOR5aSTO6dU+8ZgPUKT+wzdt3GsKE5LJ98Xd zP6vEKxIMcoQgEaGfWZIp4/LJfbWtjrYeX38kxyabwh4JZHM9RavgmTItnS+52QZ4756de/BFrjg Y3EFwX16kXlHgsH1MecbDp2boVYfgxm/f1M44tMuN77YnYmoyqpZZbHpwqj1a8NPyfQErnxhrqGc y/BaYXpnJUNB5c7edvosA1EcBPLZCyaRXvP+pnSbWORBqdVYqR4sLlKQiUmWuKIt8X3dhxn+4d5V nHD6CXtE5P47Zh30Jynz7gRQiTHpQzB13iDmR6d3VouUtY+L/2cKVHXmJW5TJeC/y0x599M5/w7g BfDBUy95ryqnhYyIgps8TqoJBBMGHI8GeP7q4MJ51SIuL/6C6dt5p9v7tp2jgBUPIBmpaGQ1p7QN cVwu4FflbpwWp2ZsWE1Mf6yvKrAsZOpeqzAUhzyueaU8j3PoLJ12NFz7GH+qud8O3Nah1Opb655b qgQa9Uif7FbwuX0k9eTeBR9Ws8Tb/JWOmfPfRi3CAeQ6AyUR99HAPObzuJnCG9DvO2BTZqZipy2t A/PbtwgqK+8bf3IWgMBHVvYbRCatwgGMTX59yU3ufvY/pUEvV6XAKF0HP2q3z4/TGjUxb9V0ZsVp dYb0qbtqeQMA0bjrvLd5J05KLkPNGnASwqNxxRMmmWT0k4SZWQy0o5B46OvHZ/+SNIRLP9Wl+KSn IbdDD6ycZ8TiEAoTwsqDjNSRKTOOUmM27zYEu17LnwItdCRq0jOR3c2PzbQK9bebssz2aKcLy7WH cI7CLHTdSdbpV/UaPORGAUdmgCWRgDebIWLTLFxgeEiTXXMdCCQcvdyD187/qsNATV2/4YuYstmI TR8DeVjVwf9l6rUX6WbQKnFBVNxzL/kuzy1L2QoAsvB/TAld9L8Dj80VS0pSRfE4Ux5XphoPLl34 BJit1uOtOeCa6/FixRfDwtXZNap60mQmbvklx5qkyqh7d2cQUzgE3T4y0KDwjuOs/K83Q0RnpnP6 q7FdIlE+kbeA6jivpLajsb1SbLv1x+Dqc4vEktUMAa6hNwhNI91fPgZDHix4uAVRsIS9pEKEIXg6 yWjNBmWjXB3Q4aMNHo8Z+0HElg4WQ+tith6SBVpUx81P/R1VJ5Ht64yPsdJXS8aOvuFag9ZjpWxM MZ2woEVKIK8RPvbesNfVjfSvpo+iT1pDTMkC6vHULYm9h8M1Ov+m2b6f0i4LfTv71DACrHMEnNki MJWyUzme/o/S6rfil5BJ+yR123r/mi+jt/bRO2YdSqHC7X9LeCom5/wpzHH7ZM4MJ8k7SBhjpLfk KHPQYRQbxgtHDUhzGMs7zga8/eg1b4kVootCskAdYsXp3CaiStkGq3cUWXop+mu5IVFydPB4jaxD ZU0eeX3U9SK3YJs9F3HBBTyyVOS7VaL7Ziif8M2vT9bcRi1UXhEX7aGkBGLN8jOuZKaTdR9F3ygj +4bZHr6uDWkoLl5/mHlEn/j+5F3ZaWefNz4qGSzrAVXe5xiT7QaVuycg4njDSDldNoPfUYLfdkia fKEzjmYXEW5jh7p/tlGoieH/+z+Dmpsl1+Nxgt0UJAV35LqO5lY6Q4rqkBg220lcAB2mAjcJlRAN v8a2KRu4zNAtKlufdEpPveNxzeiNoriE/MOvKClzeLGAevQv35sZR5xZqEMN2cC1nRh5nPwndddi z8kydz8jPrr0PYSchvTb2QevR8GfjG6OoSLMReqZREbNKj24iQWvZik5jUvqdpnIaWn4vhExk9vC YdXwWhg2yFlHPBvLndSpqUT6N0lIhW0tVo8GbYCtIXYWEn0g+kH4Pijh1TqSBYSOM4Mxcc9ZxbQY qn5IPrXJ+fOFnDsPQzMWTqOyggJqwo+axkixh+yh6/bYfTUQ+XhVQZhpgLBuzu5hCpTRCitpgyjL jTyw7VBgr2/x0FogmOyknLFYp8oCwtvqz8CU459IvneI+Ux7aKcKw+8/GvvC1FymzQrb/+1FLs7Q //GyGHWXW5h7MFcvnH/bPQEpFbFJ/GB+dxDY3Ds0Eufq7xBpdCtgGgQhubOvLpm+4khRVdMHJL+a lF0AQrz5RHbJe/CBxmTp0oAgyFBEzD5/hmIAak1dw1G/58TwPxnjFpfNHb05iB4+DQe2LEcM2cfL dzMKWHDmBTyzlB14nfDRCZxiN01mtQdpS0vyviD4aorVr93g5yFcP/EUocHWgctxCTMpgDWpAc66 5JlZ7NsYkzA7q8BPqFKrWB7zPi2PUutY5HfDEhMJ7BNX35YzHkUfWukdFWHrg121XSnVAZsBvWxV 9iDvkEHyVnDnAUREcVWkr7twTmGzKi1QEpRO2uztRBNAJkURQUCKZ4X6hsotexclUWifIo15lvMy CVDElJDQ2dH+L3cwXWpQGIPSZvEJyNKM2AO+3YXA5rmo25+UdIQYJElIcFFXk+MpM5l46Ku9bups RV+UgI5uwxS3pVIqs0v2tEGnmlvkcdia3XgIJ/ZAPxphdZGUf6SdGYKHoUoRtsy8TLxGANuLrVFu LmIzXUCXo7JANP/KvVjbY3s6DqdxJVq3LoM1BEr6RsM5VJYOtR+mgxPdGhqi3xGMJXS2XZWmX+VI zROX8mJcqla7zRbjFu0NRufyQrGyzuNc6FESu+qezlqV43nyH0JGUlZ9If9q3Aqh/P0u/bdsoEpH 8ucFmUToFEEwUdzpnYhxOZgzuCNzpZMbmINTO9QpTsu6ERoTguQrxBR+UJ6jbQByKaiBBq/WJ9Jt mXXfIFiPxUv9HvysRIXKydnF+V4VOm+KtmfHFjVQGA+6Na3Nu8tkuaQhKcw3INCgU5DPsAeiRNMa 55OfR4iRjKQ2FBO2E1JCosAFBJvmCCV4BniFSZH6i2/+qFM1na1XAghSl0vuNda3+crPzSLd+2fe DY91pBKTJKWfgLLaEZ2Ybgici/Af0ieqCrTVyfT1XWjHOdtQLr7lArJYah4T5WLdbD3syHgYn1t3 penruQZov+fyL91wFtlJhncAbQfylaOryxveHjuhs1z6fL0s9eWQPYyRrZGvFEY5XZQ7rypqNfsU u2FHjLNNxirPYystESii795yOPkFdpCwnbjIDdaBD5lwHnh/EGp9QVkB1ufsXLq+nNcRcnM1YMfi tgniUTDLNaffd/fNyNE0namWQcM04fIfLdH+jH4ZQfJz5R/cquGDD6G6hv+IUXfpnsidegPUqy5c 7b0B4q2DjSb+E5QeB39PJ9ll44OQijMLbHGDf+6fzVHrtHk9ONWYzQLq/S5pQtxAWgRFDkIQxgUs zWhJv3laGrmwp8XgCoOBSuawwyB1kNJn/Fw2ZW7qRpi/kNMYxeQaWyLcjqQfzJ9Zbe6Ji/BnBYJN 2O1M4+w+mudOLxRx6+RO6fuHa+z+LDPeB/f2Hje3uvorD4oA1dS5eklozR1NnXjNXqWn2xWQ+0tS rQXPbv0TeLs6/zv5ciLgiu33o3kDYiVxygKPgKHk1fqeBS2daWpEtsvQIYUtqpzidoayjhZBqW2f auhqKyCXrKB9+EK3Vzd85w3caYQEDfz1T4kGWKh/BUK6H+aIrk+mnvP+HHOM4ymMC4xoQSVNiqa1 qoRpFf/SRpRdpT/HZbYl2h0zFdiBipgtuqY9qWdx/BKE+sMSV80wJvVQMlh1RDRk5ebl2jzTJfcO TYW11TeTURpyJu7rtn/I0ibGIqE6qKrEPBcWuGf9rbLqhBdG2Z0jG2/4xFXDHyhPPiQ5U6VW1tZA pR40+JuZ3Iv7M1kLnYwkhPJSYS+Q7/eM3yHrBAhHQENNBaDPlc6iOK//i44CoRU7beFBz0eVFHUA e6gsFArGlfuQ8T1zASVTqduu3EyyW7e4O8az56DWYSxUq812cER4hQ3WX/Xg9jh7dlZtj9diwTyE /NjmZ2OcePwz37nKncpjl9usjZ8r6+CX3bF9DAqyTXa3+HKDPVe1KS9gZEKFBdHPvnh85/eL/CbU /80BXhl1tUc91U8w8lQYNYgyqDJa6vThxD2bsosb9jpagCnT5HRxQp5bLha4dM7hP91EES4V7LYS mJ78BiP14ErH/rn8OZnOncYhmFR3Dte+2uFRkKgn64ro/G4PiH1AZR0NrdQyZ6VF3VR8WTR9lVRc kmaYUGI2c2srrJv8pcDwOjKM9Izd/nVVK8DleNdf8m1BUoLPvhPTOH6dzAK0IPYXdSYDfaGmdy2Q PX5toqm7hB9CBxpnCh8ON3bUU3e++44Z7AWEcmgrvl/Yi2N3YpwTG23SvPvYI1KHXTmg5ZIYHdzJ Ez159Xx3B4yfIQ6TZeAg0Hawj8Bs8M+8poo/n5vwe5hOs1xCNp4Act3sVme8FF4jry9L90BkfYqq 9s5T4ciQSHipJ/f8S9jXZSHL/WoC+HDWQ9K/DSaiozya31llCEExc2FrGIWsLHvxNjVaCvoe2PYK YKFuCTlCQ60RL2iTeHD1MSIOc04pEo+IoaUrv/VS/B2+md4Do92d8fCPZ5+cuoroyoRFTjNIlrmZ b1J9trTY8zZ9Wm2IZtw17ASctW/mB4hTp90cVLiYKpnw89YgGbBfKCbVKbpfQEDcSFEFeUBFuljR iLQhbyA+cxQC70sYXlyzd4vY1LkfXLO7+zAHihsxgRIhHVHKAPkJhS0wQK8mf9qip3l53z0Z2bhg KJMq4st06aBG07fnlosSwBMlZiSyhMI+6Td9sGVNAKEY2QkIPGiv0A+1XowtXDbWwmQMtsQACUIr aZeXVadC0O2RF4fAuxo3aAp9Ck7GrWUu+fTzc9XMbsoKDqv631Zlnjw8IuphYTz8R7NT9CzwzXqp a+wQC8eIu//CQtax6kmtBKA1eVdHe79TlqeqIqLLRt0SvQ0/RkIzp0xRvSTrzoCSWI2ptItMNpyG 6oSqvXXGbyaDt9QnkkmyZDlTLO+o09KDwK66ebH2xp/TDBfKpRkkTizut4Bl7O5hWh9gQ4YnDesY CzD97NyDUWVr4GC0OtmduRHwdhqNaZmsSIDQcn2k0XffX1Es1uX7eyTgrfWBpnON4qp0+anNgV9m zoRn6RPbMb6g7EZoVKxDHAx5y3/1vgOg6jndqRF9GQAJaY5E9hhG/gsVgX+yjRl80OS8x7+HbtuV seeuL/E9PqbjB+Qk/g5l+EEMpeKnEx4+SazJ5FFQLeuLp2DxIruiS9ttM7UerBnl8RhXee4EwwI/ 7BW98EmGW//OA9ghPclUavgPwr5z56zD8RQxj+xmOXJQciD1Qm6jAfiYAoBmmd1vKRvAPRf4f9Zf S/cuLyiECBayvn5H1js6FNUmNVi3O32l5NnPrz1CrPjhLvGZBMl76vJA4yKE3NcKc9BPo2xz3bjK /IumFJmw81Ynu9LruuutzhOexF36+bsdc5pwfYLPaYMAjCMgLm0qribhbdt+95ykQt5O7fvHOUV1 1rr8BDfsJx2hGUKFFu3e8xQU2BR/CQjWVhxkPsUc54zx9XcVkjydKRxfHYDqIZfSDeh0wAZdTw+d CdGRn+CKP1KEBOBWyQSe08qy3kCU9+iA+OTIfWeJlGPwhDA+zMrZnxTeKZSRBDv0oHdJtr5S/AvC /TjmxKgtC/YAUmkI8WXdHzOg00yWU28DI8Uv4xiD4VigmUBx3OA0Z7UZFWA4K+pe6G2dI9vkoMPj Ww43q4biW9V9CkITXZbTunCCo+IkEtJPK3dmnGnvFlgVo5lwUSyHJszqKwL5J/MIfdRdmgy3COEG DEGrZVN4jSJks5N0I1DrT6c2yg2LTK3RB1VCyxSX02/KiYiwKT/hEm7JLUD6TJ5qShEdu3iAVPRq dNKIdQ+5fcQpdnHxHtUOT5yv6vsKHkdT/Viv+CNhplNHVFB6XLUxtxigdDN2Nrd62xZM2xB9jpsl 9KigiRUrhnxAjte0c+SpLXjJqpjr9UcwJHhkKDdC9IPjJgqBqbBEYwsbHtQtzgD7oULK6MvlafUp NO01S0ujyiTTou+4exAMw3PBByiRFVxH4PtIQDSPhZGBOrZBjB3GyYtnNcL90CvBLY/akGYkBSSo jVi6xEjh7og++hUbXhlXChivn89/RQ7S2TjS76a63FmBeQt30xndBWafFAwuRWW4upp6oxISZYXO OvwA2Jdy4YuMXcIMQk1AITB+Zd474QpZBZDjrrIoRZ5EJoLSG0vj6qIhrQqp1CgfI08tWUkiO+X9 ZqKK4jo1jnuNfh02l6OU3z+oVX5l5i8ZEnXrAGiB/OTn0m15641CM01+pPt/X1paGnY1JE0CmBEA dA4fwXlGmMWlklchgqcHrZNn2Lq8fel672IX05TjO83i78DdOQqZmBk+JkDeYLy8PRlguWzyuilj WBTBFNVZg+tRIwgofK+NRt7zrQIt9p3eJR5PpcSYb1Ou4Hf24W1PaGjKxe3ZMaiKrEAyTRE8054N 9JEyP5Eh27v5Us+m8tH84ARHbAbq/yebXsm7kjfbD/5TKBMqkjmAh/jPUVvLjnDMOoqyKquN0fN1 D1N28Dh19BJAUeV5nZGTSUUCoItUjnzjhaRL1k8FjTopkCKSJEuQyuIKYK0L2skviJMRvaknkPf8 oW7mj2iZ1q0JkBUiqxgY7DV34lQi8DjTXW5WVmfcn2f85wKmj4j6H7coUOxzLqF3E+/b+JBkci5I 6eUSGPnaeeeXN6y4enhXSjVCoyQ3zxzXMun+NLv42c4+INZ6QJee5gHvfDh7zaY6nO8CNMrmDZV2 /oRyGpV6BI1Vc9oA1kqRK6bQhGWj6IgLfeHFY1Pt8BCJL4MdG0ML2NSp4zb7LMTO9YMKHtWjGScN 2sGmNubCTRZYwH1s9XZCsVptUYX6oGug+BeVJW9tu94jUvYd0q1Let9U2eiVc3tcX+BTuD5v2YZu B+1a8Nom/1T44niypvAmSruF37BZdlfDtJWjgWt1ouFQWiO9tw1KNl+nBgSc9TeghQ0TWrRLACRb +/Woqyv/I0ip6IpKTxHfTH0TOAxIOmPZRd4gutjMPgWlKYq7r5AN1QNsyt5pqbI25y9Sv9SAMcOk G869FTsB4GLVsGmkDYft6G+UGZ+yc3Sfr9S8imEv/s1JFd8In074/UOUnCYJgluigJWBkZkDFA4l mXHMEWV7iQYurhHg20SNf1GHKQKIsTwzGqE21NoBJThC0nFZQHuTIqVvyN8yhsK+CpTKoEnN6gE5 b+wM3z2yqVkP7B7GmJN/GqtDSMi5Xu+Vup1ikDsaHjUPkq3jnVO7Pm7bxhaOKpiYTx8UPaEjMK6K h1BA8A94nMpOZwB4I4rnbXQGvL98ZhZ+4gjry9AepnlqbSh86wwbfMJ0EjLbV8pdSlT2lbOyy/Ie TYO8LSkqWXP7dbllM9t4G+Wulv8jUOrta1o/RICjRy2brQ3SR3sCBMVGJ6KInxSvFxgkSYKQSld6 Fxcn14AiK7+G8j5dC2wbzt+cMqcvEJFwGKlzNe1mNfmqfhYYoco4J6CZPI6oGW3wmr44xfC4AcZS W+7K8egZMZMXhtkKP7hvvS060yE7DQRZ4KMkF2bX72p9LInm5kIE2iyNvra1lAjfjEWyX0rYaELr YjTzp6ZUKPoPP8PGgwXa/A4J6oC/zMnu+E59Q/WB1dx7/8wvZDWZocfZmF44ea6rYkEA3ubzh/Ik ff/qXJPHEBOWwGbaOAV9KAuOqY+0VyapfS3R9rhXWKneNngcBhBKxEM0AKq6jyveSWJ1QY4QilCr TqH5futYmyCCy95WwI62NqHCRf6/mx1nlWh6tE7a4wmT7D5+TdSLBXY0i5Oqt6QI7MeqE9CCPym4 T3qy/wj4s+RH10pEe2bP1AmL8hu2VmodV1Nx/dGycaNVtJOBoNN+xSOIIENJ0t9J4ONlnKGD1v3f eawQ74xGoPIe6X0nibb/zgz3DnDDv31hyoZn6ekYOKgWPLf7Yt0FOeM4YWFtUEqFbM0r79UwM74B Hd8omcczMmXakur3UfO6enXLU2Z4Dyw8KYb3k4XJlAAjp3Q0g25z0IGql6hN/leCyfk7QVKtrFgm O2twkvOcqB8dcKU60mQ9gnFqmMJPwIg5SKS9EI92F2BW34nzDQBh4IUBb1cA7JOXlUAROmmxUNMm ukJEkMSa1NvFBk2kc9Qgqg26EFnoEtw4TbasXWI9e7nyolKaznNqs940yrruJxsKQRV2i3TClkRH YlPshH0E7G3MEHahqO7PA0b9EIsdYNgfvGooX0wl4dPkC6A7yh+J7XTsI+sgjWVkmYsH/+HE2DxO kx+B/HxSvx11wF+MZ2VeIgCZMjfeOfUOIDrQKO/pkXpHtXsp9M3Ui6LHriL/V/aI18cXzPLB300n Js++YW+aqlWF7KseqNHpDYCmRS7UZgH+YSUm5gm/wrOuMjqlcGJZINiKm5vWSgczwQDsrv9nX9ka RB3jPJz5PEJBlA/GC9XRPeHDu4ClCeLjrRyrieuw4PCeoJjZMuKipc2Pdd9zrhumRUi27lVQ7gG7 N90rqKwMoEF8TONPxl2WiaExRM9F2GCR+g1Q1l6pd0HuEj/4O8z++HFBvKALo8H2fiTwftbpMMSz zZxEu0TEA5mBRHqIC/tclYQoqALI7VQ6tJv6rZmKKUux2u6qysf+/a0I9xL82f62mpKKS4IYWZ6U wsDGmcL4hQ0awHv6HHFCoL3BvmL2xuIMI9B/GGTStFEiIt9vzqlgQpFCCi0s8e8CoGkBHswYPQ9L 9L5efjVpNz7PKJo/0hJSy9Dysm+pIU2mVpJAuo1xfSESLpF5zhbz+uNreWfkHw0HtDqIqpL1eAMO A+stYiE/UATnahHRFlIB5JILr2x3+fY1OxYn73dW1/gIOx2eb39nJCY3VA43kFOKsKHnVMauW5iE XWIOWHoRV5EmlQDLH6a48BqdEdmGbBSm7twV7hVRK7tRt0YVWUP80zqcftmdoMnKj/bBf1wZDfAJ jm8K8tLfulBdvlCTVazoDySari0wjhMTyMwGkvtxDQbD2Qkt2MSj5mTcg755wZrP+zsfbtR+XSVc wYFfdXYX3OT9mhZkOU4KpOVsb4rLq/UqU+9Xo3DziAVgydboLiJFuqK0nCQJrMWwHY/2H49Y57fG GsmcyRad15mYTOrdNAO/pXDkFONtJGkWX6KN+7Qgho8OdnMIgf63OP8Xdmc4HYbJpnDaCPTRxK2+ td6t9RhMVlX4NFRJndbLJB3tdhd5xjfOnpaDkbt1hV0wowUM2dZrAHbY8qi4jdJ1kGLTQIEQJZt8 FJJdJtsRVySnOXqaTWwDBHcbKNtL/riWRDJ41KRYC5SJvl69MLidfoj/OnxXhPfpsRQTkNY0DdDc vG6PNeMcj2h5LsK86QrINkJcPrYzXrbAnqYEEr4tTxvjV8UwDmeSPDtRSq6ShyrxQ001seIIa61B +MqprXSI9yBVmoUFuu85O11lw3ii3e3J4VsPchfujGcNK6RY5Pig44zF2F/KFN27+JHXsM22/wR4 cwswbGzFiDW99dIKy0QQ6p/WSHBLmgRpAYm2BsUtmHjjYhzwKXl2V+T3I1HjORHsezuS9LanN0Dz oC8cj7Ur7m1ccrt3r1Qw2fdOFOJlpnElJBZ6huMYdKirT01oZBxkVVUyeFgjGFeJYn7Yd7lBoJ/h W5rRX796/ZStW8N5SQBChxhjxSHjz0JabNuMBljbK7crN9mqxMg5yEVD2pB515sG5ZE/YUANdSOw Rd0tu3b7fw3ofjf7ad9OxiN0cPlzTX3tr/hsCuJEgqzBRaWEjgnMLdf8DsMPSj7rbChaQHhJt4UB M3ynZMoYR3AauPnEMzd6e7n/6T2bGrL2cGqsJCk+YCX/y15Y2DRX+x0RSOkNT/edcU5UzH8TqLrw AzjAG5sgXSZca8xnXWy1WKTezaChnI6FNSj5tamutNRMvxf8IwiyJ+SgB/Z6minJKU6RhqrI4u0F Zvod5tEQT4nQYrv/UIJqCW2gkNdThf+yCUYFCaigw+HpNLhcPU4ZxWL2jIAKbxqPkoy/H0xkv6vK WX9EyKqtwKXboavLXVEs1BBczTyzWFNFtckdOEs8chmTHAGMhsI4yOb6zJJQue28QznOltNaAtm0 9nYdXuKtFc3pXkjDAloY9838aMLiq6QQrjmgx9NQrC3uePj3cMsI/JBr+LZlXSo4Ml5TJjqzC5sS oRKWLZOL/HFJxj+93OTGv8Zr8pU4ba22gGD6G9GXnsXCe/q7c9RQD1mHGda86aMijYGpLFCQ0KN8 /3i6MP4OkqKFI7KcB5ZjXWSP5ZD/HKx+jEXWPjTzj73bBLfT8ae+EIie1qay7vXvdONgvrTRl1Xn p4W2e9eKfOjX4TC2gVj7OKz1If8Wv9D0kYnX+4S72ueGI+dcv5IXkSb9mAHtZcQ9Q42Nm5zBGL2g tM48MkoUV0/HMybNoUgmoEXF4keYMFGdHms4wmqbXGXWeoerzlNIq9elxS10V3oZjGBgcNNBQdAq EVUWxA9SC2YbpQuXz0zNxHh64hCmYdMrRfmGqDTGnVPbPZ0PiZkRJCI07+rxONPSevIsKtrbi5RQ eTQFakZY1xOckgYL25Vv/R0KAgChCHClnFisgggtIbTqD5DCoMLNJ6PDdBI22q9F8zNRR0mXnDD1 AlpFtLe0FzZjT0/ed3oNoY3yCsL66I4+ma17RQnM7hqbvRDnr+53hqoyN8CwdqQDGRupjtGlA9dm MdQcCOsZJ5G2VedvdodXmbACf8ZggCElbRfOwbhQAFgyj1ohOA79lUgDKUdpTXFuRpyEleFNMk+5 EXmjAi+p4lCDfW2hyJnqgbsMokoqQKzJN1OQd0/0X414tHPP14wEhoAUUEO9Kfzwwyx9Skzqxqox J+UoZLhBNK1WTaygCVJIypj8aH+8B6EpJhINuwQ23h8EtgZPLjAtp0E3J4h0VkBNmVPfwtcuIZpO 701qJjpYewruRGWaD4u6oLX3Ombgh3dPsAHW0qB7gtqCSaskp+efIsm+1F1pUMASTuVTUwi65PwK zUY/zEQ65hI0nkTq9tJc5Ubir4ovTvjIX5j1WUq7Ogjh536LlWujB1esZTlYth1Y9CpLJaMVKF/v I5tcVejvNk6impRutL0K4/Zm1QIJDWqxmXXRRCJLYP9+8p2qMD4OEetFHMXaRNNf7U6XdA3RgoK1 N40t/7sXIV/G35dJI3oyLN4JlRmvw39IICvGlTRVGD1tXLtWX7XtHeB7QRwcq68QGDQss6YD1uUz /knzCot5Uqg1/lVpl/+MgEKCSrqHDczDzwrhoC1d6Y1uBEP5c0MJSdPshjcYrIxTdjAcn468s/pm N4Bpe4LeBM8GjLCsWXHZl2928wHZKjZ+1xQQUfX0NrJYqDSqUWwA16xIIO60MUOCw/FEhU/TRGSg NCAD7+znQvqZwVE1iIhD63KjvVOVuWc8e4V621XrYPfQt94aY01osJ8HrzzZyKvUWpSJXk1L2DYu hcRVTiYKVa+1vV2WXvYtLSN5ZAdVW8IYvRcm38X6v1jsa7YamC4Y675SY2VfE5K1rBLxVHsYgaIE NAY++VWUE4lzQE2SeAf2Ta8alAi2RZhkrld2EYtmkoPbIkuvGt4IGHC5/8tZnFN/ttNxcpvpEbKl 1GtBtbiKNR6EbL5KC2CFyGBoMF/uh0DvhpUtvH2jh2uWyPULNMpTl2j1aDmmf1gazw5+ZxLPPzuf /w066UaVK5QX1tHOX6mpyKdYtnSStGbYJkoDKE9CJG9gmorghujGCLV3eVn67mP8ryZTV/0l4onI jwKRKN52MQhkew/jtvNGCTIKXFsjl92ky2FW/74KLxdRNQ7CXsxD+GHpPQMhKuwqQUppQKhj0Z1+ cZNSi2jpArQmu/7O6lNl4KFJs3TtySinuq0mVJZ+pQ9TEeS3EzfXH7WwSxFogPozvK/dmji4drhe rJlGRIrbqX1Bj1ADII7aqYHmOSztVHLjyuSWjvKQt71lVcwrpk3K0u4wDg54zBs/6L8GxsgycJbs PtXYchi600+d3EAobWioIg73kkBbXgBdjrAAwzXvhqOffbW3PFVhsEFUPzFxpsU38v3pPBnEEivc fIF8l737arseY29OsrYKv5L791v17M31H9BlOkHB+2neaIFLWiHsMPOTeEq0lUoJogcEVMRwarO2 gNDvI4Nn5jQe289GROu/PgvsSN4f5ecxS8XzKQ0O4aJ40EXtnQXmC+VfDMs6eMu+sW3eRXn+Fx2l UPu3WqlACz9RL2fNan4iqDJkwiUYc+5GfGNOBNCKNzeVA9g7lbzESZBkzviL+md1g0ZAqF+yk90x a/IMwrCkH091moz9LJLIMOedvgje+ZXD49Jb7mJSkZRjR+RIiNTmDw0rTPWbdLlOZThoT1j6FPBb Pu+da05jegy4mNuaRzYVt6NuSUMvV51zzuDKBc1X6PyWPBx2EE4xs9ltiMv02EHYV35rrqYB3io8 IbxlBKVLz8g1FeEjkP8hpqEVZaesgi5l9h7Ye6WWPO8F/Zrn0f5ZnfHzzEJSF2mqswhgHDw8ydfy 702uOMPVkXLpV6pvmB5DzXTh3ATQJRyCSo6AhkrGP/Nbyp0YczADgj8FjOSjXuTLIPCCiD+1jCr3 cybxNfc1Zwm5zcevDFpohg+QTJvertjlzpa+eoMbXUgttUXxcI3xILeBR2xEoCD1K0aN06si9tbX r1r6UhAwWZluzj8n6HXqCmYs61bx2EEx21uPp9j+Sx52SxJVY0/BjjgY0U+PYgoCXStwZKVP/spK sAP9dv9EexIdjFRngtMwEbDq3WYadyyXwhJtdxqucJlDetxV1ORZHuHa8J0OPVjEMUjqVMI9dW+d Jk+9SAo6pctsmDrEnbKsUY32a+DruFerO8ckNFu1vay+mBrUXgm1+KbLEnVmsZ6U/dzDRBvcQWnr IwAeyMVL5vAwHbN6xLoW/BuFvFJd/koZxObM66PRrMFOK6waIWj6PeCdc7nSr71OcIgIpEWc9ZCD l5zj8o53E7zp2FMkq97rk1wEOEYGhNRlmfRxpxvfHdcg1+HDJHES2Sv58QgnbOQ4RETeqITYwZBj VrIamq3ftjBnp39bsimSQO8Ab9ajuY2TAqa0fBf4mqEGpNaEljtwGt85NazBrqXiSfLDXfny2/GG qCkI6fw6Uf3wj/HHcv3kPK1eyWOC4Dts9h3la1DwO9uL4njniYe6bSYl4X2WzW/hVEuD874ASlGc eICnC/3PwnzMB/ZLtylWhIoW35JpRMCBEVcgFQW8ELVW4vuYNMfYyNVl0VROXi+LhzG4XB425gue xOPQq7Miux15hQ3NjzMUkI+jW9TlIrydpafneHkti99E/ol2UiE7lkt12OdX+zOK54Rs49W50CNC Xjl34OM1jgnx8Qs2xNJ47mM47Vk2lsWiEX2qi+3PHoA4oWjfUTcCeyAMocczWEKizj/pamWw4WBf rd2OMmlXxTEDwwi/imeT1S4TeakHS6hbTIqXUsqScydUZE2X5CJBKtZZNBSZNiqhCUtQmvNcSiyu WsLp9I8KOi+5a7NYrjQ/BxrXR0TIrJUVmky/IH1pFbb7zDyOHSvdSzs46t36ThS7I8omznDlvW/c p7EebyV/R76e0W8WyyTshCNRdBfi46w9fCNENDglhxszug09xE/qi5p/FAVBSrArpEmM92ZpTJfi wPKVTySvYZTyEIpS5Q0RxG+j+Ln+3FmmTwJizmO4PMkhimPyQJWDYM/xtwaRPrkfXJ8gVspgN3UP 8p2RoJlR05YJWJLaVF/tsBEvqCnBkpbFVd2U99HwmMz4ZJI52cAgejAwmXbjqZXTKoajPxpqL/5q kf/TFzl+8jcCRzsJ `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/TReg.vhd
1
1282
---------------------------------------------------------------------------------- -- Company: -- Engineer: tuk. -- -- Create Date: 19:03:31 11/21/2013 -- Design Name: -- Module Name: TReg - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.Common.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity TReg is Port( Input : in STD_LOGIC_VECTOR (15 downto 0); TType : in STD_LOGIC; TWrite : in STD_LOGIC; T : out STD_LOGIC ); end TReg; architecture Behavioral of TReg is begin process(Input, TType, TWrite) begin if Twrite = '1' then T <= '0'; if (TType = '0') then if Input(15) = '1' then T <= '1'; end if; elsif (Input /= Int16_Zero) then T <= '1'; end if; end if; end process; end Behavioral;
mit
frankvanbever/MIPS_processor
testbenches/mux_tb.vhd
1
1573
-- Frank Vanbever 06/03/2013 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity mux_tb is end mux_tb; architecture behavior of mux_tb is component MUX is port ( -- input signals clk : in std_logic; selector : in std_logic; -- input vectors vector_in_1 : in std_logic_vector(31 downto 0); vector_in_2 : in std_logic_vector(31 downto 0); -- output vectors vector_out : out std_logic_vector(31 downto 0) ); end component; signal clk : std_logic := '0'; signal tb_vec_in_1 : std_logic_vector(31 downto 0); signal tb_vec_in_2 : std_logic_vector(31 downto 0); signal tb_selector : std_logic; signal tb_vec_out : std_logic_vector(31 downto 0); constant clk_period : time := 10 ns; begin uut : MUX port map( clk => clk, selector => tb_selector, vector_in_1 => tb_vec_in_1, vector_in_2 => tb_vec_in_2, vector_out => tb_vec_out ); clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; stim_proc : process begin wait for 100 ns; wait for clk_period*10; tb_selector <= '0'; tb_vec_in_1 <= X"FFFFFFFF"; tb_vec_in_2 <= X"00000000"; wait for clk_period; assert tb_vec_out = X"FFFFFFFF" report "first test error"; wait until rising_edge(clk); tb_selector <= '1'; wait for clk_period*2; assert tb_vec_out = X"00000000" report "second test error"; wait; end process; end;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/async_fifo.vhd
19
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DoylSncttFMA3kx042gUfpgfS9f7wYF6CWxJheifm9U5oZE55E7a0/gn13EV1/Vn6tAoLpUpkm/0 hmdlNetDYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nsjL1A4AfS+U1MlmYTovZuA+LXs5hJP3SunimigW7xSFqc+G1o1qnLbV4BnmOncmqUv9X6mR1dbm lvuLbnkHJpdv3qype+E/DkwUU+uuHlSP7/5qiYqLK0/kXVQ9CK4RGY/33UuCkCUXhFP+4VquDr0Q ctFJ3ADjSF9u4KfkLp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e8PwETDI60MBXnrgCDSTetYRVktLV/+TTSXZzS5MByZtHEX2iao5JK/khM4FDpq/v0uNsNW0rhjn 1dIPd1mlQZEDfzGgZ7rgxmjzboNMUH8CMdtSuB8lFy7Tjd1hDXqhliwc0PhPBGYBs/YEff98J5pB EaQ7x9e3Dm3lUX43BX76qZ9cgUsaVwP5tX42M7Z1CZ11+5f7kvoiSco/DGzJuhCbDcHoQ2NjrZeO tRQwYWFDIi7vBls1ETe/q8cjQLCZThAhSFjjijV74aEYat0gpNy4Hxz/UN0rUMO/XCqC2k8lo74U XZlHepR+ABhyrwVFzKEwcRDXuuh6ogUCrZ1mMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YvHkp5oDmh1yxPKtyY+bCFF9nl00iIDnF4JnEfzCQKeCjt2Tok2cPb5/9L9T+H/cQ1x5qpJZSOJk cf36KzabCPbu4/9VIe9vwmzzbE9Ndy2Ov8q4+HYXDGn/u3gDUJZcIYEnVlc3E6se6bxCrEZNyRYc iuoolgurhXiPk/HMhX4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XZ/Rjfda7p8W+LhE3BcXwsLXrN7RfTJezMmvWQf9ZKb6JJ7gmlPk8WkUFEwjbu79kr2SMWbEP0wO UouQmHkylGRubs4N/1VfavspwJxzO5pggGGBLKHkmxqVxAWJEQ3Kp5uoaJSKWxqKIRLzeGXsW4p5 F/e0YM5v9fK6K2B07V0FxCP6WuqrungKJmSTj1Ji3gWd+VJATYp+hkh4HPUA/aDTgCzwwIaJ6QWy QvHMQKHrEHbRztbzfLMH3RPC4Jl5v7PMeYTnCv8UcX2dwujd4zD00VIt1jMD19vjN2WZ7U8Tl83Q sPvYlUbNQVTnqIBf7mqYAoAlbAFXbg0t5zqPAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block laGT0Nu3dViMwWvR1e/9rjSs2vM+vpJpe7976O/c0WojRYtsDVl3+DvTDQqhipgMQL2AVMlMa280 BtMCDvx468iPQdrPqnhTHwDKHtJ1iNASeI+N1I6sxBo8sJrXWAJcWadcRZJKd5qScn7OZm7W3+q3 wB5aBijYvGCq0LAUC3LRi8nAkTBjXNIK7QLLUjuc/dHkC9992YjgG97+uJ19xMFzr+TaDdmDDj2g 5m+b1UtgAeNe4UU2wVxFsV/K8BNhbplMDbUpdI8tD6skklnZsvM2m4KEUTh3cou2JBMmCrEtIz8t J2wa57iLo7RvrrADJTS5xrFTj1ZNjsNYHVY0V8eDkeKQ8gk+HHwKpDHMk6vb1OxY2V7guEhlWgiW +kfamQ1dJM/AogLTppuBxP7SsgnicVW7h6EiVrpIxipoeP2THy6N/GzlpWR9u7HNP1uhOJ9Uwgpo n4rfSJmcVmU12opanKcftFVdG6KfUQBH0iHmDmg9kitcDsWRE/dWxuzSRcPy1WBXZb5DMnig0o8Z P/7B2onWdNW+tY6Al0sBSaxG8Th1GJzGnDO9slev2S935T/DSQmlkwacCcT245ZVy5t5kw0sVvBl 5OBMA48plczyCHwyjRgwIeD0QIlYpgIU5o3yM0ZQX3JlN6r5kuv3snpdA672t1b2WG13Qna/+0A8 XR4eXcL0ptAGhuqexJOuES6ZSgUZchXafN+S1wwcr7YNRnvS70JuxeyFNuaSbk1/g9EiTJoL5C4n +NY1AIatGlGTlgtL7gJxSMh4gcMHd05ifq1FFX7I1orpdksxutycMAXlK2vEvbGmwzMU0DBe2BNB 5bMG1e4mXdCXdeuUh5vrP6rwgBfNS6O2TjUQxvVFMQI/H7K+czSX+U+2iwVUmOfVHwrtF8GI9Yey IcHlyFHWLMHAgiTqElOTlhvCuC0niawhqCXcOO5d4ud3zTg8Y5rWdpNfgL0aH6oTkCIf99njeL5C IZi5zRVyDCzZ2RHQgBMjOyk0P0nGKM4q7+JLs9yWusXXPHDvioTwR7whdl04R3BQKWVH59mp/lfa eaFfdVVN+wQbPQ7wZh5m4T5+B69Oe3qF8NMXqobctBNaBVUUPh92MINcG/VKQ4biMDYwBCRCulZu LNiK53OAp2pmqWMa2PqvBt4p4sAEfKoBsO9hgrTjRNHjg1CjGiupaugzDIIvy+GVfUCzCExqjp1d oFYNt45HwPBDOet/0hSzDdu1ar6Ux0BQ/Ys68xYxG9MczS2zIt0jtjx5roDjSo/ZJD8/5/TJrGP1 dCDrJCXKLMGYSh3NwncxUy+DYOFioIjAEEvzUZ+DONWYPuCP7+OvcOmIrq5EfVYDhPlQqPpsgJb0 8dxgFSG+7vrnmt6fmg4Jlr+AbV0l8BGryM9pWt/wqRdwiTC/yqpsI/4X1RbIY5iOb+uh5xbpmx6s SVXozXdeHacB1HW+7wn3bYCC12/ehWRr0IlMnHx0dPwp237ZxqYjqXVRKev3Z5yyeZFf7vJoIoAX smg68pcByeUk6mxlsxe2FcLQMV7i4U+9/DoaUF+ftAeDNiJmVGMoqmVjidgKO7nSAEgDv+E7Hncg fCfCCoW2tghPbZr68dLQ8mwO8WiXXrKDeFYigHOgdGTUcK89t1mFsb/BX3puFKZ5Vi2K7Z2z+5bO 9Rj6H8OAT/oQmTBkha2Ionkso/N5/EuOEE08MXrGHfREZztA2kMeRl0f+qISevlBS5DqOE5XGpoB KM41c9UnJq+m7osOBZmyKPYUUuKLZISAhRDdNw9elXg4XYZ0yceHiUA+iGU0/a46TTj6GAuRdXMl msbNzgkIIB7TaA8Wle4ofYhCDTfYjcjDOVsyNXOfAUx/QKgenzcOV3SqRkYGfsJ3WdBZuQffcqB4 fX4ZyXogsVOyedtIDeni67bK1YkdZgfkXVmP5HWQA83zbAwtVfvA0zrotoDufaprocP7FROla/aS qj3Ry0aYkIHvItNkCI8m4cLmUersL9qQLIx/9/26q3+6xrFDil/EAcOXe2wFAUvukADMsDOUm/aC Brspx7d56Gx3NqFN6X2cd8u2d995CW+aMa/M65hEcHXPEkz5+U6nrBzVNPofMuPFbfjHKuhnmpMu tbdOQ68tXbsQzpHlKQ0idcqsQVcBkSkStSuWsp+OmnTVLQh26G3aj3a+EF2yckBsBS0uEqTsyCKX iLs6+votvN7spZENhlM5rBXY8R2n6fkR/cZgBDQbRT+8sxTParP3CsaPG+7ivS2fr+mgBuJHHOiG eAA0YO8EvQpoM6mT17yolmzl5P4Wo/bR9frA0K5qBhX9oeM1eGdmEUzRJKyW2GrpJyrTqPMWUg1N EBhG4FrG42vBO/9CPrbkXB4A1owsPBcLdazTIJtO8dW2dJrJnO4EB6FM96WGzLP7qwP51KLqswiu xmx6a/WT24RV32ASOPahYqvyqKc+F98DDHMGhSvJz16lGf4EPGYiLZwGXRPDmK301ecBlXJNrYGN 2C7JDaaR+CCjEHixFp4G2HGQW0aRHqQEVRSFqc2KXmY1/xXkCVsEmxnAjuWdYXHAdbyDtWXxAVtW ET1d6ZpgU8o6gBWgBpYkS/l5JfIC75cXj6dNN7m0LmNNMJJiu8ex2kzVFLJUHTBvv3dTPjG4mmpW 5K/+AJrUBJcXlTNZOWvI5aKVJum09XV49Tn282S0NqDiHjaEus0y/iBigvmszq34zm+yRPHzDZ/v r1u0Or3GdogLLzBhz0zoc4Uu9kqJdzfWnDbtJY9j/pyJ0A9O3cn+ua/n0MxNHt8SoxySZKiJURR0 Lw9+AMPJUjrBeE4jtJSlPUM0gHdvQXwTEbCI8rm34H9nja7h80CUfAsqDCuD7iReMnokrngTOaf3 ghBBIKfr36wBCByLgaovE+i0dkdcQbBYYI1rWlvEMEFZs/okDOemZqwFZyNxSdmZLFt5ycowJhwt ImkZltkeXZmndHIfmleg5pal+K0psy3UUgFDfYOHD4q+faIesMA8fS3viqQZmdAYc8AVvDoJCXWa mJK104YlSIYP9EMkfeYgfr6x/lJ4YLiIlDjzorDUIQPDT3krZ5go1jAqDMqFFVE9bt6BgjKBAGBr YUkwgvmtKJwKRKs/Cm7HkZeFV9ZE7V5u4h079Rdf3Kz88OGtLWThTTn3aqUY1he0/hUfCvP0RnIS /EKGXbWJpJBxVghclpf/d90cDzy3rkHf22aeFafUOim2FXql60Vf6/2rvhGYF04q2IE7GkijvFlW w1ipGGVLc8gSmzOTOEZ/MdOifI3KYcc2AfzHQ6g28Xiz/XKAlXgr1yNzhrXmaHHMXowTMTFu4UEs zKOh/hmJgHngh6h7A95SUJ2EEF8sMXl1uymHJLFOteSD2bvMvlCOAR4jOppTzvt14sZrzoSdtabO 2sDBknRLZGrYRp3XqaH/3qDPwCQ+y669rnZ8cI+BtXQh1XrLpb/RBftFGAJYr+a748d9L6dPYh1q +UJSeRYgPtEcuinIW9IB6m0b4sXt7oBTYBS03u0IJiF1PONmWM4nlNztyy04PQSBJN2AqlRM82Zj Bq21eu+cVD0+T2KPhOveOKX4TBzsfTqOrjBT7n17ysFXm569fm34EKDh6BB6drWBBF+NXDkNzyTh Y1hvx616gNRSmcuo7hybAgyFw/BFQqM88zUWXKx1H/PQ+ld5ibw3vpAE0RlxS/SEWR4JeVoiebf5 m7+DMduQBzDWUGqqYrJmkjB/5OkbjmYdXA9Tn58mJNllZhrJoy6g9JL6UPFepbQE+c3+vSXiIAsL Y/4y8N4u889/H1RVrGrmS2izK23aEggR9tZCHzWNvprC4QVqoGpRE04O2gxd+pNmYC12QUmbPLdb BT9g8X9Dgm4JSpPn+6z1siNIaC3hOiGcnHZJMwsAL6M6RP7bj9u40u1MEehk/ZV5C2L2f5+YHPU+ Ur4B0oaUvXX7Ij2V/ntB35/DcjDObWeFTRiJiDRZuTeC3Twz39eWtBybkXtqlMh+x9rNugWDGqIP 0sqzVUfW6aFgp59OjC9BrA2OihQL1vivronK6gcmYMnjg6mF/YxQ2FZRcBOTTA29rsmlzrfHr8wa dAyKUhL1Z2mUZzwSsSLSVY+QzzEYopO8gt/XXvg762vVnZ+aVFY84pl+/YNL9UmNEPgMCGn0pS0/ AB/wBOXgRwk5rcfbNfsDg1tthxy4UjRKL5Jb+yQHnIpg0t2yYXdNVLgCXlE50RdMVWB8Lkjy2W+4 2oMWdjjBlIY2LYw2jTi4WeXFg6FhngRxXFuYwVuv848zk8vDKBWeWz5p8t3wx+aZIHQXHDBwLi0t TZCX0IR63Q6NzLGNNQFpEGDZZGCZQCkPhLN3MIu97NPWQAFXK0QsQWUNRQSqILeDKNV+WIhoTKI9 fYTRw5F9SeOK/bf5QFoWO+m9/w3Gc0uGPp6T1U2PsvNr6Q02u70XEsWVtZED4/w/zpdEwCEfxXf1 sGT0KxiEIjM+PhyIZyx5dIrEQqI5L097jyfTpoZBsO8k/s79F/ZbO3kXr1i08F8sYpBqiF6vyMhi zhFtBQ57OI2BqS0akCThfOJaLJSfaEiMoqMffz1spiQTWpfFgwmOwGp0ZUCRJSWcglXGb68WSDfc hEOdzt4HDm2WX8hveAEEb2AWeX1Sl4VvgU0CQaQMfZ2udewRR2MlRWvDKkPUaGuRtZM0yE0Bwn/O atXmh/Dnh1hor3hyAZdc3ORlQ1LejXHke5Mq+lf6zumkh1SevRdC/fldeJCMtMar42bdUgdRYLjU RSMOB0p3LCWSHjSE7tL2TjmhBYbPNiSzr0CWQlAKJUmsF3arL9iKotsj7IDGKhLsQ51N1zAbp7v9 KVn6PJEaK9w5KuDKUdkHmSi8kjefjfeG6EfkCr0bTxaHzFzS8x8uepkm5nIS2dHx7usRmasjx34C JbX9fgDaUHBfWbftSVe4SeEh7v0raxWH4I2OQ5BNOvLDcxPYdDwRoepczeAqBRn+lHeLPli+X4oi qplY8GolqmqgzIomA8QAn0W3G+VSjAiP7R5f/vyackLmJSN3DdqnjsZqBn4I2r1XNN9B4TtKL1pR K3IVxRahCYznJO8DKvwUMYOmDjAVkYN8Mbpus8uZOUxpBofL7NrRZoPjjb/D15b3Vm/wHreE8OhH FD5yzD4c+EGX/yEZFc//sXhBZGmmkGDAAYa77CRlt92VJmHzwfkKJfl/0mXNmyW4xMA+zjugK1dy vfJ81fWc1znqwQ26nD1xXLtfekCBkguTQ9wIdZsmSY2PykRxJub7+3Kyc1/UVVjUyZSSdkBE9Wov rMBa1rjmcjYlbRnjfEMmBgQIqmYEMFM8jfufA0k05lw3xbhwGLCnq1tAMC9ie2psuf6m6eJ1T1hx NW0zcSu1UEjsuKprChrF9E1M5BMyf9H4pArA48QdCqgcErfqa3r6gOzEtdRXD/nIMWqZzAXBodYD EkENqOr+Okh0rocHXkHPReJTc5m9KWuPXAwEHIwbN7irnk135RgTnvMVgh9a82e0eBd5N+GqXo9m y4pr3aMj5BuuBxnqtETK5oCr25/ObqUXgle+y6V1qq/NyRXQu+9Qr6T9NKaK83o8ZC0QyYeq+j5c AsLQYedmFBLlbqgtr0sxCqg+z40EivDN2elYRx5RwjXgn4NVVS2H7v+qVhutoIHJkSFFgkhLGfEP JjI92iKa4G3ycO6THt0vJhsYLlIz5liBaQdDQEnklZjeSQscc2T2DXIF+WwH1zI3GH8jsREuiSlc Worp/4zKS5Dovv/T5s8tZrkjdNgdlQXJBptE3m5CuMICyG/9XHWeb1VI7N9ZJSm0Kri2R8M2ljAR +XsLjw/RiwvM3wRolHuYRjI/MHslzeE10BZvKFewu/uuaVDzl38mMJ7Y110TE5dnbHQkEXMZELEI 9D/tKByZF81pK+lLKO6I0EA0HawocnwbxQmqJB89JnVP8U2BsMJrNx7EAP00QRPtQhv59AOROs3v y8rrPD80w5g9izOcvMC14LOdgeq02hlcpgvgoSdoNEZlRl6cZiBzc9xK1TKajt5vGbk/bxWh9/eF 65caGPh5c08Oh+CNSQ718IkzsBwkpjeA17R3pjpQCPuYFPAOtquWYui24aREIqBFQKLyXvg8yKSe vEBxZTKJEuB6QyJ9dHtLtdFox4ZNClM7w+qtrvF4VEJN71A/ivZ5Cbd8j2nbsIyQUoZpEUw14MmJ ZZfkZmXKVJcb+qGe5wp+jezbrb9jaVTsc4RuvmpEZMJ1XBzIXAWh60vbsv3rmTRG7i3AIEWsoThM wRYL6tn7S5kzLXo3L0gREVDw7jnNyvWylwh/A+K89Whml0cRjT/bp4DTuaMfbrXqJqj0DMGTBERQ TZBy0w6oOLAgMz+EwpdIcHZGY4q7nDO0mQ5ph4Kw3iZnxUIz8Vek3RVzqHSVg4uh6HuV44jl8L0g iZNtNqT3Wff9fliVg4hOJG1IVMPul62LOkEs1mxvuePq39+LQLGsg/G0MJsFVSiVlNewSDSnVfDH T4zOXxxprdnJfmjwYU/PDPOdTrbR0enkMCWlZh4X/g++4ib5sVbdwg5FWmhS0PbtIMV2BavcxRyM zb6+q0T+cSz1T5eK5LmfIedf242S4PJzj42jkShC3UFnt9r73lYFvOCXKZCUmU9fGILonEGjPblp pi+qamjeGdbJgQjFEBFOm9c2qXhr+4TQNVhd+NTmAqjby+ONDmC6B8StkGUTxmbIxpfPP6lCl8Ok /twkKSHYLB8Kgjhn59t/7yq336VlTCDi+4irZNYyWJ2gRbXOGUPDo6T7NnxK/r/nb0OPJ1iLzA5R QJfR2noKVYlX0QeM/KKiVexY/3FrNiwJIvreVsw+FoJigDTD4Ai2V2stJBY/HpC0c9rUnwfAk08t +C+mzatKm67SpAFYsAZ7Zj62zNIoLnQTnPQxHGuwuJ0EUjPNqzSVxWimetpp0rmo8EKLCZlOLBUs H0rIMkjHVSPFOYLT8Pv2/kwfSJ12Xk3vnHP8/5p+QwxnSsOn0OWahL1JBpvRuOO7kPir2ji4FJi/ DjkjCS8Z9jxVcUh/EDjuYnno+X1W4ntdux1epDrqDkmiFMJN7NFBfcMoP0M28Flyw5FInLdLdhFc 4ZW/Oig4c+qUn5k/qrJSToS/6HnjvFs11G9Wj7hSzpQj6i7Ek5cGPexS0U4TGDjcH7RRtxu7GRO9 2ukvnXNugTcrn0Dg4C60ms7FcHDBAhlgpNA8AUSJSndC33PjgbdizoDYzW2X8P2QCicWM6kmT59Y cfsWjUrthfpU8G0D28rqiwVyymKAdizFJ3CR0ANQhMqM7mSAMBcfF4+vKYBhhS1BWnbx6c4cE2hY llUGJvtwKtlVVQ5rnWyEuN11JCParx+WdO0cd9p/SVPOS9ATc/UGVWlit3SQBpHXvTvZ6MA818LU Si6rzjwegzNrjuoCdD7rrgzpZao7gLFbesy8ecAhTbZ/VXvNWokSF/im95DseEgJ2b5BNmLiWR16 7oxE8VJISjI8L4WGXRF6co3UxH+US63CFEY8Pj7pUfR7IMrQQ+CsV5Vem3wZYMILF2+zo/CwFb6l kuRkLkxKRO8x5bPbe5FlrP4tzFRR8rr8CvCwx4EuLKWHgF0JumAu1yjrlvf17Lj4v9+8lv+wwruX G92km3xgXcI25a5n6C7A/rk34klCmaYn9Mz5t8QcvwsxPqlja3hOlCUM54MKZMR3zk+HwzDpNboP JVE2QWWHE09dhcaTjPbu2kTsv4lGveiO/j/RgpUMxk8WsXWveGn99Pihx2GeZ3Gg47npwqf641Wq WrK6jw3VLZn7XtSknVwRWcAjfRfpsVijk3R0JVMyPTOzA6mcQkc/4iRRX3oJ5TOldiP1Ve5/S4Nk o87MgsK03RMIY7Roma1x5mEP5yA8mkKwqQYvPY6xvvb6r7BhDd3oBPgaAVusWCRZ7g8t/j955T/9 /5nScOuE+UGnItDM4BdsiUQyXQAH3Ao9sDTlwuohWqN2XVXCbZ1tj+S0U+O+m+pUCxWoZBAByF1L L9jTRJBe4J0UmyRGPCkwVBOT3xz7T5EOxPoOaZP1T3Zlngt2gZo3L7YWPAAb/5KZtPaPoJiPt9vu cR+k0PyG/6OuluS7JDP2foXa/EHzuOB0RD6l31psgOsO5hLfhJUH1lYd/NPNJ51XQNy1H8lmy5z9 N5Qv/c4BdEugHsLMcD33Xtv7umInnBKalqd9ifpL+HI9CYlTPYGo63WxRF9y/Ww+5akkOxNEISKl rOYcvBFbU93MqbtgKV/RjT9U98Zw1oFSP7hCQmRMuXh+1P5n8MqcG2zNvw0CuXJXPtuPnkR9b5B9 WCAzmr2DBHEGodAkPf0RRbhLRNRHUX3XIYx7dSS3sIGWSp7n+RToYIFzHqZ8hA4zSuphy2tjR/kx Ek7asb9Llv/F8vAtSvp0tYJhVljAzF9pak/I+8DxwqIFMg/kERVWLyv+oArKCbkfVwWWwA+N0/K9 meq02WocptsUq4rmJFS8tKuc8MM9GEa2bQJCiGuPAebyeutoi8fUQvRFKoCdmZJjcxiUTgCf7z4w iAmHPauirl65HiOk+t8/L0iVVHUFQoSJnIi/bp8kRufv5XX6LkvaqAUHj2Ika/G0fzC9ykQjqNUx tJqF9zrFiNraSfAjIQ/Ws0wGRxBJufk703lCZyPu5lfSq2KqDHUZlpyvdtCV/P2ATvO4AlH8rPIJ WQ9W7VhjGLIglXxUCCPy2zYr3YLmMhufxkW09DHKsMujoAUMw7yw7fsDN21kIcS62MUzCm2VjqzM acL3kkUW57g1pViCo2e782pmNYPCmzUZRD6vq8Mguxx0b0d2aYYeT69liMC+ARkrO6V23nZ1rbka k/R5gwdornCnklvxGA1dke3EPL42MFcZ1pBiiVfn49+sOQ1thiVEfu41DtuxWIUB5vmEAxKvWZaa /mH6vac89EKE5IlQsr2Jsmd1opVMqSIBRegNGFdRhrgdrVl8eg+VoMnSSFJ4LYQQ7ldwlNQt6lyK DgFtncyEYP4pMj7ygysEfmJ3hH7MLXWXVJsxGlHTUHR6VlGNHtNuTpzBQ/lMOSK9eU2qdSr0NA2d a84DBow5GfWrQOKSEOWfVU3Md6rtSgzXyWGrILocNVTcDSNszb6B7I9Q/i8XvY3u1DxgZwd9B1Ml oj+N8NquABnVipBNBttY5d4BgN1NSa8TJtCnZHjKA3qLDO41bJ8RZ9fWL7xr8+wGlyY10xKeeerC R1mtQkJNm5HPLEBfLY/AccAaHF/npUioun4yhPUpv786Lk0sgdEP9E572Ia3zhGAUIZ4WixLrP2G hCtjRG1GeIzqjwdbo6MY/NVgkwNv/jwBVm3A7mxu/dXsKgbUMnWVxVForS5nbmgBob6qGN+ifRfp MniBcqxYRX2LLzqqj6g/IUhByk1vRUtPW4CNcXCtj3u4zs8phGeHquYDa7znaEWdnV/EKYPfBMDR eghhe5/JF63XM7jAjnd3Ti+lK+uZKzyTZf91yWWiVYtwYIbUX/k4/ZUQkulrNrudrcD01s/CnO2t L0UtninBzfJOMhtiF7QMWLSJfW7pWqz/gXljW/1za/iDOSUjKuS0zRTWdA5rzyO6dHe8iU9jDnwZ 6hjPAVQs7VI9GtzW1Y6swKzDJ1WOQRJQv5uMeTzPJQm0I34G8VCZHt/gxqmxCPktSQ0iqDgJJu99 a8e73+vO/SNu3QtI1htg4b0akLke7Z6ZUe6g/5hR1dFBgNqi/g5jHjcULQOD9SNES0tL/BEpofQ1 MAObAsBpUtQaefDbk/giv0z6VlZfAJApUOPzAsT7xELwx+0KXRNIeIQ/keMuj+/LsHtH+0IoV0DQ Ng1aIsvvYF04Crh6erZyFwQl3ho2kNwyPcaNc+QmDwaVGoVO66F6ut3RgqGMYCENbA6pXSSeuDvC TIK1OhcnBAlq0sW7ds2VmKhOq1YvKeXd3H9RPSOqf9amEW33p1nlwwAcjhnCYaoEY92yL/jzQzqb h7P6u8DiDZ47Gwcw3D+CN9E4IJpluUG401D+N6IVCtlEEZDIlioWN4lK+Xw8QEksph3oGVBOX/JU omJCGpynTRQ7wTsD1E8kPKtVeBpMftO+B92HPLWpoZqwFm75EuoR9XOGqPz4qAWgUxS8Oht2M8LZ r6GDbEmWvxfkPJEGFYgvIgbkB2IuIaoZAOhiHXV6nCFrAQDrzC5qd52jcMMQcxsAbFH+YeNW+ofx dwdaDu/n9vzl4I37k5xMW9SR4mebgYniPfwYEYY8FSkBiNMYBK1Mq0dI1BTtlzo9gDlI7bVtc45Y 3WZIHoY3loJUHx2Cf7/w+SIcts9vK81QMxaagahZ3jW5y8k+ga+sVs+tlv88vPxTUx99YDTtnFOV ze+nzHLg66fr2rgihzoZprthdUPweyJrX+Smwo6s/Z02qeiR2OsDUsfDbxvG2Ia3D2+/jzQrcG/8 FDj7pWgZ5lg5YYajyh9/e0wqRGfVbrxQ3GgTLjlxzEMEaVW57DznqZEt+YB8wxur0L2glEBD1dRy Fq9evIIUBsGjvxCJ6ftvbrLLkS/hCD9gkLIxcELbs58syxuEZs8OokqTnQ2ZCAETOM1zIMJ0r8Sm 8lObL6QiWjBpnY3K0qkfX/Zm9hJZ7eaVqyRsHkNG2D31F6g0lqYoeEMPOh5KL1mF2ikj4KXRpXiY DGCkgg897Y2tOgzWSIxYFGITpwIKG2BZ5cXlBT4/m3dXtMmuQYDJWBLMNTVbLmB9BOnxPTJN9JfC 3OLS0KgZ7/l5nPqGS3KDEkRWJJZm9PIs042Og6L3aJ4ie7Msp/3WcYtjTTNGDt0VID3tVxURuuE3 8FoUqv1hnfYllrcs6ZhKp5iR+p4Fwu2lkSYeOTjuaITuOHkB632aRCwtHWaHdFsuw2Bc2cOCJOUO m3mWHmzMwFYFMg/V+mKWsgE4fGbOt3n6vnqqFVYzGFV5PQSM5xYJOEcTcVw6MQrEFBVISdDro99C IRHnZLey1NtSwPLZx1cCpv4V8Mto57Ncwki8OOWgrk1c7DK7ZUFoVw5jdBW5fi6mxECPcHtuALU1 Kv7ogqx7j+2C76pwU/qzC7incIzywgwi/+3K2ipoMbu6Y7DNQm47nsrY8RrJFhw55V+eazcfjTIC esmC322zUK8qA6CBLR9CzKJ9WIyJPZtQu/QzfMjsWIRYwPebTxPvI9TYL94FULU6N6Pc4zPijlcM Wy439qmJTFbGjJMpUyxz2NqQpB2LHmIkmdWkBf/G/bXnHcXb7rvboj90+WJj8tnuPuOsXR8lTHN0 ZXMGdpYoFmBOf1uQlRpeOBnzNM1aZHU4ywZuUP9fE+2fb3EWU+u0Sp26+RED7FFiTn62TUvrHhsD mjpECd2PR1QIwcvuYciqGpzS/+nPn88fmQtkFZ6fIop6g3ypQK2r7L4obDmfPr1fCmeQNg7L6KnF /mMUEbvQehO9JpTW8vO4Ed6HYyHjeayHFLaj7YeVGeVObv5WIWAQ7S7zgwF946Cvv0bt/YP6acha WJTLfa3Agx1TlKQcCM1GnflsBz+r0/+keCV93cCTH79vVO9Xhb73wOA7mSP/PRvxYql2Q/GRZFJ7 enqqtV7OKDZc86gp9nChL4msbLR/bujbgZr+9UsopGJWgiVcVK7lRysOWn7nhruKKXvWFsE1NEK9 bloeS0u7RTbxlfF0foZzPNIUqXPGmA32EaYe0A1DnFhlYS0nkfVUmMBS35Uz45oI+vO26QpIS6Mo L34bnSEVz58E6jN4/RVCmrXMjiotRoHN8dj0yPy1PVb3W6GWtbp54EHpdDbm+TSJCK1NxoEfzpTu qdYQ+sn+XCIfX7ghGt/km2Bo1CG0uIqUXqZfYR1s1zc/7e3re/6j+P+HtNgFJZvmRneeYxnk5sO6 +Kjk/cdxbEFi5KKY0254eE7PBD0ot1pelk1D5bAjQIYqfxV7Te269GVOET72vp/slwCxQv1Z3Zpd tyuoVdmCYbVlkpwIwlqc0FdYOzXxUgaNDSAROZxe/mPgopKjGQ9U/zFsx74zD4lyrYVd/b2yHY4f OCiLlEO6k4bpP2GyJzlg17VZad2jwbnVPUuG4JxwWGcKNQUMaPi5kQWpAhUXBzFJqRSTeMpk6QiQ Wp+06k2JMUVE7gGsQcu7ET3JnsFr3VzNYCqudlEfh0qI+j3VAMm9KUJ4DX6z/AACWMIl4WyJ/Tnu 9SgfBz5OwIjDzxRJfEjuqbxSzX3tvAkx9T237pyxzVHjEtCQ/DI6g/T1hZ/ey7kVCTY4anCQin/k iif+a6p7iPqbXVy25f4giIL0d4Z5Hfl8cemT8bKHhSaTxEyXXL2FIlb6No9wOBHwA89aGc26wEYe KrNE78jjvu9/GO/s0poamJdK86y1f1NCG0x8Un89Z4GnAiUXhEeT7zu7nXw7XYumYyUmHPHljUFx spR8Is1CK0mRLnt8ejn0I8QWELHcj1M2iDem0m/D0Yiwm8/IZcOJ4TnMtOcG2JC5LtGi8lVfnwgR 2Bi4AxUKeL1uLOOsbB73Hz1LgSFW+nuKeZpzjcHvSBddAm84sltDQa+1z5Kp+flInHQhjWZYPEi1 9Xwqjn81jWXewnIfA8xfF8RR30WZPIuAfaW9k8FCAn6Gh3rwYB7rXQ/Fa7fuoWpLuwCFI/PnHc2M 5LNx0/LsLLhvoLVKkJ4oxGIxImRVFd/hm5enDCUzLu2yl0nIoXaPOD4Zi7NEvuUf8JGq3jZC+tlA JXMPMtYplTgXKL6i+ErRir84KdGV9irYu0K1hVnziujohS/pI17JsrKq3WGu3V4LYAT/zajf0v8t n1frkXG7jHkoSfqiv7N6psXGzbmG5XCAIuUD1s1zmxx78xOy1RGkQZ/Wbo44VPkNg5Zs35UfYpgW wiNs+ff0eRJTFRh+SdMhaPXoHI5jTUZ6FZqjmzYuV9mt+w3S7cbuWLfGinZbb6j0NRI/pKvq0xZM MhqKCFD5vUNHKMZuckFgH5bfNJJtmE2c9lE2/qXVcjK9WQ2wW5PgTaQ5KXFvnkq90s6r05cgciCd fzaPyZHUPem8eiU3gvrd8tK40NnG6O/XRpXEyoUKq4XTNjBM06gmG1uc+E5Vuf/FufhccggX/vTS kBoCGe6XrUQNqGtX1oxrzXNkDbCtY7vto2coMmtcwuaLAf99AfcTljatQtcOyLfBVwEuZLV7xvie mG3zJw1qvzHcjg9aaJEGZks1oeL6caZAyXMUfPg7ZN3KgQwwFvOf2oxIbPrXncu6Py3Pkh4oUPPN mRjsUEwxBaJC2p0ciI8TSGcQ8KrzAes4byAJcG4CwjKhQorArS/ZdskpqTQ5ltPzkWbl/6B1xRcI 6Ew7z+em/ALCxs6rEYh++1yReXydhFSa6WoWw0Rot99r+OJjBFl2JoS3fwSrbBA0BvF4s3upaezq fmi+toNxj6GJkJS9mdVV3GOpCvjy8XKWA/tetZE1wtRCd+44XsfaRhL5vlU74MU7b+1BrE6UUnfo 43OKuBBFuSLCqHsP3xM6QyqCpPmkw5cTMb5erQ8YLVtJbKCzDjzWxXgyNp58c7HHS8ByVqZMYyj1 gSB4c/2Ly3tj0AUDkvJKIoQ/L9nREMjJj5QXIvA1XCLK6z7Rd3b0nweIAvQ+FOZyX/pX6Hp2fJVl y+gSXxujPiOHkfvTN6M03wCSwRttDXYer1h6eWLpeGOFPX7RLiUH0kyuxtrG2mB+j400iSYcgBWr DA924kAIKZqKNN3YeqPsfXP1aqqOnZyopQEJdXHZB4ZLlILzToLlbtpaG19bXtZOEzUwVCkqpdtu YV4MkfAVcLXQUEVATYFLojp+yUscAYDaKpXG28RvHI5pbIM0jkvH58HgvlgiSyagRs+zpR0ixpKR sveXjksfR3YwlYk4JLp7gjJ0l0taYj+F/AEFLQfHfN3iFTknNyWyiKTpEfb9aLh657c7jcvHvUi9 wujeP08xK4sXaVqLGsftqp5mNcj5UEfuP9ai/xS8L8gUfbGBX460SErLWH2IK63/1XCYASUEkgfY smcNzibaog+wOG/H5kGgnMmOjyU2Hf7TLWL8wd+c+Wz+QO4TGHFuuLeR4dVzAyDBQclmg/UeK4nN Pwh9xUY+GGiy/r0PHnDgd8L26fFX/YtMMkiaj+xV3U/7NAQJc+o76ghoBklBBj6x38TCytAoHUbO 0UWC80TkZgPzBCPrx9cUTuS4VW5Wv9aaewHgcQJDn0jpDx7lCLJLE3fVWB8s96+bEY0herScNgku d43nfAHZ5P5HNy5QE9ARBI5JTF+loTQ95lIPuCBH5Oq4QiNaNx5RS+v4S1/HPbAASp5kup6uA4d1 w3/Pj5HfWkArHuuXQNKLUm+wXmMinU6Ubr59oA1wvCYuPvj1SNDDAg33WFcJwVRi5BgvsuYc+WO6 vHsumSDoqmQ8g7hkG9JfpeWqkhaci3Pj0810Er8feDWuyPNwyGabtda/TPWWlbRJ6Ma1Ykk+bIw3 vbdbORXDYGQwd+8ntvYhWPids6PL8sL93Q1EguRhMli0EI0MmdmCMCHy2FVi6oNtc4ZycsN8HMTw FirmfX0rwOKLqLemDZhIBK64PSpnqpV03GLfR6ro5P9OVK7r8wUpAkKuS8iJO6v8S/k04Zrgp/gs Bi2IIFnERPI/geNG43pYaVDgL6a5SfnrXybzV4g8jfZg3JbeScj88kCOHX3WXWdBzA2rpxCzKyCq rsgvhT4cLoHmoTufUbnKdYXTKU6dmaPRSZ+awIzPcnLWimhPpYq5IjjrYOZ71IEj1AlTmOZ9ITx6 EgTyxAHQ0BduNU83f6X71OeXihA2Aw4lE3Wb72JnTYWLEOVbjT40P04NnLGiGh5sgIiqHuK+cojU KF/G9G700zbSCHJ6nkGJWS7P1kHD/18DoVHxc9CIe/Zuq+mzjXpZBdgNTglRvsVrdfYvrQoA85Yp Q3Ic7qNITRhgqCaApirUaq+jNg34stPjz4/rSwrACIFrtwGGjQEVndGeCNrhsp+Nycx0Mslqco6o ujsHq5GLWndvUFbREAez47++hns+5QjvoTHrxV5B24nXPIWpl+VXKEgbnXpsdTrQqZXWo2Kd20wu SMulkfki7/9mbyI+SfasDuuwHaoWUrBMTlopZnmGqoCEnnXbENuJsDHAGTselE6dzrccvgabqnEV 2FWUusb1BcTAry+gS5J81Ny+J3kzgwTAAxyAgwbWKnLsqd0bkiI9TCaGjvPOh/LdU5yjfeweid73 3RJZx94Cnsz4LHf3j6bioDymsP/XKklffAK3XIMEfstMdxhyVz9b8fvaRqgWr7LVCU3i1MoWU3/6 AHMS1KflgePw4nmapcVkzLBYzvWP/Y4Kk52RSS1w3iqsDBC53w+04SgiRynR/ynfT0d1L8yrO/la gV6wuZqO7qVV33B005l6yHUazLq75RPdPt5/uUf1Tq8I5xelV4wAdc5JikUuwqMKqJTjLxLs7Eoi I5odlSh8Umzm754snXLwWNnntOnIpRis7PxinBs3KBshPZzowTnWVUnCFcf8aZ9q8GNBK0ghjOpM hGDhZw7TS07BN1tWW7l2dXnNpMnNXzJee6iL4wLYGjefZ3ipW4DdGOs8RFpAigD8DA0UBC6GNlfm dC0g9aaw+4r/CG+VhIfrZsEkah2fZkr2T/MUnKlXFmQhr/6mgHXOaT4/2jcUl+1Zl8PYgaMLun1U oJz/2rAOu0MNV2qt/ML1Z0OlrEtjpX+MXfvUbJZhHt5zu7X/ATbaXZPiBDAPi/MJ78MOvnft6Gap ll9xFCC6C8E+1d+ka7yfsfZvoBm+aeh8B3czkJRyBbuyRfno9N5RC8GmQ8M1osN1ELT1XcOZNiE9 iTJVsyqgLpb3YF7flfudpjow2Ht8KEVT/Ka4Z4PKy7qfC4rlJDQ90DtmhqzOVBgrV99beyA/QISO XaLEHEGa40XSl0GxXEQdeAHROsTNk0heiVzxOXmZ3pbrdAxVLfjvx4cAlDe5vP53F9aTa4mMzlbB I453gSyOsUBUbLiSrjzOLraXNHGiieOJZL49hzn6wB+L7yFURSmNa+KTPRr1SbCbL3gN2ZR5gG4N pXKvoviTWj3bnJKhWBhhjMbj0oWRFhl7K2Sd8wyKLNTe0rMb601TndJbTFpspkDo3HcIfRAzIYtT y813uaV6UeaEML+pEIVw4OKJrxH/vsfSm3Ry68PvZMyMPEMCoC2tfhzT/aV2yJmMWINvgZRg5zNW MF8863BhUagllXrn2CUfYPIFfnWOxz7f2redTOthdW34YkD9hPucPPajhq2hC5ZqXCjMjyGhpJRJ g5m6Fpdz/us3wyDj/ag6tiJ9uyQnwIYPN+nN1K7nlj4tTUbIsiaR+JXfhunWGvcxmYf2W7OkQbEi y7DB97y3Kb5H3GePXzQvA5j/RNwnuqTQmDg2YEgSYub5e4IMNnSFPbDVDwiBeeTajQ77j46b7lCi vbk4lREfIT6kLQhNVPvhgI5NWFkScunEWoN5Fx52rUn8DxzXhX1gFGASeb7p0apbn/Azc9YiOL2o VOneNzhTlLTi6XEywuE53he4oNSltG5u5N/ydeysYQnPQlJu/wnTC4PkWUxJUG9GpBcwxhneNMjD Q6cuNBEpwB/i++v/0jAGnOgPDRlJb1bEomu5l22SYeQvXPfNd/W89GQf1Wf2ozGDNNiiSlkhe4JO /V6ucPeaQVSfa8XFr8wwiFnRCF1WkNboNCcLqBR2wILpBQA+zk6ZfcXbKR6nCmbafW4ZKAibTCvy RC5qyb4AdExw9fecZ1nP8w5SyoVj3dJqRulJlrrtjtzftoNJ3q6gs4oxS02tuURtiVsTdY+btL+E he3hUtRmDO8PLMtZvOkdx/EBcDeqK80ceaRzEMJwXOY7w/Vrf5gf85LUWJTH5Y01A+FWVR7d5tLI 9HSnncphG78N4ZUrHn574OZzJhOggrV5F2ewmsT7P2KpL+8ffucJSAOEL1jfpurc99E7nzgtQrYa dKbyj/JJN04CAF5k17bi75NMvtudLk3v91xr8vnm+wshEu/unwATtksh5WUJbRztsEQJ++r/8Y8J ifMR0tmwyYEllLi6MoE9e5TaNJEpwCVXnZObywCCNwPfIQ0FcqgySHuLHWzjTytjSYrK0MMyFZIh mG+Iyh/xks3tkOU/g1ZESQy6EhQMpNV5D+68L5PLq9kyb2gaLrY5M1VkMtHB7QI6HJwtKETylnyb ack3djxq4crMdyf+oCu2Cjb7pfsMc9JFKQOUmRFIphokPNtdljMuuJhfOLhJPhxIgkWAdrbFTgv3 EecvTIRg5Ow351q5zIrARFE6JTO7kYxabmCHRpsut0j4uPbHiiCC49jMSyI8K+4jl6ACPSgQuDOe TwapfKr9ER0L8M6OJ6c5GhqfHMY7LrRnVTLa9xvdDhizzHNVjxcepMEfW2A455CN3UX2Z81Dn0JY a87GmLLQWj4vvoFa88PFldW71Rg+cXXVWwECRzZJ/hHAUXVfIcyC32QoWoYz8lpSreZXgS/zZfJu sBseUFRXTNlHaVSBTOAMtzHFOWWv3TuGzB3fAyw5/gIzfxdgAlrX5KTuVpWviDnxL83dt7g5c0B5 YG1z6zSqAt817eoYZFH6iT7sNWkCSTF2A+jscXWSnExXNz34NT++9jbRg7gUkNMAkEDO0P28QpY/ UJPcHKAkpUhoj4r1dVoNdDHYvE/AbEL6Fqn77+t6MXI0AGcXinZQPqIW1u8fcR/WzD40+Cl3WfUR HhsnohFRVfmxmJ9ufWrqHJy65LB/Dv9tweii3jFT06/Mt2yxcCKQYso2I0N6+MhR1y8biyJuz8/e SV9ftwLmHg8mgPUzVxc6pto6XxsX5ufhMk8aH+5xxhzV1+0PV5xt3VpHcvG2L5GF1yXUlf8gP7l9 339wOGbZLJZf+g1PSJDyUXqIOnTKdZO4nbmGqmmk/3ksUSuMnMcLDX7P0Mf4MHQyQIUD0fjzz1Oq jaB4GSboQ7UfN7+t6kgncBp4E1Dw3kBglaQ3cKqG5SXQoLWAvjVJZAxDZyPwJAvJiI49CdXK80tW QYMpuHPxZpSP44QkK59gBYT+M7WUXbZywH8kOxibyt05nadPA0M9XuqWt8f80Ftfhxrgcdjtit/I h3qcAuQr3qKeW2N5tDfIle3Rx/z0w9DkGx1jqC/joqZmo6fEmdpplYiAqmfA10ibypYW+XTiqtnC Tf7pZ+cdBxiLWTMlCsYnotRcmnGt0o0k+6ivsCpP2QlfS354TCq9VCEzwY26Pgb2pXYgtBvawFtJ cv06DNMnfN+o9UANEKrHWXm59k0BtKFx2E/2NooCXNH0Yc0kJ3xQn7vwm41LdG84YZnsxskVPp5k uwanR5sV1R/3dX1S+wRUuvD22b+jXnUa3DEo0D5f/Li/jfypGhePa1rtmcFeQHw7lkXitkYpM2wL TvPO1IIGw9Xfv1yKiSspfHO+J/cpvVN+peE0otzniy2swy/peE3Il5g4rMJp+Q+PeEkzjtzJD91d ytwSkKa/9MKndJT6wu1EBP3bT3xE1VsTV/9Sc4pFlIMiA3JyjqDc8lnnoubWvoRFrjyXo7E6ynZh +xXJNgnaBd+2oD+AA12rG8+uuuM+Wes31pDGkfO3hD6EerKinspL/YpuXvwLsfOl/IG+kiWjtdi5 /JJ1ET5TR8EkWpx8rAFCjlZlxilD5ylqn6IrvwBekkADgSgBgC8OfXXaMXQLrVmvdCVuma+/uH68 wYhEA8tmjC65lmxXM7jhZtFzChHycS9bzHQCsnQQgj0kmgWvSRqT/gmdBHKstYA238Fb3kmQDqXy kpbg16vU4Xez6k0RqI2sGMbevMwxvZ1KWgFCExihefuoh7A+OoEg6leURALcCrxTrtRVPfoINnZl iR2vrtrmDdHvdXVOrdXktWdjTNYjkKSmPrQbZRJ8zrmieSpSK1uHTVOsoIExq2FD1JE++NAWLTLV 2mM/et+5afD1SJ+zRvaTn7FMK0uCvsrXPLv+nS8RZraR1x2Hk61tf1ZdSFaVPRSKO79nDigMdK5A pSy2luxLJSNYIsqsjlIGDDnH/NXhsUCN1hoG4QO17/P94XIG4nSN9+/KznxEytDOL15QLPiPCBbq SdMqocFqi1f4UNxlQk/10AnNA1r91x1MhPO3btqotB24xA0YAregaP7efJFrcPTYVaZHjGL8JK5/ jOetXxW0favD1qBMGWpPl6FHuI2/d8qdJUMelO0Gz2X9zGseXdijoTk/CLhMP0SBEtYuqrgahaDH DjhZQc8oeCxaW+g5pE6I5lMy7zDd9e7j6rgSSCTocFDOcIV/CpOBaX2KMfsW+YDbnk92kX4mFLOq JmSwvJyfmozjmdbiR0y0tHOC3JBNzp/vvbSGySeQesKQWnV0YXC0ljJy8YP22YLQmiD7B9sEMZ9y AKlTs+9SERxVPcIwHJdmgrr9xGP7Id71jvWDfdruFMHUPeAxMTLZCLtPfgKKn+ObW8nW4qTvI6U2 jAQtktdTKvoYk7CRNB5tYpexU3lPSNm72/6TtBNm+05o51fVZOmXfet1hfimeQ3pVjvclDAyqDsC 7Do/jYtl5+7nZx1ApqFr1xlOdONt0sH0xEbUe/D+oJN72JzO5Zsab3P+a+/ZEu8F6/DGntibkXbT Fd3OZmUX2d2LR3LxBmEEVCGJReSeKY37kPJJUTnQpxsPaPOxlVX4seXSvsbVX6DTbWMUxsnAxNRz s4J/57ay7fNryofp3Fk+2jVbGMdKKZCkQjeH1FD6cuuoEluwR+5+p16LjhCx+uFPXbXurMOYM2EF /pyCREVCXr6m6IfWjOPHqLTK5x9efVA55sZPxYKRMY+9n8jXgjyELcv9trz0PI6b8+i5cGGpTA1e plIZUXhcbw5pzFSnXAeDKazN05YyhYItvIpfoX5ZJPH8pOnpMDFF9I7aCKmJdwxbL7ku2JqA+9Sf NoH8w8e3yx2IVnarpaUXz1HZguNqW5pkpE47t7uMIrvCJL4iTtjsx2yLjm26hR2F5g11cfnXuKu/ J+dKz8/0EeSJOxQfLh0hdqoZtIOv/PmZL6CTS/p9nRrM3+a0U9wq+B7H4Ln+C6ch36K3aqnayrD4 w41As35mmBh/8suVBVpBM7U//Z/O9ohJohPXxlH6xPvdi1/zl1keNhWIO0aUfEryibGtDBpBS63f snWPb7Or2h1hmzej62sMPKGNI5enCm7lrhLxizB05kmFNUBP025Se2/+pHA+9J1YDrov8swbaFjN QAkyKsNcCwXukWRmPibH2aTpgKDJawhRf3x+GubV3hmsXbx2hajGbhK1m/mKjxf6JMSP2AtVicXF Xuyktn3o3g11S0IfWu8IQFL4k2BMuqxbz3CBk4ou2gotuNoTDmT9+O/WQrLOrl5ffehJN5zeySY2 4E26bnnDyYlLoqs22+EPwEON6niXdYh7iXAl4nTBec83BNlyJrghmJmERkyhhbHxNyfmSvSp2jrs VluPgbXuKHZSOejqnCsLvjx2PsPPo7NJq0FHE1NiqcwuJ/fYNY8w4gLS62iC0c9JHvg6a3zK4XSG IaHwifNA6IaJ+ZCOyq/6JcKyYNqGSJAKMUw0qtAW4c+TJas1MsIaUtSXnhVSTJUG+EnrL9Xn9n9U fRMN50zAbe0VQzDP8u8rEGIfsGuCKWPrnLXPfn92alPCbVTbcdY+w23YR2SQmQ3oJLMcqGCK3FbS nzct6bxGCd9horkpZQt9snQDuhx2b2djADTXPQOvBoGU0Y2rVPVLb57riL/+uZcmuv1fi1jp3PHc G9uCkGg2bj9KSFIY2YYwYWqQ1EZ2P78oAaoL5pdH1RRn4HqysxoHJ0tK7qLoIGn/xIZy6JExprs1 V1KLMlemA1q0vt+176jPJCRGWjLXYjKhLIQB1VlShQTU02rEflQW3PzStwFRlZXxhhyBiycRABeD Z92n2nA4yF3hdh7ChHL6yFDM+qSBoP3EZhF+tdE/kMLZPQfKcTlZY0WERoyGmR64bmIXpMNKjTLS w3z9RYLBxZoy+FcNDmO7bHXBzN0ZrIDCFdJgHVlARMahiO6GdfVluyEtXArfbCoxcQdl+2ad+ssU xISILuOhm/aIcO/Pmu0l0wLCf8pGH0N+aAITrFMXY8LMob2Xxd1jDAkUQVxMN+T7fFAUpGIeo0MA eYrvdT/Zqz68JNBoT3vzqwYohGKvpI0zdIUfsH62zKQKHcHPDaju7GzcryvU4wWqdipLfa/jN8YM oJhylguKrSriMyf4C3qFXXM1DYULnwx/v4oTXJymroTciUL6T5lGVF0tgLilCWnbOPoxUx0+j9gk VekaNbeKRjdNXf18xJQg0Yebzkerj3vGFFjg0Mbc8D7K0XHU4RJcpAWvFCeX3QP5yxjJtSraOZya FmO8Q4Wk17dfUK6rD5iCFZ93QiCv+upVXFQ0hoKIXGgZYTUo45LNody+1YxOosuTSLS7Dyt2AgxO Y6fO4iVtHWcHyHH7VVScBicc/miRicHyUz+rkPAWZiwV1QCDzihV/vPQgaYWO4ILqw3TvlIclVdV dJjHFPwW6WBiJwEZJAHuZ8B5ZVGdrM2e8ppS0Oj4ax7pOWbYt4s3FOr78WkL5yQ46jLQHugfPFPr S3sG/UTwJMa/nLiTNsC4/46dGfLo5oaMeZy6UYTAu0VVIXfcm0i1fOq0NhXJuGIyFxRKzBjn284w GhwXXUkDzyQMY7SIUk6W9ZRk18HIgIatliQjwV4SQ3abqNmUHbIbaZ5pzKccewjUvfchOs4PQ5hH HsiWjRIt6WS5sB+bVcYrRH1n3U9TUI0+Gmwd7gTeAiuvKqOYn/ZA/Ad5d6TGcQAoDB6jK1otTCJZ 1gLPMY+XoNY6mN0nduECI5FeQv/wrQHYfOQIR9breOHjcfmKaUJJoDKy0KcuDCynf/cdZvF6ecQP FDnfaHfcwBmqnF6VRhD4Fl941rflD6+1XfBI2fz1Wd4CP+0pqUyNf8YILNm/pukc0+EqBudTNXUc bFBp95oGXhueIuVoSnT976e6EnTqtRatQtxJbvx5ABRgudtrr9sDGhcsKkwkVsjZgO56EjvP0mSe e8LmZ7sSxP2RR6AIG+SGJZ4EZoDvYr1kpBa5+nlOjYiXIJbBMp5zDcB6J7uOK8T8FSTLdL6vID9C F3r8QIlj3mxG9HGzxskxYFda7L8EHrdM5Bxrd2LjeL/QwgxsXCt6b7zc32F5Da5jFTONHJE3bVGs bfpPOirrogL8ZU6gbyGDPhqMwkSJ3a5Aj84qGsyiccYVZkw4gFbkx/ioqDol7W/MpBF02ViNjNEd h6t1Hn1Q+n7GBOldN7rC58erLTpJ0kOCwtU8Ivg65imlsyVwF3Z0ZuF1lCN0JKY5cjuZEQHiyyia QV3brW2PBtC648BstoG7lthrTlWjNdc8q/Cl6guIFWTUMF9RWNBxb2i2pLCmMDO6XiZyvKuqVSCT eNq2+2GrSoBMNhGh0lJCBRRee/Vann2kBd+AXIDQ1rqOCLDsqb45tQqaxs4bPmYMK8xJVHdLHrMV f6sKxfg6unUn/u6gExGKeQFK6o/iOURYTDh+STbQq6XxI+aEvgHt+s1jsmre/spGWCaHDjmrDJ8Y SagYHzwI42U3U6jmOQ8yfALaX2+aWvktPTLEMhGCbNBv/P8w8PX+pAz0bmS1Dk6iRoZW5ZOp1tA9 cmPR2rGH2xQtxxY5kqiVrjiKUqa1ZzKYRpJoK796Lib4rvJR6omvL3qIabUtnut1aYIcIHdCtPK7 yqS8wEiJp1+meLil3f8Tr0Hgns+f3fNLVwTbJIuCWWQ2TWQ77DGJAe3C035KQj3+EIzJ5vaMrE3d 7OVlV8HUFIyKUHjgrGRCa7VpNmTphME+cI3mgWYY5xojCCwn5pCbI01uq6cczHr5G9BgKL1ZNiob UKflZWrtuLD4kW+VHVdmAdD555PJS87Y58AeftnDYtMUQXNxp8NnjsEos0wblCCQvMCl+c2U8GVG dBRzHLxrDbO54QxtDgfLLenACKxQgRZ0aj7ULqr0bR5yCgH42A/1gMu0cU+ICaVN2I+WGqVpKYZh b0XPMdMIa1by3hetJjxqDhed9xzF4O3WdXUaepsd2qleQsdZMBQY0wzvI6miww6r3+q3vuz1bU3O ohhHsSOHMzwyr8O0bn0pTSw4bimw+G5uCDV5RuMQ5uhwvfTAje+2Z2OY2q71gSXSgdcsBbiuYfhG DOfuXYRtvoN5vONsSLuy9tUy4dUbRTags+f+XhA0nDgOe02uhvM7vr2GiaemPi0eIyG27SZLyguh XjoKUNgOJGPlD3H56XjAHUg3cwMedqsZjyqSgJ8klTobt5MT+KrlvgOLiPZexna3fENIKaXG3hQw 7Co31NxCutFWdsBFTcXkLHlTnY0yQ6yDys6xg1/t7vXZXj1qy2Y4t7L83/dRwmuKgHtnDyhPFwCd AaXdjaqXEK4FUc6MMRft6yMUClYfKJth9A0Oq8K4jh2AScEFOSyXl6+7JqRol1FjBszZnGOslWOB UK/2PVwQE0q9/6/wVuE+WNHCkXx6K6qjE2BsH0UpvSUcbSUr9XXJtAZIwZ8AZDbewJul99hPD9SS bQT2q8P7F4KUlExUXAwb37erQw2T409oOI+m68J5XUprkNr+Vn5hTB4NbotdcyXFQmlrvVgxoKHA WAvScLmnxdxt3DJMM6hII242FIM1rJo5rUCGH8Rj7jGFWQUiHCrRBDfxbe1qnm3jGW/JS4Qn6gkM OgBTzG0FTeSlJOhQ9HjlL0LXwE4ODKR88XHPESRMz/UPvTFoIJLevUZYU19PZYttP6j0A/VY5YeK gwFS4kEw6BD2ijzaGUEKLpflZXH1brt8PnqwYLMXuQMW0WaFUUTHfvCKGb1NbeuGnlRBP/z00j7n 4m7uKybm56a5vk+cG7/2K43POdHingzVl8vyTattWsEZubyp3qaStOPyV4f/p4kcys9asyhvDjSD cH+Kcux/YXRPt59VTAdHCHD1Ub+bvobfo6xa1Ha4O99rTVmjJSHz/fmwAdd5BnL+ujcgtPw16wWj NQEY+4mmYvJFy78LQiZyUPe/OMVoRKYH/6/FCAEG7e2y96N9aSb1OjKwH1NT45RQpEWf2ZFJU8tu +vmwWFu6lZE/ekkmwyx922W05c3G2Mld4Fmgmkcf6/aQwFPqRB9LzCJLvFsqvWVciha/VL0tnd+8 /wsGLVYH57eT8Yo8wZaMrOqdQ2iCWTHLj5pwRszi67SU2i8Ie3cwvFY4hW+PUCZg3R3eDQBTm27c Wm0J2vatMLnxDZCUndw8PO5V6JRbrbpViTCF/5NWTJiLLpnlvWUilcO+K7onj5lny6UNHCtwr26n k8xyP4I/VTLYAR2L8YBCN6IJAcS3PalSQntdLpvMIFBkA/4lW9UnRw50M39nxaolSRxgwZ+9owk1 kQ1BsGFhjdjOiLnZh5c8c6m5GsXPWG49jZhSZOxS7sDGiRGWShU9SkJU69zDBmvgSZZ8EdRCIGlR LNyIO77pnS7ODv20rOOee0Vhi6yvrzEbdsYgggVJDRDHl+UeeldYBss5Gi/fZjB9nuMs8++iF7GA qmblLKAk5DGxN8MtyiWlQAuir4vHm/j3WChLd2cMa55O/dQvFxhoavQZH0mlhzPeYE5HTf06BYGV 1fbqGbEltEU+qfXoULP0UdhTlLcMq/XPezN956DbdZwZHJRPZ2DaFQpGdhAQZtJhLPidY02faIsv X0C40WGHX4b1Uaz8xEzF5aTuebeALj4TNWlPcsQcRRbSRzs9sE7TEgMv+640SueU/EZCLVAvWDYD byCAPy+v8IHDhzlfqrUbqM5zELtF6FNkbwU0ESNhqPpK0e4TYqQLCGqoNqfVLtRrg4jHdN1r1dLn pkyoqcwBsJe3DRJWZJcq04YlBet4vvaC0dWa7alfP5dCwvuLYN3Q/mr0dABw3jy4YSanCkVcXlCA 8bSYt7ul5unNrORrhziHzoXE5yHSMhPHc0TpMxxuWkQ1cZ7mWSWbvhQFTCWurkUqge2GiFCQ5kPc FjHGCdyY0FG03xGLv+quw6yXZGkcKlO4af3NAfqm+ti1PhsSxc/WwfPYbF+FicsLjJa2ae/NeY56 EFs3cqziwjF+MyLdFXfGJATfVMQllviqlsMzLOsfRWldLGzuh6Tg+SMBP26+FFBo7vt2cgvtkdE8 F70SryC2E+BJ+o6+urT+tCv2th+KOPMfpbuHlanTbSXtO9s8H6VNe+ijGmPvK2q+DjmKf0nPNV84 1BRMC4HPj6ZQLMjRA4YGb+5WEUPd21HplPyCTDXyrjAl9Q8kx85HfbNuAVyGn7uXNn5XTOjDk0Iy Vc/LlDSMGn02gWFBIFic11bBYHhhG4QezAtpdIJARsSmIc2AnCB/4PDeVwgt7ZlDdzN8i05u7Dr8 z4IL4QIqvlmNAsrmCac1WhQeJbR1XvqiakuAyq7Lf/eqwpJ8dy9QP9E5qbLH0YsRsrpvzoDVd9Eu 0VSQvHBAJ7E60UwfYzWZGNaFrBZJmai+UgBKeLx6FjACwGBbaKPmPmPkJrIpGccaMJf4VOkRtndE gyi01txE8cMRfJz0V+5SYsBPSIvBpQmLCWSCw8CZvWaBGTJ2npDwOB4rI9DH6ErVVmkRaVog+Nv3 mrtCwErQXOLUdJg4YD+MZ/XDq1R2v3IVbzscck265LK/4ORiIeZsT6eYIzQ6jCJco199rq0FzMuU s4KrAJiRtMSHuS8ltviWconhwvPs+9ocIq9dwuqWQLt/e0OVHG0HYkX5N6kmCJu/EDpLFL20FcJ6 p3owwMbQCKtmEJaYMwJHfzdr7cn6n7jqdNFibgA9w0Vx7iq8F7eK6GRZXgUwtW4jJrMxn7ddOyuM stvZuNxRmbAMg+Lq7IorgHjYJFWpXUmETo31GCc4x6wcsHVYrQzkMOGfLA3Hfw+5nEiZ8ZN04p9I dD+Z1z9QvkRmpyiwRhQfx+/6xanmXA2sMlvXK1nllmULLTGSykfIAOOJeaGzk4fkkBtUOdUUaKY3 vmnH5aeSsfFl1Bti83HWXrJdoQJcilM4QFd+IUJ3aBMRVM9CsLicfk9DhTkmXNoRkKbt4DNi441T UxrEFblBfKjFujuVOJoEi7Ge4seNRlowyXl0+JQKfGFqUQ3L8GcBoh5gB64x8cd4Gw8lto9FkiVF dWGTOOVq/UfcqoKkePSXGR61FgB8FuKYgVmaVa4bEEfw8xBxcHUByYy56rb1x1WbkTDZI1IZjwUq Vqn4MYk5HZU822NNQmbaTVQFBzPcu62wg3uAPdup4xTaT3qi+yC6T0B1oTnUO/oY5HE4OxKqSMF5 NwHTZg/OjQ+egYE6Jm2jaUZix2AUnItZnZ8a+z9zc18F+D7vn/i1uMS178kdNbIXJxWs/B4N2JIq sPq2s2pxEBXmJLx7kmrQaKWcxvNoyYfkf1FOCno9f9iKWTfLw+BLPhvPrDFKconzzPSGb/jAZ48+ UUE3TKOA7hCR6neZajQVuD3zmYDDvd64BaLkTtffWSSXKsfPQXAh7X5SP3hLDhLgmZ03mcoHeWhU BgB8Ak7m59J+OIzZUYNiL8zT6kV3x70SPXT1q70HGwLImAeQnx714kDplIGaytIASdbzSaPrCObU Y/s00+WmfxjL+EiFD5fB/lsew4yIGdYmsbMkyCaIQstn2VbNn0a9NAIVs0+jOx21NEVFmVFJ69CB zDzIiP5P5DTmIIPLtMR92ggfkWH8/kea25i4uB+1bUluLF4ElF1hd/zBt/qI07f/A9piTOA+iys3 kvTdFq2AK5/i4/P/kelSUxncBQiRg0Qa4Deto+j9EnTDYpaXVcX/x+zAnumWBoPahXh7L3ZHuo5b zRAhFpQw4oZI24c2FIJAQN5Ax/C8ftFTtrxboigZZAIshFZbk8Vk0CKbKZic+qW95IZJekBtm62S c002EPySPS40redQfj4fw6KJuoFkqVNFNffOR98xDgpiy4RPCWs/muuQo6yaKjJfxUCpv+7fZFnn 1FECrwA/W2/ycm5tT7sN2wCXLm3f4Tb8Isc3EsbTdFgXYTKddfz2vtgoBlGCQBMtN+641cSEfk8v bX9am7gaWMYqy+RmSdgkNfYdXtno80iWn6cWzAOd8ceSpuKC4CzAQs800MVF4Zmt+uc0BiAGqkQs qBx7FWcf+evJJyBh819hNEGlDUiuKcTPo7+Ijp1SCwn1yJ0VZGd3ZaP4f9hq1SBqkRklc/aY40yJ 9pcL+DAHGUIfNquZOXMeCV3XkZfwCaSdKXjVnQ3l1JZPSQSjPwFKPmOPaQZ8oAkW/0Uljt53D/hd aoC6VsdGcg82yE0Y3bIGTRiSYqMtWMiTepwnhA6xuNm5fDKp8yIgzCgwLpDJT1pw6imV03c5R/Cc rF5W1R7XJfc4ku6yewS1Ww2J2QiD8AzarhrL56zuABznx2KrJaV9ofYDpsqQ0pREF61MOFDIOxl4 yu7rIXnVsiiNDS3umyT0r4vbTvA5waXEoR8L7MCg6R5mhXsNLvLQVr0+fEr6FfjM+3ZIqId5tPMH YtmCKkMZ/ifcbo+LU2ZoMgiy3LYfllQmU3ptPfh7i6BYJf2UkR7gkBIB463puqZQq+8c0fP+8Yty JBC/YGBINIkMIG+fNwDcQFHfJw/11OcM/fGDnojOufyRQ5t4xcWHH+8X9IEsHF/gC23+ZHO/SRTg IEkk23eAQ0rN/wZI/mYtrV90FSD30AzcdYwCu3dXftV/7RnkHsun/KfX9DN7BF5XMtmuxu/wAEuy zoFgt0JNuRzF/GVSq1w7GcqO5TqPwjg0KZg3rnqPmbxzHdjlqTZ/LColdStu+MnSkCuN0TcqyWRi w6UgAnecNrkTaEvksEUdNauMC6LjzRVFAgnXbTWcFbr39mgh0s5lhKSkQp5qIAwgZz2O5iDh8p9q E+B+olTXW+tQV0P/EV3UBOZn1sqtpXOsINx+CfrHNEg/V3vX03EktCSJRbYfeJhKrVuE6j+4DsO4 Xo3fOn0zb+EhKmkoRrNI26wwXlQ4iFrP6M0HxV0RxMad76K1CxILhzyCKS7Xhrte9mShRNBKXlPe Q1xuPKh5O93wzhCeZ5ETxbCNqhaDG0BGMgOjpX9+swJ0LaOFSyMPStqeXtxaDDCJ7d2VqomCbJTU II4qWDCZO7MAnmZvb49VML6dcY2VB6lWY4OGV3FBCFK/y4RmeANo1jYQfqWlu17KCQCgXFeNmLGl YGUp2js7P0DN0bslMn5I1ubcRZhTt9h8UCG84frAzgussx1RyUU4DnlL/4uxI9hExRs6i4E6vQ7J SVioz1UMZ1u4iJQaHZCQvs9bsbTNsVQ5QgWwjnyAfzJlbIHwCZ189e6MBw7hmMj9aMitC0vEY24I b5h9lfmrisgqmD2WixfquUgibIIy8wKS4FYsnQcfIXb5hIy/to5bZqgnNwwT7f2jRDeh2yAFJHJI Zrofy1qmOBAlB+x8cre7cyJE4TFk63+paqDV/EDd+eSYP03XpaDzSZ9mpaionBJK6zo2BGWh8C1h f5Hoyv5crbpe9S2jYIWe3bm64lFWu0w4uUiS8vxf1RLmE1AltTw4Q3+MAxR+Kjhs83zKIjpsQT2g qzc++SFxeTPyDhixZy3vUx1cXV9Pmh52AunY2hbRaM51V2N0XnTXKhUaq0me53ircxjYYjquJtYX L4igFi5TP7z6j2UkAr0IsydlrE0NKYFQZvHriQvUpDKVEWVnNCegk/CD3TSvG7TJqRZA4vsPKKsr fq+Sc+VfBinwG8H40IGrqF5BSOMC9wPmKOIkYY3VMUfW7iLNszbg4uhgj7D41CUSQvHWrXHjgXZL TxnivMbs3atHPS8V4t0xOvDEXYSNWRmU4fqoTu/j5i9lE6e4EFfVUdjz+H0W8cVMGHtN3flBNEs3 K1syU1/TU3l4d6Y1mEnHn2oreQAVR9Z9R80VDQFe5MMDuJa9u5+Nrx/RjgUgXP+uNRTIfFXrHaOs GiZy+8VPyqtF0U6a5wAAVaMkK/A4w1JwD6mQ3Aj/agE8skflFKi6jpRWWTun+oICzsYLbmtklLSZ oRgfDFAlMDPhLh0JD4Lwxy//iZotU2QY8ePwSr229TB2VgBeLepdP8uN4Sdmkp3VNOXZd4SXVSN6 0DT7MD1gKfH3QGZGJAYEHfeCRk3f1sqXwfCHlV2M1UDvgTpJI9UIH4ZeE4x0/ccrLBjTZumPQYFM 31dRn8idOj5mqsaGS0sDqgKi0HwB+X/tAy0srNaFU9f6Os+vxlsrTpjxgH43nW+ZdDKuV9auT0fz 3A5Fe4p4+MsfEFwmoJmI1z2aUiHHhTCV9PsH8pwaNST9Y8n+xFRFzX46IMiTNXuw6r6i3Swt8AuT 3SwE4zpVQCQKjXKkOCiYzID9FJSNX2Rk0CgZ3XXII65/6s/UEHeYffhFBXazd+ed+urSbiroGeGP codT42hYl43J6HjcYd6MQWPAlOf8u/r5SHCOy3S78y/nEogBrptiWLFhxA8fi/dPGVISgwNRS2t8 GBGmu2QF8b+yHGwA+aL185dUTIOCqEcqayooGxgU64YBM8lKgzfdULcvkWP5k0FeNnynei1YPlPu GFmw5Fs/qxkSSZVOOQWET/MJnfsppz4W2jAFJ9j7e3DZd0ZxFOaRiCLZKpu4rBYIuA8PHL8RzlOz o5KpI49j1Ini+msoLAIqTmDTpQTHFaVC8SynlpppY55wG8Lgazp2ymphw07VNYqX0C+6hImBGH5k KoYPuwWRxiKSKHnPIvy3UjLNd2TcyTcxSh+nUtnB207jT0E/1wTlG8vmEFWishxnhaLU1+Vzl0kW z+2vPERGtXIXP8Hm3zMGkadhuQWvtqaREjaHqefb3OLEs1a2jeTQnJB5H9qBDGDQ0cs9Zsp9uymh 0WPq/teZ3Q6rfu/Xo+1xB24YU+52i8rLik2KZ9TAZT9rPhJckj7OO4Q9/3DNlNBk2tYrR2OuvBw0 HFdASV2FdedW16qbgDQ+g9J6krwO0MVLnIc2yXcSJPcEPUwhtB5mQVGubQTdISIGjWLW2aaOiURn llnwsn+q6pgrio1HvpOQVOd9SYRjaF3FeOnxi/s11Qa10IFMqWD3uEs5FI71keCSN1+epaorn2NS H6CMphVui5fMD/tyY4yPMzPTzyGh0CpxSvplW6aABK5E/mTzZVZ5F9N50gwYzRkKtiimspcdYq6t huafjvFi1Jirkdy7GDEki28XNjNMPCk3MTglIHaPJTnoy5GIO7cDgLygksB7UemdvOSuyKvgbqwT AKbcRbamFYd4PoOQdVg2+SQvGRENsOM9xyW9ip4FjOKmE0o3xBQ1bpBmVlru+HtY+BXvw022BG/C iwC6Rx34kKqvsovRIJoyf1RazRqN+5dS9lm1ds2aOk74tCJ9/+BVYOKlTEPVVce36sfLxbuAXuDI EZqrDWysPyB8VFGs6sE+f63Nw+2UVaPYnelKFtOicXxHWjgVanK+ie2/nphjZGJqainv2/NJug4A 48UBk62/+Mv275JWCvhrm8lG7TrS/wW2lMw0Gn6z `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/ipcore_dir/char_mem/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
19
50137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hezhI5arYh5Ll2LsYr9SKRVb8M09iAN2m4JSbciXeqmprOA6kAYKyNVYZrZl+7uJ9rCbSy2t8SS7 C18wuehlMQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iG3qoWxeKUs22C9+IygRgNw/Ob9GNJdHtLxrQAtYdMzP86eceFi53EP4Epvud6QFqZ+YCcJAJz6X BiP6+zFZ6SCjFFuXw9pefFKNSIH8+q7UF5dPb1d06lbHzIZD+3mRDkhnSZjrqT/zLAUZb/IQ1Lbm Z5oVMb2d2CoW5etMngE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lCcH3M3hshWBn3vT8V7Ds2ckpLb00IXg/NREvwDTgQ0x1n/TYrAfJvH7lJwH3QNYGbvde2S4oTtp dxVz5eb3NKybz4CG1wYBC2N8cyfQblBGlezgCm3PFTB/fb7+0CJP6o+JNkedc2s49uA9zPZB2axM QOZ+WiL1UDOqHRt1CYUPiwYxRC9z2R+kY3HwbNnbrtScHXOfjyqwc/ifFZR8DvMU1CEJYRjuFvoW cH+V2gM6YyOHMcuZuaYjA16MxseT+50plqCZJKvjkYTDhSYcuZeDAun28dPbdfRu3AO52/Kq9gTu MLy1G+7O2B+746vqe0NC8W62Tyb+rHxVnOWRgw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NvvNy4fG+VCfM9NYumsm2clZ8IZDrJQ3Wi+cnwU6WbSkr/joDlB0ZRXsdo0mhVbkhlHdY0OhRpkR 3RYDWBuljULA6BTyF1sag+KB46HFjV7grhZmVLUbBkCWRKYz0xq7bDcNxf7s4evpI4rWpbAGWyJ9 TlfOT5npzM2PM090g2k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KN7EzciqITw/PNwj48fL1Z5o1AjZa3hMKXx25N37JjIMxkR/++b3PX0LoYvLH1v4MmFRO2F2HE6o +A9StU1NJwej2oLxLD63NMJa+VjJBFCfkNayO25s8BHSFsZkhjc8mIC5S+PHU5t+p8zDOXzJvXOx j/qM+zNzxFnZOpagckJWraMSJbbFjRIGq2RuUI6DTykdz7949XyxajpE+pE2TrgIaNudJhMJkV8s PmKxeai9osJTVlAQyTdS+HOwcKIcXexlGTP+JSkiagntbBuHEhDR83LTtvkaJx0GY9b8oHB0RXsI Jp2E0CkC4MgVpkaduxkwBZ7NjlyO6dFeIGiehA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block /woyh6GJLpiwOUul1TNz4DVoF2tJq/d6R8jRy1QYhHHYUMhWROwDb8Z8HuZ1A9UehYJWQ2146G90 sGmxawsm8YvYNUVd6v7T0YYtEaCbTcGwMWUvsMPnsi+x0acBae6oHA7iCXjCClgN7+Xpgrd3hsTK bDmhpohSJ2hTEYVRU1jjkieYe2gxAw97DeiNjI9eWmeHH+G/LBkAj0DEYo3Su++WRTsKAs+9bf0i 5Q+RqZKtI4JTLUqv+JsA9t9csGY2uadHOdF4yoBMCrbUMkgZRmOimzKfx7wmIgMYe+OtyXXh/M2J D1De/Em76Ky0qEkgYLyFxDvtyF/erCwQjivqQmUlFp/gQi53TVXsEXggZB5wiH54KylVNvOLI4uA cWS2Qkt9vl6ZYzJseyCdM4PveCWsb3wl94ieTLedmzcfUmNdcAUr3GoC7Eknao3kkiy624knjWrI XxoI2/EpmI7Jk2WIwEcaObi+Obg3SDkAGNOcQA4zbK0Vp3MCR59F0xvE2nGoO4kxKe5vg0nJgx44 nO9fCRi3cy8XUrIkAbXXcPypWDq/r19aBvbIjPIOXU2qpZdZ2LNlKlYNB+h7tP0pKopYLILxo1KW MjbXaahngTWlP8zRJDI5R8dzFeK/jJUlmWRGmSXHeMm00vxXmwkoLUWUHbNEi2yychNphy20WEt3 g8Y1OjDfoG0Rw9S+xgUhCXM7dDb56Kj+IGdL4F0KeRPhf35aILlbqWe30BH7JHbXH5EVGxVOsnKr t21iAoFcXSclPglG+vMitZBb+tza5htPN6BzNwcoSmztAqMaJOthhROtN4/oS9GHuB9RU8/Ioz/d HLYbea/5F33NXNQPVeRZblrdWdhgHHBknCoL40jLnjSGdrbBlE2Uon+BrexaZOMsG2OOH4XtDtVT w7RNfIdn49ZyvrmiEOgBb1nz3a0n0Vo4dLdHJsM6ktKLh6a4azZwzlu+YE2DMkzog1mu3GRScM3D i9pIiIzxCREv0lw0v9RaTLOZz49es5cD4pbWeHPmFpV4Sa/8r4ByrwSQcSz0v8PWvZ4Bo9K9zdBT OIs9VBvw5pSORfYTnVeVfD4krQuPHh0v5lr0MiIFR6fUVRRM/QMaDz+A+Ym422pLkYvW6uTSXfUc DqTI2cnqChNiMiLp2uUHU5zD7XHZWggwCthE3HhpP4cAT18Wlrg4ncpxFroDLE2rROtqcON/ddBd HyS9bL/vIPCKiHI3aRxRW86um/+Iu+OW54bTnF31gkA6LiKfaVlC5h3VL+6KKd9UFvm5gwePugzQ D8/f3DJqNs4ZTpL9EIUSYyE68X1Y8rtACcmJlupFJwai+r1gHXjtJcsoZWS9vpls+/uZ5mc2/K8J Gn9jI93hchqElDY6CZHhdDNcVMW52AE/HMtFYCOc9SssGbYaaqz1lB+9URQp6qCvsh9uz7xNfJmr mGEuPkWkKC8m/Z9TTEWrPBcHhl7Q6f5705phwva3PDu6ZX/3pl29JS3XL+DiQH+jU9i5w5OrsNZT mS2C/TMFoUDDWx2VnahP5Tj0ufb+5Yeyw1OVaNfuLKrGzNa8bYl8u4Nsw6PVLDtvtoXgAAS2bgjB JPq7GuydHqS0UnqQ6DdRXRtGHZjiuMCST+IebXwo63eBdDQTEW9S6LcEoQTC7IR+WAon+1d0GzI2 GRZ9gAtjeCaNuPhiHPNcdJ5bEfR7IHUtV0HKeDtR/KLS5YJoZp7IU5VWRV9xinwkIZC03sO/roCD Dodxamfl9LrN3Q50ba6JY0BA5UOHjbY/xZ2zAj5vPLbTlbeVkg1G5FI22/Fa97ZZiiqXEk4ZL5Cm Mh18am7aBApHzNJsaSdGr//nVTdT5MMF6yznTv9H9CYhGQ28ZvCT1SSAWoJ7Xa7BKJLfWBaUtmE0 cTVxpD/Q2/Hx4xJTHVg5lW11XJOKNyoNuVSoWofmKIf3jVRYBHuOEKBI3ZanmraRlrZkKTxCM1AO 1VvHkboRDtfkjUY9KWOY0wcoVdvR7ZOYfV1AY2THNA4SqQ3SF9vy3z9GaoHIfYAmlMkyhvugEHpe /Qa1Xuc1bXu1WygGC2F9m2cdGWvF/v6QUczmmeL+L+tB+QHFwAFeMfiKHJLKdQrtYPj8foPftS02 lZMU7Ta/WWbSd42kCXUofqtlqIbHdy6o+Qum5GJZIci6dj3CtcmBh/lGzHli+v5xyu+nHnQBow3t 3FWVRszXlmQm/Ys+45FTaA51O3tSTVcB7D7ue8ga2fR2o7lQT6kqAcwuipXfHGVDCrXFMzEJIx4V oFJI+02FSLbhKeDWuArd+YwDIkttXFjMSkceZBrD+ODYh0/p4a//AI6LgMu7k5b5+UpMto2Jcm11 EGZnh6Dk0iuTSWd2rPt8vmFQ1J+9f8VU2sBYslzsc2aoIuAsI7SAtzRKKgukET5L+kQMyOwU5RrK 9o67PVwLzPD36pqdonYAhsHqHzyH+gHes+lH6ug33fkeWLyCegIYV7D/gwNf6YT+6p0W4j4+V4vX RoK0K2C7gv6nO79ew5tIGztdL0UvcDTF4lo2JqRzF/v3dZnLF3MzzIgY2b4Zmo3TFca0A+ArHp2A UQiPwTO9r9OLrHaxLx6LHRbA2BSuXoaAm4W4w7Uxth3UC1PTfmv9Xr33178Scij4TSK0PsYy6mqB 4xp4+GTr6I8rVSBJ1GElg188Ndwg8GfFpkuYiX7wVv9Jq7v3t77CWutRE79+XuwQeClVBf5fBU4n 7tqkFsbDkoe2Si1k68B+rAPsU4H5bFzRd4Tlv+YB6FdL3Qy4SuCShGJeJ2w/8QznqbKsRr67tHqe m1bMRLxV36TlNOvX3mmAAdDN0ZWXkrOSRRLiwB3gSjsZ063Il4SAIZzNu+gln8KfCCIA77e2PpnQ k57snmvCq5lrpLjYdEz6B0uUw/DczsejlUzigqVhB+3hSHahQYP8xy0Cu18DEOysjystYhk3pvuf ra4JuxaSLqgH/f5RFEZA4rQNbTFmkWloXuMk2zULOP17ylPfZIjIEF9OSsrTAS74KeEg3s6oXfxH J432XRNCgdZBNOLaWKlbp0uKFDqHMuqPPzAoNDlhx6zQ99wig0aLV0AqyraTCc95f1xmPTfGj0Ox FLsUbQGCuK5rTuBx51u9MpVUUyNQDW52Y4aPteBw6YQkwS8qEqmWwJtqhqaa9a4AE2WGQsNcTXk3 w/zW+nMiMUwEuXp/ztZgZtKlAem7gJi/mGQsVGoGOt8DcY7Hqijn5NK0RfXSNpiZlfjCzSsqCTsi gRfQQEc2vaxjbG+QaSPpxsuR+sTO8Qxw3m7Sdn6htAUuOcC3jcTOMz5ZMycO/eYi3+hjgurK5x/z 5QSnScYX+cQdUTuB6ZGj+Z1NY1RwoXKTp9/ISmrn1cCQTRJ1dRhtz7yX30soEgMrMyFcEKCQhs/0 dNtGoUzRFxsY8YG7DDzF4cD4S6J1BMrQyBflIswjrFxNiJcP/Bfe/Q4bJ5Mq4zhBVqwSyT37sTvs GqKMl+oTVxViejFvdoNz8J66391edE9hziAnJX+4stIdzCgrhVCY4WWw922xSExhDoLtP9dXYXOH 1LdX5JkeHZ2Dz1PCZ1bro3ZEU9od0niYz1UOFIaqoE9hkTwo4LFcBatHBg8ThnNGJmYdMRTA5Dmn KqR5tqWxjJcMX4jiXTBMwwNQkzv1IMiuth8UsoMG8Uzrxf7xNQcidBaSRgbaTwD64JSV+bnzl0Vx N4XqyqFSozGzoqyUCF5ma0vd+Eyb7oAZwM9ff2gpnkFbEXY1/VZQQpGM3LrYUho7hlvt5WVoBliE GTiscVtXC1s6FE2ht6vipKGmB0mGZ4mIWnu/a2XMOAo86iirmWaedQMjjWQO2nvBPYwGPKTyIudX DRBRugVUp2q6Hycgyn7sD1/L2guqlrrzgjib0JvZis+OYh1slMu7aMOxnrnt6cGhp+0sHJI5kA3i xP0MUgQoHgOMDG8czEHn5tqaDfFm4tI3BHVnxcV9bamSez8aBtlFKesYTn/GkEURuotQwdqt7HBy PHpW5W5pimUOCnpyt7NYRNVl4rvumjqSm8rc9pnSb8tYe/ehIAHvc2DNOyNuSwRuiMt2kPJOElib FJLu4Cd06dZuebBFZZKr97WEsW1Wl9xaP5bQ1dCFdqxUvkhu6GHzUu3l47aHuGSYyLkN4Kdhn5ix gBY4WbDx+7ZQbWbS2KrgcjLNq1mkZbQhITQvBCUV9RurhqksTisL3b3f2nPHiQuPZEHCnpEvP7SE 5KLZ0aZ/Cc8TD/soSestbdxLwEliA3ZUOjJD1ZpbHf8mfQ4ze6D1bU9iGhCn7cGagdcBrTt/ig/Q xB1avnT17DNsv/Pyt73y+t0mXNp3qfC+Sp2u7J78iySB+vKP65cbMzHjyEHib2u9OB6TJHtBJbt8 dHjSeELf8hDHfkzFdxY+sgDbw9d82uZoiGbD8VolFvUklBRKgla433ap1bHdwIve1/ZQkPmEROaK cEbmj4A8hqZXcVLwxb4YCKna9BAH6H5SSSrnZ+GWGNrArImlEROVIv4Ukbt493hagfcDe66vdJC6 lhT2x1TczMwXNKVXv8xTYk578F1TF/KPr0XS3ikI/zWlH8NXcuoWlO3CibBFDg7+DifY4FA3400R 7V4+qA5vItKy8j+7h5ojMIEU4PJB9iUph3vyljoc5hhYzFBCftIBgLlDutlfhnrFOPLW5urReXmv bIBLds3Tnqs3/F2WvX96zLT5OOVk0Ma2bgeLYXNz+vAF64cOIA/VdHEMxj3xnODR1mk+rPPHsfma iyfh/izsDpsB9pFxUPx4eqvcIi41cTWPY+EBkyE/wLEZNZOdFm8QuJjfbLO15GII6utvj6Ieogwe LbmeWJajdQRLHiSXM1dmgu0Hd+ocVBV4D8KbKECjaXeAxnCCsKhyJVUDw3E56te97301GF0vYr/m /NswrjvvWBu+l13clWAA1lanYAnOt0SsWhZFs92611LnE7hMLiU4uwPPXTfPZ0oe4VEFBiVb2sne lEBkWmP00u/YbYyyDZlRoffmUbTCVP6B5nUR97Waw7SE4gGzcIFsdjbBRL17xV8t8IQ/3jmXj4Yh //XnbCsvPVsC2o+MugLxY2+Kchzy/JeqCL1ycwuXxUjleWd+q3bw6RIkF3n3Nyi7pd29Qqo4hmoy Q7SjpU8KLKxzzTSb6vbtFw4KUVvfwZkNw+BFjZ9hjZ6qEJcmGHS6w/jZQtqCvkCefowGE/Mb0h0O +PcS0XphJw4ISjcc++/wrVat7AQjE+pRVcC1N/42Ln3jD08/uS2kSWQmdLEphCq3b5ZtpoxSjDTD VoW2DO0db3xlxinOhiT9N6YI+d0/SsQOPQHwjmylTK9JVQu0uTa2xiy3r9FJ46p/fYftUZbbVw/g 0evK4uJwWApwUlcdhVEkWuJj+RXBqQemPdSZrzwHsB/jKemAQggKi9cxJH3JKnffROJ8EvEyrrO4 goyddjzyBNQtye0GUCrS5m7C+F6ymlQv5KE72kl3/lJtYtG02DjIGi29Lkw+hOiBTCpqasRH0ZPE qgZxFv/YSCVwARamM7YYIRtUGleDIjFgAGkUmmKGjX6RLw3v49uxrRVfBAGuigl9CPe6HGujcvXj 6UintTwLSgLyPExZS4vEI/dwQUMCjuu+Dxsy4yLWoFQAi46HIahzksns2wpPwCV2fi8DG/8egRXe AVnxz9gDVDbUOJ4OX8cEoZa/eQjTYzVHgtx8ZksKyD/ftgf4TBf6pQaAHo78GfT304vXEcNNPLVU aU7P5Nuxt81SYKJY21P+iXDZHKOPEdmP88uHBsI4Q2qdXHb73/VZIv4p7Lch1B5zT07fnsRpTnSg 71mOHLfSKsfBoFq/wBr8E1e9QuyCI7qMVeP1aHPmkqs6Ys2uwszRt05S3uVQajB1e/QYUEAU5vGQ pUiZjizt7Ve3XI/E5u63dAKhBcWsxC+zjMAAoyLAX801ls0pxy3MP+XROb6ACjorhfy5Pn0w/pJ6 Td+jrlXUOmPOaOyLqcriqw2Z7AbIlw0FLgfwKg94lVntoMhBZS3CYl0My7PppsQBaFhcLvqeEzQ9 dIcFrmzmmQtPsm2neu1Ou+vje1HnSN7vGihgYDwAovitLyMEecfQ/dN8ck98bP+fqVdbszIORL72 bM4+J6872gFfaymvAmh3fTR3vWgyAfNohBqKzzDhkqCQ6HYqqRK169GZ31FQvcOQg3vRWvZ68vkI OBlrwoU/0ZdEwBt1x9d/KrMvOT84QAuIZEoh9dh4ZrVRyW9QCpq5pRydOIzZqC/alNXxE40Zq7a2 itkEjrkCdvZBTW5j+eS2AYeRuwFT2AtuMTLFqlwgE1j6q8l1jGjWJisq4fGFpDOPQNYrFaFHasEQ c6W3THOCPR4Vkke21tYqHryxsn2gJ/vkUBk3OymACrsOts6XFDir8PAVAz00JwHZi2VwhRUnpCJq 3WZw+5vjktzcazWYWTAgmBZMQ9seAeqZp81G1frSfnq6IPQJ+/y3/Vv8fh06gUhfSgK0CLgjMFrT EVSLyoLRbdig1CAR47Y6HVfaG0vcm66Fwt9n9c9fTfaWodmMb0QU2Snz3glx+sDBbpeR+l0edgfH 0gF6c/MsWqtylvuo6GxQZa9lJlPVQBnL8nJK7XAnMH88xSFzrMPaETMw8bVxSrHbT0Q4bSYRBUw3 fvZ4wDJLMhmUTKTiHrmfUA6pJNaXepcTtaDBuW+LfcgQM+m/kkwb0WJ1hcjdFqrOBR5Ma2JVLEyX eBQHJSTJitSNTfkTF6Wqyg2LokKaPhu0YyFKZ9T8gA9waD2rhO2hn62o0Kmh6OL26DXcs7KeskcK nnYxH45j7HczKPZ7VZVERvGPULxdOqHp8CcTO80oEod0nsllWEc1ihikNs2PP9LtEFdiBtXN4urV ckorhh5anrtwb2HrKJEY7Am0rOxJ9Cmlo22Ym0WI7P+SbGUaZ4TqCO0sXdHr/I1aKTqS8SD4yse0 8IbmkGqjBReFTCxCLiEWTrPN/bBFZoEZjp70zjNv9NevmS2E2ur6VmAqlrIPEtox+dCtDaPAStoq ZG/FRFlsHw2FFdCmJ1/8+fs3zXCSliAdJwvME8XrchbpzGAicWGbjTJyk6j9hhm5Ymj0oRBDA4RS bcB4eaGXtCkaYATI6Puqp4QOws9iex5fO2FvruR/FsrPQWFuRlbC4BQEI1JE/yGwwhmpi9fguNBz qQxZFZNO8qdeaDrkAdfwPnPGpiYScIfRLjvnGEDioFsFiz7pSyC9ciZMInuyvMGrAAor8A4pD77I p8D4dznYtvZdNKneZbWFwAiYzTApnYbGUylyCW2BWIBKsuhpTTihCKr76v/gn7RUZxanBXt1BYlU Ksijn+65D6QyTWhUS6jeIm6UDnytu/xLVIo+Cmj6tDMHOU+FBY10rH45nuFzcm1ocV9MpDctottF HuTDKahe6Ozct6GKkSp2oUTfBE2vVo0tdcz3y6MVVp57wOOG1OhbisMNkC6+3qFx7hZWyvGYVi6L 7DEq5lTFqT7Y56waTzjH0XTrSoYS3PKsxHNZ90ntYK4sum+SWsgVBZpvsMGIdIrLxvCqUvWYWvVH /BTSe43LdttccbUVZRTXE2Lt8SgsEzkLqGmUx7Hbc38jfBVLHGr4mh9hZMfUS72RIin0kWD9637g vkD6OH6n2cNZxEGIhRYdqdXz94FRl+Ln4aOtkd2cYyGE/F67QQ2gIio+dUi1ni4zmqjTkueLPQxU hLXYrr/osHrUA7JxUhw6ZKIbwnW8m6uFjUDqmMK+IcOiCmc3Q9LJY+TnvuJG01nQdZMHOOy5TprK o3aoGn3jbsg/UJvLzgI+sVC0atHDGcdr1Q3SDMfl3sLLHMHzon0pvAcnwEpe666VYUMnsycijAEr 3TmWVfL7G37QP2B5uBtQsfiEFn23klb7bVkstSK8QEWXrEI4hqvQkSzyUPBz0MUb9rC/qSqb5AwC rPA2GExzByoTkygcyOF0Fp/X6TeGVGnryu26fJ1Hx+aScqx2GdIGZf198UE9x88/x9wBasgbqkB4 sZP49BJnt3YYnXu2VtHi25ohXOeQH9IeyXlZNN75NoAh1a5j0troyagJv42tIYyeLpovG8sqiqcI j3hHA2Hetb6BWqVFCDwJQruwq9z50VmC2C2SX4q2DOLVYOEE9JaRpZbPrMBn3LpLA5GKhpD46zlY 2VwRj6tMf/orqsQJkdxvQRkeAGyb1tvIa3XJVHf2prKN12Urdl0Uqk2QoHG0LoJRqBuTYcm8KJXa AVUQfVnnav0HwDJgz1WFYSv6mA6btXg/Dh1qDVabwFTcm852b8v1UgwQCzFd/VTET5I6eRQTNDEe LacGo5hj9rbN1inoOFCRoTN3ykBpZfMfROobiaLAUXepgTI6m+E8wStQW7BCWaEbCstDcd+BYIo3 Db3SvT4L0qPxOANkzbtg1knPU41tKLg3phA+iQ+aJV+HMmfABYHquyolYvbRBr/pAeOe0WaPBLXu GK3fI5A8nvq0O/1JRC42CUAk19leAAKkI5M6NzEUqDjHWVzCjwMCgewIMql9lTYmwlkLeWVM11uA ReR+/WixaATpjNrJLYTBQnuGjaVcbaRoxWsBENDYgLlhkJeihtsS6mb4O64swXE0oP4r/uTjquIn uPcr6EO/gduxH3HfkNjUqmfwHuj1d8YHnA2DECBk+LgoGmo6vyq+sUrDEyJZgmXUPFlWgZDdeo9w Ae4jH6uk81l8xVV6q2WmJyXylMXvnwYMSihRuiTJdZqTvnaKH27tWdl4o00WwbcIeQ9muM5mJgOo lfKqrjk4fFNR7CHSgZRXp1bd/08zGp7B7L4clbcPBjNVBHcuCSLE14mmzBjm28WqnwwkDxsBUvTA WDzlaZtyWa5lI7jzS5qwGwoOMx0/tBL/q0zMD+xU2WITJBOE4P/7vff4bWCPp8iV+cYU3dYWoRKe 17taX27sRsr3na+VQeK8EE2UE1V4nRuG5WOQLLtu2z4UM5Y58DI3KPq+0WyWzhYGQeXh58HpQOCp 7YheiKmaVKx0UbFEb5ow6WltcVQwWrrSFzFlO5DEShNKIaMI46JWbM+c4ucz333xPccfhqsRZr/F 9RTLJke82Z/UbcZu4OAnGvey7lRFmqU3M7H/yU02xscfoGXK932ArFVER62/O8ogLU+kNF26nFAL V95sde8NgV/LBJMYfiT6osdaSI2dkrtIXTBzZxP2v0BT326p7WfmMdI7tGojxkjtECl8ockFhBLY x7uT+VXnHP+5IOzcJ5vuZHFKhmgaOrYzpMNi2Sd1RoNuc2lYt9MNOz/CRHVZ/x20IoyFLUXgScGz CF//a8fl5bgNZPpJ/gZeyKwiYP+Zju7EAC2A0wQKym5dqbmX2BhiN88htwVzk4ofRogmj7Yw39fg yMEZ1/nGGhwdvXlooZcp+zXtPEICYbwLj4IgjIIedbMwV9GNQVbd+IuG6nPgKE2GBFCODrlmRh/x nW5z57tOaBggOzYhSxw2qfF47T4t243m3IAIBx1ycLYWUibUfOgB6hRquE/5Km1XZCCgy+cb8cs6 BC3GUDByVY7ur/GBPk9uof1R7ga+Z+C5nFwV17LN1OM1OnLTpnUVDo0+5SNNMVhZDOFE0rVJw4QN f3EUidmHQ2fFMIpfB0LgxMg5r91kFqY00d6Sia89JIdOj7VArf7jXwp8ni3hbex8a8+51NP2M260 FmAXRkOI2uamWt/l2huCeXOeHGx/+QZSz5HglDf6Vx7EQVPHZZ6wqCLRDxZwsqgtzWwQRa0xF8dn lzX7+BITCZMhqkl69O6YXNd9r6wKrZF+23obVdVOwkTwLacKKcn5GWEJ/F5hQagUBFzXQykgKYgw 75smryGjou1SVTv4mR5q005ioz6HTiJO+Is29jXZb3tH+YaRRNqFoUS2oNtO60h1yROZBTvUZVUf 6FwfzAr/wYigXz6hjf1C4ipChRQzARloXfu2ejffyOQGVKSbtJCugAEtIG34uS2lqskusLBM5N75 4B1OBE1BrZC8QZP9fQ+0ZrFSKMLqfSVTimemZFMV1EuztOO5HM8z5d+7mxrzxXgNyqDCLl5wyA4K UcexG8Ly7HVoZQyAO1AxQCsQPrUj/z+LLx2EmF8SmOj6QhaYIAYCeQJCs6u8rrFsU3T4SvlWVMGa NH7iECOko3lmw5NaYJjpJlt1+5Qxe1tW8hyf/dM8zG1n8o39sk2mqXFJovhQGqoEO3Mvyk4pFA26 mOOFcPHpk4343KA2nmuh6psfR7sybQwHnCUCYCYCg8UJBf7Zx7xMEJ1MoV1HQ8ccuj4ypilO1avF tWIQrUGMNPt/elkjRpMefuwGHYdOzyQaviMdU6hlB6HZEK3voSF7ZS+hdE8lEZa/rkOMPZSii3K+ ZWviJXvaVWYHidEyv6Ot8sIlf8Y9j8O831UjsEWSXwzoANdVei/CXmt2W7UCj/pu3CEN0CX88THO prfMveVzJKAGM6J+4ALdN6CuU8iJdAuJk3Vny0xK8hKYhcQfHYBLeqxQ0WurXFc0Q/zxqkDMT92t p9/48RUqYADe348SQPbAGSV7E4eY6n32N70NqHjcsZt8UBof9xEtp5uVsYLiANVPVKpEKRLMpAmc S9O/ZXlfXHJbxJXP6b8JxguqjyvpXNL2QX4Ux3Z4HWHrGhtmkWd4N4VanLEAIc7KvvxfAScjpJCo t73fdoLnOIypKpL3nfwxYb2EBCiGA3A3ivg1CfOpyRCu8pPViGoAzT/11n5TYxynOZqS4k2VBA+L zrfD5Lsuscy5mjGpa5Ycact+pu6rOZcJs0HVjndUkl0k9SnEUJvG6kAvAZ6FqnxBpfCpOqYqEvLc cxQLwQ6EHVXq5+yBm9i+cZU715XFV2AGkCW1ODdgR98BMM1a7m7pNRDv44C/jtT6sP9BaJ5ivbiM 6dt8rqurDm4Q9vPIy0+f+1rT9HNs128X8m50uIRQu1flkuvHd+H+ZJe297WwBwqSjEFkPgoVuJ3+ wj+l/eP+Mr9CyWW7AGtjhjhrZkeZwpa1LOPRkM73Mx45nUQWYyUw7HK/6c0iHCrtRKSse3pGesW7 +c3ka0ccq+f8Dgxc+CHmiGm48WxCndQ+T6RsyR2o8CKkOnOLKE3BnlRk+lhf7XxZ5DF426hxeAmh mbI8qJh43dG+CwIkDiQtt+FKIJZJLEu4oUa72vLdK//JLMJTbAsnJvVfB7vhMc1tu2XspWx6vbB8 77dx9S5Yi8NC574YUjcIFKWYODc+NMOmF5V0t/GbsvF0gXKF/qxMRewqw+QGVagVSIV0VE7pHYij 93gTqdhCmoXQ8LQqEsse47ZJOYtOfI6hGYj38l+VyxketxoHsCA8JeDQlB+UwT0kBqZbeRy0cBwR TnwvkglRBExFESoPLQ6z5aPoGMsa89enyic2NFpojJj3zGsOUj9r0hJrZX9+3AYMf+F+8JuzJfd6 GHtA56VICYm3w7Xg/4gofreZ8JUgD/bdc1Aagw4Xw0AVAt+iFohbJQchPwMoAvutIHeNlTw21yZB 6nnvRJUfZHOadLiUngnjRArsyqbKRyd/glba4Xa9if5y4vnyL+mP2O/qp1oPyVQYSJlWIIG5+5Yf DuuDoANI+8a8OF9ql6Z8aScwuhQgXanikFcbu4xn/4W0XxtISe0cqdla91OOhZD7DUy9tHLrgZET +FIXC6yTgm2e/RB3uzamDW5BX4zuuK5Cx63XcmyuFX/t/x2b+c1SDsA4gRfdaTDFSxDRvzmbLqsm S4FjLXAW5tu6jhagnWt6pjT1ajAVcGd6Q9CuOaVDj/sSwPDim4iaGGkupQwWUQUQfKQXI6tEFDHE 3I8J2oLyIDCf7j+awKvGeUg5mgvVDAXtC9tBJEd78RL2bwJZ04KI4oXNbbphvl8iOeHGa+61rwzk R21vVEdXe7GemqF5pl5xUuvxUNE0Kn1pXZgaIMFPYPj3R5yiDAg2DkwVog6hr/971YLJ494SCnZb 8ieF8b2WBKStYMyHKPXTvzIVvRyp3yZbh1/Qi4rteE7qBDPfYQiG/+DaUsn7FFhsnXzzffM0SqIu 0pt2HSkl1GPuC3t/bK18yQYV2CTOXCop1SjeC+LObFtIJiKeFs8iBzMIS2C563mpQsbL7wbk/VMc r8mY1HW/0P2eTtZANqGY+Cy2iwOfywZUlTWdG5fimZjg5mlN38c5IcPoBU56IW3CokzAgB+C/sLQ 6AANfxPRU4KrK84EDHL26i7FkeHIct4bd6nmkcGLRu4zGVGXbhD6aCQECNByDMHwzsL/vExmxJ5n 19nUn2Mua2BSYiKOTqWHEg/JyRAx34GGJp9KMisrWq4g45n5edkg8Od8gRwQ2b40yhGyxs30gDLg +eWoJJ/mlMXxhaVz6u+qaoDdbUIFcSNXXJDRSd73gFsiL88t6JPO2WXr+Jyg8d5zuqTOu8T/vDGT brk7kvOGY0MeHqbuahIDCkg0/traRgZ5pviQ5b4xh+4uWgV6c7feuXMAz8OXIWPbUxiujd1ZBdTg VMEXf1utnh63DeblFX7maksD8NIm0y01lW9F6x7WLQb1rE+qA+gRkn4P9MLcI/IHEtKFk1x0OV8t 2tnNcTWMkqYpQQ0Vezn0PMWmVvdZVcK+TrR9y8Vtp+6cykvZgjsHJfCeMwPq9ALpEUnZbm4Blbx+ 3nTaa9udZ4aETwMqty9OvZ0yLPN1dXTcpQ5/liLEXqXh3MzWhvieEkJzrawqKlbCpKqjsnmWy9cG PIaxG2UxnYTA8syXOQOGmKdTfmAwpBthl9hUXf9eY1wAd6sBaEtheDvCxMe5XAj8wr2N8udBPhwJ wsLNF4bPi4T8SrnwFnXWoijYb+oFgwIxXNEsfBalD1qIfuq0PWLFNH5xyvPcJ4GxDN1axfjUtZAR RkirC7yreG+3YVqFQuqr7zK9Sqt4zUzBReqvjmu9XzFW9uWBI1idrgZvq3OMdU6JZdCu9JBwSsc5 U0J7GlDaZKveFGCpDo/POjAaAw2Hxyr2olLN5DRXw7uO73T1iQoAEkA53p1tsJCcU04xjS/208o+ PPc+sIMTyzxOw5wnLn0V9xk3KRznkeaVe7MvF+T2B5nbWC9Gaga0h8fCzbYR9x9+WsEzoaL86ORE F30l1ql+zgi1aoMsS/niY7X8cE+TN14JehW3LkKDedxolnHG35DoqVtHO9Dt2ZNr1OIpa9X/T6EH YzlJey2XqrNDeJr1enACfKrrnkcAwQFXqHpQaPCtlmx1TcQXAlZKPBbwhcVgsQ0pfzVP+tCleHXL d1Laz7kdLIMrqfPGe2V/fnGVYd+Rj8O9TWFlsFFVNuCHu38KBu8uoAZ73+CKgZkhGXW/ADUKID4q qeHyBU/3veVX0dJF4u7ys/uOJxULF4vBUBPaoqxW1OV6oF5aZhII5UFlxJApov3GfvNmDbSizCsU V9+mB4eFi0IHRKdYKSQZ5XvpVCyl63xSw39dTogwjN/j5jZH0oGSS2BD382x8SV/2qnohG9wKDXk 6MR9WNuGBOxADaQYIV4zHohLts6wYZG3bax6Dh60+0SaaWvTrradilTp3ZiNAqJsGiS8oQxAWGCv noP31nXhNa4/gjAnXRZ0xN733zEuB7x3g3AB/XpXE0JvOspAUkrPzUyvz12tIA7vbBTYqXzUgnJN eI7gJvGxxOTgPd4iJ3We/woZq4+9PVZ+CoadBKjgBRk77FqXPK3sWfHHE9O7K1J/VOm9a1S1C7Kh xYop4V7PEOAmfE8zdF2eEDLS9i+cPYIZfu5YfkvF7459QZf5S6L6qrPdBwjQAcYfZCj+wssB42pD Xik5sSIlHUbynzCNekIlhmR/FSwfPojjzkp/OeO8jvoqJO5S5nqshoAbf6RN+0kG0KobpdEI0EnP uWMUDkHj6UAFab4riMpga4abhyLZxezRbQjbe/ihYNf5hGe+H14fYz24EPdVrfcCCt2RbcTSy3Fu 5zG6U1M0nxy5o090BPE7Htj3vVW1CcyjJ5i/YColo5kIXGDExJ80fqJixuLmGSd8bykI6E59qzLw WTM+nc+WJ2O63au6lAgILR5igRko99Pt+BX8H+NGElDsyn7mpX1kDuACpaaJHBumhKAOEoWsQoSe yM+POI+oeMtxx9+n+5ezq7DEBk6IIDd/IJWQ/7U/X/gLphlj9qtuXaUKyRdK78PNPRpzcONKwHce D8nZ7lS+VsqGQxgVwd0yQF/vW+sGSc0QodWXjh2utjWUmaJnN5/2O9cJATbgn2IMPFhOU5Soz7/b ekAjdqPGOFMb/kXXOEOj334aIH4TwmfS1Wafcx+37PE7wna/9v4o4wkub3OHpUgplU0nZsMjjjoe 4NOeXlkKeVanvxuRZa8ZBk541rcB5f6mXm7WgjZyPKHAa66YID0aaifD7CJmjdKw+e8yQmfyvkBE yhl037/4nQl8S/fJo1BDvv9pPq/Wz7XL7F2kqw/U781LOPTpJUU2PSGdo4afopS1GrUHAFsiRyjU GgCj+FkPE1chE7ypWWHpxJLBHiWMlhsdbt68LXpJb/8fmJmNf+bhyA6XJFt8rTsp/53lcQyvvXDM h+rINkvF6RYrFW8umYmM1XE3IfvrRwVSJgXxcDUNRgu4hQ/eNEGlS2jb0pkU/rU5w0NRGRiVS6xC i9KTq9ffaffxIceJsLbteCZTNI+BkrNEmTQidNIX29hyX1q7RymGO+VMZpxb5N9XbWKJXQPy9Itm 5yNMQntM7JPLrSJSYQ0/tcLK7n9iGAK3Di2muOfG+bjp4/O7N6kD8tQ3Ia4gcmS9BKUDUpyZlwlg wPK4iPdTCKq98HjHagKdpMq5cNviD3IxwdUxPDQcg0qjsh4OT+O+CHQmI6z2MowoKIe7gXXVQarp E/QwPUYDQ2fyXf+Nm9aZHBJFtncE09BHJu9P7DWyl3H+R0tq00se/k1nmE7wvInkuZjY2JerHnQn 2HyHkKb5cjmbwD//EyufDfTagsrzkck5m3MpUD95DW6XXTORkt0rd1173JDLvTPQpXJ8XR1asl/N opUhP2ltEjj+3rlaVPPr7nyLArSXoYxnNoOFG5yKlvKmWoH43Ofdye9b3/P+c7VqKgTw4+t2GLl3 bBaE0rr33+Iv+sa8qr8f+QfvW7XlSBnu5r9tl7pnjyY6lfMfA+mAG7d6vAW1/A8RyXYoMr5QM+10 UBxhlO48QqMIeJQusHNHhQUi2PaiqAqz8VlDDKMxfDDox4311Y0nuFTX3oaRq4jPVulH1Mmlo5Hy XUF/+Km3dI3/5WW4zI/5MQYnvle8CGXvCLPQ0eWzBGGqeGn51PpHQ93XhoMDDDSBCSQgqj7xc3vI eWd1VXveiGxWgXR/87yjp6Q7UhgYiEHXg9JMkOBCF+A3JGlEWKn2swVzyRMDmOBqOORsJDYPwZ2P cAU54CUSOScMFtx+6ieDKu/K5I//jtLS2eXxrCV76FC9EJsnSf7/9HBCm44RLEB5ANyQYfnn5Qwb qDarBiKOfiakPk2AzW6A4VxWSMPkLndDAsmMOWD69+p/aHUV0zS1ruuZT1YDsbgv5fKFY2oCT0VF GQLXCJh0Rn3Q/0OPOblzTi/nLRCkmT7/vqdIj3Zw34/1l+/reT9v/9chhK8xH9u4i3z/JZJ5Fcfd muNX5LzoVm4fhbpCkwr9jRcwYgOuPC0d8BrW4WZGGx6ZIxkcAaZugGLaQ8P/dGnpCCrD9oUdI9sc azYD8sUf3daY6uJc92qpUK6w3A8RpfQMELXgo4wR3p2D5Q94LHlLiDETUb33A+e01MRewrqe2wGq MfzbvJaJQOcj9HRvnA1hLotLPCmEbZPL6MHOwEvactCG8yqg/0sXc4I1egEImgOtfrvXRtvADSta Gziin9ZFAxtnshHvVZlpdstgUeH0E778e/QV/mcmWP+pHzJ9mOrnVCYkQTIlcBtNh1j48+433hid b7o+bPyEinJKTnNTRixtduRLZogOL2csMf1GeLPhn5rkEzm1V74ge/S/igYZQGK1u66stv1zkQRn uwQSdXcURH7Xe2VxkNSu1YF13NTrVnwIhGscieeFWlgd9VWhZo+9WXWyfb4xSWqGXI09P/HE/8Lz tl4Gw/wZ+sZcAN4aRYtaM1nqULPess5K9MVvuLwJolPEJmkYv+Api/eoglyuShxTc4UvW8rjdA8L D6qV0rsEcJSPnIU3V6iiq1wbyuqVSJcudM7P5I73wGx+VSUp7sNwKID2rUBJR+gnKdSOaa1+p425 cNEnSO9lrcJGkp5NBd5aIDzVAKuhob6eKJjudZd3cYSQ6Psrz6jXlT9UoESky+VloDGnjiF+cpMo D+RRyXQIqH8j5GhvAQZG1MlgXzZ7Rvq879+5NidYYJwbfKxzOoRE3rn3uXTQQJohDdH/Cw01y0z2 VpN1lEuUmeZm1sKAFRIMUiGz40WFGy28mwAm8xHRaGn9+IB6Mm/VarJMDNOuZ+GT4xHf7lSHxJDc OZdwLEbNmNQPimR1b4bjiN5/7MsD9ilgJrozzg3aSk9cH8tJAgCtY23kDL8Gcg1QW6QoaG8tBCPn vsApHqvp8z7vzluKdfxxlvMW9kgoaL+eQQHg3xuqF88ROFoU5Y0D0G2ZFilleEJqvCHUsYIPEr4I VmKsowibjJCyIR8zr39MwkWlfS8PD31yXyv6ZnzpZFNwtOpW2EZnjxupLjqPuLEAdoavTB6X8bJQ R1GlNpFjOUuBDwmdsLa9S7s8MdUcqA+e0OLNlW6h/Nk9AxuKRfauAwudVjHISah40HpL2MBY7g6b RbPPEJkiSLvsrS9TBzeHIwq9Cr4ZDlLiYeeEYqE99djGYRyNYG9TVwiPFO+8qeK+7AhDKWxqj9xr n0reJSMkhf0ZbosWbk9BVrh8xOigWPXQTAUpnVkwasM+a5QkyZvmDn+rlqXQ2fZvpJKUHGPu/F/e tZHcpbJnkd2bo9LH1BLpPEcgTOcXUpoDcR+j9rTieCrprvzPmza69GT7vpolQpa7lLncXKXHxpgy 21A64a6dU8GEexxdg2s9bd4tT8pmEpM4TEkOqdyIu9Kv4Opuf4ApodamktdgBWsMaeIzortGwwYA weJADn+siXTnAhw8jWk/JuW8ayH1n4SDeXmMxCWs1nJ0ML5cAqO6gB0UdQWanNhpAgzr1in7xEbD jg1dSG0uY6GnDIhJvMXootTdMpSGwH5RR2uBcnJq7EzOK0x6qlK2P1WR0VFhajRZASbN54b+nsRq ryCD4QbwokRUJqQ1MbS7We/CMrwjFOCx0wrI9KYaLWjvSlDdCZkuB7WQThGkxFpIfAp0zkG4eHc9 eX7qHhM1yc5Q4RMphOO1JYRHHPQXfzPintpk0/Az9YhsEJZTZh8F3GR35KmRXwAg6btq80YCoMFs Nb2vOVUxy0YXVuFuNS2gATeBNBP62uU9ehNA6sKrmnRtu318i+B/3CdxAa/6IVgKUfDpi+3MVZZ3 NDgi4d6fXCNorRx/2+wAOPTVEOSa8iQXr43lZxXp80oDxdA6u57vX5mHPjQaDFk91Xnk+wag/yyb Xkxe7y/0F0kmjPMBULUetx57I4BlkE5X8Sb3sSBqi9+q4ZjaU2aoPDN5yB98175jshAkevQdE7K7 Jv4aF5q8hVBttdJpxCPbElfwes46bo/vN1hwoO4nlQ3UGIVMjK59oDlUsQ1NqQGa01TzJyVTighV sZcsr7I2wpLU/zIbeOvpUCFbeWzkkB9qPvz0K0rNa23jVdhTY+xwtKKVOUdHReLL+B+z9bVI2gND WtSeTOVrHcUKK9kts3lbIwVzYu4sB/cJnSMQA6t5lyqHfy2bcA2fa2M5/6gZZR2LYCcNbihAxllJ w/oBl/FMazGxhRqSm/AeOw1GX1QhdG/XOfYInRt6R3jt48PdkYURlG+j1RxYmp9BRDOHTCgrHSH0 b8xGRg2hkvd/ks33ivsRtlsqbrZ5AcWhS5S1qiEl6RfOFNGlCMydWHMXpq0y7nNkhlSjh9BFgXQO fp9eF5AqsfL31ckvgtxb3D2EnhFmjMgdFEW8tBwvUFQfrTbO/fQgCmMN2Oh+v377GplbdEdis1L8 Bepc6QYZwukTTvK9WTjvnapMFpFYDzm77jRTClDPoiv9FuRpVbR6rH5g+pVmRS2t9cLJs46qKCqM /Zcnr5HWHJbgWEw7E0p8WYxf9Chn6NqZdvncZDYBEt652Enqg1sUe0nlRTbR0EQSkuWid74xoIs4 TciNblW27Pn5JLxO77KxoXuFjZsXwac7K7NFsfxvZ3jGVyvIZvh2nGYmNqOmSWvrLxDHdl6bj/eP IU4oVpxM5R5Ox2zsVktf1sHfUqNiE+9g0ggHZmiSahcNBjTHpj43Y1TiVx0iuZ8EjunWZFUzYSlI 8hTjnRELEmLDDg2H58Ug3gDOx181tDCZITDNVB7lOeFmyao4X/pEsxhe4IUny4/LDNWJOlZ5wHWi Kg2WlfD68ygdSxhsO6I3gP9/g/xgFSEXD6tWshX46xvik8xwmqVovwBSN279nFWyOLCGQ7lF6J9+ W44MAPvKG8FPPjP+Y+pfPSdKJS2R7+EZmrJdeuGlEfM/+plC+VcmvGbGfDZJDpsgKNkOb+ZvCav7 WnrMF23xPqtQzC99BotKmPtZTGfiviu4myN+4ooqPK2qFGZIGuTO71aZTRFnBflu54nErs3fmJUl bTQscZZc4lXGRoccd1ChOuaeeFxKarIFljPvHIqeTUi9EJDhgqbGw2MkTV0B1beOm90Gw1xBatGC Tjmqd+4i+gwIduz+SJHw22A4q2LOjlwD3MUdqJj3FOQ88v22RLvPL3aO+qGS5cO+ZA18m/L20PVE VBGxt9a2HzLG0xNn2q14qLbZPKpWz5GJNuiSyHjnjtKZnpvug8OwOscmpFRPT86g8ZEiW+s/tsxF ib+ASA4FX1Lm6dMIgkcMO94Jr1zwni31OoDxRvAdBbojQ7yECm3w+9PlXaFJDpEYFHEtAZHFCb/f PkFzOg2MnJ8JxD1EXaWG5eufiR8oZecz/SV6zj7NdLhYi/KYgIhLzVv4fIwh/y2Oi2xZWE498wkm 9qlUQqvNRX4wK+kVpAv5dzkKSZLgQD9qecpt8CB3lHJPO3WvCtWG2dJv/OorRMwaseHwi72fCHBk lqNL93pGznhRfU9cIOkeI/t8aAyr9Z/YDOnqKSNNKdc1RwhUkuMLAzsyp57fYXmZwXSWSQvBhIWm Nt7Wxz3X0soau2ad7uZeiNIXJUiylO9KGvLLLNUCUvkApKZxCI+HsthAmFZakgRKdAtaC/Ke9Jx9 bTtV5wnrG9vIQg4EB2qLmCP8DkqVZRj+q86XztwCsjr7s8F49fyU8Ny4KN/OSGwhRx7ZHH5G7u6G vozEEBvoGYfo4uueJdVOTOjsAsievgycOVPAtjA2X7IhqmLMvdG74wVOrHHV1pyvInCnd+kBZwMF v1zGQSCqoGonH6jc7yoaob0ouODoCKffgcituC0rYwhxbLtOZ2bS+uKOmkWVxY0VVkhWgviQDtgw +glBBg684JJmUpoGJGxd3fKEeQ2fkl5tng2b3yLvOw7F46EkHi00ysfsTf5df1jaorAsZ9Pq8tlI MOga3ob8NwFeqbwjXM1bJWQBHkA4b32pfZOyUosP4KyELogcL+L4744Z1aK/2ltUgwmmE4JqTBUs gWLcaUACFGoVSEw8FsYI9TrlHWFjHlMLWSTM26gm96oDS5dT4OMERo22W0TB9kadMDMMeHM+X7W0 XRGZkHfo3in/UCxwg2NGILkNXWeChd73e/j5ZysK2VakG/Vx0bvrLUQzObY0DSQUmSx1N5t0KXO1 8p/TMcAvcfxepbgoqZ91QoyKCJzgF5pdCsDd+w2X8H7KAUizim3nGIKEIZxIrrto7AxWE0kQkG/m 3jGqX0vrNV4N+eyMT+30vQ8b7jgV554Uv5lDX0KB3HqvvX5/4h5FFyTtpHWeU/scI3S4Z132cbYU cR1UeMS8dhNZFKXQjpIZduY9h84xm5cj4mxF/QlGROGb+Ywu952M6q25vBTtagfjWKjEhKofOYgF T3St+BzNtjmhTJFm0aNtffi0TKVcK2P7rpHuqC6g2SJ2knWjXQWv/VHc7xmvvPFO81fiocb/Zsog RY2AALpEHmp+aAZk0Y9P0S5+34ASyOOT9z4D0sbY1ivxr05kcH3fQ19DAqIb+D+QXN9qgsGtH4H0 ehHt/aVPgi+30AL5CZeaZzrPNH6cfHsJ1J9BxbkRSsS2iOMpajfDiQT5aUC3ep5ORtAfGbzamZt2 cVMlUIEuZD9ru9Z1kM0T9JffqlAZTL60OWlZL1c5JZclsWMkCXqCU82cRYn1Ih897moxrcDPhBGM bxy3PRVGORFmHr1LhVxLJmTfIhUtbIVWbuN1ZK2t89bp4u5LPkWgpFBiGen3fcStoC2Y9y3hY74X 85MhNRhMuVUChKSzmT5KhcJMArBeie/cFLhxXwVx9g7SpfuLbK5glyuPSw1FUX7VryHGK/WYPIY1 mGSxeCFpD27/1XShaxWANbYwrJ+rLIZzc7Zm+S082aEXo5iNHTqgz3MWGkGJLQCMA1eGLTprOQPy DT5AHdGDs3QxOiWlZ0QhTWGwV0xyfNkZqR8Npp0m15guffvuf5zMgO7gv4XjUbEO/7JbD5p4lh/J btH+auHDfoQ07W98nJISOvCG4j+i0OaKumnZJ1xifykdb9ou6NUtt8lOg8ZOEZ1yA6B6pV5bFarw vvRAZTFySkBIm2A9Z5cDGG1D2HE4tXw7WcwJ04eTe+kmzmf2DsoJzGrR0yVfs/kugVrymLStIfC5 cNR6n+8FKHJAfOS/3U4Gqb0i3XumzD5ZfljW8hz7F7RU7nAW/mvPj7P2VifYWnlkMnROAUW9Z+tZ NC6Mateg5aTHPNI2NY6qPY+ZHODfs29Zkgl6DrWeNdKk+mWkGXLY8Oe+toOYQ2Z4uY3gK38hlR4K N4qyPgsseEgYFmfQuNkmRsn57jEkHrpf34VtbgegyXcP7qaDkTiCGPVBbd9TKxodhgkmAmbkDBxb 2YfhIsjkWLjMZXvUlI2nQ0lbUh9Yq/VblD1XzerHY2hX0c70gCv0FH2ULymaafE3yYvTv1nGqZ2r QZ0h+PRQ2Ank01A3dJiy6CY8APrgVODRDooycbcRWejG+4XF5CtfEkJ7gMRjTTNdXf2kqSqnuzE7 JqU46c9KXLbhlMF3m8Sgsoz3E5hv2uS5Q3dN3KKTQOvvCql8/OEthuQ4aLaOzaRTWdAAY40uXanO ldaJbo0I+2xTFV3orcQ+HYClpJAslnExz1Bt8MBzIpdy0Tzr62Y4SwWfc6QmGRXYedMY/dw0vbUS 1CEUwNoh4wYWa1qFsvVTOTRef1k9NHH+CCUxYOdm2tSu6YOcNJMtBlO/NqLiqDiWG/IzAxhiJ84P 0evJb0PyTRA50jOqMJMnaCLcG+g8ItoPw0A6Qgy1O3/TxtFU3qgpBO9vN9QK2ggjQtG1eAMQ0jiz 5dCAU+EXS63hHET7fWYfcE75z3tcqm4JIe6PLeORiYYHL2dssJo+005z0qhXdsRs6B24E6RQIRaF 5n9BO23M2ueoe6983Ei2O+hsQNHpUlkvJzOPAFSl4OFIa9EJ4bMDny31A7TUgfOXwSQY0VCXMjM4 mVeYbwSspm+Er/9O5fPKFxoVIG4Z6kQcG+1f7CFaotRVzwxQTaAMu3Gu/bQsCWDc1CtLqXLbv9oL EeMDp/t6IpeG87jkAUvcBBnwjhC0e7YhfMAvr3PoRuc4xv2UJZdQNpP2EUVQEcbXfuakp1lkbd6j uOaWZeLjP4Y9LcVxnUSetqFVqbklome1SicGIW8JOSylCas4odLad/GdNAWY1QO7eIvOxt4auGDB Oj8HRbpC6xRXNaN05pyl/YpRxDPK9Da6H4/Ky08jIvhP4tzKoG0Ln9s+F4hrB3v2NlBOGvfEBaVL RxyVANPcpY7XNSL6+Z2fm2w4zH23PPK/GH9INxC2wFOftBUud7RxpV/PHrf8N5rjCPaiVhdNZAo6 me/TUe2HdwTt40tdCvtKzpVqZsOBd34zK1TpS1RcjJjkQhU3VOgaKnV9I5NOJlOHoc5KXyR5zoCY Rm4wSiZ0xVIIU9jloh/D9P8gk+K5itxo6csQxzNRlEO9p27dc6KeF9SHBkQ8LwHx4hUj2zs/yG6Z t8suK7LehApf4SI5h3LPFgpuy2opMt074Vpk5HHl62TwbgHiczdnOoNuPVMTZGNb1Z/mDS+Kf1cw dqCLzoNLkTQcnJFKH8ODlfIG087vK09MIjbBJzmjrjpAfdBImd9qjuS3PB2OkD6OrFX/DOaoxRZU eIUk5DMV/ySMyXAqlas9BGyZbcNlskOFV/aLndEitiGTxer+QACGoyZUjYJwE/yRqACuqJLKuxry z/lTjwMVrtWsAje0iJCg4wKM8R/xDIHtfnMNKSuykceXaso97SbhOPemEvwAuztyILLbPf4gPNF5 b6NzmII0M6GOQFOR1NQhn/Fip+HgiyjBIIbuxWQUsjWc5lo1v4F5Gt+I8Nuso6UjwdtfAxCEWTxm k7tD80XxprjqNxMNoo6SDLCiNmsKervgfyg66l5eMvXCYpBvVCAUJQXCPwB8T+gPBSMbSX2cKtGl jWmrWxIPGlm1gyL4cb+mQIsuztdn31GNG0zen7QT22wwURpQ0zE5BRPHT5JI2IVAXCxVmgiI6YLR u94CtW81fO4TWz4bQZm2TMmkgI91GvSyxZI7rzfl1eKSt2dRPeMy8I1IEfOhpOg8EI+3VBynunfB eHPX1QseWyaV7LIJTztKh1mnH7UgNCfeLdQb0UjKJTT21KLZq7qylscoOy2VWXM6Yh8tCD5BwXon f3U+4namfKIUfVZpqr99r+JfOo14fLG8SHqJtKFB/raa27fOS3XpXmoMMjzhEKUB2Xzlmp3DtJP8 TeCpTBqs8/jl1ggfiiiPMGlGbUDt0BTa7WR1C2QVSpiDerhCClV4fbxWlj1K7AM50gqfX3/sGWJx mvR7qJcrr7kvoxwEVH6n/PMkgU4NSjK1d9wfRS8hyCOSHp2YL5GJZ3I3kZZw9T5pssLTEqWpHzU+ zFUTUF2DZT2qp49E5zH7KMoejjpZcnZwMbjZqaC1sbWQMtSoqiaSpeFC0Yyk2f6/6mF8ro9liba9 8HzojbNq1cCrVAqinDQoEUt7bDeAZqoJo7yUWGhFQFFDK7BihfqcmGz93cPSPq8RxjHgrMkilLri aralk37moO2RnAVug2Fogp0zof/c0oZ5K8plex9JkxRXmKGjBHHq8oi+OrtWWfJAA1+Pb2QOX1Ca 2yAvZFmu1J5t7V5RnXaDiuoYf0HtNIKa0EhhtnUIUKoJjGkTrCvpAL+ARlq7Y5dmdGNaUf0GEW2/ 3TJWuubXCUY9/+R+7SXznWL13Vcv1Asg0nLE+88x0hYPyG7uwF49iVlCIYNWHk3JtiRtENXLQfjU wmr3dizFA5Bc4J3agol63yLPCRYcpd2ka6V15DWdLsynqleaQZLRoOd+hMHN17Iqmunm0CTGZznR yRRBJmoX7hnPWLWNUXUXGWwLk2N9vDk1tt1OJ8/ikgkX6RAhU6EjSego+HmYTCXCAvcPSYITCJGg Y6M4FgP3XzCw/8LcMhQpIet3KdVnSPtVY0TltP9QBVvvZ5750Kn3eIQPF9Y8pRN8NYJ27wPhWXFo ydAwS7RyZIdozLacFEDME7LVlaI4ygB1OZmBCoFansWCdVll6NcxpHPYcTMI3ao0yfR2McB4nWGi aZ8gRY7lw4YNz/kQEd8Gn9znYYIOitdx0IHR2Z0CFDyySjNMBO08BPv31RMvjUoH4BUGQdDdNrsJ DnWki8b+/Q6/eDm7/qEp6APZAWDHfOR+4DRW+ghBl8i45Q5+ETdgovkcw5NzEa3YFupL1hFyF8O3 W+bzGsIEaXAQirdxXJhUhGixZDE3HbDBQ2iEUigIcdek/u3ML+1Brx+viMIDlNoU7hNbvIKKTtzV USlkXKZ6b5DvNOYPdOiPPq3VmNSvX42LcEcf42iaKA4cbXnMHxGojijoo5Lo5W6xHHw2L9obe0G+ nYGUsPWdo2AjpEEw+mgCEcMJAW4F9qSdUDHuYsdK6Ku1LbwYq5MuAQ5OlcEPE9zfHBySOo6VxF3A 1TsCoP3npDKxMZRszA9H7G2lIWjvodP0H4YTrDfWxzvC+V/OMZQgXpULJo/OgNt4YMqbdmnoxVMa CktsyAk4GvsXGYkYUfg8P7YfJCvtFo99bdwZYv9ZWybQFmN2Ocv9/l87KiWFoMiuxj/dCTX/Hmwg tk8wUrkCUDacoD90l+miN2FqX43XsLa+8ypqs6mL5zVcAjfUV4IrFPRgJrXpyjb4EVucAg2+avDj z3P8RL3vOLBYSpEq0Osps8bgeeVx5XYELR1G8K/SoC2l1ZEghC/hThxEnhG5EmJ9B521cBtMFAVF /PBV6keGlaKi2uk6m0+FapHQgHLfNdbVVPQ0BI+VbXffTrTF7O93M/YOdC4GOKXzDIIKsY5/GI2j 8o8L/LNn8YRtcbHJutRntCVc9DmRyXod+3djwnEZepfrhsuQTYHRZaTlFVw0Vk4FnJHJ3kb52vOb t2lpPDeEVSjFkuuhFOy714gVHjq4OJNUaS1O/1XABfjEpDzWhAWnY9eJ1DtsmKKJrozWM4VS5kQL YGR9tXAc+3/x9QgJBDt5GasG72I6rVEbTChpiMKLSQBtTyYSit6uprxwUPveIyOHgVBGhJkEQrLL fVKbqn0Cdpbssr+XXqeZmmzFzVYqCjJhFyHjBQHkut6UD7vNTikWLGYYu61yr8O/AI2xcwYOAbqL 6h6Iu1PsZdkicNyfRpkfGTGj8C9n8cLjZsoPOJAAPT3qMETQn740+MOB3HTsof/DoItWdMvN1gSb RwqBu3gVgWFhxD2MUjVQQF8fenODeM4RU/XV+bm/O7NYfja/bQpd5WtaFSrUtXRlzsSCAM+uQ/3+ LFiBqbRtnGXp8XopMt5OHyU2A7kD7GaDqbyQ32+Af3gHjiRqAQDjKPUDsFQPPg9mpFaGJBa0pWDX oCAmQ//5D7j+D/8BXFNCtZoin5+Wmp0N3gHNbt1c3TKMoXB65D/Z1JHWHVeGxIgjW9ncKfp+8kA3 M+/aMvMV6z3Nk2+Nu1eUpM0p2yR3Dx6Jaun1OmLsJgWNhpU2F64UWqLnoFkK/5AU8rWNhhw1ymLB Scr0Yu1PBz3Fjd+8tGDseX7VSi0WSVK9kvxlwA4pBaIm413FD8Edp1TS+B0MimnaELcEIZKqdJK9 HcNnKYMEzXVJZRpisCvW8fbUJb7P7z3ahZ5F5vYxoEwabfAplk28ZX2ckJsnmkJu3GIeJwzlO3Ja mSY9H3VkqHWsNlkCqJ+QOHU3cCuNhV9qo0GUi+iDdSDvVzDhuExen0tsDI0g/Q6gK8Ae/+EUzwi+ F3S8hUPzA3GQrp4NcKXl1wi0GMFGqOLvTQ27Hv3yrnddTT+s6s+epXck28dAVTwfGiys3iEcdUJj ZXPCM2/1TbnwZRqp4nl1WBHKh9+LkGh0rmtCrIuXAG+w14+0EKASSIMgFheuKTwInfnqDYXSC9Kk s3/mrwcOoRV4Bsu5QvYTxP5hWUX+/j7YZtDZNRDpTcI//vpMLj9YywqMTamgK29A5gAMm/5h8F2J FE9ZY039Lpa0tOllgFs4nSjKJgyyl2rsIUe9O1S42EdsW1mEl1erwwlS53hLW2amxKKwbzkGtIpO 30Li3gf+vGEDb1N6zDpuavpE0a/lJbNOlUIEzHKqYIAr48KmeMWjF14XyqJvHdIvKeWF5I48DRJT Aafq6jfbRSpvv49dYGUcumjwXCNyvWJ/GKq6f+UmgUJxvMPd28MpUxc7MEcGsZsbIpTi/daOLKjL Itbt61g8dLUFQ1fd/cqpbsM7iOA7VuNdjbsGCRGY/igq3/Dlo6efSiuPBkBV4DOQGfwDSaUhteIs bImq7LpvmVA2Wls6cWJ64QtqFxutmQXqSSSvnqInMwOC8KnFpoM0qnzKWE7I00fRAcl1JfTp83qO P9tjKjqkVrC8IG2chYmttQWygH4rkmsTUFjzWgJYnqwe2obHVWloLgS0p5RxkoCP6AthnzLnc/ve 6EYzmpo8oM/QrVVRpsSQNkc8ojf0hh2LxjNIVNccPEbpjDIBB0tEbCbCMcPV+1jjvwT+yG+o3o4Z oQCRU84A1g4Fl4wUy08ltg7LaEbVPcWE2SbGOEzmOCkk6kke++zjRgYqXdsnIQxeKZFacSBC8X83 k19Z+BlDYPLOx2XsCRftheIt0aBuVN0Q45C2MKmeASpa273ZzEBl/Zlw/plIB9nf//kgAnJZbWWW wP1gIbbmD31ala/tflXBHMim6AkO8qXnfRT/Tzc0OOWNQX6UfhxCvt7uCnXkPUgjGpG9gRDJ9GUt 6SydJB+YeSiUFk2CjD6a9afgSZJDrcE/wMSUzTj8rxc+x0REyVKwxu1FnKA7q6my2PmvdYALi7gM z6sQxfJdO9SE8VcYi8kOI3WWshf+vPZ3ro3JtxUbHkmRIh74we1TGDnKoM2SKZD8KNO0kF4GpjHt yzHGJ+ijMvMWEN2lnj+239S6IP/zJGZkNKjVCjUyBS++fw8Ty7JL6+1XUWBzYFO2Fd44bwC/axfs HqcaP5JsbADB3pIU1anZbg1uWi8fOWALSwZ8GbNGXVu3MuiXZEu2Sk4w0TyC/0PRZ2sOpo6KvPHQ h7Cg23L25JqtkDNb0hJvr4PA4aKq5WqupYDxu3jnz5D9e2f/YdFG+zSvHJgPH1fuBsyWcXLmzkpA 6VN6GmxOlXeJLnQHMa+/xmrO00RvD/as4X7pyVCq3b5eSZRIsJxaHRLSs1k8Qu7rMAUQm38ax91m poamnoQw0FlrIMRjgtlC+NHSzjifiC8QCsoK8K8xl6ZRG5q46qzdy4rR0MJ0mLyqv0W00sbrnTKf G2Y6upfF4w8XfYE1gYe+OGRTFxghQu9LMJ7HbGxu4D6prt4eMd6/1Ao2D1zrpGIkR1DqXiEOqEwp Et9VpHWFpv3bhSgrrqY0GUi3L1uZeKhr+wWOtGtQg0rmxpkUjRzevHhyKt4DdFaDU1qpqXaUpfCE EtfBHSWeN3F1cERneLKrOydaXgV26Nqw+iIGsGjtiNPNE0p4QFh/0LdIpZtpJ5YX8t5P91z31Hl1 VQkflCZ3aI30lT53b5yOcW1hvE9f4gA0BWyngk/lPD8G/xh3WJBkrfHc7DmAj9A8hTD+uVJxk207 R7ZyJlJPZiNn/kPhVEF0rXbHPk9cAeAvubM2RsOFFmsil1aw7ks8k+05FLGpmDEZ9C4oYIuLdedq t+uRMDCoy8qatJPhHxS6/KFZP3ID9csDJfHrbs4khn7bMas3HIo9X4AJbWu8jNpodgbyVMq+WVBe gQj0P3ocuTs2UULoRy3wRhM/Si93QpCc/0uIdA6ptsNcFXZuWu7IoujMYY5YJ41ueLCTOmtPmEAD jVgh5DZKh1qrLfbtHgVO8wtOJ0oAsFzCv9iYQx4gYTxPx/+iomgFES0IvH0bXRfzWZlArVI273AC Bo636g0pjo4ZlD4da3iC6wJpvUS5xXelVVJzF5Pp2H/tSIMnDaIw/UpwJ5IIHoEoYO0SGh8w+7+o 8QHO+/PLM49RAKGn+2dOhNqthR8B9/eNcCyMxgSmHIpZzfJ6/gJoRA+WTpwVrFjYX4GnW0JIhkKi cKCih86Rgxk5Mi3T7g7CtDfu2UdsD+ZwQVw6F77dbQlBwlgyLi76jLJlW2Ipx5+wVLGmJFhBA7we EfKlJNAtZCbvCu6YJM2qpnZlX0bEk50H8n5H23u0/smQSZHCzYDQ/m+tLnPeZLMFo2rAgxXihv4V UNtD/xtwelVoifp6KmIZOMZFx+EcMNDqdQtccaTnaIIujoUeovQDFh3h3LVbOBY/Xr3IY22JscmH tENoaax3spsnLu9UdVPuLwpJw+yoyee/SE+krcdbQcko5WyTUWu8owxh4dy7x1BGtbXnuCXEyARn sNKSpIgL6SGTDTonRjxYWkQpNF96SYJzQgE1Imr3Ej5JeYRMBuxOF62TrBvxApMvbK4NbYiZshgh OWrshnmXvbMPkf80Ed1USBwTRuSN11Wl14qOxBKAMeu/BxutQDFd0D1zIi3WukBHkO6r/OLff9OE DZUB+7jGlI1OFsZt8XUflOjIX36totcHG7RYBh+VQ+kOpdMv+z6f8UPx85gbonomE5iDvy9ejTEi iAp0bylMpJDQbxQm5DKNxGGRLpOjRveamfY7hOSG5A73LVTJTurZ/5b1cGnPzoab9QJFR5GRSLed +gaX0TflLjUHEGA6NhizyHwQQ+dsHCIIBb7TeaCAmezK6CfN3oey3ipinsY0KTblo5KBr9cCbohw J9MT1iyHWRHlShcibphljrWoGFyANMdranhDyaZ/J1WCZgCj1cIJ2foB+z1v2SB+vYWZmLrbEV5A 2D/WNGugqP827g8A8670Ak3qqUNdV33BwfYTfrA1ZgD5VfQJhAf1g7wmhuqZWFEqERXUDwvtrdr7 HKha0sC3g5FGMCt6a2KJD/OWBmSlVbtyHgatJEEwegNJi+YNFSHDGToUq6DZLhY30diSE9jGbcIW 4E+thyNhsSfUI8j5k5IKhdfDt9eth9N0EcjhrdTZhFrATNGuI+JoTIr+/0JfsZxt/8igLf/MAno8 YKTsSeslX1VDnCYqo5Fd7TgnyGTqu+0LRG835lJEnv7n5tAfZvVeypH6mC1tNk2wYhjy/x+VDv9y N2WCH3QcaezBnwSvbnrZcArh7NGSQYfL6gZnlClcDpVQ/YaJ6R57AQTgmlk/3+jNPlzVCKfVowB8 KaQjFwgDslT8HIH1Is/yttJ6fu6wN3CRxg/r7KIG/zJTXkBNtyp+nJGkGQvmasbXc42yW8H6906L ci170sAl1dBZNgxvOQG6xE4V9x9BSgnMaVrp3yTzY8scbw4NpuyxoUEEKtlQHLh9BPXC+2wZF7zH ZjLjMtWIOjgKejbFvy0KXsOr9AaJilo5pU0muUsun3oG/a/1gONgbo1xua28IkDA4VM/NSeNq95W ubSf3IOZ5csuUlleZrJx/A0/KzXBCT5Gh34lUNeU7aSjUvYHfpurX8H79nZbbKrkk1UrMoRGp2i3 gHQID9sxZJp6wiXMR0KvmpEuYCLDhwxNxmqLH2ImXeGYp2FIS8oRGCx/O1Bgxr40aickwf9rJ5oU sPUzqYZ6De0ZT0DB5l/DMDUmNJgLn/bb2oVYvgovMGGUU2kU4v5M49kUH8UTylpgg1BdmvJjx5Gm LSo5QiMei6pTCO2LDi14CCQnQxyN9ZWUA1tAVYkSAgHNUyim5Ka4RA7rNn/PfkEXQi2pkNs+xvD4 AX0P0S6ujG2OvqQIyi3E5R/lFAAZMPcINTonJTlIgNMhB9X1DyKbMpRVFr8YvVB4VQ95EJpeSKM7 8+K6HG0n7u7dejPZZrvLUAzaB2PXt0jifEodZbrAd3eVnW73J3snHmC3L29Emt5WjAs9weurx00Q QdwmKa1HrVp5wcX8bXmVjitiQs7yoUuNiRyPA76/5bed4WsVZ7ezjXWBDcqboRII7ndtuuFghql5 fD+xFGQu08yV/PdpQFqvughW12sy1g3wUQROadsAsp7mIsuaVZtEyEAgfkJ3OHqLyKCxyIDriKMl ForirbXoBabRmmE8FjYvEpREwLVMhW/RpqMEf1oeaGSlKcTHfG2KX2pyabSSqEoZYWOvYDCtJRfU 5FlHUxELs4crC+Ts8rRo8rcJKBtKy/VRC1pB4fuMAm8cCVF+14oKEMNXqFll4Bw5Vgp6zKTawmWS CbZWE9oUe/57MrWRDImqxbP7UX4k1XXN063tXjNGWpgVflHT0dQNqh+Q8eI56doUOxVTkmRzc65w kx3lJYb5VRFaXEvw27dIt9Df5U1HZCXc8CsbOVlZS++4IvjV0rd+4HilKn8bZVsxD3mzGxFF56G8 AZ/UrfFbyywsZAW/B6JyplrsMjqt8jePwK4I4VE+L9tIqO+VY1VPsN5Yk86pZWCyvsVYyp1DrQgN YBhtSatrDSuloo0zrZnilfjOt4hztmnqOC5g3QD6KkZvO5zLTkjdessYVQYX0cgbNXQRlNL7d6c1 IANDliP90De2sM14KDhg36cBnX1AZiMWSWoFyzK6rhuDcg+FrRwBRHFuypN8LLkoqDwNX+kH+kVD xKzsPJj6DTc4CsD5BGdIrHuNV6oHTD7i28A/GVmDPCBQapHPe/MJbm2a30C4YWkSjlytBnfJVCm6 fUVR3vc93qCE19AzC9PN4avxestc20seSTxtnWPX4sFeq1ZhgkAKMq9GVLL4wsqwZFftRZ/ieKgw a4h2Sx+tsPrgmswhGzP1Axd0nPhIKA1XTZmK1LWnKCCPBUhcQZpZYfGJRdVEIPPdVbTVSJ/4T9U5 Mi9TbaycIxuhQtcQl/OhAHzl2qgsfwYFwYHYIL3aVwGoZ1cvi3U0MBjIbvoAS3rJylE29qn32yaz fIqcCVN6WpYA+1BKyk3+WVkHwJtr/SYRzUfGfQY6kZs2/vJUKO+a4550zANR18ObfaOjsh71wPGM C99HEwbarIaLvoYqtj+6OGMsKZfYyiu4BTHcvHc3UjLuMCbc90sYLdFDJisSk0+DR5YMW5Ww4rGd N4Xtimfib0PB5WY0v4Hwrb3SJ2Flt/FnecOxj3B23nugSt8YVEWnPCG7oKzL+uhQyt1avpqEH/Dz x2+H2bAnBlD4N52J886yHeztrBzRTpWhIkAqDebThIxOZ7sbdgqPrl2fwttGjXxb0/qYUrKaUywq nIOXUg4ZDRIeGb0dLI36CkPGdh/ejS+pf/vbvmCxgUIZjjBDRMIpuHyi22xnJKcf2IRy/qwfgUYR ET+11cznyvsv2yugmGB3yc3FcqDHkL/VYUpi7G804N4thpg+3aHkF1fkb6FcK4HPQ4tg6sdXP8IQ J0Jdn1DMGzgIlUgM8eiRh7otuG/srvYEnsibgvxOE12Knm40fLjXX864T7F/inEefHHyufeKrsE2 9+5nawfUsu97vo7ZUOPmD5g+X9tjtLl15Qd4v8N4DAoWh5hApPg6ZGq9dCO4A7LCPoA6333DVbHc Vk2vCmfVE5oj501zDPiO/Z51l3zQOHLBe4mLjJw7WosWmfnmS9f0z5uR/aYTObc4K3YWVzG9mgMt QT5sfnok7GyPODGKB6sAsAG+lVRDswKfYUICVt/dM+pPQF4ekYsICdGkG8KLl6RGJDyjYtWA5nhs khg0fPOVCtghp+hZk5vVqiEt2/ysTsy2/hNNnrEdnCXemkU6j42QLkpp3hJOSnPwV2n5pDpYwwcz Hq7KjmzkBQcRWOCCBZMMCA+pqCIg9wzmDqijhtepdHiaf0l3rmX17B6oc+x+JpFRa6xsMZFcDcDO F0ck3QY/JiojS9FLzIGgGk7NzvLLw09k+ypt/oimUVsdTUnRLbirYP1wiak52T+D3N3k9WyG70VA 3BqgtJJ7aYmNQ+iYJyope+TG4lp1mrOed80FqIsj5qsu8epOCc+tRu4R/pFkYoJ1D/ZiQAUOefWA eJ/Cw4eUoqMb8mHQQkKEFfjTTlDQHqW/+ERU0krNKMjYp0Bdojl++RhKN4kzfqTFBv2NJNOhB7Ua OJP1iymNVnf7E5f5ctj8iBhet2j3JlMUiyWXeK2uvXukUYN8RGN9EcIFKceq/B87gr8/D2xzHV4x 8gNrmFZN5Vo+MCtUOGKaIFReVxXpNc8PQUDhTT/Ng7r3A3zHFWJVsbxXwLlfkFU3wRFIMWDr1JVY 2HBbhXd7MT7BR/z7Jsp3Z3iYMk49swSOSstFK7BhYC22UmSzd0WDzOES4vS3YcIi1zXqolcPUa0Y UE8SASFaTLbl2z/P/zoV3DrF6fImgvQtlUOvotryRdTdrEqESrN82L9OZ+TpCmqPGGFn7IIw2qTp /DNT9Hz26s/43J9kwpnXB5yObdkvmmV+MXruCimRLDlkuT8iGhBgrsARS9QvU6WaHwOflquHcla+ cC2so74uZcVOwl2D2zAnudycBuYv0NmuXhZEGTW/2I8kACGlsIEmpgg9RGwQaHwY1ugmGk5atXIu uuTeubJRnL3SjsILfUVgdLg9uUAdS9UJ67HOE9gTp86ie6eVe7J3pT13o5Ud6Hs/ig4kdZz+qiyd rpU338F/EeNl6VZx6eGQ3IfArbFOVOe1KPE3PSltwviBZoBukMhUdcw9PIxokjBsMWCBbJfqixyj N4D6Hi4SwDbY0VmdzDyCuH6z/diEdeOaF433+37xqd6Age9GtTkL+mmQxRI72QrgNMTeqlG+X0+d T7vPjNtM+hXJm8xcy7cXLvsBPGwrUBWZz15faJZshxpkKHEiSVs9ciVuHTAB70GYk0iZK5l36wKD xRlqdIdXB75IrUYoA4Vna0WwRouHwNCiXfcDSnjFLfQkizvZ3zjJ0UNROAOLzhruWr4TAok96Pq2 2f6vBj6+XBxSWzSl9TBFhEgXI7UtBCrexFXsxQW2YwM7owVHRmJCn5cTHcbVOqulkwnyFzdC4mT3 mR0rA3EFoobsrWnQgpBR7p3LGawPHSWkiKAlwKA6G60lSsZVM2ov+QyaBXneHBLBpf7HBu6QsQdB KCn3TmxzNMsUoMsXuVeLbO0mWhtZkVZv7Gf8e011aRt0kRnC+I68J7geatYYja4BuzVNYkkMjjoI zaBSjqA/AexuBq48djBGAO3zyxqVDmsnNbt9250yuT86Pd505ybJMPLc7wvO3L3WbeU8e4zayuof RIVusEdEmtegXG7Y7cj5yCjDynDTdApOk9jcgVtFEJ3UHbh3cvaCM67ndqQApsZ4Z3mjzQ8HOnPn SaY1Zssg7tJc2bhXWUTehrp/Wk3fYxY1VLg7USDP+Ob0NAb+oapbILww51Kq2ajyzQl2AkVXWkXA /czRQaNG5okTP3NQb6JHL9pcsIpQDnlj/caO54jK8/Q4aayTC6Ya01ZTM+Jh/CkLKkkPYEUb6p75 OaCVuz4NdS94DLk6anNyANQ2PKrWyWulJAFZcnzC+W9joNw/RN7vjyTbbL+jDC1iwMJfseN5YeBn Eak0dbA4zDc0godSBi20z9X7V3IGWok6PP8HTXw4Ez+aBr5YpIk37ypKoP6Lve1fi5HUyPA7Dhtb nYQfZcrY9XF0ECRNXclg/rMSwaVDE3sZOPiOgWMlD/Kv3vudbmvMgjsaBcYZERx+SAXoM5BDOT0F VzWk04pNZ6wd2/Rr/Jkxh9nonmXc38YNgIP6C/wjLTUdFFVcshun+PzRKSKMabZu//+pPFlpdDFG 0iAblNQxQNGJTmPT2aHDv/GPxVVUwlYtU6UqTSeznwSpIoAl/5ejFLqQuqh7WPqjN3E0Jt2VcudC zFjUEeuriKSCWGCUnytNjHLD7CJYbRv0u1rar0V1oNCU9T/DUAZBOd8n0I+PANjuZf/0e/Nfq2ro 0MbjtGrB58vjQYMaAbL25VshZCbJmlvNukTTKGKN0a3IBlw/cX5PBiRayAyNFgWC5bV7u7LIaHrt +Jms3++jlO5H4CqQosRPn+MNQj4tPjDJCQi2yG0U14nMXq4AswifWMsODA2P8GN065sEo9rMtK2y vHmE355b4P+nXmJR1R2nKAV9YnIrqWSX9SbPe2m7CdJIOr3c0QJC8dTncd9wduxEX9Dpr9tLqB/U BgKU7EAH1uxDK8sB8ecw9ik0+rL2Wt82JbGJ2O4Cqhc276Z/y42c3pBNGABPmPLbyHLshQdnX67O dzHhYjTh5sWFxFY7IXXJU/XE7Rfaq4h7hHszmDEH+R5V/Vj7rpr4rXw6NMVpCgFeNQEz1bIghmSF 3bfgSo8yWvqsqo/4b8E1qiXySL2qxzOXGX8tQFf5S7zhAmYoja7P3hIBtUABuDiyWneceFdA777N GixiRvuxD/ziwRU++C+2RG+ud24quWbQGwaSJxei3XPDn2xQVEbfDI4zd6DSmzk5dhMHdmNImFoM OeVnLdhibfVuMY8bSErZDnVU/j+FKGYwSHb1FH2PbLxKRIx8ibOdOMJ5AGzbWmnUyZ0U2soTIBaR Umc3ogyPlbDuYknpBrOexv9x7goMnee2hrAHieOhvnoL8sUlEgidB4sZBmOk0QN1TKVVRyappyaS yBebLXUxD3Z8RUe2s5L/ag6Gg8JO8EcOhRUmxJLKnZHcOBQ/IxbpUVTzW8fTNS2fOmlUkT71myds DglfnU+EYNlu0gEPnOX2qBr0HibdbceayJDWkvTVHPpCSErqpADzbhq8DD93ycPCsE8wYT3fet9+ kOcTe0yec4NN4VN3p/nXfE8WErZId9A15T15ZMmpwNatIVgoGIUHpy+pcTQZ9hGoz7dy8uivr3zG IRkKhJhBsiOrghvIeBvocXqZXsyPN1eipkNwAhHpXnH8z2fSw4zCrKTiiNlgdlZMAQs+HB7kYXQn BiLL+iESeDnD5sPn9bxQjOfiYJvQe4oPTIfRa4smOPyj9ziS1/QmNXslJeqRJ2hNlN6rvOO6WIzG IiiNQNwOgu8KiQsLvGK4cvDW7Zc7GKZFCGCyYNTs2KzgCkUNsJx3PErs710MZ2g6MCubZYAMrfMq TJd+uvC5Q7W09oXy3E7pGsx7ZyH/jVaaIj64pxyacDHf7DAEM+GbnZMWvBzm2HCurCqysy02E2Iv vcHHRPUj7fq97+P3LyYwt1b58ZRNfKoKFZxSzbWNXKSFktUFdETLZYf1U+4v+/LTfpuanSWL8Lot PtSTDBTqXbUeSdL95abEx7FfOQrydPdN99AfUNSf6Q3gKrOGQQa0CVPRf07LIZ1xQVx9ylK6byFM hEO2KC2lbe4wkG2Ns+ln4bRkjPbxjzNIYpoO1+5TKHA3iat4XP05ZUcxGbZ8kDy2XhWqDVNOkoor n6chYUfTVpOF2cKquMwo2r6A123pMxWwCA8B4Cexp1deNlKK+gP24QhJXTYyqlqWamzhVksF8N4F D1A39sImu7V6cBu6VepHCbbjQ+MbQ1qghtT/btIHJL3AkpCbgqMww5rNBzBFUIbEh8kx1K1vrGzd kaEsU10xE/hrM6uyf2EsReIYWZiLQuRPs0zSCmwP8j7xWoZnRuf72VShYt+tcYrBKIeJEus68M/x hGcCKANqBU/xqQeVFKK4Ni54LRVIX0+gsXL0q23zdWMcYpUi9FMdCMIlVJP+9lFfq6SpfDLN9xDt jQ1G1gPggS5B5xJV8fKJFUl+VGwhBXl/1XqB4HgPzwQiL79hztlrZPvRIL3N/BZAUewI+PIH+QQS P7myeZpkvcfycLruT/uj/VtJ62Hcs90Rvxgsk8b4beWXse+fqYOdobcfeU1m5yELm76PcbSmz8I1 G6Oq9WBv/fds3UKjUVVJmQ+ZOCI8uoqSEPqLdJ5RiM4+lV6ZlFaLY3OFhOBZl8g3b3a5cFvZPe8p jdOPLrppFb0j8S/emjUrj5e39413d7FlC40pwOfjOzmdLVy3jCQge0ZW+zHNoUTSCN115HL3SvFW R8gqfWXfqOoYlaN4Rk7obGBvI3H/BTTLCem4V2s3JP4vI+pDGvraSai0n7JdBKiI3JF0taWmFELb c9aOMV+HSWgHtTJVoWsyNuBlv5aIbr9w2zR+vC26TuNDI1Typ3JUVsCrp6kMwI2lBnbs6CDTQoLZ 1zqbwC7VyvfCXkxfF4VsFHUKd4HlMkpp3kMNAsmKOTFc1I9X72EHoorqdVcg9bmoCfz+c1aQADQW 5hkm+PbS25Sgmz8ZTF81EUH9sg+fYygPORu5r2nbf/+zWfviitzB/ooUXciOjkUC/zHLQRcgSsGs z8ZwT8AbeC6UoHPQ9m3JRXNB6EChefivfkkpWLQx99ipntpOHlonBbqvj9nf9cYjDDGOcyvxJ+Ff 5QyqAZlJ3TjVlATJL0YTaH2KtU6IYsa/4a1ubD3Rj++RJo+bQAqwsD6fCHUTXy+b/7z+w+QgiEZf A0v3YZhVVYIdBDbyaqnOlbwFABgkYgMlLCvJK5e7a+Vdx3WHONYiuSKKSEicW6XGtHmUb0OOtnhx KcvPueDr48/Hk8xxpTQlOfSVtkI9Mb6MhW2mQY2wCAkqtjf3Jm2YEiz2vOgqdtO701vTTPhcvQ1O Hgp3ilPwk531NyO2QQKACZNRGoCLnMv0+kAmeiiNCWrK5WOG0qUtJYcOZXHZqYSREf2xoEg6zF3/ R21vnQyNFFEIiZKCbsI/e1wHhWj5jwSmXP8rUCxsL8p/Hh6LE+tFIcq5p9Rg7g4eiueqqcbBWZEu YkjWNRkDzv9r6cuSOiWCoqJZVutSSvgm9xDdne+vE8KbR9/8rXgS5q9AGdScBx68O4fyTJHXpFD9 RgOJ7D2LKRQBPiW2+yYB8pfvZ/xCERotOfUcjHp/zbTSafLgjgOXuatBUhDg83SJd7ItNLkuBtrb MnpD9w6S5nkZvW1pJPgrYdRp0NthR0jCcBGRbNREV4cW3d/uqK/rRX2AYj7h454o2gHVu9BALYi9 J+ZjnSq9V4iIafL5YxbmvPrEgRv99/5EvpwnNMX4AmxcP3Wxb2C1tFqOkTb7rChG6gCd2x8C251p RxqYpiPV+zjHLcMjmYCSa4FAAjkA+HUYFgxGHREWE82T6uDTv+im88DSXSWHgckry0urE5bgPa7j V+ptAbEJ0qk+fd7MqifDSbWBYH7hCQtppogW0ubyEbq6ncYXKWPDqfgNbKgkX9kQmlFvyvhXpZMD Pr2GW28dQHyenIu8UQ38ey+TOBlaV5bTIe+7O2WLUnuECoFCObKpTs2ZSyzrgzFc9S0OEzyJFzin E41VQwLZ//UbGGIa3+6vKEPwOr/oCl8gswLxHzvko9dGnwYy78xKwL3cyhrpoVl29KrYc1qImsi5 CHXARt7vDm0g5hEpwIDzO/LbA6fEcdFz8F1jqj8FFZRHVNgUGCzYABWIUP6tr2sz5WS+OUbn9/WK VeoWQ15uX7231X02LlE8bOkWiHUeDy7h8PkPV+XgYvQjNhKc1hL1XizEaF+ms0eS4jFItJ6dHlDj hMabUP0PIMObWtAHRcNG09jPmL9oGy/2VrOpLTUlp5nRaxzoTpw3qST1s5DxijCzpN2XrKbcbpsY c1KbcfzAgeTCufkCkrs57Wq7juAlclEBV5tjPQhZHwvoedV4NPdu+0fpV+y85ieIQ8/YIJHM05Jk JyuK72ouC60JD5Oamewv89sOv+c5KAA//IOgMXAm0JfenDW0WZQVQYzoUrsv97ZIIIRYgbsABc8m wkkMlz+cmFvqJOKNbSENEXGWO1mYtxc5ZZ2KqsfNCHUfKU+mtqV30eIKHommSRPPP3bBIJF5MSxI 3DJSNE9umIwfXrCit7SGWO+8NwXLneh5tPTlG2Nwoy23Wk3HocJf8J4JUE4P7Y0Yk4X6VcmkWODZ KanCDCFfAKBM9CjR3c4rT8oWIytJPhoXqOR8GhibviLHTN6yPACGTs7DqF95hfvdmLqGppXerAb1 oVLfzgw5UjBjJ3JkcmbkEDYZAl2RAUzSQxze2VLR3HnL7RDl4S0UNx4A5yLNsMiOFUe3mjHnT4dH 3Vm1oLPGeGzNfPnRB4PFwiWRIEkJ91wwzKONdi3NeGVBDIWTko7ekNeXNHaLlOd6aW8C4VA2k5t0 oBqCZIANvKkHdBC14au80tgoeuVPd8P/v+iKKd3UHGJrRmRRUltyT6yhUiHBuaMTPiUXkuFTg6Yf WXNsMk3i2A8u3vlfhpo52ZQ4TVCKE2XLO3jNwisjQCmSTMKyJ6xcAzcQrFi5xkBJPPTf3BWYrbQh fNgmIMYZAUqmfszyvXDIFhGXutE7Z9GTxVED7+cE/9OYVwCUYY70VtuD72UKbbsROVxGOJPio+Wh hVdXhYiwWfZxKnlUKzWjOP2zNH92Dk9kp7ZhUl/goXvT/d+EmTPxM0SgqxNVWTXQtgLynlRZMoRb efgfTtsaRwdakL83MnDmYN+RxIN9fve/rOqbtczs+hia0S3TjI0sJ5OyAGEf+T1RfvvJHsYidJEM +taKHMvrt0yDNaTrPLpB/yT1J+T7cgb4kMYqSNq9lgtLTX7bBlr3ScUvNnOn2YecEw8Upaqt8f3m 3CiOXFR+0VyT9PjeEKQiIdU5SgRYqkSHkYy50XmC8HGnJ5oSc/YM0G7cLU/wTqNQb6AIh468VajA m66AMtGLsX7Q3QGVideDDsbwxf837saxg4dd7IqZjXC31xOv3ZqcfNa+n2XOr/5jNX0EWlawFCAQ YukyRDixpogp11I1rvRY9RKOTs9UjcQAXsby1MTZqEDuHJloAS7nyzC0qdhbaij95JXMgoGIAuq1 uZeoECrgnGzlmYjmxZyG4sychRdfJp0KPtyT50aGSTT3CO38PrzOphBv+Dvm5OV7tj0IK2fPwUDI q2tQme421Jp+Tit02U1XCdTpz4ZfGPPkwsZ0W2FjOYWKWbQfDYJQ9F7uxCwCIh1i11Fu7lu22Os0 W6calsIZnDW4O0k9knYgDI8+EK0eLiEbfuUslz2P0wVUehjkgp2nZelkPrvXtPTZHrY+tDtjPk/b 8bZw7pc8K7va1ZeNUwiWNGOGzNzLSOqEo7dJIn0p7V/iZnNFvfruOVLhx8sqxN/2sC3SrSYWql0G dSNqVASuwJZEnXMKmoGFuRadI8SUviFVcBidGC0c2iNW5s/iqfGx7B/jyITfNroTOv6NH9J3CBY+ TtAggsbnMbDy2u0SOx/BzdsP4ECUkQZknY55z97K4p1RXwTqr4bmPwW/iEC/a88yJK+BtrOZ4jpn Ky4+5XgyMauTJ3HyVuwRPww+hZ2kpDXEUf7hCbegSvK7sd0MRpCCx/4QlguK8eZDkuMEm1fEDuDk 1W3XFegO+B3sPxMH8gIXz07a/HEW4KDWLQVkfePFewQgQLgHyDs4UaGsguAFvmJyoO8uYf8Ag6gs 5sdDlwVvxgytl7k4kpSmX77gtc6U+p6cV2x7IXJ5Hggfx7xxSq9kD5jqu3284R3YYBZj/92L63Wj MhoSR388VzrNXgt7jjSyXpuORi9XPkLa3Py1p/t+UvCNHoFZX9MBCMK1lpJGo/Xk+q4ym1pRKK8P po5+RScMG81vWTo/BA5UDQmWueiInKL7TU/NPt3/BOOPK4W9tRnJobta4Lc0Z/iQMP8NvN7MvzV0 EhW7nPgRyFcsZiAibQ+h5bAy9HxLky/daFGS55TntrYYL0/VrOGvntvhkmRZHsJP5ztdn0lfWmlB GwEYhmi9P2o8FGrCsXabzAjjQL/Rh1bb1CblYiqlkbpXdGhnThizm8gfh0wgkcAyXU/+R8ItC58M bb4QCJkuPjRSClBQ5W4sHO0mu/vUTgXIsva2q3dD6p3NeNQXoVvBv7Hw2FirQgOb+21rpuKPeG0d 7Wi0goVXW4SSHKKw5XZ4yh1CT+u03MzByl1s/Ph42tsfnPY4cqMglqnjhzH9xsmXrYJ+CwiFYqss ++2bG4F/czokO+9oRKMY3BsyU+43100wwr96z1PpMeL0mPOSNQ0J1Jhc3DoN0VGD9oG0LJoE7OiW UGF07kndcAFb5LFqDrmBZ6cyjICc4mYDN/NOSPwfNgRlOsrk9s+EaefxTBvuL4rDTYA1D8Y0rDI5 AWHRbLJzIkYqWUC4tn6SVntbYWeoWXPFbfS4D1tGeZ5WjkzU/O/x/My/GwOpE5YFr5bU+6eS0VJ3 OZkgSrdw6Ym/LlrXlnIHLeyPYp+r6fu4xX2Ncdnql3jAZQpPVlXlngAwI9BbprbV+KxjF0dAj44V KLPQY9HB92XEXwfQ6FDdRO1n8Z9oiY8u4bgOPA350B0pLb283WS50WrFXKAy56NtRW1+DE2o4y4C NgvEJUlM+6HdJZZZ5BhriMOEJzpwugJcddXLhx5ZT0AbudI5Vy1NcYsLUamVfm29h/t6z9FLBEWy MaJkw1QxXAPd00k9d3TGRZDjoiFWsgiBG/DE69RA4v0g57cgchpmFUjMrwd+EQ3OJWUt/szN2Gca mJne6DukRedEbjqXfoTsBWt8PqK0OSzxQXKCBleYmtGk2q/NTlhxInXSDBc000AxxGf0moch2B6S 8c0jheCr8vOZ/LSFmG0WuYeTKENyfs98hBctKwiYMAjcPuX8sN/b3MkpxiwB+XC8b1c8JLMAePw4 YigurJeZAj1CKpnEUQ2WQuNIA3xz388rubzvxqeBajRAyU8V6Pl381j1SXFdhnbBe9tvAPE7b7G2 YTrofeavBQYm9mCiGonDq/zGtToY42CC6dJlz/Bq/C/dQ9LYjP6aUa8QDoCQsuwC7oIRD9BEKsvU YQjlaLJWnE7p2XG4m8W21k4VDExtwRUYvsC/KHcgIx+vBO9EUuSoQhJHMP9jAnLGMB5Zyv0IwGL4 v3PwyOF2XZqU346ZK+UIiCwUyanOgh1P7kQACz9QkYRED185CvHQHEM9krP9IcwZZmGtjP4F2pM2 kExq5Yhl0YU5rSuEla+l2yHTOfaCLUKm+wgPNhyt5GGgT2I9HSfYjmrSQqGfSWzdBRzDLGXAdxzg SomD2OuzMNztoGhyRbtPYdOpZOd143CwLot1Q4BdywLD65n7F4KCcs/5eNvXGfP5wJao0EfTCGxB PLAt0KBBmEXeRgU2K04zn7Z110DtLtarH7OgddeYaADDxphrvdlSrAdjc/jLsD51wDUWU7gs8XqJ O6zg1xkAwZaHWFY6aqGT/qq3MRSUboKGyUts8menn37xvgqMhEOy7YPcGFr1yqChVS+1h+LJPKvU vNwbfhvXk1do6R7Kc3Cd3j+AtM2UDJRkG3O+D1RXq70+KBJDvESR8W66oVsX+BySlDKtWJOWtTCb dxx+s3/3QWt4Ej3edELZrrGet//DSJWXeNlfCvR78RvH+y/+yq50asr0ZFPFVe0aC3W50/iC+b/1 OSNbwsJO9S8weqMjVhdCrJKtNAoyLqfUyeP59lD1ZQMMCt1Re21V/5abJe/0z4cItIowbnnExnnk Z2pJic+ijZym+AXmLIR+sdcIUKEx84AJPJxIfYnrAcGPUk71nuxPN3r6aWxuiIA7H5kNxqfXbDXf F5nyBMdWnuXVPfC0RbBFb4zRC7cwTCUVy+sA4pR/wJBPnRIQ72K8mRc5PeCUa4vTzA+vcMH23Txj ebXokDwHRkvPdp2fdsDe8nc9JDxfOSr7YdamsOBcup87mfbJE3Ns9JMtx7NAUklG9MZUKohCeV4B C6ZxX0V0q6PjpFq7WWY+i6tLyTM9g60sgLV90QDsR10EiJCa2zhSItmrbqB2QZz9cY3S7A2kflPV s1foYED/0W1YhB9qI5v7m40+sgVSJjDn+/QZfK6qMRjk25L27vg2wxqtD70NPMQlkiTnfWC4+yjk NPOYVFMtTMdxYmuwK+5W1sOXPurDTmYTU+MrhUhZFOgjhyuKYA2MjEkVy9+n0u62CIvg70sSRcin hZ1soItMy/zt+xOYM+nNRGBymeXOhBLTaMBRtDyQtcu1w7RXwshV4kXSv3AWufj2P1LuDJEu9YzW GTnjqKWOpp0pODUpz/X9dTvRmRtJcQJpiM5Tq7CohaVeJFYBumdX9ZSuKipjOOpfSKWWG2rkqmxP bToNtfFmPkMvz/5vkA/ECamk7CRe33lRKXSsyLArhUQjvl22N6DXeRSZAcNI6eUERKtHzwEwrW6Y y4OWtRpFIqWPeD3Nq/AM6/nH83qgX2OuQJEZmmOJF7Mw6QuInvilgp2LFGQL74EJ/402lhF1GPMe u7KJJ9D2cDiNkTDAW8Xg0ZhOq8vySetWEB0LJzM4QNhG+9dXR/bJ1LR++wc1QQRNShFqaa4C1Bqc HbRLmAvTDs1W+nt+BSjEyt2260x3jgb3Bdgzqv5+eofGnul7MBJtVTFVPOHyBcrSmzI6m+Ayu/Kr UGO+alxGeosRSwHekRecFMCUNbnyOZSa2h+qC7JfZeIzXyRD6ynUOp70tkqIHd5M4WEiX0Yl7Di/ 4KfskBC1N0rKEr2Nw3DJL8KY0aMHo7tngc28m6mtA91BO1JMB9dEOd+zZAGMlFHfpTwJ7PorChrU EsBv2xK9vqYXm1hlgufifhU1s+OxpW1Z1KvtCDgrUwAcuxsw4e3xf4/hGh1maFzeHoZ4Ywrg03RA EGODr8uSwl+rbHTnYMqod5Jo7pdrBymYP0QxbWi0SoB17jEUFooyHhuCwcZTe+k9rpHFmq+9L3zY +i3OGzzQ4/7Wt0LxsiFY7EiX19s6zTA3t4nZdVN4YlzpMXeZoDpT2RSj9645nCrWJx9e7fI+eN+2 gwzSZkMKxn0PJCXwNiBiuKSxYvYaimDP/T8s7Vbrxc/2Ipycg0OE4w1NtOHnNZbs3OKP4pSOSjO3 KvACL6UtOX9zF4r34Gs+fqIKO3C+6KEfUGgFBcFMv6UGF7siwE8vbVaM2ZTXWah7KDGZnKPDkO26 VAzFgVtrAXBViKLmkZi7MbmDlRfhdJjw3I+Ywe3H38lLpQl6VUVIPAFAftrIT8MtA47Gqbu2K+OW 9o2OOx5nlREdkSVfT0SpBktySJcQErHNkFPrkD0Rnp8jFLmFldLr0Udhl1czXQLH4B9LFkJcyrun /kpnpIjCxK7hXrlzPH5XHjCLuJzdNylII1k3K2+3ceVlXYRlBmHv0BMyGGOFXtPU+JOr1jOr4LJ6 NcKwh3Dgme8FxmiGvln5l8nded12isZK1K2hY+kePfkDuN/qnTa+85gbIvb/PHaYjRek2Nr6RJFq Pt/5JbgJtyfq8ayj86vJwTldZSqLHugTqorXhkxJwNc4MQpwV60crt1XRm5dz4T85hUZj81Fftpa dQ3ubWu+T22RlIrr4YzDvfJ2GPwXXwgxf/hp6S5k5H4kre3wDyJvyEp3R0QxFf/laP96giGq6viN zB/leG6OhPrVAdobLehcFaw2eYg3cLp6aM2yMU6b95CsyKA+SbosKBmcOJ9VachEAUNobPc9FkiX bhXgh7jsL5fPsN+uwcA3lrXQs+sUBe5GOIBoxv2yQbX6EoOZlBCe4SUCscKn+RJDaex/lTTpqnOq FV3og+959z46KVKPzjLA1qFchel4zKg8N3ra4fWC0IuHYO/3nxCWM6sym9vKQY4tExH1ePTAsTfj t6AAEA80QyUYvlYiw1vxcAloXL0x/xSshquNQ8z/MPd/9vdCkWusDmfR165g//9hruu2KR0pR7O7 4C7cNPsRbBG6ygv/1ujZvmztMQCxl345zr+47Ljvc7ivsm+ydNZ7m7ja0XKMOfwb/9uU1CcQqIrX fw39tAHwU4Kmo367Y4IdwkHEDqH1fNegwFLjFA6Ld292IyBvrw3klNhZjNxU5ekKbNK8/TK3/veF ZVVTrNyDjTbbiEelnxgFf6mI76wQWU6ttKoHXcbrrJowuY72amncA9UST56PhUQJ5JVi9ilHboFb HL0S7QdrnNaupQ91DH2myOIyXivDBqi1aYy0sMEsAuG2qs1sVXMTJOaFOp0dlV8eWUB7ePLdAozd YJcY3XylWGMhqbyXgnq8Gp07w/Aw41hxix1xF71ZvrKOKjcwJfMyQTu2rsCwS44mIQXYUsTwX57e KT/dx/mK4HhdSIVLgeI9GSz48JMQLBPg1EsMic7qbHEu1Y9ylbOMz1yosT7D0GR3bv//aHJnyDJm dtCNUiTrHC9hPu9fz9S5fi3XnL6tkLIAtmtOUmMcw8NKOwimYu0brfEROnKGCBmMzOqIsE7ccYGK ri4bCVIaM12QSnjzUi1WrSkj/drjh0Xw2SlJQXHRsCl6KGJWXmu4ywxLuf/VAqZEXzT/eM7C3lhO q8Qw7qB/j9NyKVimF7EqONBMyW9TfDhW+fOiRkqfs8MluM8nxfQl9B9jSeJorssGQbSoJB/E14qJ zQmr29q4gaPmln9u9JD9zbewRCPZVjQpacFZTDvgXJ7v7eXGGzp8HqH1ykMjF7KMXT3uwAPjC1sq 68krFDdUS3rHCSxH3bHTQAC1WA5H1QX7kWzWQZzvxhCJz5CCrzit0GMEhXrhSISHLCdX1FDwEQ1y Ldp7CFWj9jKSMJGQht+G46keMBnWkH+1Mk4ZdmQeg74ojxv4BAauJxVPSzD7qvNDUrvobcNfjI1Y mBvvtJ8j/U7BdaZWftPEuoMLIsvHdSraRZEkyWMAUWND18d7av/BFwzQwyK/uUlQ+EZC2wCs72NR v4QVUvHPj+JlH1mNbt/pmw0MG9+ZjTOcHolA+YVfZWbWO97AKm5eazVWfQu8HnfJ9LEMJzaQnIv6 HrdMPVzgxt9kg3TfmwWgFPBbQf1dc5cX1+u9v234lV4U1UvFFms11GVwN2id4jzDZaua4nEMNjUY iW4KYGoid9yeqp38lCPyHlBJGUx86hGC8PeKGpcipKhwQDdes8SXSiwpq7kY/Yh9g2re+wAf5Qpq 0g5DPX9dQjrR1TT0aJ1AilWd9vnbgP/ZoQ3Hyf5aOF9akaX6lYa+JC6G7PsrjRuAHf+FCrUBo7cL ZuH77pfCY/MfcSgBrw4ZP4ZCYfxqJL55H9bPBKe+En4liJtedpondLn/YyWuu7YXtj2Bhp6MyRl0 ahvJV23QyovmwevfjcXXT0Q0VUh7y1649rVvSpjLYMg6Vu6AvPSbYFpCIK97KijjRYrSe3NGOB9C MB5Ty6/xm1Uv8NcxHCxla4zNhWvRSVdcv24qYtcW7A4zZqlStOi2t5IOJmqNfjHEwC2FsXZHLJRh yOl5eX/uKgZNU/MeHCueZFbFjG6oX5lzK+mhRU2MhCoO7zK4a4akhxRpMQbQ5POvYew3VRiltTtt UhjanJ7h6xrbukcp/G/AGSoFq1fLIv4r4RrIMGMVsWA3RU4YPKXDZ5ali3iO3SNsj+8bAvp1RmHP lSagKVspinwODsUZhPRO+rDkrGbgHX80o0W2tP9sI+Dhu40FaVtzAF7qBzmurylHHUIIre9U0kai eC30Fex3b03wenF8nvxlGjRKQO+LLfbzZl1fphZ3mLRr1vvVdWFpeWOIlI2pxQvKpDM6+LKQesLT Qp7EYDopbYmdXvm7Uj2THdx07oq8ZdzXPEU8ZJw6lqlmGHHIGkOVPm8m/tg/by8j2mqtX3X4ZT9R zSwIAJyALzC5ABD8nyscsiVO6yy5rnhWcX8XaiBGjAi7kgmPaGY34P5hu5ST/bPrWXRdMlGxUCnI Ymece1MuzUwmmhK/QbgmwP+ndlfTAsGnMJbWRZtwjUrWxgbp9QFgshiKB9HrpJVXHDs0mKt5Z4PJ B9LOwObL3KACDCtd29IVEfUkb3d8v1/C3C/Fe3b7VdxKu4QActUvpN9lk9exgiBINBOAUeSv+tvJ Yl1aEFmdmV/RW9p/1fs6Wyu02jd2ETyC97CRYtbdZ1typ62hcJXqWMZHE41wR9e2ZZyeaPgqiyzc 7p3s14d6zL91qEkZXnDtlykf3ZEbRRfBftY5im6kkBZpJYMg6Q+juRzH+iV6kFc8DvmINGLQPCtc YFW3cKyoY+X15COi1b4RPqc1WXEBpGuhJeJES/XYE9sgDNzRY/UQsBi30UNU7Bv/X22Mw05HEK45 lghz3TbCEimkfQfUmFUsZEX3P19zv14dCFF0eNO3RVgDes0v6X9cv3vuvQjTESWT21KPtNH31c77 JpZglXY2qajXf4eIKrDE6ptCBd1Ik2ePCrlDzaY38/YpHk41yOBRICnWJ7RMG65COAaE6q1VGU9z 1lQPOvyLQXt7D90mwprVuZDyvNLUC6/UfZctY5NdogUT/zZJFVW8+5vyTLb6di1igFIBFjJKkRp5 KefXafaFFy3ApG09cmZ1ZBr4khKGpPIe2II7cEHSswRtzwPeAL3Q6PTXBbNuU3gaJEPBVMrZkRcp PotFXz5KcZNZOzgBVj3rT/jBl1HaSuvDbV6LXrHfdl5w/itldzVngVfKUMPJTfbeCxKBVlp69i29 CEC47VTari6W6/LPBJbS2fDWcXInfvoCI+k9eIf9K4bzhOwpUKJecf+AXDAXKHxjOqw9zK1zqYPy vuTp0MFpdXSUj1nc10QjF7wFYYzelTvIeQwTjNOqA8Y9lxFLJK3mtOox3Tr69W33hVMNukLUl09Y EUiTVf5nI15Jk2pxUDa95LfvwH4WTIn1DJLNsIOuv4pSPbcY2Dg8dnWQfW8SOhFxKLGc7n6w4w5H HLLvz39htOmBOC1IKLIEpow5IaGQou/rFEyHz9TJXQSKUhSX4ifR5B+QE7wyPiYUa6XX9uDlZp9E O+KTOq5N7DHWgvAsZc7+P9hdO9WgfJUdL3rcBtx9+ZcAEhOsXeWxAXa7OG5rd/tQDCjSGy64ny6q v+zNxbEnUQo5E3Qz8ZAImKQJd7Ol7+BPyyPtKHJvFzLmuQgFI0lvfyrMZ67/E+BTVNBZtlIA6w8H DiKkXyV7N/pWYYvshI0+HK16i7Q0rPZ1nswXqdiTiK4D7ElKu1X8MYluQtUs6qdBQCpEkgMNs3eU DoeZQD3ZAbBnueqlJ5CU0oHDoxPIONUYXU7SOKumLk9z8m2jx3nnhePQqX6t1hIhSbk7avLnQhAu iewxGkWiuFgTwwAT7sg8KhDAv1olD9b7Nk+hJqRGBtEwvaUGg9AOZy75of5w2VNM0ICNxLrqyYjs Uuag63/6AHTL7QEig5Oyw1BtOcJwPrY0WVUx4JFas6ihTzGtAxrFQaxL28RUcIjk7GuLm5rsLsrK vYReU2NSte/IVsCA5VV0Q5/75a5QhkEB+vvn78j3ggJDiQVi7Wg82w6zw8MNrKlplI9OCnLtuPUz H3SvFH/CCOtLiHksKNNws0ASCxl+i+C3fPQufSNTynpSh+8zDwFHSe/hyhbOgdE01gPaTCFaECOC 8TovEWHmd4Z4gLESO47Y/8C2LR9Nr3CZ9VDkgxWy9IuEmLJIylQY+QZKh0kv2e+5BbmA919Gs/1R 8IsG6tmjxHWRJomispqB+ihc8RCoXvZ5OcQrhEuQQL1PoRkwHzhR7deIVCI+mlnhjwIQyg4AFb6O zANpMQIz2L2mqgIv7eaZcUpaaIwSNr3kXwjiGedmthnV/8RP04jxGUslwMctXIF3ZZfBEJude8tP JAsXWc7W98lijA5BPDHr+w5g/nApUhozi8xSHLPAe7Psuyiw `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/counter_f.vhd
15
13734
------------------------------------------------------------------------------- -- counter_f - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter_f.vhd -- -- Description: Implements a parameterizable N-bit counter_f -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- The structural implementation has incremental cost -- of one LUT per bit. -- Precedence of operations when simultaneous: -- reset, load, count -- -- A default inferred-RTL implementation is provided and -- is used if the user explicitly specifies C_FAMILY=nofamily -- or ommits C_FAMILY (allowing it to default to nofamily). -- The default implementation is also used -- if needed primitives are not available in FPGAs of the -- type given by C_FAMILY. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- Author: FLO & Nitin 06/06/2006 First Version, functional equivalent -- of counter.vhd. -- History: -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.unsigned; use IEEE.numeric_std."+"; use IEEE.numeric_std."-"; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity counter_f is generic( C_NUM_BITS : integer := 9; C_FAMILY : string := "nofamily" ); port( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity counter_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of counter_f is --------------------------------------------------------------------- -- Component declarations --------------------------------------------------------------------- component MUXCY_L is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component MUXCY_L; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; --------------------------------------------------------------------- -- Constant declarations --------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDRE)); constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; --------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Generate structural code --------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate signal alu_cy : std_logic_vector(C_NUM_BITS+1 downto 0); signal alu_cy_init : std_logic; signal icount_out : std_logic_vector(C_NUM_BITS downto 0); signal icount_out_x : std_logic_vector(C_NUM_BITS downto 0); signal load_in_x : std_logic_vector(C_NUM_BITS downto 0); signal count_AddSub : std_logic_vector(C_NUM_BITS downto 0); signal count_Result : std_logic_vector(C_NUM_BITS downto 0); signal count_clock_en : std_logic; begin alu_cy_init <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); I_MUXCY_I : component MUXCY_L port map ( DI => '0', CI => '1', S => alu_cy_init, LO => alu_cy(0)); count_clock_en <= Count_Enable or Count_Load; load_in_x <= ('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); ----------------------------------------------------------------- -- Generate counter using MUXCY_L, XORCY and FDRE ----------------------------------------------------------------- I_ADDSUB_GEN : for i in 0 to C_NUM_BITS generate count_AddSub(i) <= load_in_x(i) xor Count_Down when Count_Load ='1' else icount_out_x(i) xor Count_Down ; -- LUT MUXCY_I : component MUXCY_L port map ( DI => Count_Down, CI => alu_cy(i), S => count_AddSub(i), LO => alu_cy(i+1)); XOR_I : component XORCY port map ( LI => count_AddSub(i), CI => alu_cy(i), O => count_Result(i)); FDRE_I: component FDRE port map ( Q => iCount_Out(i), C => Clk, CE => count_clock_en, D => count_Result(i), R => Rst); end generate I_ADDSUB_GEN; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= icount_out(C_NUM_BITS-1 downto 0); end generate STRUCTURAL_A_GEN; --------------------------------------------------------------------- -- Generate Inferred code --------------------------------------------------------------------- --INFERRED_GEN : if USE_INFERRED generate INFERRED_GEN : if (not USE_STRUCTURAL_A) generate signal icount_out : unsigned(C_NUM_BITS downto 0); signal icount_out_x : unsigned(C_NUM_BITS downto 0); signal load_in_x : unsigned(C_NUM_BITS downto 0); begin load_in_x <= unsigned('0' & Load_In); -- Mask out carry position to retain legacy self-clear on next enable. -- icount_out_x <= ('0' & icount_out(C_NUM_BITS-1 downto 0)); -- Echeck WA icount_out_x <= unsigned('0' & std_logic_vector(icount_out(C_NUM_BITS-1 downto 0))); ----------------------------------------------------------------- -- Process to generate counter with - synchronous reset, load, -- counter enable, count down / up features. ----------------------------------------------------------------- CNTR_PROC : process(Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then icount_out <= (others => '0'); elsif Count_Load = '1' then icount_out <= load_in_x; elsif Count_Down = '1' and Count_Enable = '1' then icount_out <= icount_out_x - 1; elsif Count_Enable = '1' then icount_out <= icount_out_x + 1; end if; end if; end process CNTR_PROC; Carry_Out <= icount_out(C_NUM_BITS); Count_Out <= std_logic_vector(icount_out(C_NUM_BITS-1 downto 0)); end generate INFERRED_GEN; end architecture imp; --------------------------------------------------------------- -- End of file counter_f.vhd ---------------------------------------------------------------
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/ramfifo/rd_status_flags_ss.vhd
19
18129
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IVTcVKz+qqR6KelbIxn6hKss0fyLwIejVgwej+TN1ST/vU6syUW6hxZyGugx/VRu65UT+0QU+88C 5SDN434/fA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W0uuDuJZlgdtFvYMz+doOP0vwnGc2SXfLiGH2a5FulZQF1GjNx3fjKnarWbbCm92Rksm2FFSGof4 SgtGKAeCq4Yz/Vqm5xuP6QHmdBwou49vkKDs52HUud9c3EaEYtdNlkb4+DCcueqZu76yWN8rf2DJ ekmu+LGiL1dmyzv30tE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Da9hmR0COgf/nsNRjZU5mrjIzRjN0/ufJQ7crbPh82WrNgInUm192216ks1D/Quh1gQ5TieAOChY 26CHNdLfPPmjLAo5/cOIRsIuy2JD7JAEIDFhFO2BcC4GrUAhSArSC4/9FyqXrVJUKuDybwv0tWSf qpHjmJw18CiVw84ne90mESBOJ0fW1ujayfbI70yaGaFjJM/DPm4Lq+TC+TFlaimxpTFNrAUzQNVF VSkf44Zb11D7if2jaL6ua4hPGgYpPcisaJtcEYpURXS8Lw+NjmMExnMpUW39NqnMiTEPom3YBwag JMKm6/EZOnBvVc8SljH7y69fXiGUXgw6Z6POkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1/llP5a+sEX6Ky1I5ak8Fr3e35uMro1bXNqkrntPBRVTqUhQPFl7wfr/6Abnu74l73YggylsZJi1 1Erm6sC9oDhL9IE4pENErrDQRZHuFnl4+DlguLd11swTlNfBwauGoCBXbTtZ8+O70UI/sRzXqbZc NDH1RywyQLhMRmSOjCU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OI4vyCtRzSCtjYNsCqL7rYkcvPw30aumOHoxNPQx0NU0Kc5/zvGo5pjE7sDqPsv0b00mAjKXUE8e pVllo+uquegdt9Smrq3DaiQC/9hKGiZzOG1rJH9JbLcfPMXDGpwm1inP51BNgkQwocfUEAVndeWo GE1Y28I9gt/5q5Fs/OUAX9cAh1VoS1OcnYX2wbgJSlzuLqnGWRIxOHl4+NkNkBq5Q3Xm589bPnnz m+d2tBEPyqaCTvb13xXW7hqIf0ahuv0AQTuiClY+KmF0GjLdJTWJjDWPuRd9WYhybCp/lrgDnhAK cnRXJnAOwP1Vgr7EPuoyVc3UkNsZTxEr3wrouw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680) `protect data_block tdwK5ZdqC1lTmlGUnRR7Yt2xC9sSxvluJ8GKoF4/PBy8cuepaO0uf0LYKTkNKeeHpd3Wema6aqcj srLXXbWWz4UGB4hdvxBJtYqwadIfgGECjDVXp6uQrfP31vtCOA9+FmtMLs4FfxWATHXFb0PTQw3G isIc6KFtVvVydNQmy1ZLPS6jP6h/lwbX8n/pnSL2WZXvrD1jm2jXxu1cc7g9OeoazdHUZzZvFix6 FgwGMXR3s27tEh4f9m5V/ihoSjMFOz87/tU/DB+DnLn7awHkl/wkbteyhhrGIUjKmzrL5F65y7N1 pUUhXTAj3RgbYQVgjkkS98xFL2X8ivYVa26+AF8gdleuWtFIrRpG06eNFIhnSLPq9gGIspbM+Ihw +/YB5GhQ/v9g9jT6Se5RLKCmFbky/wpFSjcJLcsaM2PCKL11Y5V3YFUJ6xRDmvlC9enre5fbsqYr oVKyuImicTyq766kky/w1DFftyYF+uDdELG/BJXjAVf5Dbqkuj+h9X2mDZCvDHV5eEOZOBUHv1HM w1c3bMciXl6IirH9ceKXAyLYRMGE8y08oF+dc3esWGKi6HhUfyWgpLcJnlHwYq4Fb+giAnpcCxJ5 NZ8i4ehC4UWAkjVwL8HEAM3nIoVkqkkb79Dr+wwQBwujGmKHgN/mXEPQ7jkubQycywuiD6Y3xl5O hsp0166L7MapBJIdm0w4Up1QZhpSk4tE1lfL13LNE+N2i529RDBoTe0Vit/LBVoyV2tlqhw31Ftb tfUyjtFAvv8f0a5PcqK/r7TDHRo+aMD31KHzmO9pF/Nd9rA9wfacjLHDd2zBvByrCYa8rpHlEogh TqP0bTw0hOmSogQYpzI0Ox0Gh+koPbCM+Fbmr8gLyYJDfJ4lpSryewcZChK61FlkGTR0jkyAgCDW S6A0OzHQ3wMh2yg2fg0if+CX6+dD3C7PreGY4GCn6i+6DzsNTIqKKwZ8ddQnOevzjYMS3JVkF4ZU 3UbUrXI8xS4Vtvm6/RVEdqf7VlskrtbintlAQF0/xfYcL+ADJYcaijwDMo9LQwVF4T9HPNvX6s4p GRr2VnS7WN4QXsCIc5y8zkTN+hROBRujh1e0YqNF/LULtt9SvYEbBQo0m1ujOQZYz3R0pZ4Jm1k9 qb5gVFPCQF2HUByXHwB4mV8MbvcJgtal+jQe+9X8pJf6+WV/grrQ7Dxmpl+5uiY6G9wP1ixVoT/L sYaHOCQ2iKleCpslejQNJ6bat5jnYhNGSUAttQC1mYqXVxdcpxpTqNYsGnrEWUHwp4FJ4aqV79BU NkfURkorAehgVCWZOc+z0DbGaNTvnuc0SqWJUgoinr+JONjWy1Eoj1uocEfTE/xGj+1r7WxQ3S9n r4z2TeXtY3+VbZNC1kdXBHWUx8y9O80uGAHGLzPYK/hO18WjHr0tDjR+JxuBNXIdU2LCp2JtiX47 +6Z95G9t/6N7etlQRiG/eUyv5iEKWL8PU2T1Vo8Ny2sqfbwJV6y5zed3iRA+CJQYI1cv3mzSomfL QoV0R/8i/678Uj31aTt8tzoAkd7yXbKXrdb4Fc/9k+GSFctBLy4OhHUi92zadkZ27B2ZaHudPUSy LqRLzpaEf6Ej80Ply8DFJInCAVJo/3GxLqHfRcXA3oTyzeeAJEf4GplXBDcJpDYi04EdJt525a9k 3z/hew+ozl4W/+N84qIUV3JWDphWSPrzAJli/k9N2BvayDt3M873cpxowZDtdUYThQ+b/cotSjRv HUzVRlrHgRQhEtpWyZvoN+Nao7LR0jbisuEMig5vnVvJ8UDkXKXHCfDHSWpLDqOtHHQ/iDvzdfUi R+ftpt0VoWiU4lqgUpBEHEto9EdtXy7Vv4EvB9I3AuC4Fc2XoeH4ZNsWETe2Irh8bH668e7m5mmP gUjc3OxnICCMeCyJQwRbIAxfZ6HHBlawdSxYSu9sTWnKiC1JOebMMQPnwdYx8KL7yUT3K0lvLGkZ bjuqSRPMLIrOHb4/zPbZIGTElJSkvpras7Sgdh1Ie+Qp8MrGZIpGfccJ3G2tUh4OwdPcjj5xByjk 1l32Yt/L1/H5D398cXhQwytU8tvUvr1UN6AtAeTr6YWgAkv33BhicVQKtpy5eLuUoiM+AWofiIJV Y7ydKSU3x+lTKPM3DXsOGlPx3uet+cCCXQGf6Ncf6WCyCkOtBi3XAbmUYyrnKih85SeWGK4WeV97 m0xXU52EHE/a6wtXNoZBWLCeSpgi5eaAtejXs8ZMeA9VvHFxc1VTC0HBoN7fuyTyEl2O8vn+vmrO ixjaZAMgf6SjLBBp13sGV82vInPGC/xOLSNE5HaM9CvLDTKDo6MeOshm4FuMUZp/2b/B92n/Tu0O KGG6iMJmd+khGegWrxfBy6bj9qITebx3c8pB8pi+Ld09AYi0DxcZ7OkiVlPFLZTlOHL5IejAwE6L s0+T+QBJfSE69uKQH42canp3wQzbV0w9/RxRw/LG5o9xV8G5NBp/qU280uxfYyOeUB5jwrH06wiP 6ZWXD16uH6tvqrAQOnf8BETBG3VvY5KSnNQbPc82ZkuP7TciW1YS+iVi7NnprIsN1Y7UoI49nClN BuObMUSDlCkP13roJ/kUYtsncaLYsI5O7PDku4/Tcm7j1ruauBlN1Y6ihcAAfkpE/AbPJsPfOZO1 JEn+wU/aIQhApPhMOPOvCnwwkQd9e5+7AA/tEHeBeCRFl1N8SLHzyOOfrmgYbH7lmN+CD0gO2m4U EIwdNqCNLa082b5GcPRaSxMyNBbJO+dzWjLzH7nMYL7fxjzI2JuULbcyW1zhDSKNmSi3+1fJxO2S 0C6/saTsbW3oMLxIR5WSd4vJoHrMla6DAG4mfxeI/pnkKySFvtjb/ZoiOJTo80pd2Hh87lJyqBDc gr/fn8ebTBf8snksxjD/r7KfaHc6Noe39nEnqS2jEt5s8dVYADEQi3ZJsBiSusyKLx8Ca5xEo8Fs VIGdKSndheyin8lzRyI02gn+nFxdFb4vYDUwD8e/CzG/j+AycPxuv0MgnQKZyeHtAD9fJeLal/XN 1o82aEmBu+DFrFFFW5I9FHHcTH5gQMV8+5uLE3wtNBMkAc0f5u4K8lfN+a6EwNCiJJNzpHZNr04f 5siOgdC93QlJUgruIvtrukvy1tEXmFVHFmnIiW0067zp7cDkJ+kV8n1VY14bim+uRhhgd8QZw1hp PTfBconCg+yYaye4/NSIFk0KBnGfM5Imosz7HTJ1Ae1DHXktFmMJoN7nUV0YIqfU+cNs9JuxgxkZ 8GekY9USUd0Fn+xEusdUPCDznmp2oETw0/6eZZXhhpAnbO7CP19WWSlNmB4DKVEN5mSvePk62+pD YMwZlnre8JlOHlyptsAtnskjaZZp53rjzhn1AofmbGZiBOrkzPcVTOtcvVm9oODXZrMpUehcdR9l Vg/COg1z5AJWw+2UKLU91GarmI3uCMBt04ivnpjK8MhQfWe8X1GSUfxh5X7PMyvcOpRtVILp3Tpr 8fjAjwi8YXlB10XHiF4SzWjg4TG2FA+bcqR22Exag1NngU2fEdrwNPFxQ4+4h/ZqtDi4oHoBiMce 74VuASYlkc6uc+K9lTL+HLhQBef/XMnVpkJxOFo4wotvs+lDztPO6mnRki3Au64+bO6OdWpNeZFK uc6xHJuJ/c9ykXuGXPHdMrZiDk/i7qrj79PjT9EcYGafcsj74MZ+3TPD6GRAi0E7Gqsl60TpEWwe Z0zLz7eBtOTosH+eQ9K6ClLpzlw1gAt1dz4Yy7AjJfKl1rOhAkiLlcm5DB0G75uDnRG/ppz78m+q zw01AAqC2abL7ukVT7MKFp8qEQ7CLWE5E3Nhh9HPeH3HuM+Y05JWFWjX/ok6MiV9DH/HuI04U167 XbvZk2wNxC7D7KHsN3LfNotdKykG03cRNqkScyqQkXyd32UE58LxXLzH5LHGedfOSEZs5Z8KM/yh XgZMZmYpw3iEXhmvPtkwLKyQCdovRiRkXqwJ2jEQg65M+Sfwvmp12kCobVltApn442laaz+4yTss QFMPH7aB6zRpYWwh0YrdMrNlRLQAZ+cHmY85mZlHgp4BV9rPdZCimo6FeagNZ13uKjE4fbz7jieb /oWGqv5imXMgD0plwlMWva01WxzWdfShex8wtAdVZtk9B47MS1Xh+zfLKTRo2RlZH7/pTDmjVKO2 3crzXes6nMR4ttwVYs2Y1hXWoD0o1PNoSr+YLN9a9wpJ0INFBaVHhY+GbU4fJXxDe3ydi2w9rMnz 5reFJdmWxJH6HBVJVcFOOgxmHh2xm2rbX8rx4obLfMxNzDUXJniKNUFAyIwizmw/0vMzBvdTPgas bbu1keKSl6ndJF/x/p4yBNUo3SZeElYMU+Vcry98h+dbiX0DDItgxVEGrOPNecRXI4hgjzUpu78j QVhGwBmysFD9beH27ubO98gvxNtgTGFEZtlfgOzZd6ZIYUoMeJWmg6x5Rs4YUzrEIah5lAnaY5+i 5txSY9PdnKARrmlmgvzXNqb7EqRokg3B+ZAakmNV9LQfjFTjKUB29DhGhQ6oBKR/BG7Hp5Hg5ue7 lBCAc9gAk1qneV0/PJfPhJaTBvGd4j5RDTxVZb7ABVzAB7a+GF9Dbm+c5U0HCg4uA2z69SKfhaJy IP02mwiB25hfvtR0zfQtser5hHaD5ILBZ7betTurxvyUcZ65j8YDeuaK8oI4qK9uCU1Er794xD8c htBXMGT/fQoMvaoTrjnoTmZuByMJPbEYD42XPCZZf5M84JpJ0GaSIq+N8n1bQY1VH8ypQ+dM3Gz1 0AQPaD3owA7yxz8za+WzFmLOBwG8y/01WZSqCcFGqXSJeqV1iepVdmcv5OiTLLPf7ObUV95TGyKk mJDofdo/tZpKkS8vajnpcsww3NE4sCT2Fce2ioSOiSmee+9APRhlCEqv3B5kIXcxKk8ZvCj77OXN yqJ2J3KigOUIs7T6uBoWWS0UaV+in8JlPGascUK0swMiz6HTr0u89sEuX4DI5TJ3S3CmQrmpbLTv yeczJ+dnKzpn9JpM787xOqWHJ5DL1t8B/fnhLW7DSNB8xNBrufFISN3qjvSNFfBPUlCeliUuho5h vmQq3ZI2I/nsNTkIQkq4285v2e31q2XDU/W9mzdXFLL92IvLrMx44y7/MVWGPfs8SuZ043BEx+7z JuofAF+h2dYqReLTQySbcK6cNNnle4bCv6n9EI1bSnDqDZ6p9WMYbmF78t9YssQbDp1p523iH7LD XXs1dV5FDGDSKsPQe9iZ3Rk6mRIUkmAqd0fmqbHGTsD5NNWV8AIUOcMN4fkPmdL/e+vwJPl67gzi kgqCRLy9JPgQL/ZLC4PI3Fm5/QhpJ9SB5oyp2K2J+iOxuWuSoUEGdnUi+ot0qEhvrZStoygO1pbc CpgPxvJdHoTNTF2Hy8QLhqTEvEOClU1eVUpgRDu6virzeEhnujIxeCT31Le1FkEX4oHJTxMCUd6Q ItqZe2MMRS0Pu9imfDqfDHD7wIXukPIXG5myKGg96twbGi5SfbVD+3vaHAhLGaLLSQCSASSNQpmh 68fwBVCI2IpA5nt7/v4iWcdDCBRyW1koCCXRzgGm1SNuDClZiIGRknhJvYPFbcv2n9K4GXpw9fJm JXj4YwXv7IBxckPIn4ys3M4Mu/cZCMP/pR4uTLiaEk1ICifLYv+KWUyqe2UUd6W+EzWgzs+1zQgI N0xN6JEaMbxuKQ6sJQnS6ABIGSBIywd3XKwilalcK7iLb5ZdMeagPSh5ndV1GejolHZwMDXFz2sF lIUpKB0mCh1r9gMToEzmsl+MVD3vIeMF4LG6799vpW8euBMl26Xmi4w4V4KsWGWXXTfLNy5kmlM1 w9bPQiH774bK/qLbxHNBJXxJPKK2pJt6jKsWNh2I8FzYnzoCrS70FqVx96Z3B1mPp4JvSkhvov5j LpgCegNvsqdESlKcKsU/1xL3evCXjTjg12XH0zljhMOyGpAkp+PvEOIknslOE8q7hUpF8FsN5gfy ghQ539vTsy8TJVUdv30xv8ndHQUHhsqcozD2MpdffZ5PhlP8Z2AE/2vrRBZWwXMFD+7xNLQ1fMEq 63aXQbTsoG7EtSPzwWE0CfdLy/oJsh+I/6VQpcdOJDLcg3dP3G5BXIS/198czt7OR9G57o4araOw E8ilAQNi2t8KGiyyPQxbTGT60bW+a6pdJ8IEqJ8Nxt435Mil6nXPvJ2P8WaZdXVcrVzWVcJjMzyI gEcQKw4s8VSIO60UYNL9FLAZ4rEDcRgrmjpemAUZEo/EqpdGoj4WatITG5oOXiTdlYHO4gF9nIaL OgIOG+W/eevmoTCfXpd674+Cc+E1rL+whWlCGiwgX4m0SCLtyQe5ZxLjvbLNN7komRpvJEA16HjE XpAA87vDt3Qn6BmNQH9R9nk+HYNfz5oIiOgIcwnfcTGQkUBR7xY00CmnGEoaie8uOQ2v1D7XxNOP iSduSmdD1D84/I72gyJ569IqJ/ngJrkWT9kv3L0LiDgVxlDOwd6vMM/sbjdpg8RL6hBsYndjKc5N fKXogtYiNcJvqEZTOQnfsoku8cj0jU4Z+g10qx4jP5dnUDzfDwx1WC6LG2cL5CHqJUtS0hyHN2nR Do4RP1nytrMUIScEUx8ymIkf8Dc0QUU85ioRwQ5oOmUM+BzhZEk+Fa04DXHmk4FzAMYJaNz0uVWr izWxyFBFn3LOleEoj2ffNnoWWSNLZYzcf1pnVO4RSPujMTCefH8iK/fboTHDVJiLd8qkup7q1onM DfOf5VeVopMC+WDV/7iHCtarrq3Q7bjRJyWEZWM2/Ob+tHju8S0khQplCAsOZtnUQukRvhO2p8dD 5LhW24nniWXjotqlnb4wjczRQhEelWY1+D7vXN1q5lsygIrmxRlmRNEdoPp4d8+oi0PpkpkqICOD hNzPAEiabJRXrO1w6Y3fU4y3/AvImo8U7jziFSfYF8vBFEQdDg1S7kWl/QjP5T3QbvS0rVV5GhBn Law4487uZ5DaP4IYSt5EVXCpSVk/ncnDGtu7vLqMJ3pyihI79q/JqBeUhMHmbOYhsoG6I3ycBGGR o4WQhi+WknLA6UPWJFeeE0Oj+7Gi/eXFO3DeJXLUdeSelgGGff4JOX5A6GdoNyVWVL7J/+nOnlfx yLv0HtDa8kodWTVoW/gCXKnoqRcDVVPE6KsdEbcQztH9Qgn1Gr0tnaO6QFm9HsOzxXKHjHGEFtRA hIe8dmHyD5CW87t57sUWzkopazJPPmJViTpb6lbsR8HPpa/I6SuHq5eGHxImRkVWNZQWrWSDYRBL rEqoABfZpuIctlEXHhs5KUqw3GP9A8kTLi9iPuCmtoDMy/OT5rRMac+6csBjwj5E7s805jITswjn qB+HIpk0qUNHS9SozZPrW/DntkUr198/JMEEjsgqlk6yq/kzjT3BjIRefT4lYqQnrFyo2n3dHn7g 01WbF1D+td80CdjJbd4e5e7BjA1DQ3PwcOdmJ7XndmnB/LEoJUrQYF43uAt0hRSnwUE0DQZjHPlb jw0SiD2S949P3SBdnCVIbte8U7RzH04g5R75wc47LRR2sILzn1iQOttGVfVxZbB1C+kGhNrq65I8 T4ZE+k2D94jMA8viBY1QU2geEcsEThPvqZ2zXEf89qZneBqT5dzNSifWKFqLDhxqrU0wRUq8HZ1A 7mnweEAbSDX6z2uYi54+fsrvPB9T7/DODkzTVuXNp+KPy+6RTOlgcsLT79lliaaDoaEbaqZ8+Yu2 tdZN/ioGt3bvloUvp+yNgxycdXWdFLuy74erxnPUQbHUU2W13aZnF1rQnGU2y6MPNx7kbft4PUc1 Yk8byYAeKKrpkm2BnttHk55HAIhFf2f55FxV6XWJ5UQQA+PBGg7TYXgJbB2IkjnRhzDVad6hZiw1 l0x32SnUdsIlEEUJ5Npu5GVyvZeaj1DXIY80q0AnV1R7mWFO21ilrLUC4ow1YoO3XOpZI9+89yzm KgJsbUXZeaIg6jkxA6/9+fFTiHZyy311Csfd+gzC+X8VjcFoEQipgq42yf7Kg0W9y4FV3JY0YRvw 4PllaNT2l1nEdtwTmeEjnBz9dTSeuTWi1+UBAUQQ+TBHho8eYgVaMXKJYHl9s1nbf9jCxLOojU6J 2Mkk4HjyVSU3scZohpKZs/RLGb/+DwoJcwbi53wQVPqI8BXSsKpUcc6vT6RLMNsYTLsqy1T3sJT3 rvob6YZy9uzK4T1dGIZrxFoO7TpLluMp8ZOiMPyhJzTD0vk4ZR/c0AIzs2L774dIitQKzEvf7yhN bgdjrXnqwN/2H3vFXKevM6qn2Xha1T8buWUkuGJryLyGiJhZVk14EtZC6TGCGLZ4Kl64ZAR6+2EV MS8hmAkc0ozs2lU4SffmAMqEvwu4M1glqDvWWNCTxopKe0RpzxhE+B47COrlWIu7Mn/lQfab4OSm PmZcnOcW0DtUwXc1XOyX1BVXFOWkGw+MUr0CFJbRjkmOs0hV6f4Ty7R02gFG0VpTNyGDFJJqoSTn AnlalsxtC7VKbtZc2AhiIfBDwmYRd9OWtg9zOttJ+beFJKLOWgQmzdYTGyl+dDR/2cBZN2+lKOft ctThp0yFSpFyQczSzwkvjPLQxGwx4JQSEgi621f7ncvgXy7ygAkrFVvY26WdQ7mb/ugvoE21Wmdu iL99Y4slqI1Bco2o3kaHVQN1aTwacbdLr3ZssDPLO5r5NuoYA1JbINAsylLYqXLxZpt405I7RfKR yI7XpeNTdsj3gCSguGwnPd11PoL+q2yxMK1z3HfReTU4d0aMcZ8qz1VOIyQnW/JEzNzou/r8kJlX aqCyQ7awvcxS5oXSfJzCumacqqOSER8ff1CPrDmakNuPQJABjFwPVpClgMv4IxITvxxChdDrKrGX /m8SpKE1zxlZKVGkk9kdRlVnbwjCHmBgP82B9I+RhmQBzFM6FGM9CSmOZ12Uxt+u8ZsywnymX8oj HOJ5zzRiqDsW51hKwTS4B2IZKV7BSEP3GqmqXaLA5NUaf7DDJ6a49K1Py5kcUa/+eR3vIY7Q2fWg vR56WCSUnxK243BJ9B3P02YKZK8uzAjPx6NqM9XrV2O+mx+tcVnGBkgqBI19aobooKWJYT0KQdko /5ZK1vmjrnHLb/FwWe+pduQDKJFZMVtXUWlVW3hDu0oe/pEbepPKnh/319Xp/3byg8yEqYcOf+0D f7Qv1u0tHa5oG7nzk/wOfMHMgrmb/PZYIvsAYzN1QMJnW+jpkSu/Wlgbj8M+qdqrXQoprkT514F0 cp3howbognf53wG/OTcqWUJM5ZGnRWlrdJ8PIIsotUml0jyaWgVBexK3dXSWQ0xZH0y4FKjxtF9a k3CX6D1mjL8eNWHst/V6ThKwHV3ebCTAxWgHS3YXu1w096gntDZRUra7pqc61DLGCNDdiolIirtf t4QxAIah2dTt7k+AsRfuBx8nPRGuUQKIkT8YJyQ75wqtzO0VCQ4en7yV0zH+lcdjycLeIEtmwwzo 9SahCBqXNLBXXdZLXkPDl6GpRGkGXigmdGB4G3G14UsfexLOcB8XhwvZayMR7uYFpMhvNDCDf2Be epYiwZLfiJZPvaxXVmnkFNMnKFTcB1vTQ/QOMccppu1TW1C5PQyADHvtrq+LGlsRWDU4S0X+pLul HLAkrFdUCrJD+IbOZ3Ftk2ABLTAbZqNjmqeVjRqRdGBxkOyE9sO8rMy7+ql9CbA/Z0fUI2rQ0Msl K2E6rz2R36JyLbiD3P/4TyOvAoiMSHEDRsKDa5I5OVL2jmEWl/o2GrKRAukJcGJIdeiIHpzXH57k PM7TAMhFXDwFtAuHuqy9KnejIXlhds7ZDaspLo2uVA85vvm9fds1oNgX2hyNtL81a7HVYGcU4ykj g9Y1BcTdJzn8cFAGi+xAL+pDk2mn+Odbk+0pq55wFW6eZSMs7eyLJEIy++Pxd+IJVVPbBYRKVkXj whpLo4PLbAnNCSd+Y7EkfZRU4zCZ1Z3h9bAjmtdi3fDiJlx1IUYCDcTyGFvXnj0RjMZLKIiYNBZ7 3RFVWY4HWp0ykat9cPvfXQvTRItv4dVt41YdWLxcmYRtf8l0eCzXYXk5QTFigmBPOfBmQnxpgb9J 2IgcV1vcbRPiq8zLEq8ivBDCog6vZNeysPGrGmUgyxqH6nf0G8matPJDvIDkWGr1E8BhENlXXaJy N47txnqYIoiND46mbDO5P5Rs99tttkkxpMbLQylX+YkFgbvwQ0j5G61gqJmuNss+v1uW0boZhH3P ha5Qi7FoxReMkWPxbb+euxX9Qt022CvgIO0oFQ7GjRPhowfl18ZD0NbD9JDvMpZKALV8/xqVRv2M +1kLAyQS9DwsH5mb6fCadCRYhLKWqhTfOTfbE9d1b4/wBojJIsAz1rSQM4dJxNA7PHPo+Fy9/kyl AVhx54stPhbDdpCxstG/f7xpu9KHxxKWcP2xmgOF/Zz+//lecrJDELZCc74l0iJtokQVdHVcdxRN 1WG4TsO8/b4jJ6vWZC0xtwHklnOFiroEvA5Nr9GNPKuKIE/SdIwfLg175JZl2+Oi3lK+M9IIOCh7 M+ktv6ZigYbzxoMf6EmIiQc226n41SD68/SVbmeMMnHX5VpMPRQschJcxkT+VQUXxUVXVjP5DHwq JqPPYiJQkJiENFDoggvo0etEOTxIWddTKL0lvIG+V7Ge8EspEmd7n0QlIn0Q8UU5hMeIRyS4fM6v 7BClmO4YOHVvtZgGvMIjuZEVzt7dhpQDfb2ni0NP7ixw5lC9K2tUaKJ8gvHnldgVredqD02YINbx CaAQ91oyiKXUcHLDlOZv1FAThDmzgKep8TQLXWmkJx5VA52ut8gHrcMa/JkajlTEJlrR9lhb3+eq BOfmI0cLIgjtx2/bgRC2SITw+G8jkBoIM6tQkiCcS5pDhQMPmYVd+KGoPRosyBW1tUWvacX2Oon/ U3ljzZjoo6ZLGMLAQQEMx9mUWKDwL8wb4hXz83zSXIrv3tV/ujAPQCarHuLhIKs41vvGsRlbCGW5 TSRw/wk45sA9HWtj53jmy2BZdYoKGQF18XIMaf1jFeg8n5hr7zMisxA4iauMI+f2GoS+oTvLenBX kJXNuObAqx4DrXJvkioK/3bi/qFbI/EmY0sFHmPCvL1ReYF1GPMhWZV6ymAbpDEz8glyMEDmMBUf f4HSFz6PxiqCACAa3VBN6uwBhY7KsglVuBKcaGd67a+/ZMP+u2TKtGH3Yb99V2CZ5fB7l4CnQwqi YNffqC2MKi2p0GYXHNchqNPepC1ygbnIoKd0ZIjP6XmjkggzfM8nYuoVlBr7fb3Hs4yVzEgazzdQ HL6SEepuoO+tu8S0LRSFYzd7MlFh0aFzrHv4KaQCcxKZREPNCUyzybPHq26qBwrg1BvVR9dTY/NE WyT51jLifHp/uXHKyVwX32hu+7hQHGTDO9DLWeZTZ4H+0dgqM0EC11h9MsHVmK8pTPEa1RfLnz+l BJOj1E6KiiQeoKeWH7UL9yN7oIcW+wYANWyyDE/pTCzqjiENdhco77ide/wzcRQBddp1+1GJkZLb eF5sIGKU13f3wcf3MGGtnzDznxRK7mM80Bc54aZElBrBEHr0B7S+mhiuw5NpK+VHn4uwZ8eVdayy EtQbV78BCQSpylskz78k7pKs1v8u0tamFs1R10ol2oiRSGJ/7QcNiVRVI6KhjW+KCW9MdH5/7GAp QHEt2GZHVhvrTSS16stwFY61TupoXNq1dZwDA42MApQcTAEtpqIoIMHI2RVav/LcggDCr9bQd+03 sXOYNZy7OLxL6qUg38FeC/1lP4L+bOT9mZqWp4WGnBvWAfPjZHo2lkNyAHWUEreXvjvziGLDzAUg rLkMQUzobPuBuKkrxZpewFVHlHc1BRCc/pCYA21JePJDzT4ydDfKeqC4slxq72i0yHMB7Sp9yYQa fsq7ryDkkhpmmxL4r8nmXfCMg2pLxK5qBeaFy6PuwdYkQBO14aPlDMjB35ls+IELYm+G1t/wc9oh /+vSIs9QQTCehFEVvIpgcDLzJ/AUNnMmEw2EB3AFb5tjV+jfSSB+rEi8QpnO4L55/sBnWlMIN5JK KDrCOaE2FJGLromfJAvD9NvupSQ7h25HM4ACgeGqPhjVKldhJqeyGGX4ItI5A87lIVEWwICSP7H+ 6g4dLXFYqO4PqFIBBnWnS/EohA21t0UECIWCQ8RyRdZHFb3Ec13xNAMUBYVcLl6q9cUElvzHUdPh iFv36u293bkG01Nmy5bpnOR06WrLkd1uKK/N1hDxpDBxHVxdWW24KJYhwUSF25IRfHWzypeCIbh9 uKilKxNn7+WRDj1b7kM6nzKv+3Y5XGGDKDumPvx8jmNQd7Hy5Va73PBA5NtB/uJXVexF/3/LQW6X ORFL6jTlcBr4F4Wlzggxq7b3tVcRbYit0P663UIUQelE/4UlT3zJ99KTxelkNTuJBZ6h2qx2zNvh 6G862eA0Uq1zxrH9D9gPQc0yUIHOMoF653AzYMG+WcMDBvr7wNbZadOpN9iRDq5qRmp4CtkVArEy /Bhd145IDGnbBoWYXUbI+YG59LLH82nFLZrzC2FIFMvmfS7VMkbFj7PeWtvzcWhmmublOLgX3e9Y 6zKJS/Q+StidMbei9KZktLAqH0AeDpMpeHKK+lXuf/m8MF2t3cD0raK+J+sKbbC6qmQM6mls/AvT xodVOFBHgQDa2y/nyqnwCZpd8fSwb7HjNMLoL3XZL6ZVFV98DymgJKnwVL/P88tw6lGACqiENTNh EQkQgnWT4cXg4WDKwE5yAcZN0jbYgbUnsnCKEUxKfPWMJZFt65b3UhMpwOKWXNt3RmUNFZZWSIB3 WciWFswr4hKng4cb78vkkE9mt7omWKg+qzy2GtimvDcl6atqhmQbMKoY4DsHhwGmGacrEpYHgW3/ C+v+NitqbVx1ttuvtCiBOiQJuGSiM9W9B2zZIhWBRG2GLVblnhia/aYEQOk98yJ4eKKEnyoABhdX Ldbjh9z1j4bEUUwPUn2pd4exsYOLEKqLXrTXKDb9kym42+QJGUyHhx4mFeLBOBuOvWk8yMb1AwFH JFFYIOIR4mlMhWxqDG6gtxo3Bv/ZVNX9IJdL5N8N9i1bvgfD2GtgrpCgfiRUvasTNQyvrtYxXj/Q m159Mh5WifPnsF1yR4WfBFKYIh4PiLZU5qFSXFUqUxAgam/KUbuC7/wdxmWRlpyv53WKyDY5yMIE 2zdSymez2BkUWFO0pdmBE3Bqh54wp1NEO9iKrGg86xVI3iNoba+XRnYv+Mmq96jG4MDrkwf9FV9r pAwhFACApnDgF18Z5+tsoR5FOQNlxOFjIMC29EzuFN9Uwf5a3Plei8PRxnQuinBn/xVIZRTvFuo7 DEohRBRx5VSNpn9RqlkOFxyTcKBG18rpVAsIfHootUxAWM1nT9yEqzxawmpkyCmeSirJLXdopz2n IVa6nKkcFhCU0gDZtJtFDPuX8ySXOPYgBheSwO3PGsQlOwV4aM4r17nUmqUrTlY8NlBtAS7D+nku GQYB4adZDRrBX2+i7hiBJNMjt4/zIobAkOGaXCRaeR4wiQ2jYp7WhjMCqa15Ff+Mpkw5aPvIT3Ex GBpM2k802SlOOBjG8ViU5NZgnR0XDedU5U0mJmf6U5XP4vQldHKtnYdY+YHUHc4JIvLZvNDayo1G vvAHdfjssnr4UpnKHMErYpXqohhwxV0xhz/CIXPCZyz+kRUok0YkuQvTxA0sSuyQoJf51lN6t4jW Hr3MZA+5X0WSWRZu+cyoUV9/vd5be+cwoFJSrgWo0zapmK54nEyUP7DD7B68XyAlWB3DrLAMLF2T Zroxki8MsiBU+ecnYnwCiwD0ZaYKYrfIdVgZJP7yZViyoGTZfZ2VCgZFnuMiSPK7PUs+VPVxVPIy B6GiV7n2JpjcmTjUsrJEJ7cZuNG01Lz1fuIRDQb+beRm6i487+bEIYKMsFj5cvM9o+zqxqv7G15x CdvFrsnnVK4JFFnOaVvBN2koNyah6/yLVL9wFdsp1JvmIEEhsU5YLxqUNbcpFiNSELe5TuPdxsdW klnyI6l2zLE8dUSgOJcUORdCTjJvgYAfoYRLvIZw6ZgPB7XTkEtJQ54fgXwkAIWfCu4B6ZwEm04n UMdNu1ZcYvxmDLujC+uaKpX+SJWO3B5rc71+98aMevdwnLWG42cCPI+f6Qwprc2avfxifpbQefLe Xm5R5gfzqMYnNL07FhtleqCSg7GBJTrC8t4QUgi6eRZzx1n9iX3srjoejcZ8O3LGpxEB4KOSOrGr Okpu76b96KHfkZXhOFGmbvf5wpeIt7XSm/BfprZpdbvKkTDeBA1Jc4lE6QjruabZY6ZA25nX7JQF 8EwUOUmzhJtwak7htM7d35NfYBWpI63jKWkIddBX3xj3XNEfysqrHni0ELrE/azMdo6nksk6TTyH vWiV0+2rCRUmqHbDEYJdYmMrj+gW0b+3x5QNtJN1IojQSKs0h5jVXOxxH/fKvGve2Q3IXM4HTeaC evgZoxkSxrJ+vyaNGEIQjye2oQ+SWaPzbskY6m1+zXxykHihJn+Mrf0ri7yh49lAHcrB3jcU7Ga+ AFpyUs6rZhuUm3kpjtUIRELZXlDI6FKXgJ2tZ9Wr/DsoOjVx2AX/ehWlGo4KijNIQ0oy7P9savIP UzozFg+58dy3BkELEqus+8eYfXz7A0OAxCic2hcrVlg6zPwosW9sQo+30emdtuaPGfqhUOjHE9nQ NUlm3Q9gwgqP0rAm5bjzvzKvLwa0zvSU28RUKAjq9LInvpxeDed2r2QCpOf0kQH1gEEpC2/4oJhV BzyvB1ZsBsd+mKu2K0s37FprDJfZIiwOAAzbVySM+rLeSoeQrsOiXw7zresRkcgWIS/uVzTSidRW Q0Y3bLJ8s4Qmu9gVD2mLHm5JEfIMAJua2Fk5x7RwyO8NaTKtGyJC0GItTq6VDiGnP8mtNtmsoTSk 5GWe/+dgQ4Q2hYY+mn/hDTihSxXRo/0HJH9ueF591j3bW5GSLFeYhbaIptcx/p5GjjZC/49pY3kf /XiA/tvpP35CeJXqSfvTipIT6+8G9dpBHP3Qi1763AD6N0/1XhaO8YMF6067AB9UcwFSAS/6UEXS Amroc/v9/+yCrvDp3epMSCRl8rfZqAI9VTuaxd83mz6rzwwCBSRRJB2T0riBIENt1P7UX2CQpZ7m yjexm6Y811AtzI/xMHkUkCfmrftT7pKCGrHR+qR5vMkRb4SRfjVafDK4R0DZnpQ03T+uX12IQYTR DN0RaAVXQMuE1MS1rCeluu4N+Nei0W8bMPlQ6KumfagrXzfxRMgAvKgCDtOOh7pPgaFJvZwuyP0h /0gjSPWqMYGwIRV9B6fqeEjWItRMK7BTgC1zVIq48Jhnm7EBjnvYB7oiJQUAvN9mULSGeZRpuYuc ipTNwdPnp0qA8Sc+UDMUCMIKnNlzvBaXWoCnxLmp5k8LSgIugYwRMzrf8w2FNwpx7C6+wokHvhfu WN/P2U8o56QhRl0UrqJj0Mo2F4haOU36qfFXN/OZo3D7iV6G0rwrEHiKRT72C1iWK8VEjg== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/rd_status_flags_ss.vhd
19
18129
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IVTcVKz+qqR6KelbIxn6hKss0fyLwIejVgwej+TN1ST/vU6syUW6hxZyGugx/VRu65UT+0QU+88C 5SDN434/fA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W0uuDuJZlgdtFvYMz+doOP0vwnGc2SXfLiGH2a5FulZQF1GjNx3fjKnarWbbCm92Rksm2FFSGof4 SgtGKAeCq4Yz/Vqm5xuP6QHmdBwou49vkKDs52HUud9c3EaEYtdNlkb4+DCcueqZu76yWN8rf2DJ ekmu+LGiL1dmyzv30tE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Da9hmR0COgf/nsNRjZU5mrjIzRjN0/ufJQ7crbPh82WrNgInUm192216ks1D/Quh1gQ5TieAOChY 26CHNdLfPPmjLAo5/cOIRsIuy2JD7JAEIDFhFO2BcC4GrUAhSArSC4/9FyqXrVJUKuDybwv0tWSf qpHjmJw18CiVw84ne90mESBOJ0fW1ujayfbI70yaGaFjJM/DPm4Lq+TC+TFlaimxpTFNrAUzQNVF VSkf44Zb11D7if2jaL6ua4hPGgYpPcisaJtcEYpURXS8Lw+NjmMExnMpUW39NqnMiTEPom3YBwag JMKm6/EZOnBvVc8SljH7y69fXiGUXgw6Z6POkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1/llP5a+sEX6Ky1I5ak8Fr3e35uMro1bXNqkrntPBRVTqUhQPFl7wfr/6Abnu74l73YggylsZJi1 1Erm6sC9oDhL9IE4pENErrDQRZHuFnl4+DlguLd11swTlNfBwauGoCBXbTtZ8+O70UI/sRzXqbZc NDH1RywyQLhMRmSOjCU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OI4vyCtRzSCtjYNsCqL7rYkcvPw30aumOHoxNPQx0NU0Kc5/zvGo5pjE7sDqPsv0b00mAjKXUE8e pVllo+uquegdt9Smrq3DaiQC/9hKGiZzOG1rJH9JbLcfPMXDGpwm1inP51BNgkQwocfUEAVndeWo GE1Y28I9gt/5q5Fs/OUAX9cAh1VoS1OcnYX2wbgJSlzuLqnGWRIxOHl4+NkNkBq5Q3Xm589bPnnz m+d2tBEPyqaCTvb13xXW7hqIf0ahuv0AQTuiClY+KmF0GjLdJTWJjDWPuRd9WYhybCp/lrgDnhAK cnRXJnAOwP1Vgr7EPuoyVc3UkNsZTxEr3wrouw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680) `protect data_block tdwK5ZdqC1lTmlGUnRR7Yt2xC9sSxvluJ8GKoF4/PBy8cuepaO0uf0LYKTkNKeeHpd3Wema6aqcj srLXXbWWz4UGB4hdvxBJtYqwadIfgGECjDVXp6uQrfP31vtCOA9+FmtMLs4FfxWATHXFb0PTQw3G isIc6KFtVvVydNQmy1ZLPS6jP6h/lwbX8n/pnSL2WZXvrD1jm2jXxu1cc7g9OeoazdHUZzZvFix6 FgwGMXR3s27tEh4f9m5V/ihoSjMFOz87/tU/DB+DnLn7awHkl/wkbteyhhrGIUjKmzrL5F65y7N1 pUUhXTAj3RgbYQVgjkkS98xFL2X8ivYVa26+AF8gdleuWtFIrRpG06eNFIhnSLPq9gGIspbM+Ihw +/YB5GhQ/v9g9jT6Se5RLKCmFbky/wpFSjcJLcsaM2PCKL11Y5V3YFUJ6xRDmvlC9enre5fbsqYr oVKyuImicTyq766kky/w1DFftyYF+uDdELG/BJXjAVf5Dbqkuj+h9X2mDZCvDHV5eEOZOBUHv1HM w1c3bMciXl6IirH9ceKXAyLYRMGE8y08oF+dc3esWGKi6HhUfyWgpLcJnlHwYq4Fb+giAnpcCxJ5 NZ8i4ehC4UWAkjVwL8HEAM3nIoVkqkkb79Dr+wwQBwujGmKHgN/mXEPQ7jkubQycywuiD6Y3xl5O hsp0166L7MapBJIdm0w4Up1QZhpSk4tE1lfL13LNE+N2i529RDBoTe0Vit/LBVoyV2tlqhw31Ftb tfUyjtFAvv8f0a5PcqK/r7TDHRo+aMD31KHzmO9pF/Nd9rA9wfacjLHDd2zBvByrCYa8rpHlEogh TqP0bTw0hOmSogQYpzI0Ox0Gh+koPbCM+Fbmr8gLyYJDfJ4lpSryewcZChK61FlkGTR0jkyAgCDW S6A0OzHQ3wMh2yg2fg0if+CX6+dD3C7PreGY4GCn6i+6DzsNTIqKKwZ8ddQnOevzjYMS3JVkF4ZU 3UbUrXI8xS4Vtvm6/RVEdqf7VlskrtbintlAQF0/xfYcL+ADJYcaijwDMo9LQwVF4T9HPNvX6s4p GRr2VnS7WN4QXsCIc5y8zkTN+hROBRujh1e0YqNF/LULtt9SvYEbBQo0m1ujOQZYz3R0pZ4Jm1k9 qb5gVFPCQF2HUByXHwB4mV8MbvcJgtal+jQe+9X8pJf6+WV/grrQ7Dxmpl+5uiY6G9wP1ixVoT/L sYaHOCQ2iKleCpslejQNJ6bat5jnYhNGSUAttQC1mYqXVxdcpxpTqNYsGnrEWUHwp4FJ4aqV79BU NkfURkorAehgVCWZOc+z0DbGaNTvnuc0SqWJUgoinr+JONjWy1Eoj1uocEfTE/xGj+1r7WxQ3S9n r4z2TeXtY3+VbZNC1kdXBHWUx8y9O80uGAHGLzPYK/hO18WjHr0tDjR+JxuBNXIdU2LCp2JtiX47 +6Z95G9t/6N7etlQRiG/eUyv5iEKWL8PU2T1Vo8Ny2sqfbwJV6y5zed3iRA+CJQYI1cv3mzSomfL QoV0R/8i/678Uj31aTt8tzoAkd7yXbKXrdb4Fc/9k+GSFctBLy4OhHUi92zadkZ27B2ZaHudPUSy LqRLzpaEf6Ej80Ply8DFJInCAVJo/3GxLqHfRcXA3oTyzeeAJEf4GplXBDcJpDYi04EdJt525a9k 3z/hew+ozl4W/+N84qIUV3JWDphWSPrzAJli/k9N2BvayDt3M873cpxowZDtdUYThQ+b/cotSjRv HUzVRlrHgRQhEtpWyZvoN+Nao7LR0jbisuEMig5vnVvJ8UDkXKXHCfDHSWpLDqOtHHQ/iDvzdfUi R+ftpt0VoWiU4lqgUpBEHEto9EdtXy7Vv4EvB9I3AuC4Fc2XoeH4ZNsWETe2Irh8bH668e7m5mmP gUjc3OxnICCMeCyJQwRbIAxfZ6HHBlawdSxYSu9sTWnKiC1JOebMMQPnwdYx8KL7yUT3K0lvLGkZ bjuqSRPMLIrOHb4/zPbZIGTElJSkvpras7Sgdh1Ie+Qp8MrGZIpGfccJ3G2tUh4OwdPcjj5xByjk 1l32Yt/L1/H5D398cXhQwytU8tvUvr1UN6AtAeTr6YWgAkv33BhicVQKtpy5eLuUoiM+AWofiIJV Y7ydKSU3x+lTKPM3DXsOGlPx3uet+cCCXQGf6Ncf6WCyCkOtBi3XAbmUYyrnKih85SeWGK4WeV97 m0xXU52EHE/a6wtXNoZBWLCeSpgi5eaAtejXs8ZMeA9VvHFxc1VTC0HBoN7fuyTyEl2O8vn+vmrO ixjaZAMgf6SjLBBp13sGV82vInPGC/xOLSNE5HaM9CvLDTKDo6MeOshm4FuMUZp/2b/B92n/Tu0O KGG6iMJmd+khGegWrxfBy6bj9qITebx3c8pB8pi+Ld09AYi0DxcZ7OkiVlPFLZTlOHL5IejAwE6L s0+T+QBJfSE69uKQH42canp3wQzbV0w9/RxRw/LG5o9xV8G5NBp/qU280uxfYyOeUB5jwrH06wiP 6ZWXD16uH6tvqrAQOnf8BETBG3VvY5KSnNQbPc82ZkuP7TciW1YS+iVi7NnprIsN1Y7UoI49nClN BuObMUSDlCkP13roJ/kUYtsncaLYsI5O7PDku4/Tcm7j1ruauBlN1Y6ihcAAfkpE/AbPJsPfOZO1 JEn+wU/aIQhApPhMOPOvCnwwkQd9e5+7AA/tEHeBeCRFl1N8SLHzyOOfrmgYbH7lmN+CD0gO2m4U EIwdNqCNLa082b5GcPRaSxMyNBbJO+dzWjLzH7nMYL7fxjzI2JuULbcyW1zhDSKNmSi3+1fJxO2S 0C6/saTsbW3oMLxIR5WSd4vJoHrMla6DAG4mfxeI/pnkKySFvtjb/ZoiOJTo80pd2Hh87lJyqBDc gr/fn8ebTBf8snksxjD/r7KfaHc6Noe39nEnqS2jEt5s8dVYADEQi3ZJsBiSusyKLx8Ca5xEo8Fs VIGdKSndheyin8lzRyI02gn+nFxdFb4vYDUwD8e/CzG/j+AycPxuv0MgnQKZyeHtAD9fJeLal/XN 1o82aEmBu+DFrFFFW5I9FHHcTH5gQMV8+5uLE3wtNBMkAc0f5u4K8lfN+a6EwNCiJJNzpHZNr04f 5siOgdC93QlJUgruIvtrukvy1tEXmFVHFmnIiW0067zp7cDkJ+kV8n1VY14bim+uRhhgd8QZw1hp PTfBconCg+yYaye4/NSIFk0KBnGfM5Imosz7HTJ1Ae1DHXktFmMJoN7nUV0YIqfU+cNs9JuxgxkZ 8GekY9USUd0Fn+xEusdUPCDznmp2oETw0/6eZZXhhpAnbO7CP19WWSlNmB4DKVEN5mSvePk62+pD YMwZlnre8JlOHlyptsAtnskjaZZp53rjzhn1AofmbGZiBOrkzPcVTOtcvVm9oODXZrMpUehcdR9l Vg/COg1z5AJWw+2UKLU91GarmI3uCMBt04ivnpjK8MhQfWe8X1GSUfxh5X7PMyvcOpRtVILp3Tpr 8fjAjwi8YXlB10XHiF4SzWjg4TG2FA+bcqR22Exag1NngU2fEdrwNPFxQ4+4h/ZqtDi4oHoBiMce 74VuASYlkc6uc+K9lTL+HLhQBef/XMnVpkJxOFo4wotvs+lDztPO6mnRki3Au64+bO6OdWpNeZFK uc6xHJuJ/c9ykXuGXPHdMrZiDk/i7qrj79PjT9EcYGafcsj74MZ+3TPD6GRAi0E7Gqsl60TpEWwe Z0zLz7eBtOTosH+eQ9K6ClLpzlw1gAt1dz4Yy7AjJfKl1rOhAkiLlcm5DB0G75uDnRG/ppz78m+q zw01AAqC2abL7ukVT7MKFp8qEQ7CLWE5E3Nhh9HPeH3HuM+Y05JWFWjX/ok6MiV9DH/HuI04U167 XbvZk2wNxC7D7KHsN3LfNotdKykG03cRNqkScyqQkXyd32UE58LxXLzH5LHGedfOSEZs5Z8KM/yh XgZMZmYpw3iEXhmvPtkwLKyQCdovRiRkXqwJ2jEQg65M+Sfwvmp12kCobVltApn442laaz+4yTss QFMPH7aB6zRpYWwh0YrdMrNlRLQAZ+cHmY85mZlHgp4BV9rPdZCimo6FeagNZ13uKjE4fbz7jieb /oWGqv5imXMgD0plwlMWva01WxzWdfShex8wtAdVZtk9B47MS1Xh+zfLKTRo2RlZH7/pTDmjVKO2 3crzXes6nMR4ttwVYs2Y1hXWoD0o1PNoSr+YLN9a9wpJ0INFBaVHhY+GbU4fJXxDe3ydi2w9rMnz 5reFJdmWxJH6HBVJVcFOOgxmHh2xm2rbX8rx4obLfMxNzDUXJniKNUFAyIwizmw/0vMzBvdTPgas bbu1keKSl6ndJF/x/p4yBNUo3SZeElYMU+Vcry98h+dbiX0DDItgxVEGrOPNecRXI4hgjzUpu78j QVhGwBmysFD9beH27ubO98gvxNtgTGFEZtlfgOzZd6ZIYUoMeJWmg6x5Rs4YUzrEIah5lAnaY5+i 5txSY9PdnKARrmlmgvzXNqb7EqRokg3B+ZAakmNV9LQfjFTjKUB29DhGhQ6oBKR/BG7Hp5Hg5ue7 lBCAc9gAk1qneV0/PJfPhJaTBvGd4j5RDTxVZb7ABVzAB7a+GF9Dbm+c5U0HCg4uA2z69SKfhaJy IP02mwiB25hfvtR0zfQtser5hHaD5ILBZ7betTurxvyUcZ65j8YDeuaK8oI4qK9uCU1Er794xD8c htBXMGT/fQoMvaoTrjnoTmZuByMJPbEYD42XPCZZf5M84JpJ0GaSIq+N8n1bQY1VH8ypQ+dM3Gz1 0AQPaD3owA7yxz8za+WzFmLOBwG8y/01WZSqCcFGqXSJeqV1iepVdmcv5OiTLLPf7ObUV95TGyKk mJDofdo/tZpKkS8vajnpcsww3NE4sCT2Fce2ioSOiSmee+9APRhlCEqv3B5kIXcxKk8ZvCj77OXN yqJ2J3KigOUIs7T6uBoWWS0UaV+in8JlPGascUK0swMiz6HTr0u89sEuX4DI5TJ3S3CmQrmpbLTv yeczJ+dnKzpn9JpM787xOqWHJ5DL1t8B/fnhLW7DSNB8xNBrufFISN3qjvSNFfBPUlCeliUuho5h vmQq3ZI2I/nsNTkIQkq4285v2e31q2XDU/W9mzdXFLL92IvLrMx44y7/MVWGPfs8SuZ043BEx+7z JuofAF+h2dYqReLTQySbcK6cNNnle4bCv6n9EI1bSnDqDZ6p9WMYbmF78t9YssQbDp1p523iH7LD XXs1dV5FDGDSKsPQe9iZ3Rk6mRIUkmAqd0fmqbHGTsD5NNWV8AIUOcMN4fkPmdL/e+vwJPl67gzi kgqCRLy9JPgQL/ZLC4PI3Fm5/QhpJ9SB5oyp2K2J+iOxuWuSoUEGdnUi+ot0qEhvrZStoygO1pbc CpgPxvJdHoTNTF2Hy8QLhqTEvEOClU1eVUpgRDu6virzeEhnujIxeCT31Le1FkEX4oHJTxMCUd6Q ItqZe2MMRS0Pu9imfDqfDHD7wIXukPIXG5myKGg96twbGi5SfbVD+3vaHAhLGaLLSQCSASSNQpmh 68fwBVCI2IpA5nt7/v4iWcdDCBRyW1koCCXRzgGm1SNuDClZiIGRknhJvYPFbcv2n9K4GXpw9fJm JXj4YwXv7IBxckPIn4ys3M4Mu/cZCMP/pR4uTLiaEk1ICifLYv+KWUyqe2UUd6W+EzWgzs+1zQgI N0xN6JEaMbxuKQ6sJQnS6ABIGSBIywd3XKwilalcK7iLb5ZdMeagPSh5ndV1GejolHZwMDXFz2sF lIUpKB0mCh1r9gMToEzmsl+MVD3vIeMF4LG6799vpW8euBMl26Xmi4w4V4KsWGWXXTfLNy5kmlM1 w9bPQiH774bK/qLbxHNBJXxJPKK2pJt6jKsWNh2I8FzYnzoCrS70FqVx96Z3B1mPp4JvSkhvov5j LpgCegNvsqdESlKcKsU/1xL3evCXjTjg12XH0zljhMOyGpAkp+PvEOIknslOE8q7hUpF8FsN5gfy ghQ539vTsy8TJVUdv30xv8ndHQUHhsqcozD2MpdffZ5PhlP8Z2AE/2vrRBZWwXMFD+7xNLQ1fMEq 63aXQbTsoG7EtSPzwWE0CfdLy/oJsh+I/6VQpcdOJDLcg3dP3G5BXIS/198czt7OR9G57o4araOw E8ilAQNi2t8KGiyyPQxbTGT60bW+a6pdJ8IEqJ8Nxt435Mil6nXPvJ2P8WaZdXVcrVzWVcJjMzyI gEcQKw4s8VSIO60UYNL9FLAZ4rEDcRgrmjpemAUZEo/EqpdGoj4WatITG5oOXiTdlYHO4gF9nIaL OgIOG+W/eevmoTCfXpd674+Cc+E1rL+whWlCGiwgX4m0SCLtyQe5ZxLjvbLNN7komRpvJEA16HjE XpAA87vDt3Qn6BmNQH9R9nk+HYNfz5oIiOgIcwnfcTGQkUBR7xY00CmnGEoaie8uOQ2v1D7XxNOP iSduSmdD1D84/I72gyJ569IqJ/ngJrkWT9kv3L0LiDgVxlDOwd6vMM/sbjdpg8RL6hBsYndjKc5N fKXogtYiNcJvqEZTOQnfsoku8cj0jU4Z+g10qx4jP5dnUDzfDwx1WC6LG2cL5CHqJUtS0hyHN2nR Do4RP1nytrMUIScEUx8ymIkf8Dc0QUU85ioRwQ5oOmUM+BzhZEk+Fa04DXHmk4FzAMYJaNz0uVWr izWxyFBFn3LOleEoj2ffNnoWWSNLZYzcf1pnVO4RSPujMTCefH8iK/fboTHDVJiLd8qkup7q1onM DfOf5VeVopMC+WDV/7iHCtarrq3Q7bjRJyWEZWM2/Ob+tHju8S0khQplCAsOZtnUQukRvhO2p8dD 5LhW24nniWXjotqlnb4wjczRQhEelWY1+D7vXN1q5lsygIrmxRlmRNEdoPp4d8+oi0PpkpkqICOD hNzPAEiabJRXrO1w6Y3fU4y3/AvImo8U7jziFSfYF8vBFEQdDg1S7kWl/QjP5T3QbvS0rVV5GhBn Law4487uZ5DaP4IYSt5EVXCpSVk/ncnDGtu7vLqMJ3pyihI79q/JqBeUhMHmbOYhsoG6I3ycBGGR o4WQhi+WknLA6UPWJFeeE0Oj+7Gi/eXFO3DeJXLUdeSelgGGff4JOX5A6GdoNyVWVL7J/+nOnlfx yLv0HtDa8kodWTVoW/gCXKnoqRcDVVPE6KsdEbcQztH9Qgn1Gr0tnaO6QFm9HsOzxXKHjHGEFtRA hIe8dmHyD5CW87t57sUWzkopazJPPmJViTpb6lbsR8HPpa/I6SuHq5eGHxImRkVWNZQWrWSDYRBL rEqoABfZpuIctlEXHhs5KUqw3GP9A8kTLi9iPuCmtoDMy/OT5rRMac+6csBjwj5E7s805jITswjn qB+HIpk0qUNHS9SozZPrW/DntkUr198/JMEEjsgqlk6yq/kzjT3BjIRefT4lYqQnrFyo2n3dHn7g 01WbF1D+td80CdjJbd4e5e7BjA1DQ3PwcOdmJ7XndmnB/LEoJUrQYF43uAt0hRSnwUE0DQZjHPlb jw0SiD2S949P3SBdnCVIbte8U7RzH04g5R75wc47LRR2sILzn1iQOttGVfVxZbB1C+kGhNrq65I8 T4ZE+k2D94jMA8viBY1QU2geEcsEThPvqZ2zXEf89qZneBqT5dzNSifWKFqLDhxqrU0wRUq8HZ1A 7mnweEAbSDX6z2uYi54+fsrvPB9T7/DODkzTVuXNp+KPy+6RTOlgcsLT79lliaaDoaEbaqZ8+Yu2 tdZN/ioGt3bvloUvp+yNgxycdXWdFLuy74erxnPUQbHUU2W13aZnF1rQnGU2y6MPNx7kbft4PUc1 Yk8byYAeKKrpkm2BnttHk55HAIhFf2f55FxV6XWJ5UQQA+PBGg7TYXgJbB2IkjnRhzDVad6hZiw1 l0x32SnUdsIlEEUJ5Npu5GVyvZeaj1DXIY80q0AnV1R7mWFO21ilrLUC4ow1YoO3XOpZI9+89yzm KgJsbUXZeaIg6jkxA6/9+fFTiHZyy311Csfd+gzC+X8VjcFoEQipgq42yf7Kg0W9y4FV3JY0YRvw 4PllaNT2l1nEdtwTmeEjnBz9dTSeuTWi1+UBAUQQ+TBHho8eYgVaMXKJYHl9s1nbf9jCxLOojU6J 2Mkk4HjyVSU3scZohpKZs/RLGb/+DwoJcwbi53wQVPqI8BXSsKpUcc6vT6RLMNsYTLsqy1T3sJT3 rvob6YZy9uzK4T1dGIZrxFoO7TpLluMp8ZOiMPyhJzTD0vk4ZR/c0AIzs2L774dIitQKzEvf7yhN bgdjrXnqwN/2H3vFXKevM6qn2Xha1T8buWUkuGJryLyGiJhZVk14EtZC6TGCGLZ4Kl64ZAR6+2EV MS8hmAkc0ozs2lU4SffmAMqEvwu4M1glqDvWWNCTxopKe0RpzxhE+B47COrlWIu7Mn/lQfab4OSm PmZcnOcW0DtUwXc1XOyX1BVXFOWkGw+MUr0CFJbRjkmOs0hV6f4Ty7R02gFG0VpTNyGDFJJqoSTn AnlalsxtC7VKbtZc2AhiIfBDwmYRd9OWtg9zOttJ+beFJKLOWgQmzdYTGyl+dDR/2cBZN2+lKOft ctThp0yFSpFyQczSzwkvjPLQxGwx4JQSEgi621f7ncvgXy7ygAkrFVvY26WdQ7mb/ugvoE21Wmdu iL99Y4slqI1Bco2o3kaHVQN1aTwacbdLr3ZssDPLO5r5NuoYA1JbINAsylLYqXLxZpt405I7RfKR yI7XpeNTdsj3gCSguGwnPd11PoL+q2yxMK1z3HfReTU4d0aMcZ8qz1VOIyQnW/JEzNzou/r8kJlX aqCyQ7awvcxS5oXSfJzCumacqqOSER8ff1CPrDmakNuPQJABjFwPVpClgMv4IxITvxxChdDrKrGX /m8SpKE1zxlZKVGkk9kdRlVnbwjCHmBgP82B9I+RhmQBzFM6FGM9CSmOZ12Uxt+u8ZsywnymX8oj HOJ5zzRiqDsW51hKwTS4B2IZKV7BSEP3GqmqXaLA5NUaf7DDJ6a49K1Py5kcUa/+eR3vIY7Q2fWg vR56WCSUnxK243BJ9B3P02YKZK8uzAjPx6NqM9XrV2O+mx+tcVnGBkgqBI19aobooKWJYT0KQdko /5ZK1vmjrnHLb/FwWe+pduQDKJFZMVtXUWlVW3hDu0oe/pEbepPKnh/319Xp/3byg8yEqYcOf+0D f7Qv1u0tHa5oG7nzk/wOfMHMgrmb/PZYIvsAYzN1QMJnW+jpkSu/Wlgbj8M+qdqrXQoprkT514F0 cp3howbognf53wG/OTcqWUJM5ZGnRWlrdJ8PIIsotUml0jyaWgVBexK3dXSWQ0xZH0y4FKjxtF9a k3CX6D1mjL8eNWHst/V6ThKwHV3ebCTAxWgHS3YXu1w096gntDZRUra7pqc61DLGCNDdiolIirtf t4QxAIah2dTt7k+AsRfuBx8nPRGuUQKIkT8YJyQ75wqtzO0VCQ4en7yV0zH+lcdjycLeIEtmwwzo 9SahCBqXNLBXXdZLXkPDl6GpRGkGXigmdGB4G3G14UsfexLOcB8XhwvZayMR7uYFpMhvNDCDf2Be epYiwZLfiJZPvaxXVmnkFNMnKFTcB1vTQ/QOMccppu1TW1C5PQyADHvtrq+LGlsRWDU4S0X+pLul HLAkrFdUCrJD+IbOZ3Ftk2ABLTAbZqNjmqeVjRqRdGBxkOyE9sO8rMy7+ql9CbA/Z0fUI2rQ0Msl K2E6rz2R36JyLbiD3P/4TyOvAoiMSHEDRsKDa5I5OVL2jmEWl/o2GrKRAukJcGJIdeiIHpzXH57k PM7TAMhFXDwFtAuHuqy9KnejIXlhds7ZDaspLo2uVA85vvm9fds1oNgX2hyNtL81a7HVYGcU4ykj g9Y1BcTdJzn8cFAGi+xAL+pDk2mn+Odbk+0pq55wFW6eZSMs7eyLJEIy++Pxd+IJVVPbBYRKVkXj whpLo4PLbAnNCSd+Y7EkfZRU4zCZ1Z3h9bAjmtdi3fDiJlx1IUYCDcTyGFvXnj0RjMZLKIiYNBZ7 3RFVWY4HWp0ykat9cPvfXQvTRItv4dVt41YdWLxcmYRtf8l0eCzXYXk5QTFigmBPOfBmQnxpgb9J 2IgcV1vcbRPiq8zLEq8ivBDCog6vZNeysPGrGmUgyxqH6nf0G8matPJDvIDkWGr1E8BhENlXXaJy N47txnqYIoiND46mbDO5P5Rs99tttkkxpMbLQylX+YkFgbvwQ0j5G61gqJmuNss+v1uW0boZhH3P ha5Qi7FoxReMkWPxbb+euxX9Qt022CvgIO0oFQ7GjRPhowfl18ZD0NbD9JDvMpZKALV8/xqVRv2M +1kLAyQS9DwsH5mb6fCadCRYhLKWqhTfOTfbE9d1b4/wBojJIsAz1rSQM4dJxNA7PHPo+Fy9/kyl AVhx54stPhbDdpCxstG/f7xpu9KHxxKWcP2xmgOF/Zz+//lecrJDELZCc74l0iJtokQVdHVcdxRN 1WG4TsO8/b4jJ6vWZC0xtwHklnOFiroEvA5Nr9GNPKuKIE/SdIwfLg175JZl2+Oi3lK+M9IIOCh7 M+ktv6ZigYbzxoMf6EmIiQc226n41SD68/SVbmeMMnHX5VpMPRQschJcxkT+VQUXxUVXVjP5DHwq JqPPYiJQkJiENFDoggvo0etEOTxIWddTKL0lvIG+V7Ge8EspEmd7n0QlIn0Q8UU5hMeIRyS4fM6v 7BClmO4YOHVvtZgGvMIjuZEVzt7dhpQDfb2ni0NP7ixw5lC9K2tUaKJ8gvHnldgVredqD02YINbx CaAQ91oyiKXUcHLDlOZv1FAThDmzgKep8TQLXWmkJx5VA52ut8gHrcMa/JkajlTEJlrR9lhb3+eq BOfmI0cLIgjtx2/bgRC2SITw+G8jkBoIM6tQkiCcS5pDhQMPmYVd+KGoPRosyBW1tUWvacX2Oon/ U3ljzZjoo6ZLGMLAQQEMx9mUWKDwL8wb4hXz83zSXIrv3tV/ujAPQCarHuLhIKs41vvGsRlbCGW5 TSRw/wk45sA9HWtj53jmy2BZdYoKGQF18XIMaf1jFeg8n5hr7zMisxA4iauMI+f2GoS+oTvLenBX kJXNuObAqx4DrXJvkioK/3bi/qFbI/EmY0sFHmPCvL1ReYF1GPMhWZV6ymAbpDEz8glyMEDmMBUf f4HSFz6PxiqCACAa3VBN6uwBhY7KsglVuBKcaGd67a+/ZMP+u2TKtGH3Yb99V2CZ5fB7l4CnQwqi YNffqC2MKi2p0GYXHNchqNPepC1ygbnIoKd0ZIjP6XmjkggzfM8nYuoVlBr7fb3Hs4yVzEgazzdQ HL6SEepuoO+tu8S0LRSFYzd7MlFh0aFzrHv4KaQCcxKZREPNCUyzybPHq26qBwrg1BvVR9dTY/NE WyT51jLifHp/uXHKyVwX32hu+7hQHGTDO9DLWeZTZ4H+0dgqM0EC11h9MsHVmK8pTPEa1RfLnz+l BJOj1E6KiiQeoKeWH7UL9yN7oIcW+wYANWyyDE/pTCzqjiENdhco77ide/wzcRQBddp1+1GJkZLb eF5sIGKU13f3wcf3MGGtnzDznxRK7mM80Bc54aZElBrBEHr0B7S+mhiuw5NpK+VHn4uwZ8eVdayy EtQbV78BCQSpylskz78k7pKs1v8u0tamFs1R10ol2oiRSGJ/7QcNiVRVI6KhjW+KCW9MdH5/7GAp QHEt2GZHVhvrTSS16stwFY61TupoXNq1dZwDA42MApQcTAEtpqIoIMHI2RVav/LcggDCr9bQd+03 sXOYNZy7OLxL6qUg38FeC/1lP4L+bOT9mZqWp4WGnBvWAfPjZHo2lkNyAHWUEreXvjvziGLDzAUg rLkMQUzobPuBuKkrxZpewFVHlHc1BRCc/pCYA21JePJDzT4ydDfKeqC4slxq72i0yHMB7Sp9yYQa fsq7ryDkkhpmmxL4r8nmXfCMg2pLxK5qBeaFy6PuwdYkQBO14aPlDMjB35ls+IELYm+G1t/wc9oh /+vSIs9QQTCehFEVvIpgcDLzJ/AUNnMmEw2EB3AFb5tjV+jfSSB+rEi8QpnO4L55/sBnWlMIN5JK KDrCOaE2FJGLromfJAvD9NvupSQ7h25HM4ACgeGqPhjVKldhJqeyGGX4ItI5A87lIVEWwICSP7H+ 6g4dLXFYqO4PqFIBBnWnS/EohA21t0UECIWCQ8RyRdZHFb3Ec13xNAMUBYVcLl6q9cUElvzHUdPh iFv36u293bkG01Nmy5bpnOR06WrLkd1uKK/N1hDxpDBxHVxdWW24KJYhwUSF25IRfHWzypeCIbh9 uKilKxNn7+WRDj1b7kM6nzKv+3Y5XGGDKDumPvx8jmNQd7Hy5Va73PBA5NtB/uJXVexF/3/LQW6X ORFL6jTlcBr4F4Wlzggxq7b3tVcRbYit0P663UIUQelE/4UlT3zJ99KTxelkNTuJBZ6h2qx2zNvh 6G862eA0Uq1zxrH9D9gPQc0yUIHOMoF653AzYMG+WcMDBvr7wNbZadOpN9iRDq5qRmp4CtkVArEy /Bhd145IDGnbBoWYXUbI+YG59LLH82nFLZrzC2FIFMvmfS7VMkbFj7PeWtvzcWhmmublOLgX3e9Y 6zKJS/Q+StidMbei9KZktLAqH0AeDpMpeHKK+lXuf/m8MF2t3cD0raK+J+sKbbC6qmQM6mls/AvT xodVOFBHgQDa2y/nyqnwCZpd8fSwb7HjNMLoL3XZL6ZVFV98DymgJKnwVL/P88tw6lGACqiENTNh EQkQgnWT4cXg4WDKwE5yAcZN0jbYgbUnsnCKEUxKfPWMJZFt65b3UhMpwOKWXNt3RmUNFZZWSIB3 WciWFswr4hKng4cb78vkkE9mt7omWKg+qzy2GtimvDcl6atqhmQbMKoY4DsHhwGmGacrEpYHgW3/ C+v+NitqbVx1ttuvtCiBOiQJuGSiM9W9B2zZIhWBRG2GLVblnhia/aYEQOk98yJ4eKKEnyoABhdX Ldbjh9z1j4bEUUwPUn2pd4exsYOLEKqLXrTXKDb9kym42+QJGUyHhx4mFeLBOBuOvWk8yMb1AwFH JFFYIOIR4mlMhWxqDG6gtxo3Bv/ZVNX9IJdL5N8N9i1bvgfD2GtgrpCgfiRUvasTNQyvrtYxXj/Q m159Mh5WifPnsF1yR4WfBFKYIh4PiLZU5qFSXFUqUxAgam/KUbuC7/wdxmWRlpyv53WKyDY5yMIE 2zdSymez2BkUWFO0pdmBE3Bqh54wp1NEO9iKrGg86xVI3iNoba+XRnYv+Mmq96jG4MDrkwf9FV9r pAwhFACApnDgF18Z5+tsoR5FOQNlxOFjIMC29EzuFN9Uwf5a3Plei8PRxnQuinBn/xVIZRTvFuo7 DEohRBRx5VSNpn9RqlkOFxyTcKBG18rpVAsIfHootUxAWM1nT9yEqzxawmpkyCmeSirJLXdopz2n IVa6nKkcFhCU0gDZtJtFDPuX8ySXOPYgBheSwO3PGsQlOwV4aM4r17nUmqUrTlY8NlBtAS7D+nku GQYB4adZDRrBX2+i7hiBJNMjt4/zIobAkOGaXCRaeR4wiQ2jYp7WhjMCqa15Ff+Mpkw5aPvIT3Ex GBpM2k802SlOOBjG8ViU5NZgnR0XDedU5U0mJmf6U5XP4vQldHKtnYdY+YHUHc4JIvLZvNDayo1G vvAHdfjssnr4UpnKHMErYpXqohhwxV0xhz/CIXPCZyz+kRUok0YkuQvTxA0sSuyQoJf51lN6t4jW Hr3MZA+5X0WSWRZu+cyoUV9/vd5be+cwoFJSrgWo0zapmK54nEyUP7DD7B68XyAlWB3DrLAMLF2T Zroxki8MsiBU+ecnYnwCiwD0ZaYKYrfIdVgZJP7yZViyoGTZfZ2VCgZFnuMiSPK7PUs+VPVxVPIy B6GiV7n2JpjcmTjUsrJEJ7cZuNG01Lz1fuIRDQb+beRm6i487+bEIYKMsFj5cvM9o+zqxqv7G15x CdvFrsnnVK4JFFnOaVvBN2koNyah6/yLVL9wFdsp1JvmIEEhsU5YLxqUNbcpFiNSELe5TuPdxsdW klnyI6l2zLE8dUSgOJcUORdCTjJvgYAfoYRLvIZw6ZgPB7XTkEtJQ54fgXwkAIWfCu4B6ZwEm04n UMdNu1ZcYvxmDLujC+uaKpX+SJWO3B5rc71+98aMevdwnLWG42cCPI+f6Qwprc2avfxifpbQefLe Xm5R5gfzqMYnNL07FhtleqCSg7GBJTrC8t4QUgi6eRZzx1n9iX3srjoejcZ8O3LGpxEB4KOSOrGr Okpu76b96KHfkZXhOFGmbvf5wpeIt7XSm/BfprZpdbvKkTDeBA1Jc4lE6QjruabZY6ZA25nX7JQF 8EwUOUmzhJtwak7htM7d35NfYBWpI63jKWkIddBX3xj3XNEfysqrHni0ELrE/azMdo6nksk6TTyH vWiV0+2rCRUmqHbDEYJdYmMrj+gW0b+3x5QNtJN1IojQSKs0h5jVXOxxH/fKvGve2Q3IXM4HTeaC evgZoxkSxrJ+vyaNGEIQjye2oQ+SWaPzbskY6m1+zXxykHihJn+Mrf0ri7yh49lAHcrB3jcU7Ga+ AFpyUs6rZhuUm3kpjtUIRELZXlDI6FKXgJ2tZ9Wr/DsoOjVx2AX/ehWlGo4KijNIQ0oy7P9savIP UzozFg+58dy3BkELEqus+8eYfXz7A0OAxCic2hcrVlg6zPwosW9sQo+30emdtuaPGfqhUOjHE9nQ NUlm3Q9gwgqP0rAm5bjzvzKvLwa0zvSU28RUKAjq9LInvpxeDed2r2QCpOf0kQH1gEEpC2/4oJhV BzyvB1ZsBsd+mKu2K0s37FprDJfZIiwOAAzbVySM+rLeSoeQrsOiXw7zresRkcgWIS/uVzTSidRW Q0Y3bLJ8s4Qmu9gVD2mLHm5JEfIMAJua2Fk5x7RwyO8NaTKtGyJC0GItTq6VDiGnP8mtNtmsoTSk 5GWe/+dgQ4Q2hYY+mn/hDTihSxXRo/0HJH9ueF591j3bW5GSLFeYhbaIptcx/p5GjjZC/49pY3kf /XiA/tvpP35CeJXqSfvTipIT6+8G9dpBHP3Qi1763AD6N0/1XhaO8YMF6067AB9UcwFSAS/6UEXS Amroc/v9/+yCrvDp3epMSCRl8rfZqAI9VTuaxd83mz6rzwwCBSRRJB2T0riBIENt1P7UX2CQpZ7m yjexm6Y811AtzI/xMHkUkCfmrftT7pKCGrHR+qR5vMkRb4SRfjVafDK4R0DZnpQ03T+uX12IQYTR DN0RaAVXQMuE1MS1rCeluu4N+Nei0W8bMPlQ6KumfagrXzfxRMgAvKgCDtOOh7pPgaFJvZwuyP0h /0gjSPWqMYGwIRV9B6fqeEjWItRMK7BTgC1zVIq48Jhnm7EBjnvYB7oiJQUAvN9mULSGeZRpuYuc ipTNwdPnp0qA8Sc+UDMUCMIKnNlzvBaXWoCnxLmp5k8LSgIugYwRMzrf8w2FNwpx7C6+wokHvhfu WN/P2U8o56QhRl0UrqJj0Mo2F4haOU36qfFXN/OZo3D7iV6G0rwrEHiKRT72C1iWK8VEjg== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/counter.vhd
15
9030
------------------------------------------------------------------------------- -- Counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter.vhd -- -- Description: Implements a parameterizable N-bit counter -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- 1 - LUT per bit plus 3 LUTS for extra features -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter.vhd -- counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- KC 2002-01-23 First Version -- LCW 2004-10-08 Updated for NCSim -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library Unisim; use Unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity Counter is generic( C_NUM_BITS : Integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity Counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of Counter is signal alu_cy : std_logic_vector(C_NUM_BITS downto 0); signal iCount_Out : std_logic_vector(C_NUM_BITS - 1 downto 0); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(0) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for I in 0 to (C_NUM_BITS - 1) generate begin Counter_Bit_I : entity proc_common_v4_0.counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(I), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(I), -- [out] Carry_Out => alu_cy(I+1) -- [out] ); end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(C_NUM_BITS) xor Count_Down; CARRY_OUT_I: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture imp;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/counter.vhd
15
9030
------------------------------------------------------------------------------- -- Counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter.vhd -- -- Description: Implements a parameterizable N-bit counter -- Up/Down Counter -- Count Enable -- Parallel Load -- Synchronous Reset -- 1 - LUT per bit plus 3 LUTS for extra features -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter.vhd -- counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- KC 2002-01-23 First Version -- LCW 2004-10-08 Updated for NCSim -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library Unisim; use Unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity Counter is generic( C_NUM_BITS : Integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0); Carry_Out : out std_logic ); end entity Counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of Counter is signal alu_cy : std_logic_vector(C_NUM_BITS downto 0); signal iCount_Out : std_logic_vector(C_NUM_BITS - 1 downto 0); signal count_clock_en : std_logic; signal carry_active_high : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- alu_cy(0) <= (Count_Down and Count_Load) or (not Count_Down and not Count_load); count_clock_en <= Count_Enable or Count_Load; I_ADDSUB_GEN : for I in 0 to (C_NUM_BITS - 1) generate begin Counter_Bit_I : entity proc_common_v4_0.counter_bit port map ( Clk => Clk, -- [in] Rst => Rst, -- [in] Count_In => iCount_Out(i), -- [in] Load_In => Load_In(i), -- [in] Count_Load => Count_Load, -- [in] Count_Down => Count_Down, -- [in] Carry_In => alu_cy(I), -- [in] Clock_Enable => count_clock_en, -- [in] Result => iCount_Out(I), -- [out] Carry_Out => alu_cy(I+1) -- [out] ); end generate I_ADDSUB_GEN; carry_active_high <= alu_cy(C_NUM_BITS) xor Count_Down; CARRY_OUT_I: FDRE port map ( Q => Carry_Out, -- [out] C => Clk, -- [in] CE => count_clock_en, -- [in] D => carry_active_high, -- [in] R => Rst -- [in] ); Count_Out <= iCount_Out; end architecture imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/srl16_fifo.vhd
15
13201
------------------------------------------------------------------------------- -- $Id: srl16_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl16_fifo.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl16_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl16_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 First Version adapted from Goran B. srl_fifo.vhd -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_adder; use proc_common_v4_0.pf_counter_top; use proc_common_v4_0.pf_occ_counter_top; library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity srl16_fifo is generic ( C_FIFO_WIDTH : integer range 1 to 128 := 8; -- Width of FIFO Data Bus C_FIFO_DEPTH_LOG2X : integer range 2 to 4 := 4; -- Depth of FIFO in address bit width -- ie 4 = 16 locations deep -- 3 = 8 locations deep -- 2 = 4 ocations deep C_INCLUDE_VACANCY : Boolean := true -- Command to include vacancy calculation ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; Wr_Req : in std_logic; Wr_Data : in std_logic_vector(0 to C_FIFO_WIDTH-1); Rd_Req : in std_logic; Rd_Data : out std_logic_vector(0 to C_FIFO_WIDTH-1); Full : out std_logic; Almostfull : Out std_logic; Empty : Out std_logic; Almostempty : Out std_logic; Occupancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Vacancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X) ); end entity srl16_fifo; ------------------------------------------------------------------------------- architecture implementation of srl16_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Signal sig_occupancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_occ_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_addr_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); Signal sig_logic_low : std_logic; signal sig_almost_full : std_logic; signal sig_full : std_logic; signal sig_almost_empty : std_logic; signal sig_empty : std_logic; signal sig_valid_write : std_logic; signal sig_inc_addr : std_logic; signal sig_dec_addr : std_logic; signal sig_valid_read : std_logic; signal sig_addr : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); signal sig_srl_addr : std_logic_vector(0 to 3); signal sig_addr_is_nonzero : std_logic; signal sig_addr_is_zero : std_logic; begin -- architecture implementation -- Misc I/O Full <= sig_full; Almostfull <= sig_almost_full; Empty <= sig_empty; Almostempty <= sig_almost_empty; Occupancy <= sig_occupancy; ---------------------------------------------------------------------------- -- Occupancy Counter Function ---------------------------------------------------------------------------- sig_occ_load_value <= (others => '0'); sig_logic_low <= '0'; I_OCCUPANCY_CNTR : entity proc_common_v4_0.pf_occ_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X+1 ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_occ_load_value, Count_Down => sig_valid_read, Count_Up => sig_valid_write, By_2 => sig_logic_low, Count_Out => sig_occupancy, almost_full => sig_almost_full, full => sig_full, almost_empty => sig_almost_empty, empty => sig_empty ); ---------------------------------------------------------------------------- -- Address Counter Function ---------------------------------------------------------------------------- sig_addr_load_value <= (others => '0'); sig_addr_is_nonzero <= (sig_srl_addr(0) or sig_srl_addr(1) or sig_srl_addr(2) or sig_srl_addr(3)); sig_addr_is_zero <= not(sig_addr_is_nonzero); sig_valid_write <= Wr_Req and not(sig_full); sig_valid_read <= Rd_Req and not(sig_empty); sig_inc_addr <= (sig_valid_write and not(sig_empty)) and not(sig_valid_read and sig_addr_is_zero); sig_dec_addr <= sig_valid_read and sig_addr_is_nonzero; I_ADDR_CNTR : entity proc_common_v4_0.pf_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_addr_load_value, Count_Down => sig_dec_addr, Count_Up => sig_inc_addr, Count_Out => sig_addr ); ASSIGN_ADDRESS : process(sig_addr) Begin sig_srl_addr <= (others => '0'); -- assign default values for i in 0 to C_FIFO_DEPTH_LOG2X-1 loop sig_srl_addr((4-C_FIFO_DEPTH_LOG2X)+i) <= sig_addr(i); end loop; end process ASSIGN_ADDRESS; ---------------------------------------------------------------------------- -- SRL memory function ---------------------------------------------------------------------------- FIFO_RAM : for i in 0 to C_FIFO_WIDTH-1 generate I_SRL16E : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => sig_valid_write, D => Wr_Data(i), Clk => Bus_clk, A0 => sig_srl_addr(3), A1 => sig_srl_addr(2), A2 => sig_srl_addr(1), A3 => sig_srl_addr(0), Q => Rd_Data(i) ); end generate FIFO_RAM; INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate Constant REGISTER_VACANCY : boolean := false; Constant OCC_CNTR_WIDTH : integer := C_FIFO_DEPTH_LOG2X+1; Constant MAX_OCCUPANCY : integer := 2**C_FIFO_DEPTH_LOG2X; Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); begin Vacancy <= int_vacancy; -- set to zeroes for now. slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH); I_VAC_CALC : entity proc_common_v4_0.pf_adder generic map( C_REGISTERED_RESULT => REGISTER_VACANCY, C_COUNT_WIDTH => OCC_CNTR_WIDTH ) port map ( Clk => Bus_Clk, Rst => Bus_rst, Ain => slv_max_vacancy, Bin => sig_occupancy, Add_sub_n => '0', -- always subtract result_out => int_vacancy ); end generate; -- INCLUDE_VACANCY OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate Signal int_vacancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); begin int_vacancy <= (others => '0'); Vacancy <= int_vacancy; -- set to zeroes for now. end generate; -- INCLUDE_VACANCY end architecture implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/srl16_fifo.vhd
15
13201
------------------------------------------------------------------------------- -- $Id: srl16_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl16_fifo.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl16_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl16_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 First Version adapted from Goran B. srl_fifo.vhd -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_adder; use proc_common_v4_0.pf_counter_top; use proc_common_v4_0.pf_occ_counter_top; library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity srl16_fifo is generic ( C_FIFO_WIDTH : integer range 1 to 128 := 8; -- Width of FIFO Data Bus C_FIFO_DEPTH_LOG2X : integer range 2 to 4 := 4; -- Depth of FIFO in address bit width -- ie 4 = 16 locations deep -- 3 = 8 locations deep -- 2 = 4 ocations deep C_INCLUDE_VACANCY : Boolean := true -- Command to include vacancy calculation ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; Wr_Req : in std_logic; Wr_Data : in std_logic_vector(0 to C_FIFO_WIDTH-1); Rd_Req : in std_logic; Rd_Data : out std_logic_vector(0 to C_FIFO_WIDTH-1); Full : out std_logic; Almostfull : Out std_logic; Empty : Out std_logic; Almostempty : Out std_logic; Occupancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Vacancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X) ); end entity srl16_fifo; ------------------------------------------------------------------------------- architecture implementation of srl16_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Signal sig_occupancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_occ_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_addr_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); Signal sig_logic_low : std_logic; signal sig_almost_full : std_logic; signal sig_full : std_logic; signal sig_almost_empty : std_logic; signal sig_empty : std_logic; signal sig_valid_write : std_logic; signal sig_inc_addr : std_logic; signal sig_dec_addr : std_logic; signal sig_valid_read : std_logic; signal sig_addr : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); signal sig_srl_addr : std_logic_vector(0 to 3); signal sig_addr_is_nonzero : std_logic; signal sig_addr_is_zero : std_logic; begin -- architecture implementation -- Misc I/O Full <= sig_full; Almostfull <= sig_almost_full; Empty <= sig_empty; Almostempty <= sig_almost_empty; Occupancy <= sig_occupancy; ---------------------------------------------------------------------------- -- Occupancy Counter Function ---------------------------------------------------------------------------- sig_occ_load_value <= (others => '0'); sig_logic_low <= '0'; I_OCCUPANCY_CNTR : entity proc_common_v4_0.pf_occ_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X+1 ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_occ_load_value, Count_Down => sig_valid_read, Count_Up => sig_valid_write, By_2 => sig_logic_low, Count_Out => sig_occupancy, almost_full => sig_almost_full, full => sig_full, almost_empty => sig_almost_empty, empty => sig_empty ); ---------------------------------------------------------------------------- -- Address Counter Function ---------------------------------------------------------------------------- sig_addr_load_value <= (others => '0'); sig_addr_is_nonzero <= (sig_srl_addr(0) or sig_srl_addr(1) or sig_srl_addr(2) or sig_srl_addr(3)); sig_addr_is_zero <= not(sig_addr_is_nonzero); sig_valid_write <= Wr_Req and not(sig_full); sig_valid_read <= Rd_Req and not(sig_empty); sig_inc_addr <= (sig_valid_write and not(sig_empty)) and not(sig_valid_read and sig_addr_is_zero); sig_dec_addr <= sig_valid_read and sig_addr_is_nonzero; I_ADDR_CNTR : entity proc_common_v4_0.pf_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_addr_load_value, Count_Down => sig_dec_addr, Count_Up => sig_inc_addr, Count_Out => sig_addr ); ASSIGN_ADDRESS : process(sig_addr) Begin sig_srl_addr <= (others => '0'); -- assign default values for i in 0 to C_FIFO_DEPTH_LOG2X-1 loop sig_srl_addr((4-C_FIFO_DEPTH_LOG2X)+i) <= sig_addr(i); end loop; end process ASSIGN_ADDRESS; ---------------------------------------------------------------------------- -- SRL memory function ---------------------------------------------------------------------------- FIFO_RAM : for i in 0 to C_FIFO_WIDTH-1 generate I_SRL16E : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => sig_valid_write, D => Wr_Data(i), Clk => Bus_clk, A0 => sig_srl_addr(3), A1 => sig_srl_addr(2), A2 => sig_srl_addr(1), A3 => sig_srl_addr(0), Q => Rd_Data(i) ); end generate FIFO_RAM; INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate Constant REGISTER_VACANCY : boolean := false; Constant OCC_CNTR_WIDTH : integer := C_FIFO_DEPTH_LOG2X+1; Constant MAX_OCCUPANCY : integer := 2**C_FIFO_DEPTH_LOG2X; Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); begin Vacancy <= int_vacancy; -- set to zeroes for now. slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH); I_VAC_CALC : entity proc_common_v4_0.pf_adder generic map( C_REGISTERED_RESULT => REGISTER_VACANCY, C_COUNT_WIDTH => OCC_CNTR_WIDTH ) port map ( Clk => Bus_Clk, Rst => Bus_rst, Ain => slv_max_vacancy, Bin => sig_occupancy, Add_sub_n => '0', -- always subtract result_out => int_vacancy ); end generate; -- INCLUDE_VACANCY OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate Signal int_vacancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); begin int_vacancy <= (others => '0'); Vacancy <= int_vacancy; -- set to zeroes for now. end generate; -- INCLUDE_VACANCY end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/clk_x_pntrs.vhd
19
35009
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VHfaMJ2jDU0R2eAkOntfC5B4/6MobpZ0NSnc7trviKzQU5KHakm896MNUQ/U/XUDUOQl1Ix9hEug uFcdFGHOlA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jZ28dq+cqatvP/oWT0j+kbhevax+rcvgcOVET6FHORIxsClPAe5EiSXk6mDgtoieHOJgnr3iO4zI pViSw9QXhHwC7nkjQzCL5GNnIAYREubhi50JKwxrsTofbyKzT/U5b+jDP0girnK+nPIjwrQv3vvD PHropUlOeQU1eg5rEJo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wyTaR+5BBK3PMm+GuCvq0Bco7y5f/oiFqNMyoEJ+yA7qA21Rc24sV0Xv3v9W4doHSIdeeP0oUNh7 9I5Dbu7bsdY24p4a6rVQlpW5VOJjg7abnoTszev3jaBtBOpAM+FQDIkOj6hl9ZK+eUTOGH08ap1P 3rtu9S06fVXB15p5GUL4qJ+pbX9as7bXZJVw8JMDVFn1WsdJ/zMn5PNvL5qC5jZb/F7Sf9m7DkwY x8I3vpZz7RsD6/RmMhT4lv1FkcH4MpJegB1J0hL5KoGG72FOKCqONCLsZdmnqz5BmJzgYmphlYZC jJckdSX4yOLEg+jbosSObzMclIjrm9gORAOhKg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qekQcsRlt2+SE3/eW9XQwKmx/wWWvcG3c3jSLvuGiy4GIetXM6PaXqKAuGTMI8b+mux4A6dEdodI mIX5ojnf5ZA1jyISA9q0jKtn/LDbiV/JtKzm0pK23fPqh9/IUaTz+oirXN82WQzZFKQ5TKpwrFn6 ZmImSJcOKVgUcM/iG2U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tlEZl/v6lEdJp5aVMLYyANJmLh8DNrpNnDhyEkIUHbeTfiozIDqQ3eefGpJHd1yUjxDr+M7d69UI c7u5loKJo9CP6qAEjMhB9NE50dWkO/cRVvdlBQSlpGD8Asrd28oTNAHTTge+6t1TRCmYfvMKOt+b zBqmGPTyIDG3LI8DiLXNfUjWjl16n5IRikeD/e8FsFJjAF/a0Kjal/N8CzCmRiQPdsZhdMiruSdi vpIRkNPRNpCK4J6asTfuTemt2JkEkG10IvEYhZ/qTCco9PECc5G9y0loOf9owc6R54o3iALi9D4Q T0iTW1tROVF1jLbRTIe753z7r02QD4PyC+02yQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24176) `protect data_block 9uPgVvwI6RrrfFMtIq/SVSsHOE+nm05nS7PyyAHLch2CLIurm+XjDM/qD4/zNTuwYK+vMixdEPy9 r6/YroN3BA8QaoG9xTEyNkMBeQynuYgfxwipFH4GZw2nR1EKSom5L+ZL1RSiUI12KGEs5YirgWE3 9unGUEq6jhaSGvTxTbGU2a4XxC3p2eZhZCMDGApV13D8q7BlMfknZHAOFPUmU92u5tfQfCGsM/Ko YY4B7hw3J2My/0fZUn1wBNTzrNTlXaG+OP5V+QK6e3Hkzui3G/FzaaSxfRxo88+th3SkWFi1RQ9R +DfaT7N3GiKxZBbsLBBu+H+Aa08HKsSp1Wda5ILpT0RKHW1xFxPZDW7vLjahFKBqquyfRnPsvnU0 0rOyZVpI+4hVzpjjXgAVayFZEo1wSQyKbjN39s1nhs4l4i4rhLMshlhBQzIakbV19OWRohyQvpNT 1RJTqNO09c7QKQP0vqTKwNtA0dXeRy+2lXl5t0Rozc3t1L/RIEchUC1Bd4zaVkcfEKBFP9HV8y8V X40AsHn1y7mqsSZGioltL+LBe9XHm8dhSO8a933sSlUwELFU2HfvvmjXYLIt/ovj/g+ixn+TDUm6 XZco9O6hXO/UEJkm8vwIIiYpgioXgNsBX5pfvhE642i2A4ACWtQacGlbYZf0kO7AYGTrX4D9B0xS cebusFkSWFvpIUDEmF5fHj29Xtyqv4PF0dCcDaSrzR+iA5yaK+TbN0n48e5EUthf2kxRjWzw0BSj kx7W0pvMPMJ1E0A9Gouw2WWvElH39cEdtjWi30sjfnT3IZm5geQwbRYxZvbDWGM6lgfcdZlOdmdx KVIxmnWgFrbqSVIuRhmLmGskNTEHv8QZrdaSQHG9zcPBgnQxNjiT62+mxr13mXbYww9+/NOLnA/w ysU0VEo3gDRrLY8NDxoclm/WWLqflzmeUesKgdu2VXNg7hrm6j5Dm0HfMjI7baSZuZm2ckUVbIG3 BLCCsC1XNsea7UwxBYbI+kd1NIDhhjJiA3TeOCtuYBnwzhsETPZGGPMR/32dOMPUL9mRnxP8+ooM TNQKirBNwZN02C58lQhr5EVDFqwaB/lrwhKzH8vd+SxmjtH6HiPVoqJr8DyQo5vhFFT/g67QV2Hj vGGVEinIL2MWRZ9HBix4YflxYlAfLPFH5qi7woXp/jU2b5ixeCoLEXXwXvn4AGpn6OWYPw8xwFgp Hhqw2Uz/qqUm+b7Z7QnmlveGJp7iXiuDMpFS/hGwlp1gfEp7FqlXDyUMSXvaWljjJybJaQ5qenR5 6/3dVlFo7hguKSfMUx/vRcgNUfIytnWzLYpyeD8/n8AYjesqsu0QS+z7hoB//oT6kczH+uomWCFz m1cxn77z/UQAWdPENPVLWNJ/dg14jU3onMp2iKOmhumx+J2mzmV5ZBQy+6nvnjvlae/aU+JuFxHJ sHRItxkSFqmsYk/jbuLJv/6Gyqm4DUw9cBtRpmfRbLKN9OJ4Fm+88iwIr95DJ+pJhrzgEDLH/oKK 1Cle7mcYpiy8ma37Dg/8RuH7vjU+9V0oRSZobVaAVJCGZ/aCqSFTQafOGNXcBnvxsD9u47UeSu+w egLAYPhuUZ7M9UZGfW3V54XgquLinsN22f1C5ayQvyPPYWqJ+uVhnGXQnvWY+grSosd/Fy5em5zs K1XT1pOVwFrAtdRlkdB96fVIVaZOTAwTl07Vt59njjDj35eqQStsq5c4alD5D/mQ/dcE1//zabBo 4cqbWBm0Jf0je63V4MxzT0RGFM8JZ9d7NB9vZlbkrfPCI91DcqDtMOuC4U6WdYHayArXfpWvmVIi 77pqJeAvBrNjsjs0wyUIn90ULZtsCx0vaxv/p/yNcar5X3BpJ5yKe9QcbJR2ZfH6VHUMwa/HE5Xg EaN1y3rOIl6d3e0+Z+/6gI2a4JvIlHyN6gucCWnDsHKUn7opPS4u8f3LkNfqZTwV+k80DKLfZhzc Tx8pPZJ/fabWdTB3fAPBAr1owaH7tT7MiKZ5dXvFmGHs//uaaGMr2CthCCuPOOtAGRIMU97Sk4BE 5Z2twvXJKCvM+VztnLXtkKE0SDKexf+b1SHfCkOzK2sYQDTmYMfvNmgEVB83eeXIue/Vab7PAwCg mqmvk4wm1zlTK3p9SdzFaC6tEVu0odNrBkRjXmtNcRjnJ61gPUEwf2pGz946/J7gTey2ViofKKm/ 9BndNa0XK8uIB6bjAPl++5xvJxUqSiH6D1pwnuqivyu3zZ6tYT8PdJ/+lOfrAEem7rjI3aBNnhaf 2q6mG6HstLZdHFwxiCr49SpLwVSPxl4NhJNwmLZLYRo0EBctfpyHtTHUVGhlMgiFDRmYbXYDhZCX 81Lh+Ob+sNwkHQtaSO4N4MNPICb6P6OYHPRvfZA5qpTYy7J7zlhEfmfoyEERDyuZ/Xg5D01UH4LO 1vJ+RbOxmmkjdt+CHIGmxyS3me8hOvruourYkw5U0pr5dhWtBGA5J2pil5a8YOBuOUkD+xI0ApzN dhu0vqsykCl/W1P7j6+QppNmGjfwpiex3xbJtTmHzfFt9PzXW/ylAAos4M61R7zbUx2XB+T+3lgc 6bVr/WKukftSD5+ZX7+3hd7DmD+g5D/M9aKFDKM55idvgcHnC2Blp+g8TLV8HfxslsOwJAWcnmu+ iVxy0XoNKIaBPMMoBGJWOffs+QrPN8Jheia1jC9yellc+ZEW3VYWAvQY3wxG8gkxd356GK+Z5ViC uBjwqJp9FQGGNTAwMdwxmWKf4OVU7Lw6Ow0999wogokRp2lbR9MOBX6SY9sresZ/9KaCc9RZuCya GYEul/mZd0Od+YWDNpVRGqyOwA23hPKM1L34g85XJpcIjW7/FgndHtDWs9XlYhj/PCz8pPCH2jtK Wv2V3zSPPH3U928MocKsrI6DUZU8+ZQFfQvwabo0bLCrFyo21+w+L568VRMi6Egcv9Z/0CLKpcM8 L+5svwZD3k/mFB4H7UZJLn19TFrdlSWu/Se4hgyoa889UZrItcgKKyuNiu44T3aFD4p1SqHFats6 Qwr/KjhCRWKCuD8UZoVjIxCyi96YO2HgFJapNpAmgfNkbjZT9fVX6EnSl9ENpdW/8pI5Xq2OvrnW icYijNJul4hqFou7YynBWJSWeE6yWKaSLFz7aOZj05ELvtD5k5q13JMnETgDjDOR/DsdSkJk/KhO SmKq0/n24IEHaAid/41TAdHG1/Ya67Nz/2eocnCeVpWEb4ydQvBaG3cjcbRE4SRoPjVpKy76uOOO uIpPLpCWvAPU652qxFSygA+83kUu8yux/ofnU52WW8NVQIrcmvKduat2ubUoLgxS9VbueDKCBDGO FmlYEj3yaRhf1G1/7zgT7GcQ1oBYIkYKKiCBpzEp3X5ndmPCWY48fsn1O+RmY9SHMwxanjNkl4vm dvpnkR18RXtjtqcr9oYtLQk6hu8CflQFUGQTUGi1o2axAR2EM0j+gxzOedcXW5xss3wAqYgmRIAc VrIdn4gmQ7qtJ3h3tOCKOf3traSDXt4RdYQArdq3glXyOKzS6ugS/XI2zwWCRur7kk5g7nuevV4l lRMOZRgDiwBdbvvq98FfoASqaa9kPsOp2ACp9M2lIv2vGKVheLyKIGzF76G0RmLo3FtOL7/bOIOC MdXAwL0W6b03lCUwLtnJGNAyZZzY8xgE2obkOCxCzgltZ12QZF1yQFeyr7tjagNZGycgYgm4Lrut LHKcNpu4cMZID5KIBc3fBBflev78gMlVf2OqZcqsLq3+/7umqmtxTvcCRIQsrRmNjLuOeb0uz9eD KaiAjw6qwiQbfH2sFPsf8hGDCOVz2phKhV8bJehuCisHRZxQQviithp37jHWW/f/vVqNjQ0KWOTl 4mCIoUzVyl1nVG69ig1sgoJuiHSA12B55oVtHaz9+ZCdS1PrU7pyZQOGnU+19YMcWO5YXDHcNj66 38fzegCiYLi98RlkyycEO6mAlqtxzCGkhUnKjbVramL+p1Z6HRBvVzOBu7y2n9DGiXT+PS9vAABJ GGVJiCVaXxZm15302rY0goWkJUMufZBmL/G2AT3oXWdUn00UbbBcf9YDWM1e19mVMeLFvCGvv4s4 sgYzFPQQemH/bh25jC4QzmbYcXReSfKZ2y9eh3NlE/2jhfRmT0hi3KllVEvscZGukBQQhW5iN6qD VKqRMx6Zjz5/LEkPY92yFSXh1EiUJLIzkwCuKTeqIlIsMZRdQ0zuwE5Kfw3XrNBDcVWS+728zr9E ZwpUcQNBlqFzdlgM1sHCfxIo/M0P99Ydu1hWwFq0qjQEBHVz2zvD4//r2oLRJfRcUR7MWVlUoD6u 0wiGU6C0Bx9xjEEIX4EKqmhinz8oNToDq19MRBj8XfkGnLgxqyYbMT23b+OXuqAhHZb6CWu/6jDt Tzfh9OM0hLe0BSdxfplS1rHV9oR8lfDxiJjUw/yqNZ3rIJV2CKBtQjNc7p8ohx8SgGJPAdzyVeTU 6AysHulDvPdg3xc0Yl+aULakeVEBLthbUe+iNiFRGsqOKAiBlZMZ+zvRgD3C2RUgilWQ7idXXcRc YEVkXOessjQ82WEBaNqivHct4EbMGIeMhdg0luaUHo3rCORCT8j2EBTCBtsb3SubSPOFA4xqoDCM OC+Sbeo7tFUjHvYcjVYHhKlzD96kEMy+yTU9a4pSmPRlUDJhPHW8UREjP4KNIsG3gJJB9+6D5Gqx B+DPwPOYqUOifglGzyuRYVp9frL4ezccNTP+LKFUNA9qN9L2/imO9ddW5okFI2TofI7LR581DNpr jJwVHcytw1VAYqe+g7PfgUfDKqTERCERpZ37R3eolITjN0ciQlZcTyIv3ufzSEp3aaC+4u/XAh/d ZOl946Ip9HkOuCchH6iwZRB8NXTuxb8Au6imApcMYa4E1r/uY//GCxGPbRU4QsxVdwI+V2aLIdyx 7x5vMBqqGnxjujvgr8H1/P/X6so7+eH7Ql8bn28ofisWD0sfQhEuhW3m+sqAywSGiDfP5BOZIkfT coU6h9jNFjJ2Z6UMoTQOW8/ZGSeOLnMP95Ndy44oFIn9iXYYmHnEIX4vO8CknAVVTi22Z6pdKv/4 U+DRjY/14jOk5ryQ7fgbChlZjWCS9VletSdASi+tBbiykeuqYdKmSFM2na2+DsUr51qAfaomq+ce smR+cQn241/q+K0nqAY3uPKhPYyhA0YvRybcW7NmW8lYpiM6FbgmtwNl9CCio7CF5ecUw4ofaa0w +tUVBNHCk9xWjB8ZUoHCUYgCigyjy+5Yhn5pH7vJ2rPyXaubdtgQme8fHJ/BEnRhaZup5zeGKYtr ehivf4Z+F4PHnbywPcDmWZBZssu4wxCXTScjTdR378ucMshOHHywvqE5thAWKCA1uDxNDc2uehtT 6JNBI5k8ach8Phq1vU54CO7G4y8FfK3UpwNtnCKYS4g0ZC4DO6O2aw0dsF32dc3e01dPClSlEOgU x30Lbs7nNTEG0RSnhY+9nic3vhMfDQH2fJxr4UE3LhiczADaH+FZ8AXQbysUbA5nHutN7faQYPXD 41Jo6QFQX+o+T+e+TlJRSZMSrQ2LjL3PRuGahT6ii2xzJUr+HRHGiw9XOABvXJKXzesWCYR0CQMs P9d9XKGXRfzkhCkhi5RGxKd4Q8CnCiNunAx3x8rdDjbh48Yw8zWGKNJyiu3802yqV529nvuD/wa3 VE6Y6W4X4A/MjVblMsq/EsIHLSyNo9l1FnbkElCahqcVoHbQf5pV4u/bCfmsIR99tqUu87d5IauH /Ew1mnHO9K3jKlyAFr2XALocTBtW89PpwaTxEEzXAteT7FjmPazAWAcykS+su0YNr9t2oE9sV07W aAHPpHIz5FxDg0RVlQ6798AEv1DRwYzlrSQjbrpHmWaRTGnfvS5xOngkFlnLpSNNI61bHaa/qSKE Jsc5yOSs48T31TMvpIk2YT58h2XtTWhRsQ0M+T42HPPAwkf8gLyijiGLKWRJB9YDnZZW9JXLTfgC 3er1Fq0jrts0ppLQ3nYrTECQ7Vx6+llvuF9pDgpfp0+cC0XtKRZ0xdUusjS8GB4Kb9rAvRK3XaVv ikDMs9QrjoTE7FVpd0nfplLmKvD4vwccP2fjX4ERCrpp6iNFSkz3hFfJeW3Yedcz59y3zYsClndK +cUu9Ts739Kp2ucZJGZD9YdEDi03pjMS44hxRQw08XxJ6wHO/1qJDjLjrjp5xxIzTp4JX1dOMcgM gVpush06RjeXahWXvzSni1wZBfuPNR2qB0dzJGRV0iLGbsEHYcLzTkec3cqitCoimO3CLxfAp3f2 Fs97RyOZyGKcMNnWe1RyVePc6/5jlgb1UU9a6gFVTB2X5rLZX+rsOYxHo/OfRUj7IuWNAGto29yp xqw1IyV28EAwNETZ/D6KKpvwIezkOzJ03+5nht095uMvPOk5AGo6piXdjPG1X6HudZtAjwTgFkzt Ml/qDsfwSFa7AKhAJO3QMKteD7V+UEs6US1vZY8H1qPEnSROtoqXQiEForUbpRvAesS5/umrhE11 EkyaXOcZv0pUwSm6gslOvvYORUcjq2X+TxBxhHtFsQ1FLmZ/b5tZaMtMvtPuK0miw0ToZgMnscr5 1AA3OqPvXDxfk+4REulCHvOSPxAftObxbeRERvWqakLMlXzbcx/ePZOI+5xEbcy2+JB7UK5zMk0b eOI3cgylUoI4u9ymbgV5FNPjGQWa/21iqO+19t9/lfZAr+sbX9/Tqhuet0/6iU3xXMWyfZ4Fyhus sAyNSPS9Ce3rL9dDkuUtGJ8HW7fVuiw2O7Fjyn50b79QffSF352a4AlchGDBJIaUa2K/IqF6Yna3 xvPj2MyI4kpoDyad9wI9dGDBR8oXdq2BPUlhVXowRo3Ouew1b6HXDWF5jvQiu5BIgl4ATZvlKeKQ 9rTkgoUguCuKuwcpE5cqoZdUWP4PhCnFyOOgFH0PJCq4nRZwLIUW5VpEViKi6sMzDJP8Th7OFvc0 Ix/tSsy96a0LXmCwWOBH0JEiDIQUUxpgZdor+bOM2kIc6gne7Gfiwp8LWlQ2MQl20HuDQ9Sdtksn T2PvswDJJaHNlVGUsnMuJ9dBxwCo6EUsNdLf6nE9u7kDXXrzy6wYdq22KJylMUuhfOSlmp8ADbiL sj1+LOE0NdRe30H6zbBpDrVMBcHnDHTQT8yzru8/g9vCPEd7GfrZBAc0aWkughwkeCBtDMyRjPfu GH6V3YPRbnHD4fW85QwnH9FEQgMXl4dTx8xG1tdEmwW5WBuMd02H6Ty5h5e47B1kDSsCiLdEV+sy RFUI2dhMDX3tY5184hSYO6TPuOQDRi8AHKTwevP6qObAg4suqLbfKzpNg7tKjYeRHWpGwglxF/Qa DLgvEJXMd1oQS03IYanpw3bSP5WHLGsSGfN618e/6dkhx+Oq0euSawoeWPnznDmOwx9tEn/zmOlD SHUmhcTfKu3HNK65Dzegal1ejzczGeCqlAr1nMhU2H4yTHBRUVR9/5q/ARrRV6jEPPlY6EBeJYUA t2D3NwN52+b88SThSZMtjXdjkKxe2AhpGeCMPchtdWXxjDBCWz7O79lK85qJbMXl0bNFWNmx8+dL y508B8nP7rbVyAmw78grqckYm3KCBFbDe+F1JLI2ida8Fm9/MlPvWo88k0rTf4/hEXKPIwEb3ulF XKQ9gmOfEwH8KVpMKsmB8ruEd0EDgwh0hDaFBUwDexc828wKHgO26NVI/cKKif+1kp/7Pa3blW4w RBGZ5uCZgDssqwHpdGPESUjMIYmFM6rvi4kS99uiWUdkmc2+D9Vu5laPtYxAlIoDs434cvecqw6s u/3/+kW4VOfReHEJf1wy3lAjpXif2LuZCumwZ1y4mYrCYZVGrVwdO3sx+Gtg8GOypDLgyRQxUWuG 3/e/QXdvXNoPxrggUwrOo8BErDBZ/miHmU+xWcQP/+HeiUIf9iWZmagcuupHDXXt0orHYl3yrZHJ Y4oaFiuX+z41RA1LbH6GL70FXI0PgVvajdGpG2/xwxYaBGIOV8SltM7+dyPXJkpte6Brt3bB6x1V BShgmGdNrT2hLSuq7vHwSyD5oCDUoFViHXsHfMXIG4kKPyEmpIpMuN/kdAosL3m2NuWfU7e9GZY5 RRNRjF8K0AddO7KrmfZI9Tde7CM0eRFUTGMJkzR9aYd6+BB+i7cpwp1I51pm58YRlJfRv0U8Z9Gq kkZrMEai165JW+ru069MZqZjyWo6LSIq50jFIMbir+JrbQc9DrliZk0syRUo3aYeLqUosjaLButz KEsPc5sQoaJT7EMLe7waGq8MD7ShZQGdEmN5TQ4KlscZjpZtR4J3LoxfSie+RCRtRMvzMgp2q4VL Zfujp17J2t03WYUUw2Dr1CcVf3yZx7/BHI//6wLbkCqingZHa0zpNwdWmOhpwrXs/AyM6CRVimTm ATM688rsPxY0QAF5NIK6slnc2V6xKLB+4+5AwVIbdirPHJT+aydoM91sMnGC/3P+Ty4puoVvJ72o Vdbm+NX8CGfT7BsKCrfG63ZL45caRhKBguACLF40yCNoyVKPoBlJqfAuf37d02UPXQobev6IbNyX 7ghqtrKbPF+J6ImfbYDg/qGuhOhVP1vXgPPQ91u7PkdP3BxbFeeoqWIbeuEO4VOxJoKiPTfpAzDn Fya5Yd9hv7k0gVHZkff5Y6PT4gckjXaXE8LLQkpRLcvZ6/QpcASBWTra7XJFMGscYoByV0WAp0No 9Jwe7g1lhVni8OwU86pNQqw+GkkvTOriSszPQM2NkCbYNytGr2JCgrIYjwaNOisrzmpADuVrak0z w45VuXfoHLAbS6XVU4uZzwgBkkWnFOt4YVKlebBauZe+0QA0RkPNv09bJBDAwIoEHwEoN15pmvjX YMXyN5F08M3sbyNHamY1Ap9i/wR9nw2YkWjOb8ajfu88QDy425BNE9LispNqyDXryogCE80K82I2 ktuvZ5+q7puWxKrw5peao9G/s4KFQ/DX7t7pXxAzPGDrBCqXtGBP/KOa/VOClUGVj/Z8WqwgW2B/ FXS0FbzJh3eMCV2NWo2x3uy3NG/XcKJ1QOfhfEWIRcl+zlezt2Xc14nuPBa7CsanYKRsrdDfTjoJ 21HeMZiaMiMe+1BH5fGTRBy+GNIdjr+BcsvfqpuvWlhJ8tZORgCKPApcZosOUNY+98i2Iowq1EBF Ijhk9X+Y+Sy4w3xaBUtALl5R5tWP+HvygbwnJdgWbAsd6asVV7w43KjTlVHz3HdDnoLZC5XM9RmM 4y+EgRFmdEpmU4jEQBEm4VOwEqqdTy/qRxuFuO0KlqbHtN1mclgsknX0rGIKZnjz2sHLiF8E/sRG DaDb8CpT5orIrxzL8BG+PnWu9zi7wYRqKy8TwsNmCduO2iAVJBq7uP+pgPAAd8d5NPGpuF65t6yo RcJMoGTgczglJ8GpKLKhzB/3P6TA7QVs1w1SgT1INC12WtB6Xtm/wK48DRywxzyAYZgdzW10VHzZ Dj6EnsOe1/nja6vq0z9lWw7L9hynhAmsHkmhTXacgfDIR0g8KNkysLYvlkr2s/quBg0n92iVE6HS dZfRs1W0OCDcyf6ZGCE2JJbOwGdGVFwpI7UZRKXrnsrTS4gwC/czdQcdkQuX6v4Z4lzr27aLXFg5 yeu3nu6TriicSmhL2Ihs2jcSw6WA3hhJ9PEKikOStN6a6SaBpsA1NhNdYenNzWm/Thms37SBkBdj juJ7vFJ4e6+0I6y8NwjaDsQJHxk3d7QgMHX3nACHNVRZeT+HDkmlU8RdNwCjgs6SVqSM771PX93U 3FdTb2UApsuqUlTOBM1DZ2Xd1GS5PxMRJn9FGiLZoPPRAaO5L0OZl9LWKa71pmpnC1paQ5mqsHBs AzZzBHToxihGFICUVSYlHup8+uQnIVmckdSXLXJNEi4LCkVgXFXdpaE34C+xquq8RqWtURca/Q+Q fr3lC8PZxOuTzm6cO9HKD669Sr2yxt9A2AiNmCMNOrzRrsqwsr9u2wn4mKfL6lz4UnJGEl0vISkO cTLYLFFGxMJaNUf8IiPCQ8kfRbLPbM9ZZx4M045NX4Iqb96qId6ra/t78ags5sjTtF/bfeVWvmk9 3oU4CWO3CjMUaC7uuniY6jIVK27fziQGh6/Xh8JNwEKPNu0i2dqDLcoko1lUrAtwzbckRanzfxn8 n8j3mzDd5sd50SK8jHlgbfa8I+dEmmx81N+aJUVT9QPV26Ssb5RQuxTbG+baNhyyGBKIBDYbXy// 4ZpDT9d/bGl4wZylLr+WNnh/TYyvjj44j7F1JtZs4NM4CT0A0hvtDuht9I2o35FYX3sy9t20hN+4 D5W3w75862TGInXt8hyT85VcSCUsy3daoDmhG5tVOEVxdsHgePqKJqv79BV79WX1/gQIgRqkKTSY 1lz5GA8Hj/mJjF8GgYFzWm/Va4EYnoGwJxuZn6k0TQVr27znGZo64L/aaR/naHC/GsINs8eIXGyR e+FbiVN90nd9awnIq3pFuEpMXdUyrNf3phfbfCrColGCnLZvEpDctoL/1gOqxlP1gKdqxziQQCCI LPOiMASPkz7/ksmiSbxIHKuq9hdfAhQmt2FCBQkHc9asnbMlxWm1jvIADME4dqoENZ+AO0ElbWmh r65c1+d2fpUUsKsvmWhgkWjth3/yuQSj22A5N/GHQ8lnMEiMmyOo8YgPbv7DxhKjfKtKOsEIsaRJ rLSnSrGtwTPPRwXwadV2tt5Sd1iZPFiI3sB7J/Mu8wod0Mfa1nLBYTRs2+SXbbe2nyd9ESZFKCfC vFrg7qS2y8ZpFlToh/CuV5aqQzqAIjMhADOxv5gVaParKfuTmPca+ehyYu9oKwzbqb9cx2b7MarK M7853GtfVZZvG1WYUjJipoY8ZhdNQCwooTi49Qe1dHU9D79gMifFIcuLjNznfl5QsgVpPhCpG3Bh lMCfGSvW8Gz3MhN6RTrnjAebOD6GNOzSlPJwDbZvxvlfVVNmN0y/LCKo9uQlqeIoRuSEkTo1eT+P NbeJJCnTy7JhYO55xeBk1bnFh51WZ8bws6yWx8/3WDIfk47VV8r7uBhjedI+1pAa8lHm77c83iE7 4wXC24BPuQnHlmDEi93B/tVRlI28CZu970EsIsegjbN0DpGMbkyzoTrIZXJpwVNT7yn+XoM533Hl rEbsbjvwf90Nq0XWf/URqVUeTVZuolHxkYiucfr6vWnPdKsPFC1USEZ95XTaNJZNDpiZM7mNgq0f VQqNISjks5XX2OmXMPFWvNi1Y1wl/0DzLvjdn6ToXLq8ZegYKA0maIGxIZsKiZ8arLU80IL4R83E dT2Y+pZvXcq6AnY7nP+700rGEuvUT3FB5L3y/DUUPIIbxVEnR7ArRauwPZTXiGdR2yqp2kdcjVcy t9TLEqX4Z103yfnpUCYD59vbFdovf9QMBYD5d0q8JUe1sEnW2dK1StvTKcZAon4E7kFtgiSGBrNO JmO6vrbPoNOL3Jvy0owalx9ieAY2pUmdlAy+VX/b8LdNKV6Kb9rFOcE+CIBTJ3W1cZHP0K81jxLU GLxxo2wS5I3rHSdBI9yYzn11ml4/OiLsObri1fODyA6O5KKr55Fvx5m2B6FHrAn3/qQXu0NJqplH /wyytWnL6KXqgvLk3vst/h/uF/BtmVooGUorcIbAYKvNViQYR0WgIvStCjArVbASdwOTumLaRNQm 4tylO0Sic+nYG9LWkL1HZg4oSRm9IJQAONLh1aqkoCrj09mWNG19EaQ7eUkFSh1EGbDRroz7VVq5 LO9zOxUus4mZV3TVPdZVYHtsmTLlKlRmIoq71v6pEYwkGr6Dkaw9U8aNm0d6nQV4/0Pm8ymkJQOD VqMX4YpP2Wwqf3D84IGt/ajqGTYzs6whbQaBrKO0bGsMQHHDNFuEG/3o+vt8zOdAaiBDJwtjBDyO OvVeDDL1IEb8eW+n4PZ0Fzmbvk72nFs//bRnOl6ktcd7/TOwCLkf8RJoJEknCN0c+fO2f6JH+8I5 Ef5vbeokOH8Cgu4KWOXP+3L0sA7rQQc4n4LUnPbXrt9ngfnLsAOaTAbCnBOIF+wDzmzzKp7TIFvz yFedZ8yFMLQ0hsaBck8EnVTJ+2rw0258V8jxdBipok1szkykH6DM/NQzvBwdWMJiJs50AN9V90aP DTeqHhpYoSYZFSxvhgtoAWdmtZKHVXEfJyJO5unQnk0z9s8Zhursx5LExEl8lntj2fEUy0IRvvRe HlaCEM06mlcic47hfs47LswXkvjDQojm0E94Al37s9J5te8/KnHlROodeOEOFRosGV4Fp9jL8Ic2 IPWqKax310XK4vh9GaCBMh6/r6WYsfGiU02CbSRn1BKfuXZPhGWt1PbURJ/UcQODbeAPXLHp3UY0 KOPtNRhz4TaAqeBoNkxDWmQ5CyNjtWiK5Hh6LLJLonWczKXJA3Lu3H43+CCXYOv/3X/uVnecSiq6 ODT8RFVeere0BsVY75U5+MSs8kyw5IA3WHcWuBUDQ+PKlqB7V91JRzwa7lEst6xenvVHmNc9jvJS 1VsYUAkoRvvxKW6G5Ogn32Ao//YBePFfYGK3VboaZMhvrwz0pJ4//h76jF1bP0FRvmr+4GU9ogwb QLpchVnIxWV/yejqslgWr3t0SjmHRym+tyrYSl+49nMMaBAdDvKWROI3eejf7PmhkysC+jMY8xAc WeaCJnamwPlNLBiogqoA8Piou81T5L105Nr6PXmr5u6lnnJlIt94ISGf8olL9fzqRt3LkR4zqTIW xcCXN3Ulk4RNFYwQKPJ+8tWCpTGYwuENyCuekW5AC3Ut2VGjpvg4F+ehoFOZJS3/Oo8KPwwYMMJ+ iBf2XGv2yqvMOwlAKnBoDWLImImHRpwU3GTg3lZzw6kl70iS/ScfKk/sFhh44G+SxmHdLRtyOrCO FFFohG9lgIxcWEMma+40KXugf4lbhuy5Mgt3ZSQsunqGLk7UKc7PsA4yvYapEr3WaM7o3saJwJBc QfI06f+PpZZE2Qxt22M7Ex6cZ9XQTZUSq99I8kCfZSbhYLPInd3srhgqs92M1oYh+C4VYM0Z2Xrg j/GhKYgeJ9SPpD1X6ApsB8GzoUxTSBvmWb+1jb10YY4CaBLu80LbRNbutmQ0/nsNubStCbkzddW0 JUgmmECknyhllJLNgX7AgpssCHwz2gE5Km4FCe7cBb666DeokirW0koBJ1J5uic7CE51mS8oc5i5 U5NJNUntkK8fFrYBMSWvdVLdHdPCarXN5jlmACW+9RJ7GnOSDZtrBIp+eSrCzip3BRcC1efYP4Ue tUIqQyb+egXrHsVXxW6/YOBgPjiUVCnis362l7RzEV5iw0l+s7mu8f+IciA/528Xm4o0GQ6Bytsy qbvEU2mNvm4o9jEVBWW+KM/uo6f3w+p3+rjceJZMkSMoFU7fw1HgWboTnlX8LZoho4AqqKMpmP3t IZVjL+2Cftj/8Z8LEV3kJn2LqA2JU5Dlh2jnpocJaanSfgaU2C5DVXq0hWmK3Eoc0zWXg2mGxi/x sTo2b5L2jf2aVg51Jvedkn13TXpDHBjgyWmVukrwveAyTQrA2QrDfmLqz09aFZas1A14fEDzJ/+f zp/omzKISlTbC7ZR9c3qww5rRW26Fje7Pu8BciOKjdH3B5J4hEMUWECcMA5IZWV1Qd+L04VnS/nL l3i5x32oEwmmb+icGmTk1k/fSZ+NO2tdUj24e8FJZpAuG1NUq+4ap2RvEQVhY32c+8h0yduFZpWP YQlEu+Pu1qo2ikOlDaPIGCd+jxxxCe47qvatwe1JZiZSeQuFrK4+YmuQs8NETJQLH5gGNq/vGA4M TZKfoNVG8Nv6qdcGEoep2V9bz2xwaISMuUicNl8GBEEC3qbK3HF/wjAiZ/nVHrSUCKlwldGuCDvp MTp31AleRQvyXqDWLP4Cg38koVlnEUue7omT+/6gUGa0yr0a8zyuElxVF22zbEwS8T1MNI+Io0L1 V6s6XEoDwJmMi32NfrrgOp/UgSX55SBA0mU9xFLEszV9fc2GvD979i1PoIK/+fAiLtMZUVZQjTvU nranZK1oKGBKBF0+A+/tCP/8heIeYs83cWOSIzWOebfWucpS6+0G87DnH03UymEczbNfquaFVMGF 9TJnMOpwstOR0nJO9C8AwZneGeKyJ75MjLMhqtIuRFjcRA7KtGuPN+TeOMIWDhvSpcphubCayRq4 DXcwE6MuopBSHdw/H+p226QNy/S6Nglb1RcDxKGYDc0/Df/B3sQxtDT7y/yw6OC1tq3Es4dJC/gR ou1f4jMd8oknsmIm3D1Gs4dMo2D0p/DP1s/BhsNciC4h8Y3jTUDes+ufzTiHYLSQ75CbsznMzM1c J6+h71UAl2aUUTt3SFc8WsFAi67u+03ijwFJ8AVz7t0qVNkmYWyV4uN1MLOAUZdRSddcWJMSwlWt NofGodOZyMniR/VfufmlMf1gdaaTtUvlCk9EktsrDjEZdTto9CJovb4ZdqscWufMt2ZTHmoPEDIY 1MQcwa1zinkMdg6Ix+aad2kf6pMR1c3lsBTAQIKYTQuuELBBDyDlVjq3h2j2GVcjoqYSeFlIIwSA iRPG8jHncGt2/sTVi8XfP9wnV9PygDVNmu0BhTC5+P4nwuK5qAjESwtnAAqCAjEQkZr370r6EW2F iaABl169gZNhVfTUUmeK89FiEIrwToBo3mZ0X6TcPIa7oY8RuFBwAyQDiI3HUIeG5Dr4JzBlAmlF PWQfbrBYYbsyteKBUYjqrJsDedMxIOBpih8fymB00Kh8sbm63pT1VTowUvxs6spI27D072zgsyHm AtMrwu52zLhOsLAOBmai+noXyUyl3nYX/dzxCxIIRt86dBdpOkthpIkwU4CTuPethNgW2jpZ9R1O KgAPH5iUn6kR+VHNbZTyhPuN3ygugzlMQLJaVFnHB/AOlMk4NTq5UO6JOzv9eoljBs5w2A2O8JVx BxhbcZBvfq04nvoFzhw1ua/mAvyzGijKSixIPysoyugndWcYZFlq1NpgnUjl39e93B27K1zPvmTt L9rPdQiIuCWiObaz6w4CLq01vrScV4TiMUXFrlwPo77l1CNbpaQnbdpHJsD5OAsKxGxtVm8kV9Ac typr5+nmDts7b/rH5D9qUoxDGbsfiFZKBBYoneS7qI+7XalspVjqAA98CkyBAFHV+sm407nxthgi +tICIyuT89zgL/9bmfpgvepjjqtYG/zT5dG2R5gjOgYVSawsvbSdG7fxF5Kw4dkMSt6g5rKihgDg XAoFlM2JTH+xFXyUQathsmhh9CiNKVpRWE94OrtOX1FAci3gGBnMlylDS+ILr+zOJwFG8Yav9hkw 6Pp7OG5SQ6dy3kbnuxnrzM2Ni8d9fyDbbRRRk3nff4YEPXKMnZWm/4LYL+FnpB109N5twwtt0yVt gIMf0gdH0LSliDLfCY0PrzMu2CsEzNmKJF2/16LEV6fgeUQkrl+9skAi0o3ef0J3RAXolIjyVxG2 GdsbYRzxmVssYmxO27NDUnLcg3NfcNjmQZ5Kuzoo8kOZy+siSUsifE9rr0UOJENFmbThZEeOKUNN 811spYEKP75e65WITuhaYifnafBGxjiwcCxJzmnozvEbfiAZlWnioPEKqpz6RtplHgfzNPcg545J kWB6nz4mbfs6IQJRDyYM4g5nPr8i8iAHmiWXmqC5MAHXv+I8xiRoQ34wn2f2gn/ydKnr8ZuTc01/ ICP8tQXgSQKh+IcxXXv11Sq2ImxbssBWi5jpp/n9GQHmDoy1lAPDPys+pYqveXdfedd1FSQ8zfZi 8EP7Zg0Nct/teH3mwaPOpESs32M7sRaJsAmYSTTTyTgxJjvjVqiiE+CY+QtHZ4c7KkSKZ1Mv6jQ4 VVDWw45/IYaohuORPUpKuzP/oWu0Xy3f1rwGcbJYTwp6LyHrK9mfLdDi+LZvSipa3uZ1BH0UCN1m XNYlYsOg0jY3bUxFdIyJi9nDyUATC0LrVWp1vw6+IEdIlvV0YDwnbLDn5xq5Pn7otxZJJFw2Df2b 426RXlxLkzLGxUCAOWb5QJR6JFgAQP/HybSr/NQ/TasnCXOmSv8h50bBWAflqFX3xBLSIaKg6QtC mxACxRl4TeCSp6hiRSD4K7q43zpoMgRCSkWtHwZXooFxUo2E82WovNBdjJE/bxSA5CYlYEZLps4H oPsjIG8y+m3NkYvyo2ztkEm7lbegxB8nnKfsPjYh/yNKkWU2V20dDus6PPXbEsRvS0SDOoeYaeoE 9GhqynJux82LPNcbApH1bJbnTJHBdWxA5i2mFK81G87xjO/G6JxMptGjLygqOiUcLPLkoIi+s+dz TSfGsnsSgQ5hpT/GxoKoSHM0cjKhs7i94XHuQv1gsQtNs/fhpFZEFw1HH7bYUjZw7QbugCoL60kv GF6VMD1zEtT3prnoZg7gOIYrH9aG8GHt856q7Pop0v/XEt8GEnmkmhOUYv0FTsB+tJ2jjt7vREl5 YwGqmK0CZJUIPuSAloxcKakiJXBA5hA0Z6itKNTEjfh6FXeDrNSO2qH61o9Q8mNEtUAPtp1NQp5m A3XRb3PveNxet+ANf/hnLj40jch+rOAWY3r9AXu9WhF14+z2vkFTJFjwkmA23trKydJhONHBf+Gj FyXrM76JVZoYIo9liaDsLsF2XaMw0F5PpS4HEblOYryZd2q8onvDsiMKRdHGH7Yv6mmvisUaMGBl p3w8Xn7kXnrm0T55gKRgZ/HBOEJ+ZQKEniRbcEsRAkBc1yCUX7CBF4vt4aSTIbx5xuYLbEKIcvKZ TUWK3qwe9fSrcttRGpOCVsNY6jXX4XYM4sS6ufDlt4IlEPLXAVkF7IgHL8sIdEPKIrmNWBGpp5I+ 0soUXo3rkvbFak6g9DAoKDbw3hDG+SQ2gNh+MoYnieFI3DbeSHBhcqXOdcYERS46EOQ5PEheLd7W mRoe3z/wpEEltWOh5CB8+FQesuAK15ixHWN4z2ydGjYCEC/XLlFSCaEwiL868ZaGyuLaC4nQjsHh kq4zptGnruCurkA82mm5+2dHFMIlnou3hzgnYzwIWY64vAipygCpybLqUZxK6GNGkyU3h/ZsD2NH FtfG1e18oCpi005BdE4MZ5w9QabgJyQMnr2msvawVcpqTmSFNrqH8XUV6Po6t9+bicg2sThfTRjD MtXWt43Jgx1YQFs78hmSU5NXVjke9AcK006HUI6feGw+j3FnziqNsuMwWMTbYdAw6g4AGvKVcsem u+Dnb1RJ36S6EyhvAaEFNm90fTMXAbqoNYM6+gDDBc4bsNNXXq72mNudh4k9ck7VZLWxMel7+W0b kZri3j13C1Vx7kqw+Y3AVw6CWfzyk89QURTBA7mj5QhYA3w+seb2iXJPrOanH7lu+Kw/esNiQAF2 tSqAPK5juNQyd645+EGAfccvzGTNDLJ+QXM00PyK2VJ8juDpzL0xBPDSLE05WiFhcXdobbTkfnEC HX0Dq67vD2BLPam18sBtk+AquqDJw4zsKxUwJipmKULtxi7f6Yat1SYKpBhA/lyreaoHKTZtc7kB lAoNlNoyXQklcJCtGQGv6UqQzUB66yAT+FZBLFLEn4pEYsycDmSuOgB1p9JnehDpWCTvaZBIV/Fs HN1coNPRC7Pk+JL7hdYyKfp3w7wg3LxXusAoarZ2yNDU889RnyJRJNVEbIzO5mzB0OiLWqVIs46k xWy8TVULTtpw56bdHgeUcSlk4eqSwxmjrouCUVTqVRMiKqqkbjmtLAT23CsJNoMpnv18fq4M79E9 wQY5OKamQcOoPMaOf8J6nOOFLStFB5wGs9S/G36dKNChmRtYaLOiNAP5ta6/6HAiVJJVzy3DW/nr jJBG137Xs3EkoRRHxfLHJgF3qX4SFyqvarBtmvjCdGHHm1jDY1A7MnZJkpfJTFTW77KYEC7ffQHY RndFJiOz1vZyO6l4HmGzVNlbG9PL17o7nL9YFr3biteliEdFgetEuaaQWBxSydtL91y3GJPEaucX nP3nxJQb6Oz0e/URwWGI0DAa8hg0ztSoDQpkNmQudm1eJ3XPbFshS+kzJ8Ri1wEx9wveu/+ZkNQL OlspmulAa5AQvLsKlSDy9UwM+HJkXV2rqfxkay8EtNODqdwO0cJaQg8FlJktx95qnmWaJeOfbU5y /T49Y+1M7+0KZd23ajgasb0+re001RiUjSl1b5KouUgO4OCUmMAl5NHc+PT0jJREmEzWvxW9/ns7 XCwedAGZ5T0jx+viAZkx3+IbHdGBppr4KoeN4cGzjS6Y6sB9dYUHaKwWA1+bNpK/ptAzBSwdLtO2 XAkitzHngbJXwFjsX0p7HQElFjy+2HUTf0KB+x6wUHRQoI/tSEU0ZzCFQ78YnIFNc7US4MF5BHuO ZnZo0NQ5QQ+LL0am8j/KPSwrvHV9wvCAfSVsGyWAVu+FdTbnlAQZxzFpFHTlaoRXqE2kn38VNvAm Yl2axLm+lfYZi/+LtN/Ug4DOn2EWosy/lSg769r7yhjMBe31ah3rPHYPN/F5KY4rzcKjsN60GiaN GKB3hZ5rkn0QolKAVQ8dVnMU8M+Mj34loyK7J55wYlY83HHIH+Yj/4FMRhr0+t52qx5NIIG7eelf VWqzbEFVwLiY4d3NE0ixHZfAoeHV7q/WxoyLf/wKPq+sXlVWXTRCSmbjmtKwRIHx+ef8J787g+5f 6r1eABagNi4cs1Cx1Sc7JuRXyfzMaw+y2q5arroxI0nnWw+3LuqMbuaBbOikthsNsAbwmek9WU3m xWpc/P4SBQWp0NGAUL+ggq36uOOY9XfUUyfiMNMs4zDTTHvm5B5ERxx07u+gluXt42kKQAVwg8Qn nue1Vk/zhI9+sDIuxtEPQf7aFSss4hFB74T2o/Y+mLsNUE5WSxSwFpiI9UljY3E3Vb4Wdhm0cmZh u6xaU7K1bnvNDx86UwSrH9c8XqpoWVoWuejWx0LWkRehQ3q41016dso9okNBic3WLN0MHtFvC399 dmTydr3U2JjnVwUc1xAI5lSu0x2hao/MWPufB/RHRjbi+3lPrRzNcuRUK0t7zeTRJOrNNehOlBbF HhJ6J93PLWcLEvcx4ZgdmxxZRqSQKpd/HYlWYmOxOLCbMeDF+vpYbiuvHENtc6rYzZA/hRwhln0j WdZHNmFaKieYCXOUsFsN/tu30rv26T83oJ2PiXR95OPmlzeVjuOc75H0OQGle3hv1jj3SxZfNbAX mAbOLg5a9jiF27+EZLTiPoKs5n0biNC4v2TtunC1QIvf+qxaRZnAqNHXKdWk7UPxTmgPpsvNkJmt 1Lytfd8iiiWN2XSRy9Pd2ohqBDOm/1b/mXVQdbfrDDmxLSzlgAFqAy8lxcDkOMPomYsxhru90ZGn D0TmHKZWQT705y8f57lQufIv/1XKHfLFm3pNXXY5jYrJMwJJg9iAAHiaiP7IkOc3sdkPTfyKlr3X zRei37HCQ2+MouZzAlaVUoJRvFC4u/0tSxFo7XlINCG0/vd9X1UXYc/CCDhrtAqntwAnx4fD5goB TDI0uPLjcF05DbQdI3FXg4mBUTwLtxI8BVTW7jZokho5DGJ3qqq69m4Zxm1rjXaMnrMzIucDzPT4 OTb468xFRIjJSBboIeTTWQycLzcWlFAqFjdaCzVSPvl2csvSo3aG3OkUwGfwevAw9iO4RrtmkGRY sUFnQvxcv34yHks3ZULITFGBs+oa5yxMMBw1v9/iQyODCsDsDy8TReRggcp2WfbjjdjnDvfPHX16 5dmFV1FaKj1E7ndN5mmTgaF9OD+FVVCEp6ZfN9YqAd4MrXHl+Cbo0eGwNspG57H4f6w6Z8ukSf7x kIsMEr+ZV4kaxik/hrRJ2D+wywAcH8LY31O5HSofW4rjzY0Tpsze4B9E9d/B5cemH9tXe9x9gTWW 38rgA+unVERe2fcBdpgGSelrjWAuDcM8RBWI71Q2kAFLyOP8QGBEb42JX14/jNla5gtYdb5wyQuL rndbJVS+MMWdKDrBARO2sEVXPqBiqXrf3Ekj2jpucRr2FgwEx+R+k+PwmOg6Def/ISqbujjnbf4s JLQXBFSwjqdihoyX1xdyfponN/YPNVE1hUys3tdrHHDWr5dH8hqQVa9DV6wwu5XLg/ZOrJPL1O/y 8Yg2a9nt6yujVDRCzvuT0fKmEGLjueGSRVEcLsGaFrA5MtkpwCUcGQ2LZg/fjrTedPHktl4VrEDW unhaw+T+iLehVmzaAgK9HE2KStk91fO3yczdbVsoednlsQKLSplmi/Z1K4sBL+cK1r6ZDMm3ivHc tB9ij0Ah8pyFPk0HEBSHJSgdhRN4/2/YuCQO2VDGWnO0cv01jJVIKV1+j+h+ZIhw+KNtGqTUJ4J6 OmAx127ddG4N1w+IJvsQ5PDscNd+jUlivEBhkQ0EKVo6W+xq1zcdGl0lXnS1Ss52XSp/EBx1z+Mk WLroELj1B2maAE8DwdGZ2/PC80SYSqa08BrImJSvfkI+D5eyPIAGXH3HC2fzQePladcRUPmV1Ttn ox8CYGFkCtHVHiCaCCl40wziNgjH9pMiZ/G8TJWrtclhIIOcaes4qlakNLjm/PwcTzSPww5TWqhR Rn0VfnA3cJXCh5hOgl3OQVh20J13pDiM/LJJmsdV071WgSJm5Vr6aLF8V/ptWWss337sTcSgoD0u y57XfPw4H85ifd/dBmMBOpwQQnwG4ikkXAm3Cp5vG0Oe1zMqY0Lokoi7RySeMJpT202K9LkcvwDf Wx0N8oUNFsJV+lwD/yLTpGQ/l83WV2ox4NijaZKUOrYcSAUc1vmkxzCoxmVq2MTqZ3reKzQBXQgB WT1WIbVnBHy3m4a8V0lIPTPTUaaS/wEweIrclzqAXa956JWsuUJ7LaOi9Mg/6NHVH3DVFmmlUFB+ tmJKybrPhI0QuIYPapG6XkFyl3tFtMeIn6dwVA2UjgliyLYfXokDmcADE2BjDVfKlB/Q14e/qhJB UQr0mYHURGr9dz7oS35X0HRxY5DBpkdEz6x3LTaL8q0yDj05F7jwREOO2riYJwl9sUQUI9sTNao2 I73R9u6t3V5/2QI87RsIJqNltVUV9B+nq0RggiyA0mqMS1yTpdQQs30o5GXH50a/de1eUBkgUEEx z1v9xzxZdGbyZnr9lCO+ATdKcSS5eropl0HJxEdygVEaU3RZTOaRaeoK8T5ykwL+QzxFdCfwFDYk XGREOpG/yda2sX4fqha+a9ojtL0oIZCzJSRD4EIi+y5Mv7KKiYYkAfx2UPUxGzZYVzW+YC7Hnv/c cTfoaHqApktHD+6dkyts7AWVqxB8SQANCNg1ZeggkRl797yxCxf5dAwmqLUG7uGlkG6ETyyPqWMs YxI1GVJzDvhonkdG/hDJGg+TLr1ZcylQmRZq9mkIPdRN0DRLRTwgKQ0JbZ9ek9Gc952PLFCrJ/nh b+exizLlhBsu8RQJen+YlOB8xM87srWhVqyMjmIAoLuJOe0MJ4siNItZ43h0zUSswgeL/wTpQAAf z2TQCJ9VntPUSTgIROZH1hxdF5e82jXyn+h++AvuiXJ+W9QCvmYkYZIVfaZlLcx4L9Th4hwjT3+D nEgUZLNoXJkLVk+xKgFR+Whho1Ms0vDQi/aW47hJxPOr1usbdfd7VRHE/1OEMjhM3FK76NoninM8 8qx+Yji7GZWMlCOQVhBYzWggcuejppywqdPaS8UBx+26XOzjIXnTCllK4ZMmNL5md9FBY33mS5YO wU7O9e3xZMVfOdshS45c4kT0Gffih0J+zJl+QMJukUZLKeaX2C9Yxln8YcR1q0Ptq4vUV3QorHl3 R7QzY/RHRkbVxVbhraUw7EPkYOADQ6LzgYJVnuZgyH5YEDVqSlKlHTqq1NXqaGFNGs+DAgQd2IOY WHzUytpZkg2PL+tg4JD7mS/IQpGVTCkWAKZO5LmIL36M11hLlu6V5yq/9OQQ7mzBA70pdWp2JbUp r5qf57boZoG5OckpvPYh8LD/hp8qebRcfL2ZFCi0qur61P8RjupzuNCpDnwzpGXd+Qm5mO8EZsZz LNvlghDIvOwsBKJ4f0M2kRNQCp+VMNNnOvEitq2h0W4rIJeKiaXSAqP5gIvMTx/MDy23fq7V+Ju/ zLWeD0/9/Esz9POE9Ae/+U8yO35InDK7wpnM8VjfLQ/L8muJ7Wf4a8CA5ZjRtbYbrOcjU0JSRs+5 Tkrg9SdNjFikUGpBoRq+HytzXOPqbcEKxHGXFefps6VTzAhw/MzaPst2EyiFYgAJXzjSPSPBsB5m VzB1d6gpqafhEV1XDQxWpde2W3xFLebD1rmE3VWIHjwI7VTapOqOtJyEL16p4yxDSLs+zOzEoTQE zIBkDV2UrN5FSYKwaukJBXu/XMlk4hxCrPuYq/YyMLQElK9os200HvRARMFa8Jv+ylZShsEuw/Ox B+k1BwjWfZ5WHu5CKDPw1ExYg8VkXGb0/7B/P9agezX9k/jyAhoBoVbvvUxNF2HXmb5iECjcUlyd CmLbRe8qyr5ynZY9d7TuZN/I4BUVUPtP8C8CqPCM7wHo0gyvtvMMmgCsTr6ZuUOIMd+y8zwtCsEc J/D50lw83WdN6lEScacPjd/Sq8sTFYTVr/zTZIq7jjMcqfEJTY+71npRTOJ1YzC6660TawhF/Sz/ xJhIffoLvs4Tt6dktgahxfhYdk9iPko+DAT4H52WPADbxsFzRKFKgcQqp/zO6RdNqQGZOg7cw9ZH hs1LMAQDPHY9NZJG7SA0biREOhcRddMiU31t5Y6zzyujISXPxKClX34MYsj+PeeqZ45KJwfz1rV5 vo/NZ5rehVEP0s+1tK3wbrOt5ui2g7qRJ9LWRTxIWt2uUSPC40zS+LjMueFH6DB8DUAgCTwkyPuT EKUkkjcGINjU08mV5U8FgFseeTM2rfPtoS9NU73vZ9LpqPrx5obvbgVbxGresbC1dks4mPLRBLk2 JO6h1YTzX8qMHvNywtlX+lLqwJ6+Jb/qWWvrYS2NAVgF+TQgF4tqDpSadfBJDs0gnp2EXnZfpHmW 0giHmbZHVvWll+/STb1VOC9ics8a0qu90kKfvG6wEH42fIj9DywYJk+g8/EK1jXgiO05q1PAHAN5 2tBYxxY9YmNOSr+jnLXlZj22EquLFfazuig3x/WaoDFBwmr7DLEmErj3vOgoBXbM38zg0L4Q8Z2y Nl1V/SSVXIgMQY96BHiutEDiHwnATNH9V8vM0y2yorlv3XQrJzMT4uviN1PMsQRiZ2BBUIAMBzQ/ J5Oestg1awZVMP7tFHSesAqTdy8LlUwuuTAX5jkB64KD4Y7QoKdtoApf2orgESpKHrDs1uMYGQne Fk/q5yLY+j1wk0rh5s9VKZDJ1Yvf0vVdqNsFOQlLjVd5HQuspvwtT5I4dkAJXuiGssnI+PIrlaVX ntrURccnIn51vBPCEdmlp1TbqtIXZDtHSLwtRm/jHZLEDsOFVkL3XulclhySoPKHsWT1yrIOxJ8B ZfBE4dYqYzglo6lIrkzEyEGUYjV+N2DbnJCfIhW2mxLZoilUEftlSThknssPqwT/0t/cHLhcxT0B rhP2d+T5ZRnC60D4rKv4prUacFGYDy0J/ebPzFDEU1WCl9Wm79fzlKY2ymNnas2ubxPHHxFbvuo1 Rba04SRy5grn15At3cOW4GoMgKpUOpceBkx7lzVwj5CiiAJWjlf8ke/wKrVhv8AuTN9smpB7RrVx N7Iowku6ZHs3uif9f7yWSft8IBIsfYiWm8geAVGcE8DI6cyyTO7VftSPR5/J71hxxsL6+2EyTV9S 5Nsf47G8tqiICsCxqPf4HwFo3ZkRHtN35+ow1UgQdo7yyVr5e3RZkkgGnfeRnKv/XxXb4G6nlweY oTfKNvKibOKnhSn78mKvaolFN1NyypRyv2MBSDfU2LayUC6PkAoMyUQVqyTYj0+AgGXMxfkBwNno SPDB49J4fGDBvj/gikQz2dS5Etjuy6WQ34zDlJD8Tg36h1lc60MyvtLXYy8ZwtgA4AWYEycLKWM1 AkFeLWmuDBESD3MtPFxpev5MBD2tPmxB1cEfq5CcoPVIW8KDuPPiV1Hz/DzKx3CzsLMtw9+eHaX+ cRhw5Vl3tNVkWkqCjDdR+ch/+xWBosCR2y9/p/Ms8fSsFimvko9IGElfr7jg3Q8GbwcmxhSXPfXX OntMyXQOwm9DI7dNUgdDy9jXmAzP/KlhtdSelyZIjDXHJt9KyJUMauK4h/Jrlq99eIVbn2sVQ/is 6E55fDt6ONzUJaPtpMOnYkj9oIyVkGxghXalsmMn2anjdeXK+BDIVxs27u+dX68JXG7snCd6Q5GO sxVXb5ij8kJMWis5dwKNyxKzWl9bvn43h77d+PG8uVEtsR+Ofkhg7eQiWnWiy0uD9nTTg65UL1F2 1Lm6/+5I0g1hfIk8EVFVuMOckhZMWU31T7I04m883C8PRdwHnIOjpVpHD3fTpb7Ks5Q6szRHCEnx gx/cxplJE2mJG7deZhrAQQiARU4XCfelaJizFlKTdd42Xwux3ZjFO76N6jnyGZjmiOnWyOAEMzLb 1CHVaY+iU5HFN8thrKwQ+zibv/q6sNuJAciRG0SfAAg2zBQhFn0H/i7fI89Qi6MoK4qPQkzF4/fA O/2UR/98UnSe/mIA+kp4z3QJEeDrdihEtRmrl7bzkUEbrac0Z9/5BSecBPilyFSAjNAabuOpH3VN U8DOZov4EUJm0UcMLe1+44ncenjo1RafCDI5Io1qq+0O1k99nO6U1GN4mWvOxGljlP8PGnYV7W83 6KhYcCzA3dAwCfUCWuabRE/OLpKhEF3qjwSnruI95FwZH8ZXUBWtUUC4pbrzOTu32iIL9V3dz0YE cPP9WMh8WwnCJz1prUyeHVuwRMvZUUeY388v3i9G/00NCp206d7+rVaGweJS6B1QVgiyfffBoc5J aXtbFsK0eIzwMSz2wjEE6NpkztZCGeUlIheoXHREMx1jO+23JcsN2c73I398xWIyG3uhstNF9lEV FrQ4iGUJYpivJzqHbxag5A37iKiaXmkZ61+/6FHNUcEnYkH7BbQEl1FojE7yxA++8crj3domdQjS bxsuPTnPzXxfV79JtCzyIVYx6liq/OV+y2bgZBVk9AXwDRZF/sCTroyH+KVnWWR0AFuHHGyOCazM cYEAowrK5kt6ggZ2ze+Lu54whV3O94VWg2OknXZqWVWbp5kep3hqTdhqCddfJL3FgAjCUKigsuRC Wh2d8vnXZ3f6oMe5kBxotO0czLUxZyrcY/9HuyVWO96KqQH7kvzwIkhGQhSqTKh2f5VGHh96SMcG IG62HcAJnS1bkFgPqSi1yMMaBh4Wolz5r8ffBwVZF/Ouf16NhZU0+ZGNiK0PnB5q8rybL+0x3AtX dfQRiQ+I3vXjsqaa04iCjgiWzxrI26WLn13520SZjJ0HloEW728zfBnaBBf6MpFWECSe35PSZ/0N TRhxIvovJR40J2An1gsDgTJcwm8kcKjq4nri3o04BauRzOKD2rKve2Re75C5BHEMR0Uhq2yUJs3A mT76PHZN3R+/YB8Yr9ff2pZg6p00bnzsqmVnO2sNmp98pQuQvp0HvZlmR2PQL/HBNmqz2fUcGlFO 8+O1qcU3OVyEE5cDYZGdPlA5Fk2bPiE9PZ6oAIwgBls76eZSbKEItJbqPrqxcixCSSWSN5Qpy5Zb Xo0fMiPXDVxzV2NBhjGduIpy1dldtFzUIlsZ/HmOtqqjvKYt5l51K3fANen34D5xXBCOYYdECkzh XxsMj+hcgROoOfeb1mZeiJUHJnD+hKdpvCmGOKQhZgdTfo9np0vpo1nSsOnguyJ7nxn2TPnt7W9b Hx8hiLZ5IGe7IU/fZktZ+0IUbZ6UIWxge040hgZ/A3tSGkBifFuMk9jvU54RxffoaF0Go62FSjem HzeGAtHrXelg6aRicR6+/slQQgtm/FyrRlqe87maBR85wZQUBVB1EQnMn1yKwi7eiT69d8LV6PtJ eFqytCZKQWoHfOjH5xENRORMEJjtqWoT0rZTgW8/TZZkgM1f8bENQfGqoI97vANRGMqe3cCua+Mi Frkx8Ba7qrVthbxOLlPVZdTdY2JnfthoqKBAJAmhcpQXKS9CFMGD+FTE8TBzMcF5V3CAglUq7fRM a4WbqpOjeBHZn07rFaFQr3eaE7KoMieFslHyPl2XeNEbIbYlLmFoXoYjSP/KRMsYmSmz8oOOJCtr Mwj2JPGZIh5qqc0dcHk4RqiiLsRweKgPK6vbIfMyMM+esNH2SaI4Hr7SD7bPoT7FSt4JG8fRZFhg nWa8SmTwLHa8akyLkeHjwWbgLBmvElRSnlKMvP0/2NYm1g1OinWXLK+TbtR0XiDg5Kpnqo1dN6ys cfFgPg8Tb5kNpvSiL4cXO0GULMKl1DQaA3poSPB2kAKyNErtgifBpplzoQrPxWv4whpoogqNH2XX j++gIU1Fx+XU/2YtPXm0VJ/E+euCBlt7I7EgQBgKLXs7X7a69Q8+M5JKZAj6s0Fx4J0r/o9RFfW9 v0RNZqXoSFjlujAw6vmbGn70cjDCW2I4AspTZcNyVPAobwddJ+lywhH7qwqeBq1rVGB+WD6AEQm/ m7UUeLZuC8lpKouMPQ4HrtmJ7sWjzAU7pnPbwd1Q+IDqnMCvuhpSfohXl44DDnKyOd2ACsdRt0Ws t2ua8h77k6lU43YpxnR59DBgvWtGniHJ7Wvu/5DBpkroNIUhNCITfsHszgBPxdv6v/z/CzPcmHSP zgri5aSC5aBPIhMe0dazXJzpsRANBCTfnnedEw6eQakaT2qKgHPIjO4hiEc8aa2qCj8oCqsxefDK 1UxYSyrXLjxV7SJQRUDpeQRGczNHS/WLrRIFIpc6bIse1w6c/plLB+AU0GqmXoubiXkSfaCu6EjE C9fA1RZ5v2yi4YPtY+MJ9CZ2VAPICZgMPS0uHD2PUbSDWQm9xqnH9SZt2DPVXPFQ1ThYeCK7tmgI tva2FBZ4y23NRdszdrobcD/mE3fCkhcSPqhYpv4K30Oo3LJ7+E7ih7iXgJpcdhLeNIM+nEvdk0oe OJjYSZWGa+zYAPOcR5sPilakBuj/uWiYa9l90yPwUICS1f4khKkCZDScn7aXFW1rCnhKKJU82axN nAdJNYrrMVbCtr9WsyVEbaq7w90/TZUHganGuqCLJ8qBN1UoYSnLbtYY3XHxpNwXpCWyfaHs/YDJ By6Zir/1yEKahIPdicHEz7LS3goYM+qv13hSjb9L9zLBS4IAZR1MC1v1uYKjO/vldcjzrkzI6o6q t9lwxHq74u2ncQaspg91fnRtW7Vx15UgoDesQ88YylMFw68XayYuQ7K47gopVoYDIG3PbdgE4eLm uaICR0SNlQAULlJM02fYc4DErHm7mVTR4bDXxuRIwm42sYlg7EYQf4m8kKCMrPelqBr4igeoKTu9 mNLQw+RsIAugbQ+mzktMmP1rWZ8Fxv11URW2VmETtWGO4oS20c1gPKN+5NDWhoRGbdj1yPhn7Qa6 T/plvUV9Wl88DqNlH1nLr5jsXr1p9sTjJ9GOVLrHUhoOAEQ9ezDHxVP5rjFu9o8lQBFrKULQO9j5 KGhdAhCjBGzI2Bz/YsnOudtri+kiyacKsrRfj7YqIs84g8SD7Py42cKqmQahI4zSLqA50/Aqzrvb iZ6zgzh6t2mWbzwzdFQqlWKUGjtxdAFC9/EpLB6632WZ+rlx8HGZfGa15azLa7YlAj4k2qgCASHU S2AH1khGdnTi3UTJ0XmTix23h3lTGhlwPLxRjh7H6rqEaesj3/m5zRE2sBE22NylzzHk017GcaTI D3vn0e9PGtyCeQFlD1PJCPVppPxZN3o93K3O+79u7XqbBteWQROsEAQVKVcDLwcSic6KQ3Szv9AF RLTfClbVKGUYsB4eYGBk0zA5QbfXBaYxWUAi6yCiUJwD7v/S1MqCc3GwWaZF9wNg+LbBfFAFHTca VCpXUVuz/8DzpOSjnQbGADKj2Z6mV81It9HARMixX/Ih9/I0VBslRu9ffKnhNORBEUCTIlc2aZdY T+CIQoe4e83KcDnLb8StR+UPf24qKWRibpGzimqCvFK2I9EK1nCN6bKlvsF5cwK2c8HiosSPgz16 ws0OX4Mbh2NQsfjT3hYZU2NQ8MQluCJ0vMZtZlS9+58kaPCKJFvTbw9K4EXUyxI1Hw+qqYyjJ1SR Ex5Yf1ykZM/na9gouyoCG5Um2ucdV1YOHa/BH4wT8h42smzM0y2cmEkx9NwWIH1mXGlAatxxaYDN l3jKoEc7SWYpqQC/12CsKViTyHr18OVPxqPUO7zatcjFoYVWykPR3VglqD69jpWYVstVJbcj+Vsl vj8rT5jj7pNVxzbu3iD376h++hRq4MULdqGuawf/cp3/ThF7Yrta50UnaigPDKlnLEcXQdG0a4t8 RrwgBs54cQEyC5fWH4wwbA5Fwo19ljAFJXhQT1nV7uHhQmkuUceTmq8I6r/NYgOICHhcNZhTi8xz ldFoQrM5aimKx+N3i5O9Q2R/Mm/8dus0bRdrqvSGBwzuXN6GTIVIWzYwInwFduVsSSnEk4mmY3lD abPwqJ7vAtoiJ7lUg8h/SLTeBl6u+OzYIm4s3VpQj6176I/BCQCMuz0WpeKbYxu337Vi3yL4eogt 0Ui0/kOIfLxnDRta1dR5DYvlvlHXoJkjGrVUcAQRtVTXXP5RNmurOQWsA7baMXvjGvYk3WMo0/85 e6qAtpoW0Iz4Wfc1ylKe/KvdD1LwfcJEdwsDN8chC3sVzXkzxZ/WT5NmsG93GY3kiWEXsAakS0xK fBvGk4UztlgB2MYyc7PtXntkBsAcUVPslMYIir86MtQfi9+J03tcD1yfUHPmA+7RB3ObfOZK0VUR 6ljnQwdIEy+Ci5zHUCAuemKTfZ0IBUtsqKvNB/nuNXEZCix2hFIED1kNxZ3j1TqJIX8qcw3WeAp3 E+jnRPw6gQgVUlyDCpY7ED4tzKdsLGJacgYxDgwVHVcs3AR4NAIMGBPvpP572Ta1mgwn7Ugryq0l U7ziDlgp7x5tuNXKWIWo876NtiFaN3SU8luZuxmsoc8t5gIqDr9GtysT6AiWStwcg1BM0CtcVFJL 8jT76XvbiMqoJOFeCuIcdEsE0VfJ28z/ESJA2/KYJBTXNHLLP0EGjdgOW44rfF9buYBVDnZSNm9W 8DbQCtSPX3hqCd1/kko865drd3Y9QeCu3GhkZticZbBKw7VacdZ1BySt9WKwTgm8AsMrVegkm4fI TjzONxpvUiv07rmtrrFPOifSmGts8I68OswFDgcF/KQaVqf3fe7nLdXGevJaKt4KslXi7wN5bnrt H2806CASWvHpZW22k2jwIGMVoAlvs+/sFHlksuZai94h0EZXGX6J2pngnflePlnuh1I2U8XoE/FH jSG5fAyglEYw4TqY+RfSrnweQQKBWx1faR+4OESTCskHwIOSPPJQoSOC+1YPvtreneCshi3FFdGP vRMtJiR0ZH9qxMl3lBxe2DJ7k8lXvT3f9vc2RMR6b7L9QRG3pIfVOXXwYFApv97Wk4iHltpsps5E ZY/yvHQHvEg4MpBTm31jMN2qWo9zgV5Dip0ygCP3v4xdkuYLO1tQw/+yvC3YpiHQLUcQRmPx+90U 43oVlDwlAs5H0bkD5Z6UhHpAno99hZmZ1m52bc8+jSj+9mf74K5jqZ+Iy/nuy63kN5+iSStT4J5m lL9nEs+U8WIao78huP/QKzeCIFVxbwZ4WEyT+EfuohGOY5E3MbWKsK1KhLNaWfr6Z1oz0uIHm6Nj 5Chj/kQE11L61eD7iBR8J9TJXEzziBfFdHArlJ2awfioSUmxRdYqbgZBeLWn7dq5ao7zlUqiZAx1 JhEAHO4oXkYv2cxFv8I5oL18XrwIr0DIU3Sq+xyDsbabmVkfIZ/hHt3UE8slq/7PyTqFEzVmeCWn uWW9UpLitHQdIQp1VjQOx8UxuN7prAQGbkwFCIrB7B8sk1Fxpw9JCxZugkOcljCP5e0T+XkG3mop vaam9px/UqSB5TWSuhYHk9jUhGxvzatnc7zknbJkx10vgpmYoBF7zm44w21z5coqAsiufQ3YFB9C 5/PlOBWM5t9NtwgHCvM22HUFM53jz/N9OTPDUcjTcSBW9/Xmj7FH1IHwapOeRD0EpRvRsywbbkyM U2/UpwR35Rz87NRWGH6zmt7Zz1aaqIYM2hFnWhgnlr6191w8SLi4R+1P4WOHZhk3Ik2fzuYmhROr QAb1wLzpio76V3/5crhh353FcSDX8aX5Tlwp4v3KOQG8nRAh8FsY4b13Ok76v6Agq0mHKQVLdjKJ v8ugk2q8T6JIQE0iNgFILj5rUN6ce2nc6jI8JKSSOrjItFolgW7ZL1mtzHOE2g8Hr8tImWHOzFRo rEytDSjidLyrZ4GtWTfUou2KOH2lQTyJLxO3n0902bXDLehW4kMEsA47m/+dUa+C2TFpFULWoKYP v1ZSgz9SKKZJcuswyuEzv7UZD1tSP2aNgT5Zdm/wC5K48motXr+lQm0hCblNYNfMkIskznjqPHCH EHhpuCS9b/RGdk7BgwSOyyq3QYUyQcj3mphaOUTBXCwGXF6kxjgqMFgsnE8NpQZYR52YibpyYmvI VtUT37e2LdNhrDowVwW08d1bR+7OlevSpNllP0a5ZU2BfHkejLj8K5PtV09l11sq12/y7TWc/jK7 DLFJcgd9Z1BQVwTYAAKz3Ch3HFEtL9kYLJv8+KQ7wcS5Xq9cgiSM6nmq4BkxajvT3Nn+JA0shKAi eyq773pXIFAz97HNQt8IZYVubP63rAsFJuqfDutdwwnn8YyhSuI6wD/e4rP1p93gbDDYMUCgIVvq BivX1A0esHV3UyIo3fZWwzwHBOqD7fX5l8d2DMmm+V9kxLBuddqLIGYOKZqn38Nn7eJDNwwO4Jrc ruILMZSgFMovft9zShXQGeHlk+iUl4QOoC6HuRFoUm8e/0NlxVTLuSmlhqQQJrsctXNrHFo6iQok KrOGaCM+IJmfj035EVWM+/eXeL88kE3azsVs2DSenTyzpXMfkP7249yYMID6qiNskexzcOCIBOEo QHExEpjdf5PLTt4BKjQhGPa9SoeUuHE3s+aAuBU31vrXyBoDtFhwDkowm32q3g2yMnaQ1WkkaT2o lTgzSfiyAw2s3a+fRhF7lzLpoKPHgkulpRF7cVadLXhSi1hruSou1ntt55yplhx/jpSe5YdoxfUC cXkrGKh0yUblTIYjtc8qX3C94QFCwm9HLslHlp1H+HzSAOhKGpoql1mKuF/pakFMpJOBHxz8u779 jch5RsE6eeyja2VKxz7rnjE+g78PzMgVSk1+0uXrLSYHdAHTVJDQOOYTKI2Wnxh/TY8K4j0fsw0P 4W+H23xZIJOMZplq99lKDxOu+IHokeVwYVpvg8RAERTYUCvO3OmUG+giY4D9BXAtzjWkfGUAnvH1 hFBbF7Agl+/U/bAnrb4+Ezjp1qmxSYSgCmotIVpzWwR4QHKv8OBcEt8h7ImDvF1iUMJvQkZlBnfu dPZ8lMUIdd7vJSI5yr3NRDL4f9ZqyGGMttzwxuaceRwkubNdLOF46vyny3P/4aOjzshPKnkddVsy gv+VgGV+U3tdGsTdDxsqM5/zHSg0122RZgyREqypg8Wc31mWHnXiYZUKjQQKqtf5cqL5Fj+XWRAa V9kJbpLouHdBGI0G0yeyZaF5xys+9ICxiLgd//zmxx21fdVvRMnZFNikzYhMdx3hT4fOXjRdzlv0 /+Z5mnVjS5b8wCSMzqD7P03/YFenczhloMKHVADoWbVWa51Pw6yOagZjIeK/v3Ce+lw2GFD0IkVi Oo0tx7Mc3HsJu68Wrg4z1A4ePf7hISqby7mR6xZDyubipnzBQL/dB0oUmD2fDIJC6Gzp/MWhOsr8 S7ERUOIyfuoFNp5QyvdABW7lt44xqe7c+hi6SWvyoCROqNTC/nxLJaGEv8+y+3Dwnv6iTQFBujR8 WTiEvSlducMx5DQzkR8c7kqVTKhFJMTyB3ijwPWo8V9W/DDe2kprRYvVf9r6qcrV6ty0dSxxWiP3 Rd7hWfRR8yTH2KEHY/SYz3z97XiiGLpFrznIm38YtNtTdzgnXGFa8XE0+pksPIzF1to7y0d3GWm/ YwHBLkjH2JkhRzAkotrZgb2cDdPtuKLMOBUIh+Pt6faq9OwBWBEBH2uRv1VpCVPXGlus2NvjA1rG nPgLeh3YD2s= `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/inferred_lut4.vhd
15
7214
------------------------------------------------------------------------------- -- $Id: inferred_lut4.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- inferred_lut4.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: inferred_lut4.vhd -- -- Description: This module is used to infer a LUT4 instantiation in -- structural VHDL. It is compatable with Synplicity and xst -- synthesis tools. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- inferred_lut4.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 LUT4 implementation to work around xst lut4 problem with -- INIT generic. Adapted from XST France work-around -- solution sent to Bert Tise. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end entity inferred_lut4; ------------------------------------------------------------------------------- architecture implementation of inferred_lut4 is signal b : std_logic_vector(3 downto 0); signal tmp : integer range 0 to 15; begin b <= (I3, I2, I1, I0); tmp <= conv_integer(b); O <= To_StdUlogic(INIT(tmp)); end architecture implementation;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/inferred_lut4.vhd
15
7214
------------------------------------------------------------------------------- -- $Id: inferred_lut4.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- inferred_lut4.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: inferred_lut4.vhd -- -- Description: This module is used to infer a LUT4 instantiation in -- structural VHDL. It is compatable with Synplicity and xst -- synthesis tools. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- inferred_lut4.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 LUT4 implementation to work around xst lut4 problem with -- INIT generic. Adapted from XST France work-around -- solution sent to Bert Tise. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end entity inferred_lut4; ------------------------------------------------------------------------------- architecture implementation of inferred_lut4 is signal b : std_logic_vector(3 downto 0); signal tmp : integer range 0 to 15; begin b <= (I3, I2, I1, I0); tmp <= conv_integer(b); O <= To_StdUlogic(INIT(tmp)); end architecture implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/muxf_struct_f.vhd
15
15871
------------------------------------------------------------------------------- -- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: muxf_struct_f.vhd -- -- Description: Given a vector of input bits, Iv (not necessarily a -- power of two). and a select value, Sel, this block -- will build the multiplexing function -- -- O <= Iv(Sel) -- -- using the MUXF (MUXF5, MUXF6, etc.) primitives of -- the target FPGA family, C_FAMILY, if possible and, -- otherwise, using inferred multiplexers. -- -- Since MUXF primitives are targeted, it is proper -- that the Iv signals are driven by LUTs. -- -- A help entity, muxf_struct, which is instantiated -- recursively, is used to facilitate the implementation. -- (So, compiling this file will add two entities, -- muxf_struct and muxf_struct_f, to the target library.) -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- muxf_struct_f.vhd -- muxf_struct (entity and architecture in this file) -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( -------------------------------------------------------------------------------- -- This is a helper entity. The entity declaration for muxf_struct_f is -- further, below. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.family_support.all; -- supported, primitives_type library unisim; entity muxf_struct is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope C_FAMILY : string ); port ( LO : out std_logic; -- Normally only one of O : out std_logic; -- LO or O would be used. Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1) ); end entity muxf_struct; library proc_common_v4_0; library unisim; use unisim.all; -- Makes unisim entities available for default binding. -------------------------------------------------------------------------------- -- Line-length guideline purposely not followed in some places to expose parallel code structures. -------------------------------------------------------------------------------- architecture imp of muxf_struct is -- type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); -- constant SIZE : natural := Iv'length; constant PO2E : natural := C_NI_PO2E; constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E); constant K_FAMILY : families_type := str2fam(C_FAMILY); constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5; constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6; constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7; constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8; constant INFERRED : boolean := not(S5 or S6 or S7 or S8); -- signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level, -- it is left undriven and s is tied to '0'. component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF6_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF7_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF8_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; begin -- Below, some generates and component instantiations are one per line -- to show similarities and differences. ---------------------------------------------------------------------------- -- Base instance, just one or two inputs, no recursion. ---------------------------------------------------------------------------- E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate; E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1 ---------------------------------------------------------------------------- -- Use recursion to get lower-level mux structures to feed the mux at -- this level. ---------------------------------------------------------------------------- GT2_GEN : if PO2E > 2 generate constant NE : natural := PO2E/2; -- Next envelope. constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for -- both the left and right sides; otherwise just a left-side -- recursive call is needed (with C_NI_PO2E reduced by half) and Iv -- passed down unchanged. constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2) + bo2na(not BOTH) * SIZE; -- 1st option above: LSIZE is next smaller power of 2 -- 2nd option above: SIZE is passed down unchanged begin LEFT_GEN : IF true generate I_I0 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i0, O => open, Iv => Iv(0 to LSIZE-1), Sel => Sel(1 to Sel'right) ) ; end generate; RIGHT_GEN : IF BOTH generate I_I1 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => SIZE-LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i1, O => open, Iv => Iv(LSIZE to SIZE-1), Sel => Sel(1 to Sel'right) ) ; s <= Sel(0); end generate; LEFT_ONLY_GEN : IF not BOTH generate s <= '0'; end generate; end generate; -- Instantiate the mux at this level. -- -- Structurals S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; -- Inferred INFERRED_GEN : if INFERRED generate signal h : std_logic; begin h <= i0 when s = '0' else i1 ; LO <= h; O <= h; END generate; end architecture imp; ---) ---( -------------------------------------------------------------------------------- -- Generic descriptions -------------------------------------------------------------------------------- -- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network. -- For example, for six-input LUTs, -- C__START_LEVEL = 6 and the first level of muxes -- are MUXF7. -- C_NUM_INPUTS : positive - The number of inputs to be muxed. -- C_FAMILY : string - The target FPGA family. -------------------------------------------------------------------------------- -- Port descriptions -------------------------------------------------------------------------------- -- O : out std_logic - Mux ouput -- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs -- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines. -- - The Iv values must be ordered such that the correct -- - one is selected according to O <= Iv(Sel). -------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity muxf_struct_f is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_FAMILY : string ); port ( O : out std_logic; Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1) ); end muxf_struct_f; architecture imp of muxf_struct_f is begin MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct generic map ( C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => C_NUM_INPUTS, C_NI_PO2E => 2**clog2(C_NUM_INPUTS), C_FAMILY => C_FAMILY ) port map ( LO => open, O => O, Iv => Iv, Sel => Sel ); end imp; ---)
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/blk_mem_gen_v8_1/blk_mem_gen_ecc_decoder.vhd
27
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g2RN5TBir43ECxFrT/y2GRXX5NGDYpjq+n5gxNTYWsuzDCjF5YeYUisYseKLr1ryeyQynd8Epzt1 V06LipLPYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eJKP4nowQhkS+sdlDJ3aF081jbTFWdzdlOBNNOlq8qkrol2Z2K32WIgnl06Lqx6yc1xJY0X0kmV8 eOkRE5vog2ePPioAy86OAcMONOPoHTqykW2qaaCPwvHqEP73jf7t4R18PaTf0PZeg4kzgW5BQXqF THWJ0viu+pagUeVYQuI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MDIZ/fTOzwhXm05ObJ3zkVB2FpJAN9o34cB0jHfFprFQZmUeUQ3tZW60svZwBPLmTXGm6NjoSEnE d1b16jr2OvP7e61sGc1GOIzSD5lAxq6KYGFDCFGlb2HKuXZP87xm86ePu57tT4ld2oGvDNavbknR LLxhx9ZyBV7SuzGo3PKuxBA2tnF6vIEJkp4n2dqwXnKJw+xgySn5xCMvJuNm4ghYOfBAsNQGJ39j 9OlCVz84SN0I+ZhsnI7KhLpJBWOyFN5hfdsD0RVsTRLOBu1rLKX6200sXAdAwmaB9xg+3o0vilh4 pIPe6hkIVYlfHVKU7Znj0kURPqGkJtm2RI+CaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RvD4A+WtjqxHYEUrji4gUWEBsLfMuiFWzgBi0pzOF5kWQsF7tHiiAC+dbiIZv5TBKh6/SeRqqj5f up1ybf94wq9EXJ/d1afld/HRqNac4VRPTUzPBHt4z5dEncFPVDK4ucOaLAd/3B1aieNd9xn+mBS/ wR5gmSxp/s9f+zaVsS0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NS2iEv8S/DLjr8oIhLcaUy30De4W+2t5q2cf287k87h3kSCMEoBnjvcyRcG6CE1IFz2i6ewnJ0mb 4oesPU8Xde+4KmwGSKnw2OpNx1aFtJHy7C5xPLKHuYCmY+9zM9y9RMguGvxUNsPvXEO9G/4BQZtJ xHf97YW4qiiYtbOsAO8R0m9UHVOYT94pj/6x0Itkq5yeU0YXuubMwNfZ5ZRnrVKNyxQ5Ilm1kGqH N2bcD8eyFlVJydABBBV388JKwKrfOh5ZHUd8//7U9+6XMFYO4OGZzTYmAvyyO7iRRKEjPElnyW25 UoL5ziiALbB2biJ+eBPz4dgChqDQ9nB8HsYg8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block P5M/4PHgCXWT4d5bQLyxafc9gPLXrc3don7LU1HGE8pT14607cJvKERJqXmRuw7Vu24p6Rikcq9u GWX7pruE1bGxXU01kyIDlaQBCbgDyYm2PzZ2WcV3wJZBP2GqoNGGNnV9D8EoUe8nkfgNlnSFShtc 3gyImEfEmPx5WTUeprbCjuzexZHjL9ufilaP7sS564ZYueRr8CJEkkUenp0vPgr10Js6pUWUtqmv dIhLyHLmwTEim0EIMvoUePfUfEe5QIDHQeFhIaYn6e4PLZqpxn+8TE5wRprrMb0/4UIt9Ughb91Y EW2+F2Z6KVEbQWRpAub7VMwRNuyyQV0J65/pLA3A6rTE7Zgi7Rgmjdmml2+kv0ez3cYDsJawxcwu 4kbTfcolN2QPPPNOmEU1y8C3UFvGi1H9Pfw8g4O5YH7qS7KhFFRghD49E8fqSkVfiXnFq5ZNPCgJ s83F4Cqdwflu8KU57Ccq2FsttPU6FWQyTL3b41ZPpeP4oNnRcomqAqIScuaZWr9xDbXzL1u8QEll IWkICPFN5UsqfZh3UzZGS0VTeWQZJgW2WVS7cmgXSU9cGxtdK0iwmQHjC0zkwWHbtBNk7hwwIPtE XTaVltnfe9twX4mAd3Ifbp3hgkEcWx1TRA1G+qggq83UQmsaUfPSoQMmB8OMZHOndrRAeM9Ux3WK gJYC5lCKHthZgsPEyPEvSv1jUo9eVnzM4zx6KWxDfwQiURf0YsbJ9tp44FV+czYe961snK/mYnmW R4ZFlquW4yfKcdlJMF7l4nOok9OTwk5KlSRs+e1gwm4WJO4vm4XqJjO3OruxP7IYhCr3ToZKi6/9 fyUgm5OLnZ9FZihAfDYedahvH6y7QmxrqM/oi7X5rH3K2eObEkWhUwfdovJRXpiS01RVy9cu3rup 9xvY4Y0C6/01SYeTB6XPcShVKj3FOTX6nPzQPQkhYlG2eNolyxk/mxOePULA7jk6ZW6Wqi+UCIby IpEh1z1AuiN9Ig2zoN2TWgkocTA/fuisDeAllz11TjXw+U60Vmg6Z7fla1qrxokGcCr07zJiB/dx rW6G5F62/FortVfdgE4uzGV8Z/vRczGf8+hj7CSil5rT9XvH28espi8U2JWcl876j6mtV9oeHX5k h9ckaRU4Bvta3y4ZluzesxNMTiUL4bHOat2pgUz6rleaeWB38gV6qKbX5TlciG5FbLnd7Z2EhmBQ KRSVDL7/Qo/kDWHP6muVBhZTvTx2iTr1adWEHxkyogMPVmMBDcDXjQfJc4Piru3t47NQs59cASDG gROO9642IOIueo4Chayp4qPPNNYxC+4SyI/79YIKpVjHvwz6VGuYdGIRK8q+fCxkdpl+XzDlwBn8 fzZuslIFoBlMzRLif8ppiAUTbRK4feEoFabQGrkBHI2HI0ogfHrAmQDvLYKtC3iGvBw0DtkKbfQd 4HqmWtO7qqutSt22vw2OGHHE3A0W0xS9OHaLjAtMECIth2PDLruFYTkhQBoMXznoxsB+LLZUmROe NrsrfRlgcbwQ62d6TUgp2CPM8gKxe3vZY+sl605rS/E2upbTAt+PZUNn6OeiwVKkMrd/QSZKCLWv GvhDzdVCxAM1ec/SNRlvK116pK5VkXlkGw5PY/4ro4DqduLQEV6asUzB7H2Sp/jU0xKOO3Pvxkz0 ZUTVHfzUtARsJBKLaLCUWyQm/yYIWj1/gmqe3EXpwkuFUQuEsaNQdkq4/CKlOczcYnAyyPN5l7ON ELF2CpCppXAK6KljY/WPXnem/QkE3PXwQIsL+isXw5vxMAMYWBurxiBXQHeW70f6FrpxCWgZjHWw 2fIjmauLrdEdqWNozepC1g2DBt+5UbekF+lv4KRuzf6IuGd1uB8ajy9So/S8eI2Ufd+UBOBx7J4f BrjEkBARWVxbafl/pHM69jupClI5QalH8gogiy7XvPACrYTE7FVOY8RhmxQNUewO/cwhzGaR6aFW wo/dks49DfBULTdLVW5snjvs7OSci7AH5qdh4zRRMHoa18n4ndVIuAKp43ybYWNxSo7Xxuqzby1B 3CDGGQeii9odDFtRhkI3g4aMaz09zjfPjzoBCU2Rr6Cwf5eUywIr+giUBbXb2z47cXsRmnmHJSTG qQMMyZTqvpEV4SvBj4b8XV7yhM+UmkcAcokVgw+vJdq46knD/V+JwmIUVmkTqTZb+3dBeljZ8SkB /KYCkFF/G4Jbz71zO2sdl1kmH1VlPEeOB0xUavI/kEbn6qFX9sGtSVdRPnbBd27VTSWbFxVr4Ol0 IK2z8hvPO42QgqugH97D29c7UH5uuH5bakCt3Kx5zUZhCbUmAGV6SDfu9abhzZdPB5xzeq80ZlVg n3o58+NrQOerFimkjAwbOznp/0iFGYQwpB/5j/bABfZJ2D5hOcHvNA0WJgKmkcBWin+1CJt/x7kv f0Rj2om44MXpn6QWssVsDLX5MvG4DWmdLoUQMFFs1MH5KY36WotcasWJWSdsYXoC/K9P5mDuSEkn c7Rb0QUhrIuVLTy72gLn6oeM/5Dk3tnXuHrjc5dsbpV8NRmMqlwpt1vi8jL2ClCGYhPmCW0DGmzN nPsmrXmoVRCJBI1wdK49J7vrvoQ6aBz4Kom9prFB2bkSATI034Tz73YZOZPtw/tgpfqneBs56cil IniO9vdPdExXIyj+J6PafCF1QGh1aFU1f9vmXGFin18t780CrkePItPrq/VQb1zmHWSgaxcCCBWC 4Yjt/xtGUABkLpoKNqfDjO97y4+PtgpgvUYiroNfKhaQzkT8+tlFsbp2yn5LWbJsGgOToSW7FaZI eEoHLrrR4DTtvHRGJq3NnkR+X2GAdKaj7eDFK8tA8D7iu0qErsIzJpi3u0fFRTWFKtFYagjLsxqm w+AkavU4zxmUWUPoi7Lhb93JL2Rjz8AnKvVMNXR4hGd1SAWLL1Ge96k8Y1s/ZzPJ1rBWbB/2uGKx F/ua9sK+7xm0zhZz7cTR6XtTdPv/d+zoZi14iQ9Rjz/U0nz2TDiZL21uZ3z/2ZgQ/zwzQU3CNFVj AmTwq9bwv2Z2ouyhTqebBb22kdfJ0a06x6jkPTNUwifYuBFN93UcRVCpxZGNpFPqlufJsctPcxhS IzqdHUNxFs+7Cn6p7ikqq/RbABIvMPUdATlF2KkupUVFtNgDurMB299y/bASiPJ7bDn1tIkRuIvs O1bcp/v/JSVXEAXCQcJmMhhbYisTcLK4eS56/LLsV6l/WPVm7E3vktUz5BYB0C5q4cTHo8LnOcjK sdA0CbYAmA/+hIXV3nYMZSO2cNiCVZNkhVS9dh4IDrSyiNVms2ASFrLKHkUkdDQ30MZBaY3ePKaW wtBYLfAqxeLAm9SjsKwVVHwQXBa+e/mxLXJoTqWSO2RarayrU0y8SOEAxRZLdIuFYJRKDSGvxcQX k6RJxfNZN4+MtQOB6DUAVyVRe6OlV90gQG0x8XNMe1ctq3ba3hzfGCazteMVBQtAxpyWZNar5Wwd 8Ga2tfzfzSaxFkIYiBobTnkYJuMPwSSoIjjSSnX2+FhlPnHvukxB7W6FZy0hLBsfcuqWTK+4eSKO lPzcPoTV5X6XLUnsPS4D/P5XLKO+8oxEEA7QDWANcRmaTT+kQdKlP51cXuyRHwi0SrWmioit7dE6 SFHx5vv7YTRJHY7f+9dxhoudNY4WT3+Rl4VIO6dBF6JhnHJQPqMRIbTntnz6/fy7GQ6oDP1TsvY6 bFf9cr1/yOxCOXafhBnDvslKSl+1UQZopNGZ0QHOruICmCIQtpWVOU/Yn8CVPFadaOwcSKRq51hh mPML9om3mKYcqFMVbrRT3AbzNsjrdnf3hj8sDnhYILlCveYnVU5VzWsZu6L49/Y4PCaQqluWTn2Y e4oYJZtY2Sy+QQ32aKz7ZTnfU0GtYgVauZwz0el7PEdaw/dbPf+uxAeBZUKKNLGWvH0ULRhn5k2s kt/i5/iu13GT23Pv+wNRI/OlgtA25KeCKsTe5xZRmbnvnf22zaHWOe5InJqD1nhsRia5wCWOaC1P DVHC9wPE5QyOYZMO6jyYnJ8huAibT7jeACzsDGku284ciChHcCqZpHlVHJPVPxauN05n91Qi9+oP /pTNX3Yd/pDwc4fcj9T6XnndmOMAEUB97u0rAFh+rNPuELE2cLM4GTSv9bC46+2i+RCR85vKz99o 6vURWeeVLNqnIeSvnuneu0wkNtSmqj580ciVF5MXxmke2SZwpMr6j316eJA34gPqDkLpPEKrCHdL za2hzPA6Wjo69Ys1jd0YkVPfbbLaSXdxjyLTx9F5XjNduJBnCdDh32kDHV29bPICtvNlf7n3E3Dr q7e29Bmz4bj6l7Rgha8N4goAKBEoI6Bu+H9yc6tEUexPCIE2MZS7Xil3XZzfGksztOoOE50iu+gJ HOmblpxFVxNc/4EUmLwapE72Q1rq44WpkpXFS3XsHqWGYhf8aIbgq9M/yDOtfk2kpnxSLTFsSWBl jGZ5d3fOyHXDF9gR/LKlPEGlWqI7COcwsxAi4OjoJje90OEgq1IndcSvb+ixafisjLVxduVWZ1V6 HLRSC7miUJ/684qLhIfc5UH6+RBDHqDcKEIEE2cpxlDBUl1ZTb2KK7hEADmyo1qZb9UwPjCqE1CP LZ7TaYfKAR6dT+kt4ZZx8O65tjKKXtArbNdJgnzZX8Vu3IbQ0PAcMYSwgeBLkT2Tl7ErrI4HA+NA PeKSTLMvGzyAsf5LfYFe8GY8SHelI47ekayEOc+tAoXG9vasCfHvehLJo46Vb6Ps/bWg5B6pSxZm 5a++tNMwR7XwWfxZ7JL75Xbt3znZVj8a4COou3iaq8PIcd900vHWAaDMRy5pg0CdnNZmvYlgFlar Lh9P/nv70AdDP7fFWlccjq8mRBRJzfk+6amBw6b2DtkGteqdWd/9+3CfE4ugNA8m3SGFSVBTCM3X 6/SNXSxjSGZ7Y6zSWHaFQyLLKktPRVXQtiRbC5V8njIfND5gpBxtKsDZwg9WR4vF/m/+pUY9zWHD UoAPQtMakRXU3obsqSJ4a01GzRgrxu8nQWb0EPRMoj8/f7JLD2XXOyusKzqQgQGA4Db9r55+1mLL 7jlsWr0GpL3qvMUWWNX/+O9r0Y6q6pZOAI8ad+0UGihUQ4G1Yef4QEQKB0QXTSl+Q8fJTOdXSDHr tyUTD7e+6Qrj0cljfD+zOB9Eh6PzV4+z8t6BtT4+7Z3P16HhL1FqdrgCPsCTtNEUEVcTqK0M8rbC +09EUnMuL55jXy3mroPrMFVadfkCDCmClJc8z/phmhZn6vzzuOaVL0ivtwH5k/BkVqVDozJiVc/4 xeIhaDM5NeYCWf8k9D0c6JxwHC8pLfbPoSA3t2Y6Y7P1k0eqJmdbSjwbua1lEVD/MoBwPr979T4K 0CDOAVmxk8LQ2X4S1GUIXPICvt3fGKFDrdBUq0BNyDooTYgksFbypO9q+mCPBzOJCoxtUFvI1PhH tFaIEiTSwdzny7KQmk6Oh7TToJLGc2pc8LMItoMbPmc003HyLqzfN5KW/zmGpVAnRPIgTnwaxuN4 th3yqG2Qi7OfLlinhmWrUODgF/nw+MzLVQkinTyUIDJv/r6d7Z8Int8sb/DUJO/wMSSz7vtdoxrt b0i3JdfoPiDhcaKRhT1KdvGWAf6EYgdu2OjaDwf//j6AtC56MtPQiopOHqkfunP++2t6e3ulKd/T 9/u6K/TXKgYhhMuKwmVxnfuaQVzyqpa5cEzbFaDtDgmSXODE0wy1Manm11EK/0+LGJIuo3JV0tn8 8CBEX2JAaRT4Xn19YwQ7GPqCaGnu0swVfZoka8obkfYNE5Jybhim+VtnFC3mlkMrczMb9OHhq1fZ 1h0ieAwajd3cxxNDFZNytULhdPQcisUNwqtmiPQ2xrw7Ao5cK9SqaggPyG2Oy4E8MMvI67actssk nAVZe0NHHgZFW4sdo2H8gO1cJTipdT3hMlwx894zZha/kw8lFzqi2WzLhFoM2qiyPYTkC+1yv2x3 /jzM9kjdBtwcK1aGw6Mqq9luHgIRkw9X0uuCbXlvcVFdoD3+F92DXkAWbCzUMRtrzRpklsP5UFkQ zVbBthO5kvHVFtp5OK4jbjteRmwWTMkfoX8Quk5LY92LTflg78QnGTvx1faNpG0ejs4AGWJaZS80 eNXCe9B8UyNtFVn4hx3zqqJ3VR0mvKxirqtg+8x6OWQldf0MjyyGfdj/pKDAxw+lBdVx4/66G1nJ aKWIf28BzE+zkP+0v46WB8WsT0N/ZdiGyT0n4PpgkZa/hAdd+/+hgbGFPLHLxgE1912rthCU14eQ uXwyESHjylfZd7OoB8QsrMGUJN4hh/HVoWKoiFQBnxZMOTcyEcdNFQe+a1nc9IyOSaf36EzIi/AX GKrqmZ7+thxpfxnXUd3/s3lBuJy9mC3RhhCyawLL4v7gYg/IAmeYuWZrYPhSn48IAEf6ciWB5wcm pek7xuWG2sYvtZyJu3l+RfdFhpZbjj08vIF2mqrJsA52pNuq+PfIjk9kcFozyCBO38hlG2RzqW5u pQn3lVsfejbod9KJmqXzZDOtVoXseKcYYhCG5N1D0qs52Ajs341voWC93Nib9yknZ6XUjx9Ryzy2 gbvBv6aic3+Ke0wmFxBMGyymkNwNFLLo0A2vXw996BBd9KRJUgHgB+R8Gy3Ei7XPpjvHEMYQa378 173Z2Mi0xXcvOE+uFp2rVtw7Cw1qAyeOs1PnXKEsikcPv9ix9iIffrMg/E0BbtOjIl/JyVwoieLQ dhDSe4AnLsU3Y0LYxjW+c51P00DlxzCe7wVAyvfljkWPs9XP4c4ejLoGR5QTWJJPHHigdfxQcn4W UbuduyIMo3hhbVHut5xZPIEwv6GMZRYadNPfg+m+0chMDK/+AZLmQ2N8khOee9nnUJdY949nymsk CA6AKRCB9LAQh5gbM7T9pGcQfbXp8hYn2+1j/DqDzu/8r2Ul0lb47JRhTX8/AsGXziSwEBXDxPbi Dku0DEDNxGILJn/Ws/2vrl4xmaXOTSBcHVguuHbyQdx9J0D4F1V+GrjG+HfSB0c1mPvllR3dG46G OiHvGoM3gpx+oW1Irtn50kurZAdaZeai6Pl1MhC0Qd0t22h0QhURqekFAa+oyShb+7W5Nep4mo+m 5jaleEa67622MjyFnaIOuw7WcnScrhfej7saUFr7lvyJ5pW6/o6tiJyPBM3cEqpFvjZaMwTa8+S3 iLJtbKqzf3qBIN0pkMX4UoNYzVGjec283kbyGZ6BgiFM9M9+NynSjV9zyzE67tsi9rTWD2PuBR40 j4dFrs+AHhsDjSQhRe2LvXcjCdh37GGy4jCIN7mP7DFAG5BYdN1EcgHp9IJMcXR8ebuOJ6icq+DZ V8lCpHvJtWU6IpLfOu+gqV041L5QbiX8wCRVQ2pEezfgExChHmuN5kPwBbPYsHkI8QR56eotSfXN MO1Z2FBqDDFTA3qQ9c3ZI7pPdBCYevM/d0s+A8Npc29pp6vopY/uMSPY/o2YfFZSf0D8FqrlssH4 /drcKmV/o3wmER1KnC7O0MuvKnq1tbijVPi8kItk1zKgjw5hMpbupdryk3zk1KRHL3X5jE0McOrp kHTIX1rK0EFwc+l9hsjPAvFN3gteWuVxtTmvVRrlAXdJ3OYpte0rcK9BuATUxYtDsQ6HYzgMSEY5 ygqupJldAk7kDunNdat0mkRyXbp9hrTUMxgenDi4LSk3quVJca6WZI1WYdkB8l+s8akreI99zip8 jH89zvA5vgWGRHSz/ITAyBr4ej2gnKiFzNSxxOnbAfZyVJQ7p7Rwf+cOb+8Yto3DAW/fnbbidSWg e178Eij+ppLIEgynJOMsdm24GY0p+XkOCLGQrCu+LVyiGRxMeEdwA+vWzUksb/jOVcjBuiLb+nGh H4yehmue4/wzbXmpETxLN9UsGCsfLcNYVYbzUhsxl1wV8DaNOOs+zprr4PzSiHvRoELHKRAbee3L sE46flC/Vp9Xofnk3N/r4IEJcMZsBdWfVhVpI+Y9nBMnzfdaLOWib3Rb1sXizOBqszivh4zh24gm EYJ2phscTPMnmPld117ZspkMIrlm/W0U7xUuYfleHKrw+uGh7ca6KEP43J0CGLWJ6YWYH7Iu+ucM gCQm1vyB+LdHR1XF5IUCgMLaqM+S/EVXT8/jk2BAgIVPlN9QI3uLEdX//8KjL72uqXWyF2nnL0uB eelPTb4Ojm/va01fOjNKn7F3KAYe2rWAsYVOTuL89yr9h1FVBhGrtZxAO+D8cV/Mav4bWpwlO39a ZsUSe+Zkt2ZCFe9glaY2oQeEEf/he2HNtNWUe2f4DvvBUDwvS04luf4Qmb6dQxLk5VGR76gUz/+4 AOV1PMtsv23Bc5Hrw0HiaepE59Qs2c/KPMztjBjCqJ26MAPH58cwA88PBwf+VpqzPHNwTjyU4qvf NdkBvwOk6j7d6WcV0RGlOXrtf6S1P5AsCzPKZCUqODdfuWxEyPzf34gzAOdNuleMS14A2Q4EDWfk qZ5kHD9ARQrhTsxQBJ4w5AcOqxOqatN8jD3PWaS37+9KTgpS209d592vLRWrbyIjlgZ9gTUPJwHD liD9leEAHBGVt53VrN7bkEYVefGuwZFkGqYTc45VjknRo9Gn37g7uCtMp61HEhaKstLjTYNR0ds6 tLchQoIqD1IQlMINVwJzMPgpTmZxwVGGOeqnubs7q6blzAlOtHH/twZaC+Y1LFgL88O6haQ3oTTr s960u+ZPJVAyq7/5hG0B58g1hrl8COcgJQVDJwcAALI+2+uF50WkYxY54THF3sLBE74fQISzAj2V ZTeSSAnG5jSTiFUZd8ew4SWWXwKrm4Oh0IbdPLee+iqqBgisK8pTtS4pkwrk1W+4MyLPLP43dd11 16i9Ft9ksHq/CvkDiomstPMCxO2/pNNKAkOT3bxUflyypeSheZ+XJJhe2Hhc8/wqVbr5ImGhHF3Y tmLPu+VT2mLBatqB2G4RACO66LkKKOO18Cn7j1p9lIJWgAgA7Bz78oFrZ4ctzht5bgi0n3nj8tHS ePjTAh0USUM8IFkZ1i6/I5r/vXxSWC9XNCPsSdmpztSRmbmM1bBtDNorlG9sgkN0yPN0Hjv+F/n0 H1Z08nOhbiFX6ZpboLILYGIi764DkQcsnDhvKv1Zf2vBxwBX7d7oHQppaOVRCldhJBnKKK6Rv/Rb qUyz01ssQIISjD10761B5Wxd5kCya2eoeKdWa629/exNg0YghJQDXg+2gbawIE+gBloYcHt+328p NarC+s/3Kr37csWoRiRXsK4KeIr69AH2+FMM4UFu7IDGYWuCPDOjSWdZ0lWC+CGFdnttXt1IyrJ4 W5oY6wwkLDCASGjOEBOlN5ejs5tRNWF2pbItXHGGd1MWm8gBt5zwF719iVbx+CEIkLwwQ71BBJ+r UBJXSHvp3U8X7f/YeC5T3linV5s+p34cLaXSg1EyUxLymSQUR7kPEBszkSqxajxMAPyb3AtpFPpI oBoy+7En9aQ438Wk/32/huyMMnXKhIlinO1x09WjkHUXur9CECNMO4LE8WFWyl1OMGKkzaRx1S4t MqDsGzHlssfAkxFP+1vGZETRhZGa8orZN3F+I/+MJ+BaoqADgpsOZzUEHZ+yFMHrIMH8JVs8sBlo mGNAC2icgbl2WG3lb4k7LdS2GVj7DEOveH958O4WnMXIx0Ds1GCsrljfxl25r3//HzGuIK8JvDnx zNUm9Kw1ZzdKIKR0+fqqRf7Lb1NuOYM0gWqfFnyv7GOjfMr1kcLkmqkll33u0QtdnXRCuX1BvfuB Fmnk+LlpgqP76vLhfEH4ru3xvv3fXBczOlTwT44lj855ltEqAoY6nxU6SArvFT6ZkQ8vhT8zYGdG uoljZHzwfv62VrjNiXuaa4Gm5yEswp58XFsGs/dYQ9xmmxHxp9s1MhzRFOy/asWehBX2jIRTHIPK +IIkVpRBiqSS3fbTKGjRry6wL21mwDhOk8AnxEKsh7XZllUnElANwik6yd5HSoRWPTlyRCG8/Epu FpUTOl6Diap6SPxwmWRusc4NJfctbfKGSc7g7IgG4dFZpgLI2OVcJ+2QY4tsJnvPABRApuqyzhI2 jym7OHfp4W+6Cu5vDm4h/Ja5piPy1aw2VvbAuPB+r62IU5StRDakJa/I4Zw2inlLi8C52zhosvJf B9Tr3GqxHdRazaav+DOQm63gCxHaBXHI54Z1NJu3dUTSMJYo7z35MWYHoh9HssA1qISF/WvCkjfx S/rY8NtQaSblG2ANtwXk5LFRzC+jFAc92ssxo/f3o3nQUFKnrubwCeuW0iQxfOihUHIbC0cIQ7KX lELQb5LXGGAag5SCD+nYEWdNuuYQvPgftxJvRn0dCCFfyyYcrY5nskLB44Dc023SJChnI9KPe7g6 ITV0WNPkre3rIUq2kd9f7LiVH3qEeFptHzVGOYY5dYZEPI+lTn8m/KqUbX4w6AYTXFJPVsUPuFcb oTFvmzNpre6cWvtEXAeq4y/B51c/kW3nxqoCuCqwyfEHnst3/Zn6lkcWRPeZy7Ny5Nwrw+RK48h2 GqW3gH+O/fMKkejMDSTLQrqLJaFpcyieIb3EiAXKSC8X2X5TzzxRxMFmGsey9I9ytCArN2WhavcP 3dWvxl9HchqDqJ2hlm2R4hras+f5WL9KlcR/GDaIMpWY4aFPvEmoXWHQI6Kfjd67f+QRvtSGPLVX EP8ktD9mNX/gLVi7bavsIdg4WcJzKbmg/WXoYl22/m2ZN+o4T8XxOYSbggG82zYN2yX0UPi0MHjC DBR0LXWN6jn86OjoV+ONrdWzpUVJnrkLevuQFtaky7+Z9OlLUUTL9kER0fB1pKXi/SHh1AObtvhj py2+N9+v6KsO84KIn7D33arLaQ2AS4Hialy3GA3sRXMF2jzQmC7w1P86OqM+PFBRpaQT9shkWjGT Hp3l7AhOTIOB+4ZfLkrRriC2tRROKJ4Q/gg94kKWoz46cDk3mrZY+KH29i/29JRKzIF6MDc7bERf /EL93xmOQ1JtROsjfTfVVRQF9NzGxaZaP4YyBmH4yFU9fKDlQ/3d1AKExvKVArj2Ne8gv6Z/ID/I X+sJ27x4/9rRNACUwFqDmQ+iy69/exch/7fK/f6f/qwtWzFKJu2+F2CpU43gubXX8eJ6zgKlGOSL XqUd6oKFdDU04Xc0G9+207AdWhn717D5AgolRhrHfVg65cPsjJFaDk2uu4dlEYiAh2RAzGqxJGVi UiXALi06zMPs/VhpR/IOAw4e2TkkggqoZKJSTtQ3/9eLFmUW6j55HgKNvokLhnnrWOKYx5eCLWSi jaY02AR+0dHAPRFxcm0ffunjnTYKTN8sm7wOMzCYhoUjRaDMbxGAjQwKKn5O3N2G32AxW/yBFr9E M7w0WaB/PKtsE4W4Y14DY8+IQEyGLR1EGEDguqxku2IK+jaAm/kX1O9KCpgcscFyr4fPok3UmTXg yPlOzJ3EbGvF1WUYiDcmME9GF/BWcLsNYQqMqOW3DbWgSdC36YprGo+vmXjWvMK0LeePTzcfqbS7 CDL0G/KzJWuK2oxCBOIDuesYDaw5IVBc5Eg2JrIM3g2IFbhDL/5dW45oyG/W5UtzhC5lepzV9NwC cj1FQDnU7Ot4MGWW1250RIAAiN/WV+ocLWH2t0TlCNQeuZlfBVp8tVmiUW9HR+FLlfITydP0BTz/ 1Blz0KKEBL79Q6zDceYDejKhcKA2djVv/o6gXOarojyLJlE5ML7BR6QdW1Th83RktmIASqO9m04V Ewwmd4cqXCjNoC9p4NRx+11u2ynl6Z8PbGJg1khEWmtJGRq29FEz5Bv9e1ucecf43tIBCKjxZUVp wJNu6DQyinc4CXuhCVz9HTfwDmtbyW+olGPEyGp8cdwH5plm8KU2eNqVPdbzCiY9jnAsYLKo4PkA ouKEKULK0rTzMx3Ud5E3ZyF6/zVomlb/cD/RJUZpmv2MPkpojJ64babzXkDZynDs1TSz3/QqXdz/ uL8cdybt2/KWtNbmpRRl0oOOS8xT+dzU/lieu6lCpCfbA11v73GNwNoll7Psp/6FY5rdBzQ0HUWy CfoyhNjnvz5APcUX50RB9/pbOdWSKP7PDL9SoPGZcmeo8fDUaQbLYGL61nzohP+r42WT62NhT26C pDh8LUQeWNy22tvsQn5x9ICdWbYZPPx/tTFFEllGmQDodmdybP2PPaS2XXO5omlyh/n0lljNXjpn eiMISsIk0bBCqGCaioPX0WpKKnHlk9WkEXPe1gsXbp6tbKrX+AxZqCMGLa3dxMGDAV1f0EZ5zKlP JrUzMkIkP0Ereu18lot4vjQOewO0zeRwbpRcdLH/yPG7pKGg2Mxku3R7jc4vSPZFZEwPYJgCe1Rh T87Gb/a0Rp0pJ4RzXaSxgnVVhM6+Uy1PMYbZNxYlaZ2+0d60YEo6Z3jgN3yE+P2wM+pagfUBxuac czUFKePbCz52/SS7RDg2KYYkilSDgaH2Ry4gFB26+tKE7IzvlCh+k8B8Or9C1lUyVoj32uyZzdC4 DLgkzRW6iapLUg0rgiZXYuRZZAMZeMoUXp8ODOlScOCRK6NEyt+m2kfGRchPGjHUej4IIFFQWuEz 8KF8ClSPulx6tNbPMdxICDLJuibcC0dxfzYuEHUYMaPk90am2LzLncwsHfI0v7gbCa3IjxNYsH+s 7RfqwL3IiO+Fh3Wxxn5z9j9o2tLtKqHrwULgmnLUl83eze8XsZVtez0BBSweufWiNKRBkfQ99NsU 6YhVL2eaDkfwLEFC28Rh5Wil3mFhhF6z3H/3iWaYH8puf91C9nNceXCDRg0Y6KNAxRGWaG70Rvfn QXA/5UP2Wy0m6SoixnNVwEiLRBCCLapuPB4wJ73pjCXZA82gJsokCGneqW7qzcvtVOsraejIYVVS 2PsOCfzVl785TmN9ZBxQE6qH7QzI9HbUgU0DpyZyip2WQpi6aw8TVCv9fpp4D7tse5tz/w2/cAvg /drAO9FT+g63lqTAbqryF5u/OkG/JW0U5819TDkdjOovGHVZ/m43cFJinAYGzIF5sFXSkky2CUif VZ8aUbjLwNcZR29axUrXx936R1B0WXfZ0kKwaWbps9ksiCuDnGD9NR3mLZU5eHNBzI2qKvpRtnN1 9C7nXXC/8ovVw3BIXgCXH4f3TcR9LJlewpVyMlA7/vTpvRNprN1AelgAPMlsbzktgojFcek/supc dksbY5+MjUEKLy/7UkuiVNxMcsXTZAeZ6fs6O5EChmiJGncUfrPehklWqkevjHFrdeM/ck7AukLr quAkCIldW7NosjsJzAIF+tBBqygsSHClPmFKXU7zfqs1+/nWOowJEpobmvTMxHllIMGVS3HvtwVo i3LbbV5NNXXwUC+/TOvbvXpVMbSmn4IFhhbtrh/1+OmLZmfH2WdBj9a9gs/oyk2MEmaW9Yg+S6ej 8+PiKT8AH6Kz2qTAGeXQiksQmvkQ9fa7MZThdIqgFv8ITcdxXJL0DkfOXQC7h4ylZ9X4GacYGWyc 3VObwPewZbjRbgjyP7TUqEvZo4CUtFO/IHG2UWXWnPIeccEUEs7twAB7QiWKQhPSolz7gmwzZJ+N Qv/DajKPO5h/sdwaA0TVgokxEhkt4E7QwHKsltXl0UwUT+/4Vwp7EH91OFpARVh2jm8ioepkizeo gW5RZUcHwE3Aya/iErZbOBIcqxa9Sfjd2I0rldJrSc1PwygrXgI2veScRrtlr8VLtDuzP4VDYoVn gI4acnOPBdNcAlpt2Pm8OapkHZYtmSOLuGhiPkZdfjFZVZ0w6Bi5OBJybeO5cZlTeGhyyL1k4x8V jc6nYeXh6YOxujwocpJCxIkKAqi/QXDew78QJdneb22sVg35dRsKhxv6FhmnFFecjUvWS9HL0aE0 9NnGaAKdOoJi3QXOTSIDUnDrI2z3cCJkwh5HeKFFi4HYE8EGB6KLt852j2MpX5ilkJZl9/BdtEiV c/KITaNNekWykRzt1yLh7iSIGvHKOJndOp/GAjM4XNseqgcR6OeKgH8FX9YsLSSsQ78vPHxE50n7 LgX2wmh+rDqnyG5xRSHLVYlvoJTgiNu8xAu1d0E7wsYT6FpKOlafNo8YiL9Lh6dCRRBgwfuYiWnh sROZqQFuQHAldtTyVr7wpC6DZMtML7OgrXQ3A7he+acUA765wGHMnQAFyqSmL7Ho4hGpMPcEAiZj Fx7fw6tWy0tm3vVW8DnAKMCym/MAVspD6hRnP1LZaMRmeYIV7CrobDWREA7u5PehhWPg/yqXRFDg HgB2M3miI83bqHLcrHiEvyEeZB8RPSiBIKVarT4rztBGfD5p0HolvFGMz+1YtROSeqwzlGoUnBeY qB75Za1bOnSbt1HDH+nfaJLxNoN/yqNPknpz6fG9gAzYHsLYMRtK7MLl1oZ7Ge0bsFBJbk4DofpJ l6YyQK4RtvbDFlNpO6s63ooGaQ37GfHXZDdfCho5QA5Gv4iIXwhVm3H2Y7rSxaV9D4Om9Ap6L0+m L0w95Sv9kj+9IOBhqBc6zwQRocn/mxyk0r13jOJnocJ7hyU2igH7RxJ7lFZs4DSX5eUG9uFdj820 WxSO1r7Cwhf6eWxzEmkUbdHqF9AfM8/TlF3Lfe416h9ayLwm2vYLulEQxhpNQ0F6/wXf/EX6TgH7 6RFA3dxKpVrWgFbOJej/U95Sbj1pEety+a3pjNdW8jWKDQirZ8098tcpCBEupcDj9zh16qnfGukr gQkoiVjxZjxnl5m0uk1ZcOpVWLe5+Hg2E5Ww0RdCgSHK3KWqr3ASfoblgC0ggxtp8qM2uGCWuD6F OFRSEepsp9Q3DceD3s1NZqWL7jrsokD9iXUCDFN8lfPVqQeVlKTt1PUQWnX/aTFBT+QIoKRQRxzY fE+hp6Cp2vCrd54XtguFcPDlJzDB7DSaxjw3rxv84LCTjR+cFLrfSg/4Y3DE9UGedp2mDJ4JDW9T tdns8g7OTWIt6IFgRh4i/br7ma/jAHnRQTlj2LcRw/nOQLWHibv3mLYYJJCP41ddMJz0ZCWDLnj0 f63DiGOVyIetjWjNFkeWuN8FxDDtmtZIf3lKFn4qiYiL0nQJ9oavxBHuiLbyOU9iAK4IIsr2jstR SDtzxk+qaHgi32q9l8Z+1lWCokPMC81wlD+dneDL/CRQ51xd6095JxPFJ53FldcGmLZ5uE2X1eF3 CRhhNbUHH1vVseGXNSNcKOikAK/I1PHhwVCG77/fzPpH0Ge6Xt/luMtvw0/bsi69rq3nnHbDaLWN DTje8Q7ZL1bQ24pZG3q1d1wLzJP2tYQXCgOg1LprtMvutgD6b+G0FCAAw9B302210L2fjJ4u0Cvb QrWzhFOr6e3AbqHL8eH1PMwYGcDbBkien5QRNB1pf3rdplikeqxBRetq75scMBeeuRgKH54LCxS0 A2Y/qaGJL38jv8Bs/6t6xCQCCV6N+pZt5947pMIyiM4zOwL7GZC1Kc1+/7itdgmRLrWvfqx4hJ2O mzIkZts2M2fNnt2aJioErqcwHtJ5pg02OigMP68jVqyzs8IHm7jyI4iH7VxLG+JXr3mFkV8cH9LN Vr/aii9TlWvvmK4yLAMZWe0ArPcvQsaqBeKb/XvXMOZuLTLGxBiM/dq9z2Jl5pjJUMz2nrEjvbk5 CrW3AGXmsvjZ1m024H69oqCHLoaNdZr34G5cRke7XRTz0gCOqW+IchbSy0vUSDTiM/g8tsSeNpfX uIXfpEIjzlfWG3QpdL42r3IKyDR8LJw3hOQBUwOXCdQ5q7BvKil/TPgCoESnHrFTtEwKMKybDl4t F5YJfmesUbbINWqFgZUzs1Avy0eFJ56ZOlpIRdNrO1lFOqZuGiJDu/JbUm9h0brS1encj2s6/dUk HJo1F6i/cj1xH37KgiE3r2pfPBihM+jo1BQwC3f/wgSpikSlyAWXi0cGNig+mTjUgeeE/eTIMa+5 Qw7ZtUUSGjcfeyNfEj1+uc+qZAcfaMbYdYafpNNfeBNZa6CxVExDqS3bdQoMPfrh8k+VcdhC8xCD CcuCz6x20a1apQVw1OSnF+HPNb7TKVL76gmkuTDcJxNP0X3f1OA+rBDrzlkLFIBIvTNhvL794WIt dq7YHH4gGyQ7rNUlp5XueEvF2mKcIpkHtYW6r6rrwQArRAgthNQrQPhJ2h2MHiV2v7JjvqLwW7Ez WNpmqodPz+/3iJji6S1zEziWYG4QUlb9xTC3ic3oZw4k6QXxqgsX1T3fzj2Y8o671HnaNqS4fK4F U61ILb+3ARP4lJsrL3IUk4Dh8y5iE2TO9hCJkFYcgfjEIyKvZtZWsQ00EQrtKC1S+Eenuvan9SHv hzmeOnOD+BASJwWXG+sa3MKa+AB5/uXAuXBRkDN/AamEg2674VV3yRx8tJaM/EGgq+tIqb2TIhDJ 4MgMJUe7geB6gMQopTBfKzJSmQ227ZDDJoQcOdZOGT6579PN4LZugdqObDMPELzI2D9CZp7F8cyx 3xLKeqmUWMuzSgtyAS/F/MaYrnEf5Fi9H+mngU14Y7w82179IAfmt0dp6aW9v8fzJ5fIX5DimUtx m5Hi6ceUwOcTgbFUj9CdZfQnhAAMZzYT+GotTFjzEVMZ1AIUWUEZ5pR0sdp84b2pTGPAULNKD+cD QWxkBDuyI1vXPjqLwCVheqXEXqE0IvcZM4TtGr1izAZUm4PQDbsw9ehGkaYeoHRMbwbBi1dNjed7 1RwD3vQCWgsEOoH47CjkjhHYnszOUYzCdF3O9WwwstJkTOw0klXzw1laMTprd9QYEL2GP+/UI9po AvaU7z+XhcahYJRdN7Xxmq6J+v/U426iXEcmJ1VMOVPPPGE4u0cQg8Q5HX3pG7c9rejcrCkvV+FI 3lm4EW+4AcV4s2NVTeCY3l2l3giaP7XDZNm0iVPmUTEWPe88hdnBC1j8ZSh31IqDxGgAuE+w8BOw 0OcnlWGGUCh2K1QK/R/TfjlnWax9SsVlyG4/Y5BUsuuyDNKJgFoov43qbdFrqxjANM2g2tChsSwX UYqxUIDMUK4bktZh2QeoCa7Nhm5psg8S6NqO1agkLk2BdJZ6lyzkrdM/sCLr2li3cG+o1W+YgytE Q8wsWoQ0+aYaDTy4jn4KMWlEATXkef1HcEQ/G51WD1joqkFgg6QzQImDBmqXWkcLddBMNiJH7CxT S26NW10fmL8F2uqAiLTHSlCmfFVHNfyix/sz+RIrBkux5MNAz7Wkrm59lrdMnhdmrBA4/WUuWba2 lmcswZfpMSx3K5f/UjGs2ftyBZSIHvqbZ7TXrlOIB23NKEX0M6eYwO+7EbSRKdBrlJngjK6fH/Ht g3uH/oyRyW2jyBAw8wHPFCl4J1WTj4kvdIXA1C+nQtfIiL/Oilhj7GZPyuj2m0JB0LILPRVDGCnC 2TrihMqGw/nmjXGAIo1Qi0m7p08xcbV7Vjw+cYFeKnQX7DKUOY5xoBa9ronSUo3Ew1BOY8A+U3qE PQXOTyNZyBh4QrktQ33ujpinDK+JxLCjNC+BH2VnesRYq8WLQYWl0/lzdJuEUKmMh4/Xr37IXyZS UVh5N6vxU9gzX+to4CcoTPq0tk0v/3/6ks3z6S1XR/PVh5+3EDnTMjH9UX8pDQiXelpgIIWOrWE2 rTVxjscIg+ebwaJQPsje+tNAJYtCRRGTqpvXpX0I1YTilGNpWvnoAP1gwnrnDD+ghEyADtj6AzHC W9STxDrr1HEwd9shhDgrj98VaEBnHEaQf/lT3XANqL12eJPdPk7175ENlNKuvTwiisuG/ie0bpLU wtZOOghnZ5WyJjbNwH1b8BqwpwVjH6ZJCt45hAWW1HLlS3lNfleJIN5SX/skwy62iLxsnGZVhtxm cT+cpymA6xPfEH0oGwSvE3sE9EH9V6SrDREWEI1e5qg2zKBuHTAGDZjIJ1rIsiXyfghbba7Eg6xK d2JYyPRP5/Jj4cEizibMiHqaKRalOLRd3RMTy7E1FQHeb8h+1dfYx4u08pqcwP4vmXqQES13LAUG oPlsnSN+w5MGaHoMCywYjgKJt124N34vOPShzUQHZNpbMnz5f6P0DN/lYYrL85QwEg4yoXsprhpV DANTfQIV5MMc+Lf9/U+rEcV4TQ+TBpTbw71/xvLaaKkXoOYF7NUZUf2WVWjsEgkVH68FfVPtJCxd 8nzdGsdMl7aGTGU6MPtjZ+F2+/eC+qZEEDPeiovijKTvGPpyxejrpTF60uQ9MTfM4FSV84iQpYK6 o1SCKd+qsDqMyMS8Sx00fPNff5+kWJ/wgNycgc6ZCBQCihTDaqvjCDHrZsuHLehSza4H/2UmoIZl 9XFeIaI2wSVpKlm9Flt4395N7/INEPdQf936/wQgHWnkygHNDWR2/bGGS8WwvOnF3ed2L0D5VPu3 +yyerw9xjDbrLfRcMCb1aUY5r5Qo5A6ZqfFGlBzbnKVwYzv6UxQ9s7L52xOKu/0FkTWX3mEWbVBY coQR4GMTr8p1GGCiCwb7tcpQ8BFZ9dPgshiUBjgE6+YxIrdFOSMSC3TSj6IVRS4ifssDoaSdAlfS SV7PgF/P/JL0XBzXZf03tDYEYAC6E+7vrJVL/zhR3RCiARbWSRBhT2VOoQm5eEGzXY1PG7+NSZeL oQn2VL8vrI4PFnqdd1HHw0z6mBBarqIgz0uarARrhY5Hy5iZF2UXwY6WdvrJMDBCPuClWI1jdqt3 /L+5CpmSJpuupz1NKbfjlnKHECJI2j2sZWKwCSG04J9SSg2x/+qmlFVe2R37yEwBdqppw4qNl23B mkIcULrF4cpqCy2ovrPWFCYk0Jqenwc7pMYfg06sj+7j+YxlD5uf8uquribJDQiU3qBTmvScf3Fn iX+xT3q1hIT7f+RVvsbSGtli8gYXyC6Ov0h1EDauSNcIEmtatDKgByk3qmPPwrYPA2pnad/L5DtE +2yyJ6bLm5zs31mI23Bzn9FmqGkCBAQ/DUR75xeuNsWLE//44kNwIGEj+35qyTG1J1np/Wfht3pl qY/1JNyphnLYoZrKpAOKs5+LMHTfLvNXiW6Sn5S/JnOso/i+21DBQJ7h3ntcKdmwHOTKDpUK6Cmc mQmoNwBiT/ruXg5L9D3vZ2ppEr5FoN4wieJqURWhV5X5VPwhyh3vU6SyUX7Xh120GWJsThI8pr+u V+V5NrzjrPykT5XF5RyYXPA8tYrgTJZw1iVt2+Dy/VHa96blpZzz8YJXB1B/UkG/nWIEBWMZgywV YKuNASoRcMEAz9NZFtdX+FIrlpOVmrvlUsKbXLxNDQxy1HiXZUoasXYJswLF96UUEN573bLEXR/e 3/VGZSaxwOwvqHQenVT9b641eGcX0eKAuCpdawu/DlA10MI37IilEHUByRAHawmStlYl9MmGOvRz XOUvXhk+MR3fpsl1ozvGIpDEAOklN4ERE1MwjQS47QiVmIjPGedHLezCJAJwieIjOKo67LfLNSBi wNBG1jIf04JrJBiD/tBqC4O8O1fT9N15A4RmWg+oTqE7Y/+PoKrI3FYkgPhhhz4/8wvgdtUnHwFC NMXq3d1cMc8msAIHBDNn1L9G/QabF6Pt0vCcMZUfTlTnpUf0TEWs6Asx4dRrgrESR3JAp/LRUqgO 4irf7Rj5oCmbp+MDHM3XZJLZvFzu/28ecApbsHAbyrTm9hoRnPQTchwTKXQIc4/LPtOLCEhaUkZp UYDT0fmy7ewCA/zWtpFMrc7EvPzsSc3hSF7rAX52wz5gptz3b6/VxHdh/mMxixh1B+KEO1NLBsBA 2AiyQEvjUw2UL4SqU/B7FrOcg3IuXo8LbB0panmk4JE69WU4rTSZHjvHbv7yiV5zYOEDLGVVcjIf /+zwHaZ4MBcTvbSztRhC3ryxjfnopY1W6NHHhRe6WZ5njgrEl95X5theWF7Fr0AtqCc/Hr1+zeTu AKIy8ITYwtsxhwssLrWNn/OOwPYwAF/McTujkT6RdiWtFMsYGreWwh56TmR9hsNx2VJr85ShX8z/ +5F6UhY38zTtxNkOtKM7UjhfbId0OkSDKSjX06zowxihdJX0W4CZrypbuNRq/KVlbwKNq8Ej0YkE PRUr5SAb0f60R3QLZ6lZoUkshU0fMMRR/J+0j7DDPf4m21Lw6XhteZYx2U50lecY5oKc48NtCDIa BKclS1AILTxcIb5lJUBhXqkX3yjoKSHixDGxEUTCnbT2GY0xdFI1jSshdjSirripWvZZ+8IQb5Ak 7NBps+6wgMeAebi3raFsOnVuICiTIH8czTtEaoDvQfEeWTcyRZ3F7d0/NYBN8nMoaqUFxcHUoM5T quj3daLoAh4R5vS+2xQd1OJAkqmxkgjpy++Xy28IKWCZ63i7OCroBHuejpTJ80lx+pBqz0q/AwSq 7WxMf9At96Lazs2Bva7Xbu4qtnEJELQniE6C6w17A9Wfn6awTuZ5UbqBxujZMzLA3PfKduA9XX9S S8XC9vTxvF254WpmAtlzpIiIsqAPf4lWnpHMQDs0OcZbPR6xTTDpHAOuPIEtvRk9EdiSvkW4jssK lOdFK/yJOe3znC+olnSczZzf9SYHeergmKNKKYDrv0aJUXRd4H6rAGKbJHaZH54Ht9XPZsC5zxMf b9GNWV3eyqdB8E9GwRtmJIi2/MKoa7COTFJAJ+8fRo/ROAqmiIfULRWG3bRHXHQfjdINmPxEH/fF RURc9baJ/jfqx8SweSQ2kBlQkb+WyBLnsgK0ZqKyZeYkifyuEaVx7LgwXXxYw7NckpiuPOBhBAe4 55Cxn53CkJRtWStJ2ooaSbcj6BgXla2ZE3eZYEcNW764KvW6qOnE2KzIng721k1WY5SpW7idYK8V gOIG5zvpBoUX0w+1B+Jgc3jjJsWZLxb+CnlSO2J10XaQWc+VltE5Nojoa2kA0pQ0TLbyBZPkirM1 5UoDg1aNcZm4jlM6dXOlmZ3vXD+6ZriGqNPy4n0mKj8hMCDmSITvkb/GXI+UAcNnGNXSfjJEE8Gr wyylqVJBIu34jBMo31iLEnyrCEWpGDjsvpX9VeK/D0FstoZfUF15JbreltNGQy/U3lZywMopcc5j HO7av12jf2V06xKh1xn4lrJ7J7AJZGlAWtyA941FbpLMRg+5NR5IydggY5nyiQdVBfQNRM5u0uGW 5Lg/MH0YXqEjKDvlQtxn2pDbjl0grdhX5wCGotG3nyebGX/DicEd3YYCELGdbh9XoP7t6oX+b5iO iHTKMNnN7qjTeSy8j+RekNJRSZIUdE2J3rXUpXQfXKlQpR2K2ZIx6AourW2K3NRI6XqXHYk7dIV3 JaLF2sCu8uYBwXXBJ6cGuXRSkBDNJOx4ipL1sHU1bOBex7ryNCC2P1LJ504M/NNNB3jS/7LRMyCZ MOrmF16CTeJntvie+fzkfDrUrkU8jIlJqgn4vOttJUuL2cQ3Vn1FhcjYJUupVg6AWh+fl8aVDbzw M63oCbA6kvy1np5funmwklW/UoQGLyU9+jCENq1Y8pcAGtAUQ945gySe8QG16tcux8WrUnqF3/0G SO+k+8jT+VlYpIJEA2iakyztz0dkyBupHWrFThUbRDCmKeD20bNmB8bf5BxJr3FMVGcWWyeiNgz0 xX3jaUA7gSlB6lHGx0Nbmkf5StjhIQzc2lMd1e8++sa5JjGMsOIkVwh/RgGaHnSltK3YEgaG/mu9 z8eo7BsseUbHaM7QQiAsE13/octRPj4YWOKaTDfeDGh0Z3u+XrM4O5zFgjJTVz1VDkO5g/ydooqy rZdlGaKVh7ppnareY5+Dj1J83PsYSDj6xZA7dk7x/YqI8Zxd7EVr8znsv+uzQEPgLZPTY7K+5dcI eXMd50zuhcyqs49vn+kyeOJnmT+BonP4oJjddm4ERHvfgfKctGKIyqz1KeqdecEpkHnXqkg1smgE CwmfJkMrc75yOisJslyox+NAWZyX5N/f0pxFIR9h7bZ2GlUy6Orme4hapz1dqK7KdoWda/CeoRvq ttS85lIoq2Y7FQOzBVzghJnOud30oUaQ1dgi7xDMPETHNGvhcWsk7LmaLRRGufoNa4NHWVjGeMMr lYxFUwXjjF5aK01ls2eGy0i68EjFNkt8Agoti/TI9gmpH44bHXb1TdInVO7ZuaiHyU/PKX8+wONt yBNN/JFSsj0DtGvHKy2N/xq3cJmO2Qb9N1VnE6KboyDdcUIIo2XrVlfI3FMCOh1l00TxtwoW6aTm 08NaenNvtN/WUzttt3SLh/Jo00f1mI8NrTXLwQ== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/delay.vhd
19
10088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iaGK4Vux1Zzm9gBS3KKNmBXNdPq+lSqE3Nnx40zW9JpQDS5U0+JlSB5O0czPvIZs1e6N9M3JonU6 /VRFISTQHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hnTIGD4PF052NtQspkoD0qYNWsnDfk/EZli95x6g3PoDiWDo2i9hfthnklZPOTwcwwB/on/PGVLy LOGgor+yT4ZX8UGtoSmScYDFDjshoGWHhtXrHczoGSF01e42zFHCzF3p+Kqif4EYEFLVI0b3qWfo JoBwVA5mSGa7z6eKZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jM4x3jcOa6ByCa1VWDPoU4L7JC2eupLAavYhTE4GTMYrnvE7xP73g8zjlwq1G8Zy1ODZ+0DDopVA JY2gdvefh3SJisXvlbuH55643svFB8C9ZXe+EMovXErk8XGGsVfWZZ9248m2dlrUXREntbWGdORb Fvho+MXYXuv0DV2DKImT+u2TQDacpvX5e8ltSYsMmjYxEdkZrVMF9C544bgDvuCE9PfD8XjA3SZW m5oOMSMtDQabvtrFCxaEG4NyuxA648giN43WXdidnKPUkuB/HxDMEcw9NxHOVNuLeVs7mrwTNW8a Y8nkGhyssdB7pA+UlWrXAfs2U9Wpi6SjK7D2dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l1zDcM4+iGcttYyoR8HHgtSyP4Fiyy45WEsaODDzemrDXcJaURYpyLa2UgO2HmqSNgBK4XdlSO3S QC2s2wdlVLq0nr6twxtavd0Mc90p3l2akMlkawzSfWC3lR7JsZexWZNEb6frZfXhesr8/8i8wphW 9oH5nUnhDJDdlXi2xk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHbCg0c3yWoABGhh+X5xmKdWu54K0QNaj8yiI7dbYcl0s74Nnt3O7DJj12bDcjZRfdRoiT43bXo4 30QPK3Jr7E41USUv0QfI981OyCHaIYD9DzkFx/42CQBEOSHNBrRTW/rge+4hugPE8z0ogrEZGdei kB3oPw27BqROJcBQEhzDTOz6PP5L7SaiUGBsXkKo2TeQ1sLfd6VNm52eUhSewTFcPcdSylZU9gjA /KlsPUnl2PskRWTiOzVvvy7q14ROz/8yTOqbBslSCNrDfBQA/bwCsE4HN784FAGU2BIu6GH0W9gV ySlMw5kMiPDazI4NmLxMcJvTd4Vi8xnRt0T8Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block hz+eB03GTRCr4kl9NBfZDeU5to8L7s097FSl4rNdvJNGNcvz5hUQfrQXaZ5Mp47gyQqERKP+nbHP W8ijtIwv2LwCvmfaL8fadT9FN+LaZhINEnJ2eoZvsN08l/2IOEEVZ44+bSNALFrhtpNFPjaTrSrF l6PsmcFmWgkjihsmc2qsups9jYRpTevrQy2UqaEoA1Vb+Zt6yBwJdq5r2vUM1hRghORtxAHWHCK6 /T2ulb4vziH1aVCV7gZ2qC4ln9lR7w2RSCq4NdTVDHUVD+0QT/F5zzd1K0VFb4OZ/6dnQMT9EAIv HFowDFIDNeesI8ljw/tC4rQO1bcuvnICh9/BNm6vto9IcLvrLdyulnsdx4B5cPxsGEct+NjOApA6 zjQUgkAscgqL/BhbB4iC7jGC6CkHjOn0pAr9F0CBtoi1Ns3A+lzCioQt6cDcN9jpUA3ryc2VdDbK TLm2wz55oD7tZjjVcIqef75y5UCAd6RWdxrawX0ew0pFgFD0eCxjaM8f5uuL46JsxXvZh7iAV/zI qrlAlgTQYbI1s56KP3Txp5jTlj1+2RmWPJS+FZUaveYdIVLLVz9+Q+QSBLs+/csU5fR2Pwx290vA ODz5QYJpNqQUzxOPr9Z3dpdibMmSxIY3kOvrS/IMvrv8Sx+/kEZg3GqbsXBBtBEfyKzi6gX24IUu fJoU2E6qnb9+IEfpnz5EYyfTzWXqRlfA2XqR25WApdN/N6o5V8WtgyNQMn+a8DdpS+nV4EGrgl+j PqBzj8EkHqwtZDdeA3Mwn102WMobiYuK45XJzhJydSMqLyLH8MOHDM3ixjUEaGFFpBULCkU7G/R7 AZ6wLajVmlzDeFgGWL8ssBgLrdutC0yyMXbwy9/ZEJxjzj2689nEERxG6yIFM1WbYgAK6DpO+ICA VAmNHD7jL6hJKqSk8nZ5Cjo+A3mf8SdeVb9MB4/CHnDm38mcRg64RpVlRBVWifCtrVuJD9xq55ez NJiahlA4v8+wIZ+NfbuhBEkj77GbB+ywx7s+Wb3fApO0oyxi/rHqh4M0XplZwItVqvryyOZKdA15 uq3qoS8WgMgNdcADWoxnSEH85MukOJDZ9+pwzajNzWpoJbrTxWuXWhawcYAKdxN+nmZ2JtAHZK4z 1SJ7CCNed+5885JC2NG89Ajkcq83EaGNq/gB+ASDApcsUvTU8/qyTTDjBvGSDhS42bay8Ia7rY0v LAje5lKuO7D31QXmwZ/bXT16LyO5YDUs+NDx8sxrKba57z9MJ3wlheYDaIBhn3xWa/sf6cVVtFdU 2ugmwIHKnbixIB8GKXoFms0fGoLjJdzaDNA1iXYhvkurRfPmRXayYoozDiu7PXY8X4ninoKz9ncq oGukrhJBso4gh5uSGDmdvTMRjJn5IjV4bptGVgyEIrzp3suPiIB+93nhiN2O/+QoK41kZemI7Hmi wcahiRN+DR7FLTqV3tFnRT/mAzkAru7MSWxexmYT+oulGWmnjRH2YNjaUgbeX4xzD4j/b8rfFZyi geb/6Rtr2qfLwZjcKjsN+oDCadxMcYg8dMnG7Ehz6A3frBo9GGfidHDYXeSEU7kshUNcG4eMdAgJ 1Im5kXWaIn4bZFqTvSKCDUmkON/f8ZYGKranDqUJw44kowrLObueewIOj1LxxbUzco34TOoxBHzx mB+jPl8K695Z3eFiKp2MBYE0egSdCNSmMDHgVFHs1ieOESTQjtRQAAYc9DZu+GZ26nCFC4DvpE3M a0spVv1PAwul1WLY8JbmZGHoAFwWpSRykP/9DB/Ehr6DDOylSKLKZ5pIop2ejCJ26z2W8bVRyBtA e9TQbcrc3IQ7pLedjmzJjyhdAWG2WBH46dNrEj/ZoG3SCo2pBSX+7nNX5VIGevoqpOkpeYuUxN4n PuWanBzad80J+yAug63sYu0KnpAw14XWC7eyhEgbxvI3is5LS0vzp3G5FMPI4LhSsdgwUwMYWu+F MTRcHqQcYKpOUMjukORgcPq+2lK9AV9L3lQD5srNmc1VONA2wJn8QhFIabC1fqxNunm949aPcd6K TikNvFUjMBgoz1Xe82j6dHtBSZGQHi9bQPUwhRR2WWyGzTNUYks3q5E2me0K4MqUDEjUZS6ROfW/ I1MvqSjXwi+QOQjFbOe6qyDXrx9pglgdcUPy8ptKCAj8OpGbi5VZ5hCZxdUimWf/CDtcYBUr+/lz QQMp5NIJbm/PZFOIeCMuUUpUGwGJZDhpl/FR/awZV3pLPKbEzLxIpVmmSpe2cUDnxbbdL/ZUBt/1 gHdXhMvMMwU/7c2jTifc8HiBTulbKXMoInUlWI5pGoUEqlTWdodpEpUOnaMjGqMl/yz5H5s/IYSj gML6zkKRN2anBkDBDO/v1k3+Ytm8pSKfZNCHMS7NhKG7I2NYWZKfljtT0tR1jfv/AZ2R4cX8mQXy 1rs9DP09NiWBBiRtop/RjiGhjQuZm9NUhx3vwI6+Y6DS0gS/VNVs4OzXOoRn5T0T+zyJ59gv+Bbo E4OjlNGN0cBU8dHZL58o9J3kxURrTTK0iaJJOh5sBI5YcZzt+Xld7JPmogSmb5GcXeKr/PFmgShK cVge5QHtS0QnnlIdeD9NpynhsxcL4pXnnPS0bl3DjEAn4TjCr4MKS7sOXgZ1+C/gG09mdFe7Vf6S P0n5D57biLM28JRyzdgTvPS+1u6RnFRMb/6VG8inP3f+UfDPZs+B6Kcsph+YBS24xrFhA0yVYfcJ SuXxIeBn16cT2Wlyl9LTfJqqWLHitFtSNF59plkQARlSwErut9AJIbSmL1qcWNk6LILPtgFcbNR9 /JNDrdZz5NeuKQJl3g16TJT4Ev/8OLrjhn+mI7lV9us3lzEu6bQlWfvdjjsEXfapyGQO7Gjs2dS0 boW/Cl5BMBx3L1lSAteyVY+gEWHD4dFfkQ5Q2xOucErRr18rTuadEXjAk2bdWbDIj6Fm5pz1d+89 MrpWxD5Z/4ZVs2rlcVGTsrNHYV3RfpgYQFNhHW2DfCUPAPGCu8+k28nsFG2Gd5CdhQRHum9oEqhy /oEuV370oChJGj58HgpKqJ20CNASyjMTqmGjoX+0EhO/SCJ8F0HCTne71WmobOcP+mlunJHf4Odw xbyXaCVQ/SAtfGtIfcCNAdwOmZBtkC8huJoO1n6hBNVqVJSaB3YWWwdNDBw2GSaXCNgxDXyWh4IV K+kOadKu9hffcGNoTfFbXTtCQcw/Qgx3YZ+W4AELcDX+IuAlY/UjejMYTTQyRQUwfTSJAQIMkCUo 2t3PTNPzDewJ8B/PwiczouxMeHBkJgFSHEny6Y/VNauF/NSjfdhS3tqP6UpnjUKB/wi4CVK/uB0y W5i+6S9WjjGh/b/4f98EKasVDlz26DHyJtpSIWI/pSqrf1o8qrLZ55T6pPc8GQ94QCFgEGnb45Cv zSLwSgHbLfKslJFiLGc4a85JU76bvJ/wxukVm9e/YRafE51VPCyFElDmD3EBvTZPV/Y0q1OQC982 CO1+0059szmT3FS96W3Huamu2Jl07DOf295HzBs9c2uDsuy5H06Ms9yCpG0gcm/dkTaeUFA7U9kg JF+f4YVenmNllktmxrilqwd6Q42zYYil/TO7w5nnkAArp1mVi35vWGcclOOpQSlhYz2XZlUFt/SE MqLGuXXv/jLcgfULjJ1bqrWL5LEQ+bGx44tE3Iuoi75Xloq+vR0NSHzRTDa2Lisg5lDvHeiqAuF9 7MQqt0k6iekshcAw6Q93T0WXFh4NuNxhS/m58aqa4Yc/GZY54p3N9RqW8EkPjKhJSqwE0pUwSpHA 6hAOuZw8TH63LB/ZG7GZWN3fPHLiZjI9U77aA1vfM9wWWYKJ+pbwvp4WmRYIy3K7n9QHejxqnPWF WqAOlghwQ+yimhRzRODQUXeKyE7d3wytPk+0ygm0Xyu7s3uqA9K1sMAvvr9yWN5K9j5RBQNA6hik fDD+wSAy2VgmEx1/NQNQacJjmRXaB08eJC1GJgYz/+cZIJtFQ6m+l3fRFcqiRCAR8ITDPqUMsmhx ZB2DLJHUhF4P5w0+hU/rCs0oJkSd1lG5Qp3wtRU+0l9s78z3Q20xDklEh4HcMuitqb9EjTLmeA7S msJHQf4n6knLCL3crJKm6f/IdlqSN7US0oTQqTOi+U4l2rFrguoBTD6h2IkCMTw2c0Z5/cB77eEj GJ0uyYSMEEuHIyCcubuYaMbWnNgVXPzBL2cRYg+DPz2+N0H90GFZVJcVwSnSt3OHkLDxWqB+xXoN 3FmODc+K+ZGkx0GsS81eGlOgEnCxT0zHi/U7cxb00Ntc6AGkeb0m30HevfYh7s6JQTeHY6vJRFNo wrSkW635zwPNgsq8CJ2R/Bidgwm64CYaiW6DHxwaA7q2VfW5WMEBkEuvSWS3Fqq7GkzqwXmPIe5u UOjYau0uonlhdqaVyNWdpMWqzSsQn+A505MZwnbs2Aoag06uPO0ezS+oXiOwnRBd6gPuF1W1No+N nsq13KqbCTUemqIEOfiH1VFPEJaBjCPBd4eT8L/Hd+PX0wGwshJinC21JxgpzOzTmAIAMp7cJJz2 nBqG3DLZVcZb5YkD2x4luSZ9jySXCsfc4KtO/Jqe3aOLGXMTDWRytqSnYvA6cQ7LZj3fGs82oC+Y AXJW1j7C1J6N+1xti93eIUzpgtiSFjJb9mgJfq8VG8RodJX1nVKmxckzSwtR/9CR+EUNVRlEP5r1 AJwdfsVW7Le99Ggt1n37OeNHxgBKcqsJgxQu7FH/EQROOnloapsABMm76ImONWYMH8Rwe32+DFnl NWYKrPJsNbjFuphSvocYb1nmc0unTqChO9sGJPg1bfFnciSO4EtqCt2qzv9TW2+vsCqE+UXZCGLS FGSga2NkljJcMwDqM70GRaZzNBqNsKcAIOykIT1QtX27EFmuWLa0sqJ87jWnD+6aIx+CF47Tdn+c okXBc9ehCQSfoUipq0rLarD3MCIQh3PNK7s3ywFnQUc/BjcBhSPfb9AcjgzUsqOlE7p19yNuf3J2 DMrf6OIBtx5fYXlTIu43JJyLO8aFU3j4qQa9HEyhVjjlQcTfz5uPaRSkFuEQCAPWo93u8vsUo1Zp SfN4TTElhl4soGRRmyYnmv5S5eH0a0qL5gp2J/GbLdcCwI/IuJwnDTAUlhfd67pBx3i8ME8vi5uu 0bIdGhlny6ukPhtfNyKJmPAdItPASpAVyv6OrtrC/kMjT19Uf88R03wXygobWhw/6nhTOJVo91hr X7BzTNvzbxX6BkRyIJrTs9tSmPtCutwOl5tir0rY2SGAa5MOtgc/sGVoKjU0TMEF6smTURY8G4KQ Zbr4fW4MHp14TVTCt1SdwTt3tNEfivEA/ldMLoL1w0DatdBR0uGmkvbJZaK7nCgr+WmqWw4KOCmf em2xGvTn3nSSUGKNDnmwwnE9Exk7wyEYDLszuz1gIENeDnSIVhU+vURVCLC3fF0XNWcxZf8fjQKL 0UsxMUav6PX15/E35JMqWWdTIgtf8Kyoi7eY0dcJiXuoe64BCqpDut7h+mlEGpgaGtDI4HBcabHE c34G501giJRuA83OStpYNrS9S7AiXUMbwK+ji8Kx0zYjpmj0Lv46h4X6oh1Xa1ypYSqYNhvRvW7P /yOX4beNEyFEyLUMKlBS7/pGv3IvEHmG1HrZ7LfZlCO1PbBbkh8p0PMckBtyK79OU279StI+nbjA II2HCwShl0UIrWoAQBP5p+KOXnW5c7xENbj2TOY/pFFLx85KO2C6kn5h6YPwGLg/L0ivyGdhlIDG qj/zZX+IQQO4qRe4L9Pd6sI6SFAewlxYJyjif1dEsWxBPFcG+HoHhDlMfmSMroX8VrXOED5jd0f9 DiVJUDTPLV2zoH8yprwBt17PDBF10l+B6OJdtH3F50K4iWlCPgKCIuBriaT5hVUfpGLwNXxnl5kd OZ845rJvwiIVLLFz5YJAGuqdLOdcCgJ5HmKV0GNMS+gHNFC26W5Ok8pf9MHfrL6RngS1kDD5ZPlW OSxVUweh3A9y/rMoO68od/8yc30rY3XHzPu4nKS5tD61fVAMz+LZU1qyUXk5uv4obJ0nxVfJssoM YYiWM5pxfayk6t5uejwZJl/Y4nWfAKRvOSClJ7YSflZgHHA/acowkYItCRmvyPzfjvv311XDyL2q bduaw1XCXsi60teLojp29mQADflB6AIGjMno8Q1g0I8Pu/Z61GfOn8IOX1cdxe3YqPSU/34S5N15 y0Uti3Y901ELX544ZSejwKWB2ixgBg5V8i1Wd7BUnhhFv0INVVJRW9KKX1t+1bzFfhnB8E3mbW4L 6hFcnYt8dAb03DraHifao2nQyRIkr0FXhMVzjRW4FAu1FvJioFwpgH0xKMhUE9c3EfTqxgEaMFOX v6C6waRpzEXWq4A5jf25lJN51KmYmAI6uhuE//FXgXiZuDWT94xYRmTRChGBx/Nga6WLf4tkiB+J WZfBzNJfooOpS022zn37XjBwrJj+Ecig7ni19i9eMO2W6P6Mo12rbGzCyfwO8zQBxZDbkbOC7UqY Lx7h6DApSgM9GLfb9FPZ/q/ZeDYIuhZF9oabKQYJHJkW/ItBh169M9GpIoOJJg+a/tu/JK2QtayS He2iSGqPC/LcaNVUCeb9qp8jNHnXMsTBlxQg1s2yEYbR+tvLFFuRCil90Yid1+TV3BwQm3NH3ZTQ DenRf7CLZ2VZcPnw3Q89LBIA5hbyln6MFQHFU2Zo/gARmAZ28mEuWAJhNuUNkSTUsGmeCaQyBjKu XGV/abTAFKRO/L3N2UVhe0N+VcMT8Kab4hjnv8rcRifGZaYISEYt8O+MLRdNoc3VH93SitKesTWp 1upWNacd7jVPwWKP5v4we1HNGhZp3mwlyj3QvFpiqQO3MCSMGuibDv1ssSVTb+3cTtzbd0EY223i gE+ZB/Lse2qV40ZCZkN67zkgvxQRaOySMNQ41qINawhBLdAjEvy7YtKnrNoE3r+AXXBwNLPIRx7g UTRs8xE5qV7XZW5Z8/S2qWB3mLCo+wOM11JDeKiNSbMG3VIRiUwzwfFHy542uXo8Z5KwfQue1kSB KB+r7hZEozwpkZ9ZIz1kT1D9NiFEFROW7ZQmmD4AF/82u0bcSwTdxA0fmvgmqQ1CcDeFvSbqgknx jrT89JN1sHC28SWZ2dW5QxQyeXQYN4aKNW4csc1K/fNoKOBLSLaNdarL1wV3UV4aq7HF1BnuKAD8 p3lhONe57al/KWb+CGPWSv7GDZkQemZMj3fbIHFNFdRVbd4a41iy70WRdJNmr3kWwtFkd++1n0hA UlbxPh26FyWj3D29tsbSTw3q1pjGihZcSzKLX3IEWYwhcIDmST0j2816gDFOTggxwe6gNG5lXbNj Ti0JOqgESKRmYaBnPzv6qqs7vl7lDdZJKsHRk0m5758Pr/ZBTFmETS33zzT9AEBMQ84UeyCP/tj5 QmwddWvO0SmvYXjebpwpI70XCDEAbL0+BzGQe0SiSkBX7CanDDMAlnX0MNewyQkfT+81KlZ6lB2V Hq5iWQwoGoO86SXwLNAlQRBzLe3JDT7RX1PIbVqMPqdfYRc1kM2lpVfLGq46L6pIsscsys58jr79 S9SF96hbjCgNSH9JL6n7v4fXqUlG80hwBCplRg== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/correct_one_bit.vhd
7
8861
------------------------------------------------------------------------------- -- correct_one_bit.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------ -- Filename: correct_one_bit.vhd -- -- Description: Identifies single bit to correct in 32-bit word of -- data read from memory as indicated by the syndrome input -- vector. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity Correct_One_Bit is generic ( C_USE_LUT6 : boolean := true; Correct_Value : std_logic_vector(0 to 6)); port ( DIn : in std_logic; Syndrome : in std_logic_vector(0 to 6); DCorr : out std_logic); end entity Correct_One_Bit; architecture IMP of Correct_One_Bit is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes"; ----------------------------------------------------------------------------- -- Find which bit that has a '1' -- There is always one bit which has a '1' ----------------------------------------------------------------------------- function find_one (Syn : std_logic_vector(0 to 6)) return natural is begin -- function find_one for I in 0 to 6 loop if (Syn(I) = '1') then return I; end if; end loop; -- I return 0; -- Should never reach this statement end function find_one; constant di_index : natural := find_one(Correct_Value); signal corr_sel : std_logic; signal corr_c : std_logic; signal lut_compare : std_logic_vector(0 to 5); signal lut_corr_val : std_logic_vector(0 to 5); begin -- architecture IMP Remove_DI_Index : process (Syndrome) is begin -- process Remove_DI_Index if (di_index = 0) then lut_compare <= Syndrome(1 to 6); lut_corr_val <= Correct_Value(1 to 6); elsif (di_index = 6) then lut_compare <= Syndrome(0 to 5); lut_corr_val <= Correct_Value(0 to 5); else lut_compare <= Syndrome(0 to di_index-1) & Syndrome(di_index+1 to 6); lut_corr_val <= Correct_Value(0 to di_index-1) & Correct_Value(di_index+1 to 6); end if; end process Remove_DI_Index; -- Corr_LUT : LUT6 -- generic map( -- INIT => X"6996966996696996" -- ) -- port map( -- O => corr_sel, -- [out] -- I0 => InA(5), -- [in] -- I1 => InA(4), -- [in] -- I2 => InA(3), -- [in] -- I3 => InA(2), -- [in] -- I4 => InA(1), -- [in] -- I5 => InA(0) -- [in] -- ); corr_sel <= '0' when lut_compare = lut_corr_val else '1'; Corr_MUXCY : MUXCY_L port map ( DI => Syndrome(di_index), CI => '0', S => corr_sel, LO => corr_c); Corr_XORCY : XORCY port map ( LI => DIn, CI => corr_c, O => DCorr); end architecture IMP;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_pkg.vhd
27
123409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nqqBtmqfflVo0LfdOWD2OeylbTCJPLX6XaSqFQpCXkHX4TF1QAXZspyiDVaQlwRkat06cPZ5E411 bTzbr9/qZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/X3qbnpTyRXgHhmurX8chlDRL2XjwnbHjo5m2aoqrTNSVAUPYEYGIGJVoJhRP1Bd27KZbGI0BFX fZKfju5H4nz84jXPUC/rcsp76WTu945qoXwdo30XI0Qhi1w21P6EhLXccz1l4c9zfTwlHtVuYV2c xkxHRh0F8KrrR61HDHc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jBFh6UBl2pQmyl/KNdwY4r9ld/Frb+RgwTVitzK9Y6Fp+6xDwrsib4d9Z9Trd2PuW5z5/ot40n86 vR7VZpJnONM8UmDjWgdiB8rXNXaI1rBfme4TQ3jj6RaF803c2cAi4cdZ4qM3X7V29W2B5HXbYsfA +fn+v+caVjEUXZHZm4HMyIR7TNVnvmCWeeLj52d+u3MrD7UjjkqtqnRWdy0ckM9p4TE27eiu/nsz awiAJoiVLZNTMmdaTdZ6vB/sS67SAe0JjX1nTwssfK86UYU1+n0NLZ+SLB4lkqxmhepGPNojfE8p 9hJaPKOTV3d/umJbTV97L90iPloNPMXpGK/m+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cwUUX8orCEMoCaO4wbzIkA5h1G/QOLlup3/J46IxMYEEhFnVuE82RZ46tcCa958uxg+L9/l1SnQ1 1Qa6GFDzaEz3zEcSDS+t0jFMPNI7VUppaIgcalGdkOXBIX9fihrhASeWjqmTDrUSlTt7Vzyo+3TY n3HFHRbTrCchXcVswqs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1XHzIMnint6AvJuhSJyN/+kraiZwIT5ZFNyZxcRS4ee586ZcCrsBlqjvo3awgeNWb2yZNQKbtJY UBJT2Ww9PtMdwpg4MPuZFMCTECdiBOLjqX7gX0K3iBdA+35RXRVkpnaon7ABi2dY8SU6a03iv3ph ed9P79UVGmdGucbzSQNo8vkiW9pS6ZJElXKmEibSc0C9Vw6VmCNdLosnrss+vUEVkPDu65r8MqDO 9/2zcjIio0kfnpSLOaIDXqGefGNR89nRv/NxKymzLnDjvK13FSfKq6qNfA+cXOtnv8oRuf0tdkh7 e8F12j/LQajA5bXDfmPQ3bNX4Qv06vuQ9+MAAw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616) `protect data_block QkNTRdneAbN5BVS8a/eWFD9TPSE/fl6kXawkt+ZIykcCreFP7pQVXgpXfDq48GDt7BVgI2EZdud8 3famRDRPxpZhJdv+8hH0VjWY58or3IECqNmxw/v0zoxk2ds8/gzqXfqxpQieN8bAbDQpqVev4C2w AjMT8/kNEOJLjBQzmbWpTqMCgZfOF4La2WG7wJeAWN0r4AySjkQlz7xI2jdtOc5Sqm9Qqi6fcTKA 1grQPOnYG1otjByyc+q0aDJ7/Dbp6T7QjtrQuOs+lGPgyAzKBIjrkIETcDNlDy76xlOld3GRswTf jJmKggUo0FMwnkLZ7vFwP+FyNZczuPwYzZieDtO7HFhvDdeMnNXe5bA0Hhy9tR7xEOsneBXlip9G s9qW30Z0Ii3tvW27E+W9DcyOeL2OrEBIDCzdhNZz+CHn7uhTFlgjoD6JQpNz7aos/NkMUhB+ZAuS YAbYwmkTZWUMHQxgHE1h5hTpcILymn3GsX75Ji1irId+1/sbksZnaEpfaakdcXfXErBDSrAzCSVP OwX9eoUnHw5O6WM8kqf3F8o0fIglbA7wB9akeCOW0wm5cEAVcbklSO8AeetGVqbmC4FG9UwyTXid RvYiyHwgcvFh4Yz+U6Sney117km0k0nJ3/IJ042BgcO0LUtXYWBGtUeI9DGzXgpXWd+eER01vjUe JrpM4bYBmOVmZHH6FePTpky++bGtp8xLRkIedfDaA3uDHzNoYmaOH/K8HuSfN+AWhmzZeN7TMTUE b278MWKKeINN6D5wXMYCWejf3k6ZSvtToS9s1sYlVZFOi1GRrRilo4sp924fxzI+PseUBmHOwjx/ lWh+l/STrJlWmQDSydAf5XF3eIRvJSzQyOwaI/jjRghdvHcdhGQUvVqmz9+K/7w+HYafR2XcguAM /moXIPIKPI2D6Ygb/A/mcAMQDBVgT7gfuc1bgoOqcUtD2FY9bhXpqS6EGxialO4ns5Bny+jDAw81 zSB3ZLzOPfTeAC6jRwkVfpxxEbgUa3ESi5DQKd0ISDgmW4xyv34V5/xzvO2qcF91j8nOBgfsGYVy sbnQTVMVm6nahiBdy/PPwaKYgER6MyHJiEy265Y8yDzu5IJMteZKViXk4X3NHWRFUvTrMGjk3qeE Gsgg5Ts1lFTuAr869Tfl9LKXML0hP4J6MhKJ5us2O9+b0w90SHSxGq5gFfilHH7eA24xQpwT0vaq S5EBV1Anie45ZcGnWa0Rz/o6WX6nD1p7nSIaeKGbDEo9Zk0LjNMpnLsEMIma9yrFxo0Jw7hXD77Y 3LL0VxDAmMVQhk9QJzr/KzyNihJwukTjVYwjnX+fNsTvs0xQCyEUTUFtPV6q52ik01iEkwL3PrJ+ NKZE3/Uq0zB62QdmeXCa807koBW+tD4JLcG1DHxxrsE8Ey28Tl3xykyYfA3T9oFPCo5FmiDC9JGM K+wBoN3QSGmjrbFsDGTAtP1kFrorlES5jfvNKG6cagJ3FNFAUAZxrldrlw4zLRYyWdZXM6mMqJI0 vzdjXEhewoLla5UZ6csiUkNOcMkqvU2yL0Jfq2nn51veXzSCqxGSaCpaD3+ib5cWU7URV9XDPa2D cyE4ibQCooQoLlrFoenAdrvwmyXXUX8SZOctbisO+/21GWZ7AIVzLOtziuJoeLCpYMYCtHWx19TY ZZA9WKpGqLKeUwCbIDN1ulm+9MvT5lwmcpmqdgPzvq3b3tatEHOFSP94dm6gCsJmdyvfIjHAU3sO o9fFuLBkij4jmroizCYFB0cvSd52DQwqsjvXz3sOxUG5R8HvGbzFMHKBtKbDMQ8e6W12TyuRHyMw amN8gNnDijwFMmZ24gx6VvipCHZC3oxle3k6VcqjC5YtHmd6N91AvSlhLHJqaSegLZWdq9Fo6WZO hYBiodeHnz8iTo7ZohQH4hiYqzTUL25ReRb4xiq7apbm6kwJcXncV0r0WxBZKaawUxZvYEsVfdVV DS2+PxUwqtmuFbHVMfhpL6dOnYzK5iseEQjZ/vBVxSHrbA5wZbcGlgcpv4t6dehb3eXQXZCZHM+O tnmxauBqFySA/bN/FW2Y36zt9gZf0v+78KNQdzzt9jX4CYETv68wOVqs4fATfV3YD88ZjP7yNslf Y4Jpt4TcCOg02hhE5ofcMv+B1vQoCe4YpyAfA/HNCDBc4HFkHcmMyW8Q+xME7GMuF+Ln1yYGavYT 1GnKeFOWo9rTrDjMdTSN6s58tQVxKh9zYeU0sujpiaG6LYTdKmPI2Ar1Spb+WuddQ81W7S1rVkw6 JN6wfFAY8uFm5Dii466jb//ROmTH1vqObVyrWnOujAE5qap8PJfH1XryWCoa/q09V6R/EQjaV2bn WsFT4IOuAYLtyKp3VM/DAk1lJAgoe6gY2kAr3lkHQdo1EpEnCoQKYSITXoRrrtLNBE/fyAL4yn6D Qg5JUR2o/ukcDf1vm12lCRJ3rMdBwqM4zTW1ZLqlT/gc7H7faZHPIoJOFV7bvImIVx122z1rMjx+ P3Ro1h8T/AQwPbAjgqz/o48ZzW1EIqu5HkEuCp3GrejPgTvWdY+RjA5WjhjtnXqIqAliG7uFSnC1 8Nklbr5PMmDEk/ZcAVBPcmDo2oK0Ab0vk0JFT4auKBljKP4OGFEl2OAnvCiqgMwIVH0jLx4QFuYd vRwdgt8JgsUHONPv6CTQUNUn6X0DJ6LHfFpSGJUzwnAp3PF6FZ6HuZyoRecj4AH9a2QH5Ar65yDF nVNCT1OuswsGk5Fsx/PB7P+UEh+tjP5w4BB9b/4Aw1u9JJzfsW0aBrCuuJtO+f2U2W+tcPWggNAj rrRJ5CITsMyPRN+Tity3SdnyHKZGjh/Y1oAuGR9TNq82y648Htqg+VWBkQRBQih4c+J6Z4lZviza h+/nFn7DkHQNF50wQcNcJO6oOiPMgvGvktr9gzIuJHkCNomZBJHsxsYeuGntWPKlpq5Tyon9iGXn 5CSLYnTRUawBpPK22XF6ADOumhRKG3voMav0vQIktfoXbW62wo1Lm3yQPlHx9fkYN4mZ3IS3J774 BZhGJ5X2/wURUv44krR3EORcBFh6f0NgpdrYIyNeOySrcx20HWU1lKNFYIQtR9s8Pd3LeC9aCzfx VoIkJujFb/DhJgZQkGsspSCAgzjdYSlI+LkQ/cqrpfsptlONN0X9PDm+lYb2ZN9phVThgGrZIeOW xAsqCKHmAa1UZT4MtYx9dbqTYHDTnZqeuQ3+n2YekFXAhtc/LZu3DnNoijpN4Bjy65Wl+JB318Il 3DGWkQAeU2bJT48Wh+RfnE4RnIX8qd/VnYFzlT4T+VLG65WyEgldT+E3+8ACfkdp1XU/OysALUIz 1KRcVXEyahdJEwhp2gQcxhivYnZNOyJS7qbgRqiMdLot0bwvxQuyEO3lMl1XvHFz57t34nIJKETt MmU9iVGKloVARjqU9RFS6KgntyeTsrKhbZjkpORtxeZUMjNN0zrvHlz/O8ZMAIDzyFKHPnRfE7VG eA+hm75UY36dgWuGXtNdP4r+KwCY60mOASQrhdDLx21ACQxUVnhfui5Ot3w6VzQu1ho5VnQtvRMf c1i+5AOTwoI+kPUyEBB2LOa+LZGd2fAjz7Ix/Bq/6IClBVUupFtExnhXzogtjIEtIRIg7o2d1FLo kKBlb3y6u1yvmj459tUd/KqD+wNohj7j4owGlcc2OKFaRChjZdJdDFqagCN0sOAVYjRi8D3LrzUI v7QbqZxjUIfITBTpFJDU2q4nBwA2skhbIwQrEDHOkRDRjBKj1iZbHu85CNbW8HjQ2OnG29chpZQf v9VtuffBQP07Gz2dd/1uTtgjSkzPdWzf28bkA9Y7wmgthJd5z4FsaMN/RfgOeuxXoICNB+jUUiAr fTNuRhlwvRN4aIO4ryP/EQ6vMMOF5EHZ4sgzA9nlNxb6/AMO/R4JPkqc70L8662wbB1M4LXmnlf0 uavSeIgjqN1x/P399rXSpTqE2VRP99Jvtx8HkXnARCNUQA1iOdtcnxxpmbhqo+Ogv6OBwRX05dXC JD+ij8tlYOQF7kx8xpbGf0A0epeZ08vdgzSqDEvtz3G7AavwAk/bTSyAZNMb63Lhm83BONUneh2E FoYZMDFbo3SBbVWLtflKt034TB4SqohYhKUGrHFSWLDdk4NQu25JcnqwNJLYD1/ejgdziAUL4p5k YX3Kv27NrhLfV04q/m0g5jvtn4M0SBn4c9Ph89EaEpyA34lKUY38O2klyU/5Q2KURSU9q4vQyo8b ljfTd/9lkPBRGS+cinu0YbUZJ+2766Z36jU55MELGHy+4Qb/SkyrS04kpYG+xT+4YRPtEdiCm0cT lr7jQYQDYC0BwWmRaoXcvSzYqTBQT+nRFUTbV6l30EvsAe7vYn4+i31uUcbbwqBmjQJ9OjuGSAVk ldQZyoF56C2YlA8/ZcFbz144TuMp8YQ+OolBUnGibrJpjAkB7e6XnVIcYW/goTdsUc5x9LXvkV5A JpNFYU0AqcO7OGfWfG17hTBpWb6p4FIFJMaZQDFaXrtsyF66HGA8Y80k9HAFCCWTcwczF2LXwy1f WLwMqKHxceQa4+27BYKIidkoObZVuvryemxFkqgQY+T7K9EwC4AP57dRy5LSiYWS/AFpTj+ZbrKk PzZ0BBWYtoMtoDnsa7uI9gYSeEixkbmSFkw8kiQfWEoPJUdzEQOVzNyqTjJP5HM60+CET4TpyPOs QOrbmYYojkVhedBtUutuGec2CfasoRuV93hsze3bC3gtHWVWWIwW5sTnJ0PED4LxyNuaAR+nE4GO sJHHgj/q1xdGU+DHkrXl1tXTo0zSLfn0oeGRi5FL8jzS0mpIxzUgrfMJpoLZ5h25Ax0i+0vzo2qH f+EtS5vEc1M4Sq91H0aiISX8xyuYlKMgs6KvvfMS66VZRsnanVt5oQaymE0c4gMZ2+shL7+ecP5H WdJDDW0gkOsbpZ6BQV1s6+bcPgTV5duPhtKhxFHUAn+ejE5po/312t1pX1/gF72ura87pr2WSONI FGNLmR8SbSN/fNBoc4l8TyVCYNJr9dAO0buo5LiLMMdjsqX6kzi5VHclw9NgbUNCMPVmaDFaVw0U lOy8OFq7OIAvBs7ey0OxDypRv7DJSaq2Ja8LT/fvvTcsC45N4kGHkAH4OFAP/zRDGbybIeE+rUAA gc7IAIKoywHqYHESvsBT+sdBYpqYic2ZGuxSKcfPwy7YrM2h71eFO9ywyqrjWpwCgtxtxkVpCZZY GpyHMizSpZ32NHlm7n4phL9ajN7hOlHgCf3QLO6GdroG27knmqt/IaCxNMyOMa8i1UpGUjog6bP+ ALepqeadNbKmmrFDAzk2cEd4Jk2Y3AVO2nV67t1BhlNVID5h+ZYokRCbrLjt6q2TnIK1RpdGAsrt fFUxNhMwEJ8uytnHiJVkX5NivW6hRJJ1PO7+8cjGbGkNnVgIAOSrNfT62/OdxTHpGrlC1npKzYPO ofrVc03RTRxo1+8toittQ4yrlMpFXSL89NWyn9URFTRNfsk2sYz+ddUSIsFyebqTGYIIlANL9TPQ h5ksq+JpWbx0Rm2C26320bvXT6bxIpgDch+tGAVMLW71AQhRhd4SY9ZFIHNK/FV6CynJdg8yluYr pDiy/nWsYOs3OmCbyKbleH/NZ2PiPATr/2x1nj/HAd14cWBMSBIk8jGOMJ5MMZ0/7F7W6peJkRqT 2T8f0NmCixf9KyXQLbqGAKeoSpLjMkJRxGgyu0hs+OHYMuHZRa2JTTnmSp4+Z+1xdVcQWavdzQXI Ps53je7NzLb+wiHJHLq25Wk9rfZD1d8u74R6pXH09Y2MwJhNJjBw4JJSJGJ8v3+2bS3LFeA6GbQ7 vKt9NGlDt7/eE3dmv/2X7Z66ilW7QVOHeqPI1UZFHw3WhgQZMImqDe2xvOLy0f1Zwx+SFLY16RC+ oOzePpCwO5Tf9maIBrx5ZeSWAVxpI8TpwXODgCpkneiCbB4trZunTWNFGXBXfaPo8FfAQ4r3H6q4 CVllqzPZyaJhpn7Ye+JGOiImmVf2cv8dChm9ognCZjpEJFM6bRyQvnXNcsvSGf714TMOojVpI1lO f9IDwRlyB8AIVW65LRMaamVUbJcPzVUMFLdAzJYJZNi5nayLBFqpLPelEEYA4W1gblsHEcBvhX0o 4LQmbB0ZBqwSl8ZiuZR6VcgEclgRCkTXBdcrzjlmVgAyuXSqzwPFLJ+Vc5SUSgdd7pGJ/mwG7Vqq FNWZBl6Bclqs83rdvCQ6lPCEBgYCm1AvrsQbKG27xa37ZtXIjhq5dyefcozAckp6YSi8iE8RTgHj eldInQJGqk512+wELXydwrses1xQYRW+Z+KlVTUOt1uAYQEm0pLpGoUIQwsXs1cIGFiNKTvf8XeL NaZkHuec7aXpSYJZcJ58HWy6MLT5Q55moZ0Cn04ljcUOdAUXE/VHO0lRT8ZBovd01r8rqgfy6OWI 8Tg0U8ND0kTwLPKMK43AYUPW0OTmJQY6AViFggvq06+VM2/WnPZjtiQLTYmcxPJXXQ1pqR0sfo03 NLS1YJL10+19VjRIpETIn7LJIr2L0VgVOGjXo3TNpKa430rAfsKVDi/arML5xNTyH7A0cggKxpFW HJ0toiQo0rnk1FvE1QipUBohr7utZDbrV9s0oa1NNSiFdcSdHH6rharZrhzZQeBc1ccBDGPxD7ir Oal5fwEasYfy+lVkV4Ug4UKSv4U/DjYXqQJZgNfQnEVp8sROQHIKTju0r2urlPMWEwn0D5ror6ew B/n48yaHMNMXU2MvlMjb8vNBWjly4of/MoOFCYbD1aV3M7OCvjq0Xqf/1QRsVAvGmNSevcqPU902 VogauhJujvNZ/tnkl6i9rYlt078P1IAunNTiRvBRpv0AyaLuyT93pRCnB1X0QkH68P69AuiR0p0s RTwiSiZSO/bOLvzOX3Ut8v4n9MHsKj6puQTk4LYUem576jj5h9ogUm+Mgqqd1TZkgsDjRsAosALx fcCXE/SVBu8xmAcde+5gcsefh8h0+9Rfu6e1vWOX4XNx0vRRr1q5PRy7YDN1z58G2OrSFuifk//c JNZ6M1laL46gKlv+2XHJA4PjTkbZcxYWXuxlJVEOuaodcQaquyw+FmM4y9nB5xMo1orVlPRxdB9H pEVbCEwcHKSUhaxspXDk4NxMGe0R5mI/rk1kJQjrtkeXwKQFJoXT95LpngapG598rivMAxJaCLLv RMYRfsoHkLYY3zqBqZkQe4Ysx1IGgIJqKTGa4V2Clbn2OvY5XJZxj8DqN++FqL9S+sb4CLFygOqt rJAyY6qD0yegpG30DI6xo0eJ5tNFkv16ISwi2UxmjO36yDLBXUyetw+p1mX/Ii4wROcBM7HVnnas D+U2xhG4EGxUSjk78MVkB5eO4EUlzmy5D4M0ZiGm4JzAk6aR9hAu3YmOCIJvGklqfhg6GHGgxw+/ YGQNZEDN5t9DZ6uwg0rCLBWeuqZsioF0tXX1paN6QVLIvhgIILGLaTdCVJuzw5wV99MH3tzT0m7q uN5aurez9oI60K4TAJM13wyO7HdEeCJeweJEHRAz6GmxXefdk6XbsG0iua9o/mwS73n4J6q7BZYI SREkjTptVvqt4Gkhg6rwcVJp3cHSy65qgVS/u6P8bip0MlLx0SXjXFQy4Hj4NbPxhVAB1D47uUG0 pQYhLcTuMSmip2oS1xpe/rnq885ZrTdn+WyohUbgxxtI+qhW2C/wUapYgCNN57W7hRS0Lg/Rbhtc 3BC7zsJWOwkfaZVXAgJJZiRhOsZ/WDDFoFXnKm/yexqc2Ulmcq03bwmtwworRarWdwdZBYdgEWrs 2HL5Zt6zAHJ/HBb9gy6IUc/yKwK5eJSzPYguL5WroRmD+hB20luz4LnFfmZsz2iuSxwEBgK6d0gN d28cloU+LcrXI+hutXi2g9ti5nDCAz5Ta5tOJXJQXxSRcDiLSFl1aYIIw7a0pINnYn8UyHLMcWl+ fq22Z5wOn/krV6v5y7TJUC584UKt/ZxgWyV4I5wb77g7tpsQKkau0ILIrq0cMSQsWqYTDGfymRVg JTb1v1wN9TgDALs+DP8+cWrbr9DeVMgU4lrYjHbuVszlSrG3lOU987MjBzVVZcICElirPiAYgtkY Jgvd+9Uq+VIV/dMyZE9oOGahq4hdkIOPT4+sdoOibvzd9ztB7m8cCCCZYAqSEGIkqIi2eg6Ap4ap 2YDpz4JTtB4H3BhxEMClIyVwV/WQMS7OqiMkwNcTIUWRqiVK255tQWyhpu4gHs85RSUN9XOzZG1F wlCcXtK3LM9WtnD+FJ2gxQc1jx3OBEFNmrwKoDc3398mBbSjnB1/QJvypW7pJLsYaoZX9OEIQLm0 cU+yy6QD1ceL4SPtzcIazOoluXqULnu5R7UricwHb2aEttTv41i1BqVBpWRU6sPolQ4jxb2cNDd9 /fz+GbSvRJL+guU6xAwNCavc68HWiMjf/HvUW46N8zvUrAVL6HIkV1VDleGNtRWw0abOX4ru5TJX Th0i8cEAK/mQCVBQoaOVczxLwaWjV9Y76jJ5pzLpdP41jj1Dnc8Xfe8w0PY+alyTbUQQmVjZuSa1 KkPP2BV/bVKrv8KKq8YHGUz/SG3l4CwUMrrXr7MaLKeRDVF5Ytlq/B+pqYp8ky7LRWdAZA8+i39g idnK93QXXRu/9f6XgBXvHUz6X8cGZCtPhI2EaSfnrhw+r8nj+ACLZYsxkPuG2qCU4BNCxVrjXh+n DXNHrq7wCTRJ7j3pZTZHUzeFa6r3UHkMIqeJUg9V5C48Ex8W6PllGpqkPYvhXDBH31cfsH/DOOI5 K39evd7yJx0PVGrLtHNZzPfD+BjkpmtohViZVm0Q7ZqeihdYKVtZJ22HG0zvl+/zHYDdviajZM2t wULXzlvsLXto3iL1tQeSKxwtyUeX3KWdd7e/xhehMDkCUbUIy/P7S2hUwscvMDBC7Sl8Ium9vM72 sXK8TeYrqp0FRSKL75BgasTFJSE50jJ0Al3D1S+6HOMWoC/owDuJuMZEjtBMBQI/MVoliHppASNZ EIC0v5S23fiPNVbbvcVpmJLgCxIWkdHzcNuPkrLgkBRfco1Su4/Wbd1P+cpNllIeZNtINKAZ5RVn O8OElgrXq9Bvk+vLGS4t/y8ffqKxdQmLWOTEiEIG3MoUXK8x+K7wknOlKbjSrAIcinH1iJQOQqU9 XTmYm4hOpD/E2WTfoXEv0i6DFDqlQKwDBTtlvixLWvGdoAcp6yjfVK1jY2JaGOC3KK4EAXoSmE0M AFZoPsIjPKM1PGo6fdJypLnZAIOCXdHg9z1WbS1+pqBo6eetlVvVAB7RozieZgFhVPQ97dyQoEGQ AHwLFpgEDMg+As53VUgE10F9h3HsRdnTVQPaj2cyCWHE4Z8QnxRxNyeOFpgfgcVhHkLAluMw3110 CaL/Cv/ynwgo+CT9BEbUBbuknSR2cWp+xlFWi+R6CFDvphPL+4N3rzz4GP+VoJgn61yDnSHecKhj fzBKzIrF2LXh8J/746JljXHFSCEDXxxrp/gBEbxgCupcmaaSSNfpkJPUq6ckNlYVNLcqBvOZAsbs z43Vb8GtFYOR7k4UNbIuQXX/vNuEQd1DLjMHO6KbCZbMqVnoYId97KTv/7f3wWEYfK7WBPxMokvF cgeSXbyDEoCPXRRGCr3oqYcgSjbS+ekvWFQEvdho8AsQGeMtnadwW3vFeWu/Qw/PSgl1jwU4YWHn eo/tBtIhhEWTGyJh5vx81Rqay68TNF3DOr/S0SYAH8Lwx6xYGx1ci5ixjlw+yWM9FJPk86SRlngq Mv1I+BUDE3U6k3q90DLxPbkw4ZiMCEp6bZltYNyHPOgn2hooHMZ6KavRoWEgJDba8LB42NE7u+Rk npmxoIS9t/Qz0NQf2qBrp+nUzxDTXmHI5SVra1voHLMMJ/Hw5UmouQQ/7dL9RQ/PypQ1xWpY6HkY 2LITeRE0BqshhU8lGjQSa1b1NCb2iQ8HFE/L8EDynz3hWsnldPAXxukKiiUVi1sXtas1a5mxdzvG tx06g0oBfu1oT2DSb7fhSPFFkPOjEFyzTbUr5uRBWGKQub/dDV+sUGacdlophwVtxsjHiMcxTbTS xY6VsM9/8t50VsV0Jhed/Keo2aFBLS+ynXfJfiTeDrEdSWo+Z8O2POLimaElCUO3nhVAQpqL8CUm 1KNQAflbFj3jQfA/NKdGI/98uS+XzZm6YWDOj7BL6+XzAnEPLQxQbYoJ1RA1kWUCFyWwbPms1JCo JokADuHcd2BAJh1dIRGc9T+ayEo27Ir72Yjbf4X5PcwQ/Bn/CDnRC8BByh4dM3ow3O+4oS9Yeng6 X2v9paGfae9Jm2/0P7KUej8m0NF2SnKakowU9IXMxqtdbf3hop67RORy71uR+p0J/W8QVgmsOMiN 62q2faQj5SyncLMIWbAxJS1VJL67Qvqb55HLqrqK5zBwDgDDRWHULPRoLo7I1h6vR4WCudRFVAEG gsY++Ugvmm0N711ro8OkgwxT/fzRX7SKaVQE03zym7fUTMUtMdc/aw+dCx/9yjyszpHotD4/Q7V4 s2hODZqYa/EujTG7tbd76K+nbRu9nMFmeq0jnSlFDCk9HH7GZQa24Y+49esHK2Z+ucMJO8PgGgda tNvWfNKJehFnbtnO9iWdEvord3Y/nkdpW9bxx+4an9GjQJTxnlZjCJP/ajq+ologpzK2n/mOcclv vf2pjLHyXCuITH93bngx8XJKau+falxkSqB6c1EAsiQSkQvk4s6tJilIqkijgqtbF0qd9RGubEwf I5M+mpNlKBsWCAnGLHx2SITbs4o9n4f8oslT8+oCnXI4/fETf6SDJXhN9EjG+24ETE086pW4urH6 s20bxaSnTsYiHF7Rw5gVycIeLNgGOsj7PjlBqfiKbAweXUa2G5Elws/kpxkQeRxuli6F69RFUhqt u41NytwolNfh5aDDMD3VUamWvu7T9cpe8vlxQBABPVQNkqc5P9heW7fFu0FNZeqvo8hHBYjsNOEw sbA+rkXRD6Si3Mo0VWWOPJtGOrlmeOaC6nDIEjTazFXdz1EhOxtum5XY5j5H5RR3n/STVzRboneh So2t3KYyL+ZpCppg3ktB4cZVnDtg0jBhH6sq3R0QC06u4A70E+JCM3+TxBtOv/SBdk/8Ngdu0EJJ e+TIxAY3bOlIVNWFNyRVkPXipH1ihRGKdUXyASBX2gAae7QSKbZh6JWeo6N9Z+FNF6cvmbEi4UG3 Tz9f5ZVzYYtrT2Kquq+daa11vcnjLL2A06K4ASwLRuh9ZQX2v2kFT9UjfsMaRo5MpM1PfkFIQ5uZ 2FdJLF9KpB9Er3wI08fBfb3V+8Uy6/UDhHEeLoP9PfG+Ohyws5mFLClXa+BBoYblTwzmha+J/hr4 Ffewb4hGM9BohkTcxFsbn2ag4uXBbaYEf+zv99Jl2Lp5OyGZVyeSO1LN5Jjqw423ovvK76IIDUa+ 1DxVQ30MiepapGamasxJ8sKJSoNk//ZsC+rdDJ5H93hQWNsFpUH6SCmOP460yZ6qFb3FSTdaYDIK 8XTNAXLGv9HjQEit4oMKFomWc2ETilZr0FCcIPoLcZVU/6YGPR5Pk4WOm4xkSSG/AfPwLUn5APiM H+OTKxMLJ5WyZYhArzDwlDFikH+XU2dLNX0AQ7LbKW2mUg1zNZgNzzhp/DaYI1uxGVyIRri5XpXu rw5Ynjk+L6IepaQ+jqfV2IqYbccbR4oxUHBsvsI+yhOdbKDpv3n+X7XBgVrbVw+a/WbO6H99/7/M Lw2NK7+Q490kF8JheZVuQciFS38CphTen9w/iNQ0tv/9aOpq14DBBVHy4BzGYlralRoTB4RZmC+0 2c2+7g9DkgojGIAwl33iHZOfW6pvtQQwK1xDVV2Rhhvi+UhtrIcklxQohai4Lvorv3+Xy3iQL0fF g5++L0HhXeQemAq2dTrGvgvcySnUfA6DugFZvSP8zuyG+3BOxlcEsWR1IE0XJmyCUCKHTtgM02rA d3+8kBTVFZTob9yxyJ6EdAX9lxjHxIfUUoc06z2c+jSff4R0tcwtEFcnKAj8xwBQSrvjbSyVBdPU EMm9/HV59oc4inttC88HkdEXvw3od0JjvJZOlmpR2XxyECsEqYn82bOlDKWoEJ+l+Ib9dTwHmBr+ SnspW/uakOexsLz+TVUmj9ZFwY80mW6V3yps3B9bLgwJCvGjUlmF6U5eCBshcSMiw2gOdYqNAJ3C 3nlmvxwpZGMmnmC1qX47Ve0vmsBnNA8tqyVD582i8zYV/IS32A+18+RH84/wiDw6CYjAdvYQlpTU C5+YPlQ/xYZuN2aA4C/zmX8lweAVpwJ45qIByPd/f4RA/LndfiUNk3dvePe/KNfALtXAQkaawCxK sldBfIvKB1VuVYOP27mNe33kdiyojhX2pO6UZYASfry0XOeTY7oNJD2QO6akHIT5wzbQNBEti6Yy fZ7HjQpRF11lmWbOd0YUOw30Z6sAHm9XTWVfW42bi+Ou6FpREpNUREN2zd/mLsnFB7YenEsKxoXL vvwaCLnKQhtPbUCrWkyWQkUG3tnKoX2TO6GaMDgdEoXhSavgiD3cmt1EMq5bQar3V8TeojkL/oQk 0UINDh4dBw4KqBggJ70PZyWrFAWUcbQzVkGtUWrydfp2OWzfapmEnIqQcxNcF3zio2btvw2SbxBh 5jkDZwsr7vDhEDDACNO1rKbeN13Ixf6f7acUkzSBCa+tO1cR2fD3QKHjskUtKO0rcnde2dbKwetl ADtSzAMzZ4zd2rCYqVv8O7eFy8LyKedj7PQ6pUy4IwmNMvkXuLJ8WoguF690RYHLC34bLVFYnvXZ uAgWoiDMHov1/KstobDhiSwAW7AOQOaF9EwHqxTIPRnRxfDxJPpXrf7eg4EaUez71NOEPO/CozqV +4w7aC1hwBF1eeCuKzzxBp+X6ozQQ75TyxUleOmDjJfDY32O9dNLRqXubTQH1g595DOWlYBG+MlP sjSFZMHEoCCTXK5rCGBkdem1dY/ot5740A3OEDUbOHY7O+GbQEP12sdEg0XGk42HucNUJviiqdTg BIMpqrEYKGZhKwkba78mttTNZ0tr/GEUqbLvadOTlddP7bKGPWv9eqMCEUG9GYvTyjhXtMm8kWpX QqZwmC7YJp7rWuYhHBUnicHilXZD2PhsMs0Wfl0SI2zmKPVr6HnV/Mi91B4WAuqq0rp6ve5w0gUC jX2827wkciHHqD2tigS1Dl+ke75p6oTyPd6f/O6Ifm4uLBym7AXjMoMt5MVCd+aV+ekhfa00ZdIN zEISmHyWQrd9oINc5IRcSqtlqaPvnEY5p63GtD9kDnpLGprXHxLtWdR/KXUzkL9xjOMQxJbDYd8p aQLj/OnHczJgkIVu09MAtyytcn6UehSuqg8xZzvKqmjST5NB7/kpOBuyxqCbjodY98a15Aw8uqA/ g88essydfm2nzXeTefJ5G1uzUCOXaEvG4aRiOTd7RJJiTipr5ylHIIbgzTpzbvHurd9yDWjpaiqN I/tNOwLvPoX4DgMMJ1FfFGI4N5pwxegn7/vy6yUIwBKy7VGynIJ8HiKMKSggKidvrgpJ/JyH2DXh PiHS+MX9vW4w6QFZNVC7JOlINEwK6Cb/+CyxTtpq4Lu6OILZ/G6B8fK/szofIiJTiumpiNOL0YQs edx6v0SMq3/DlUm1nNe8m6685vVmvSPMrYO8TZIkyjXDgSmMdhq0ehbczGwfct9VhpSN+RwRp8kR MjgbcFWqpegSytdcn1lw2K/6zktCObdBKBilzS5A8tmbQYQNWk7S3Zejarrr8WtVtkIA/hP781Vi IBCw0hEktCpleCOiqDcOoeseQtzZstuLxzE91vTKmOUX+HzrFzs0UMa+GgQwrlibqqb7M25aqC0F NRi1FnyrdMJAYZIOStYI+sPx67W2RZuiXFNVz5zb11kzPp7TJikay9pscb0/ee88wSNyw+bPxwje ZYq0eNZXMVGU8ZsXlWKCMUojCnfM3xbQ2ZajCNfZIyfZiwuK9j1ukJVlVZZm/ELmP+sqONH614Im 0nUEmHWCVIGQRBx96bpkqgJ3lKcfZTjXTdGXYt2g1qAy+hCYlglDNRpNMxWnGyg199BPXj2T9X1/ dEiTrPGoF2sIj28tqDj+abB/KKSMZXlijaxc4jHXcLm4thySnnWP+ECinyW88TaOsYk8NO0jVht3 oljTO0y5FHLJ0N2xfzoS68eU4ljVgw4saltgeUOuJGRATv/lkWN9ZCYOJefyBitBCn6LhNwArpyc Pc4KtzvJBJMjG8/cJ28q+2HnPDkA4VvwbK31cIQtwag9DzVuQ6RKDVGGWsj1kGJUsx4PZ2dqgD1I 99G/+OF6E43JQaguQygHCG3AasY32QtnIcB67ZL4NDN96VtsGJ+G5M61BcZqnTRttQdpXNvoSbnU eqDrmepdYwmJH0tV0n5k8sUGUTGsuni1CVItrFHpw+FLRJEam8YKy30DrzkOKb6Z4Tooy8yNBdna 6j0xHEo8TVQb2oRRlidRM/Pg73k3ndsRS2UNM/NHeJ3krsd+oUcuoc9fGd+tRYo1p8yjHIHAt8tv w2+mdnz2jcCWBwczcZTVDb6R9WDf6j5COwZnTi43ZA+RJ9dwrTXgZpwqsxGzWR09zzOTopFwXN6w kGNGdS2KBI+UiEB9AnWo9EdTrGXA2slggOaB3U2htF2Cd2/NxGoaxHKT4f8kNPTQ+st2ZxbSqLjX 63GPT8J+Iugi3+ksgi4nYI5E9XQzbvzcQwNg/RLVIFLg5Xc+jDfHJpu7mWPoqTD7e8jeo06EE+JK wLhPOc+/7tVhUkn6YRGfGRow30qz7CR2pUDmwFmtalf4t33/DiYFo0VLFxNJ26OBQKICs+HvNnvC XvGtqtq4fRlLpNzCKqcZZjjNG2NoGIQ+wUolEvKKQRYLm6M+GIe4mjO7zlEq+SSGenHMevEGtg3U g3VJoWJO+g8veEG6+fT1dz0ADAiWH9H01799YYNSh0hiw/RLH4NGxKZO5CnxPOKb2FXVQLbrHwqE 2YZZpjYzgP4bfQYgcGKJW5/xQoMe64XYsI02ZUcwKUo5jnLwWggnVBFnj2zoYnaXyfg6M7wTxcGu NJUnUQrAAXa/OrHAT9K7ixBkLcDt2YeHFsGr9duWa0gsi4+5gppcp9gjYNTuJ/fzj/VQkdMshxza QbohvhmEoP786gZ53ZuJaTai7nMEPzDcXV9p/HTLaMSioMR2E83q0pnJ1Rjq45D2i0gV2v2VgeS4 zm32r9SlNF2B8pg6Soej5bg35Ph4ZNCh544NE4Kg+bSB701aE8t7/1zhUBJMUUQ3rTV1LFejTZo4 zuVmbwkGZkdo+NFBC2/yqKd35mAxpVjmsOXFBFZq0EwPlxaRHwJM9jmxSj38p/cyA+yOVRtQFMqO 2DuJl2Xr0SlVO87D/IbsTNMLKueYCnCqnofNzgXCzhF3pjzU0OLjN4fcD4CyYWJVSLbkE7+4A2EP RcGiyFZXtQkic3vSJ2utQkfQ9UF6lhzoa9syhdPFVIdKIr+viSPiqiFdewglq3r3usZ9oc10VuW+ NZeZi7i9fMvQuNOJE0XnmAr06t/1XEB7Cq9nuOVxcJnivNgv5bDzzMwdrr8RDLE4J6vY4hSyY/mC u/SjF3zOCFE8MUgSD8ccQrcSvO7z6lLSXawfhZ9VJr+WtXf+W0KTNTL09fz2U6Qjm54hRS5KMtqJ HhKTcW317LGRgC8NukZp/e06nNd0VjtqBtOIp/RVwXGEy6DJ4hFonhMLUqnodVuBvv01IB/HzGoI pf9kOWRf1LccZ+tSVVCvmDUhmz4+bwW9Vpf8raYqVnjamROJ5+izNXf+Z7IU9eI71TITNZzmixFf qD2ybUn9K8x7NCzF7ZUsHHCUyVZzQyAhnFAuqtNaROrH61WzYJHvCPUdm4WSmLiNqSOih17/wMaN GRhhIeO7Ew2jYhKGVT1MYDPdDcmLDkfBepifWDQcP3TPycV/dMOa09FrvI090lxaktEqDht7BS49 3yZiHo72vLpXWMZ12DEJrbkk9amM35bJ3egSNldCO19yCp9zjKO5AP4d0S8mVqXRvtpmYIGH8Dbz B3wGIh4TV5W8dkd9saHW1sckFrY9Fs6i2uZ0bjuNydf9YiXqXgbnrrFr9w27iFcHHOvfYs4gNtRk awAF8PafEwU9icdhFWvtEvf+dJwCnUXK9bqKQs6MoUuDZi6elBabHoqfig0/zzK+ed9x692zOp/B Nxb0tfgnwBEjpNdWV8EacNvPhdafTJbOzloSXuWtNNka6L4yuPyB8LKjxAS0ihqgv8ZBOpTBrGjz xoM6V/tk5fv5XjRedXO+u3zMdSmgAljmJ4I+B2ytUusaaYTc6PT8Th0Ff5Za8pxJ8XF/EL66qCJC 3Ge+rT+V+l5qyb5N7XRhF20wJ1CHYT4F3qI86WaX+yMz6QlxecOul9uN0jBp5yt+k5ZtAZseFqqD ZWBDL/Gxw7rE+a7EGCGaEqE36amx7+j4cuTnecuM1PI4o9FqGacdaZfoAerWByi/40+FdgCwlOEG gCiLRmG6/4KlajD0spGfA7JJ2vzmEXRIE7SSdnGnpF3TNySGxP+ZnZRQydGkzPy7V7ks8rcQljMl QUpCh1I4FmiOtPdmqsqBLXu21rUDaNgEzuRMFb4lV3BBYb8fvo01omr7uPz+De51CfxYGF+cSP4I Onp7RFgAfbSHRyMpLQpNVi/g/H9rtk+TWVzx1axoHdKBJHiRQlhODDduWsZ6iEb3tmeS25rCZUvU y7yu4Vf93qdwo2nncuvdhMLofKk9Ij8002TNL22viY04ybp+qH2A/UUrqRfQcokeuHJGn1lBkync 3BjbEDR7af9pZaiYFuubSvDUQxmvADNNOzrmYfKbl5XetnS8wUx/Eh8JQsr9I/ddhi3TtiK2HCZM fJnr2tWoincOVoVE6ZT8FLIeBOGPiHW36zzc/t5zllCjQSh7cRdu5Zi9vd5cQkyBlNJwxVEBh9Ki bl/Kb2BlDtPBW3abTxFEQPIbQg02JkqkVvJGIJ7O3X5D7mtuICb5rCMqoafqp93hlUP2NIf5ATWy YqK7ZUMX+jlWk5cBespm0M2CiCE+lcJwoCr/MBfMv8HjcSZDLl5mQpy7vrV0B8v90crCJ1GKQqOh tZgNuSzEI1kcat3PkqY+pRbaDeMbCobTXaH7QxCEOV+BKsPmnSDkh2qlUwtrHw3THtZknw73UNvA YtGHHYrEN1R/8OtDEh+/H4kc6u9LxOXaV9fqQjcyJ+zYpUMcMBmLgJtJV0cBRzYnHoqPWHqPsfZL JMsuEJwPqJyRrlvR1J7fxXhk26UlOMu6jCkedjUnxYQgfCNsMwp6Z4eamd/qwyKvvhI2hr2BPJb/ b4Ryc4CIeLHgzmfZlf/AQamPndfhs6I9Zjsb8THh2MoUoiQNiu3EowLrBMbGaub8jeSWwA2890jp DKPmf+pSBtr8tqQFsvWpqGpbD7SE7Dv4FOS4FxFjb5WUh27DK0eMphujhPKHS1y6/SXAgGG73kqx Ewg/114d4iSKAE/fG8Mbm71qQ9z05Pqr3rN/0Gnl3VaVy1AFEtFEAL84boj/YvvyCwsWKsw+w9wR hBBnrI3jj09j+0LeltCIlarAFNKPmKoaqUSX71iMmKdMhIpfgOsPfpbwZ/fQu3Di+qBO/CBvLWpl +yOT66zwgmVhyH6TK9t2JFs37MkcoNG+7ezoZYBmrd4/8KDvEzGKJ0xbhsi88ytXCwPyj9941c2u /4Ur985ErHsrsUfwrkwwPx9tPHS+hj9DxupBbnVsut+PJl9kS3ERJT+49fKfLK44pVnvpTWZkBud kD+JsJ+kDMMvm6cnf707h69pDdGoXsuyfywrQuGZD6n0iyoTXJhD1cx77Y3/7GktLW+9HkCeJgOX Q/ZSuNUbRYRI0dj+45ebV1QmWpN56yEb3797p3S4yjzz+g8ngKd0xAVfgnyf+0KXOqzfu71rd7wT OCqr+5p6pGsyjf3VFh0/FGqGQymCTeA7yTW4A19EPH+ISVVzIVkCtTnQHlP1U9M0TYBhj0WWyfBL kQAP0pnWc+LuAhe1c8o2/hBAYpkwtWS0giYG+YpAMDZO7MrSlp0RRdToTUmA/8mSJMVv3JYvyPg/ c4cU0OgsYJgAMYurDsIU8qndq4csBVnHNThdvWpN9nAfIgNBptbysNeZda2/uqlJ81z9ZlBq3ipn 12ZyYD3HooqCYJx9bF8yd6Y3u4aooZkTpCate8I4aKu1uKJ4YTwx52xKzVGfPa1gucpyt4GMIhB7 dCJbJLbNhjJ+ApCq0BxLmfCYrQyjVzn6BGMGb/JheUW2hKkwlBiGZ0n4rAz4j8FBh+OOyoHSOSbh j0ZTdxijA7WxAPkSz/u8mnHTu44iV2flmn476IBW837xnMrD0fR1O6DtVZy0q+L/sV9vpl8No/ky KpoToNuEbchRg3F6hfqG3iJ7yAKWn4CqyI5qBqZjFbjexQnZp7yJnXnSZtnhK2BtkNGghO5AgzQx 2KztRRF9MXv6rSX3ohnTqW53zfUUWyFUraJ20Zpm4ptFAll+skeuE7GO/i25t/iPNlm1Z6K04LU0 ZkcUNAyN+YEmlnLeefpaIJ6Tza+uH6Qde9xGSELP1jgVtIM0Bb8bZ/5PvVfBWzA4P8M7+Sy8BgVI zIUU4vvl3bjzPWcUj8m+Cxxcm0vm/XI1dllYk+6tXJeZTymf9Lxy60SDQoRa1gvajhS8I3B/AJIf ToUrWjQFEZlN7OLqGECVSVk7AJmcHofp4g61VPYdFWCNH2QNuE/o3pdPQeaiMcoPhPJgHks87SBe 38S3xBNC3fVbuKhb5uzO93MvUHSioWAJQcINTAfMzkzkVqReOwkQVglbCwyiE07nYcB7U0kmBdOq 8bwYdkohZ9fGmB0EmprC+SqAnJtWGLy/815tae0AF0E19ONNiKiRioOVurHXRtz6ryqbRIDVXr+H ou1YHefNFI6bYSx8AMuyVY6y8lA/4tXyR4mgNmsJd/3oe+qwc/5wXQUOOW1I1jQdnPvcFsYaKyLN d6wQou4EeJFaVjBflbuhphbU8BnXRO4530XCfNB6XMUT7a5CbubtCl4+XdBQbAPF+4iIRF4wEjdM 5qNc1ff37qjbJCAbznESfdnlvseT7uJotcCx6VQxZBvmefmRC08oJeiTmorF21NdMZrlhC4EBLgL xL8WhJJiETrn4Mw0Woycv5Lt1+kittadaZrXUF6oPJNeteogKjSrUo0JFZ+9aXlX4n8sd48EVn5I AHL2Yux/PLtiMintiG8tEWzjSv+llCRvTciLwBObFmn+d39u6xooY8MXo/b7FnL8ImhaaTotW011 qefYaNa+3Ddtq+ODLnkE7djwPmnPCMp16ox+bk+/ZJ4rbR94cT25nwXm8sRBIOhe0groVDy6ew/j H2OcD/AaNPSmmNkCVGPFnmfG3GDApnTaCUJ78mgqolzGwB7euS7qZ0I4uxfk/lnNKjsX8gu0icDs yyXHhynzZeHFqy9V8AyPsuC3d4FjZwQrJ2mNg4QN5pu//6NdtMrT8kInP0JaGeTHq4cNOFdZHstS YrtFjmWNWR2b+o73LU1k6YBDGIZ0vOShBkWi2FBQEofgN0cYAyfLWzIdayWDLVOKIL+jHpJX98fE Z85W0kyB/+b8YGVveg83ezwnCoM72s3jdbJ9HP+p2nJx7wYKicNGm1emTXqtX3u2TFHPXqNO740V gO+JnVApgBjVJWG1K+eTrw5lhsW7PhlOmpiLRfLr2kmLfrZohor7R2dxOssyalw5bedI2Fccjh6l 7rMTUPoXnUFZajnGqV7BlndoNjrOipGvDmnkPF1oNG2vdSpCdnH+BL/4MkoKkisUMgfum7J7zPHA crXM64IbqZzvgfRPloc6KRNRzHK2A6VcetwRedecOlu50z+T6QGxHd+qLJHWcAiirrgHfr8NamXF nKomsGXW+ZT06cHid2ZQ6/co+sup37h5b2JDn7bSQSn35ClI+xFZ0IzdHG61j7xWgjzBgBuwG2R4 9XHrmII4a1HeSY9eBJjBrQXt0xOOadouN/1D6Ig4Cr8x3XeYZaClidb3Hx1yyY9qZYnmbXiuRJJi /k2B2JWX6HHkYJ3ELKmUOgMlfxYAV8awcS04KGtn0+Qnz5a6tRC3HaLlzBQG5uah+ZK2dZ1ZuLg7 XKLTaCmElMmxkxSoUysAy3/bX2Q6qACX4o5xkJatL6lZ0Ks6xGTuC47/dmOQ8oFFptk2FMHvJ5Jb 334c4CSOUjFv2LWyOBJWbdeG6sQSWN/OwqL6D7x5gbUHvJssw9KkBPCtNfdJl8EYPLMyxvcDQ7g2 gk7Ht4gq117TXBGExVd+ADqiXT/HU+RQ/YLAw6Wjjq5TOxnip6gnMn9qUsbXvm/96FFRelNNhsIE TDC7DETzfJKac5ZupdA0QU6KZl/O+vSNmtDoU6YQuHofDNQ2vJMXUi7qAAQ5FmzpJQs+ge4wR/lv +ISxGo0AJ4CyruovmqfOnVsWen5UoJ5a4KDWmhrklDHybJ3iwXQdBI37ixePTfus4NN5lJBovKCp eyY0GerW6+mB2/UC3EA1oC+K+z21yTfV7PXfLh+AAp+F69hDK4zHCJv2vl0I6b9n+67rzizzputZ 6yE/A5cpfjAOkDJnQbJFKGB9sgWATR/FphLbN9IL8PkS5pDZgcxowsH2plouTNKSbIVl/w3CAmut xon516XZ20RSYIrKO4w3M/Hs6kSXCFo9Bm+c+1HrVI5dp92adAnplJocOXU4Vg5I8nrhXzA2QOj0 aVck1BD/IsetweXgJ44+FhZK7lQP44KMBA9Tu9LN8wtTLTAiBHCBMNU5tcrSPjcYlDykd64PZifm GkcHyTZMywt4jklA12SJgUVdrM6ce6RKO2KYF6cMD8oYLilrhDumxzChNbyIdfyMQ+amDw/Q4Rx3 noOAaStJciCEyqSUSIrCklUH/e9FgKtDvZ4jyDkt/9K2KkrKffrgBuqe0uvokIqPPASfe14O1C7q +tN6VU3Ngi7WI4BkUTRYajVyepXwfAyZrZwFCiYP3JwxH0RJywkeIOlKf+mGAXVpwjpPaObax4aY Je0eOYsqwCCOKX47GhBSjtnRqXMqvETMCCwk128hf+QwyKixSDRjI1oa1i+VFSl0inraknLGgTpx yi3EzQezNn9LFSgnTSTfnXvd1tP07o4GAvoEZOcPok+vTD+A98YfxEvWVJO4qm1IXYogIQOumKFm Q83V8ICgTkAbOdyIoSIVbnkiTqMpdlnzQFpko9h7CMJFiNI5TQvSPF8qdh23Ld5Yuy1IRPRibXy3 j0o7jddoo+aF9R1ROv1CSp9PGZLWeOjif4DqND0JKAU8MCC/4hUlPcxBHKAqifcxvXZeVAZMrjik A9s/I50DeX2JZYqLUp6SgjK6nCWmGoGCfymTHdNy/bf4+KExZz4QBlCc4nWPFnLeF8v21pRQSq5m f8fPPKDBNLjihZSbXGyZTd/PT4cPXufi4EScKGqGzx2pK3vcvsao8EuCzFspZxxRB3U3usKmAX4y gjtUy9wJg6Vl08so1ULAkpxsPCaqQB9e396dhFNQ1tdL5GSNvhfG9zvuPaSC9PewguKrvXpyA52u oapjDYqVaOEjq8z22wtuumxDB9m+TMf3Mb74e+XS5DmtTZmQgOoN3wWUMpHjLsodPT1noJpVLall LuYRefL/zChD9elQQijC9O9UvRKSN9aEOCPOoThtSc3hM2mji9dkYb8SG29OaYuwWcMwxp7kCUp1 /1kr8z96HnonzdQAGq5lPDBRKf1m/myMqo0LThpkOsjmh2YwtrLHYmAQBuO0lwGcGSKo35zn6HRS IoSfGwU5v0NVHAkDac6rELChqzMspN8Rd7cWVDI9GNxnkiJTJLwBRjHiIDIu/G9SSIO9LutY3r3s CbCCdlvb683CO2hVESHSFjhmjFwpAzL27SQWPSB0XwLdD6d7IeuZVdkBdHY+V1qbWOsS/c9bzFnm xW6M8SiUtAXAicdjmAYEhXzHygVjcGGo5Tw2s0JAjVvkDRGU+BEGXky//E9VjgjQqL6VFpI+TmRP i1JHTu0K4WVN9UNigL8hKcPzLz044SD3U768JLKOvlMh/lY+I6foaaAticwNHI0H70JrPYUD9Z6M cEzDlzfwbM/BumJr0IdW0Bf+/VUuOiz3myYp1G0dNu+xTYr9ELF7XSQl/30GTZBgTeQWVUlgDT7+ 10LE6wRAyVEx6hW50rh7qfbP7Ftw5B70RAb7rGGB15JbrjNSV+MFDFWYHuXiXj1xyMdQNhoBGj55 cgM5gcmqAJv+eY9nnD5pI1etM8odxsel/UqiJSqyfSm0h0hBYZxc3vXQpDS+9leG4aL1byg8m15j G5Zx+x+mgIeHtc/5RlaYyPdNWovpy1jMLeiUkTEIh0NDT4RqH7ku0P7e7Cf+0/wtKJyVx1pN6yev mqTC7ThTqWCnZOI2SWOX4pIC7a6QyRUBgb5ilZvfzB8IJNdzYippnKakR/TBUdi/n8O1i/fl7/zE kUQl0iumh/PyzrxVnHObtjPLv9NeYnLHaaicLZrQ5V3/+eByIK/qErlPjNQrSBN1NIhPr0vmqeBr VR8qdhCHHhdhWHNXHINSRpey6lHVymz6l3TRT2/GLbQ4zXRAAQqAJ7FVqESLgxI+o31ZXK9bId07 e4X4KUsUUK9BoNZox1vLvnSu4ajFhpdELh50L50jjhO91HbJ1uoImKGIk8nwK+effqK3GziI6TUP jjfHoesdg2X1NofN1pJhbX0AxnRbSUTZqUaQz6ojO6XAZL1XkKOlbnPVeiRlUWx1D5DimJLcW94Z ecFE2OYlNmBpRBtSSLH6Avr5IDEGL0omrDbL1SNMPiMfmdgubgj7dXFEWXm5xBSh6vA/9xrkiK7J aggMjmThrhsyhXYF4yvuicoh/z4259ikIr2UjtZtg7RTvFG9TcxtptV2X6NrMXz55bHsRsHoDx7C wnC/1vv9qV+U9D8mvTQk8PUz6f5OE2Xt9s23AuxxiHVgZwtuw4B9g3cliWClwlXNvVQwhpmPQoQ0 9PXqHloWbmT8GBfLWjnELWug4sIXB2nwXx/boen/KirA8mhnxNcInNRziG3yHzExwFD2O1irBt7p 4EM8w5y3uSuB9eDGPomihAMiGXevvF1SZGyxf7fgQ70/CnwyZkXi7lDKb516uIw89NNbNeSmiBQ2 hEraI31w4aGGm/7g+H+kCtSkWdrM+v5N+B6o2iN5+ISqny6ShbOc7va8bH7Am1r6kfFyGXI2pGJV fJmWLKWjDSr3jfj9ZXXMtqPgySb0P8Q8TCnjBPMUPCqM4Zi4gJdmCA1l6jrL1BK/MGsrnll/aX86 N5/PLh5lPxui0mkpqdJ2Uef+dMjzUgPxwBNI8/lkiTNggWgevZ12cVNRvEqYXvPbaP59i/6evmQj ffAIr1qRqykSpTe1p3P/Ku/Up/0hmI4wZkvXaEcocA+BzBvXiszKjxdKyP8xwp02pyNCEAxSuDHr RWTf7i1VEUyrhiP+wvQR9qz4nJngVF/5ZQzvlaxV/lH7y2HawYJuQ+ngBoLkXnumk2yvMEvD6c3K r656aD/ZgSGSdT/gdNVwRn6ZXDDQL0wAWvccwPTQt05akSoj/4xBKx/lJOyqyYui3KquVj+45WgU bd+4hi+ymXO9wxy7AGX0rZz7eiUQt9obsqi7DeOmwhchrRjSpSrf7C8MMt3ggNA/+DC3aZISySiE g5FtI4qqldNdZ8FPIdEhhTgGqAf5HSX8cB7depjSgj0VVSvQdLY1cwg7c7ti2A5iJZNd6FO27DnS LYiNIvZ4xjUdtkqMgB/JI39FdWIwsbWPMMaLIwdSEOvrbXc+iF+GXrcD9NCOJJDP+4KGFPOeuqSD RFIuYMD3217e+QdZOhoHH3Bj6SSocL5vazxa7nKJMlhBa2/s21DlY5iqCl1e77SepZ0AmN/lZF/E b1+0j3y2Yc/PjTfUK8yj2RMY/4fh3jfOilDEIs4DohT6jmzuhWTVGc+aT4ZAy6boH5XaCWMNob7T TxRxKBKyP8iWYtmWUo9uCZDqSTAnbb6uU2AqLzJs1ZTbFXXGXejINSeJiFWMI4KDA9y+Xfn7fY5k n6LOETS3nW9B53aSCVmqE3UnsyNWEQ2tZMicL7NM5mJ8ThS3nd71oy2W23dSmo2IVzi+QxJbdpZo /thKts53GAiWEVoEnxEDOTvDRYXTBOiCrco3QMVJhZ/hE5zIibgt+8BsPWFfro1+XY3gPUlt+Mgg o9uStLkiEB2PJ0u6q5Icjwdl6GYZgqhPQCPzghLf+Oa7VDjsUSI2EgVYUsluOno90cgia4KgBrGe Fs13SRLs2+57ngWvKO+36G5uTbARdQ9ecXs1Cn5dTM5Uh+5sO+NZtovcI0INO/w05+pZMM3t9yEd MUXWoYGq/vFyrKqIVo8+CM4Bgck91GP35/NmqQbFCTWAJms/Xz1CFZ5Nyac3ZFxMNtRTw8yr6b6T 5DT/wVb8uPQAV2La8txsF/Wg4do+PQsuDqr7Ha28/Xv4JEqJl8v7gvacpWNKMPhd15QYGsYTJ7T+ 4dv+8HCgs05+UcAnSKJDtbAL8HkXDLNzSS6/rpSlvRkvgpgkhswi7h+n8D9fgCaWzm9u3Paovybk ltIV3YwkB2ft3rReMCb1fWvvCtunFq6yNuV+EInR6Z2cUE//A4DB3MMixGaSYBFBbhsrYmnCr9Kr bDkyfClmOdlVPPZ8ZykNhADHJzWVL4/qMKK/wkU8pSokQcio3op2jJaxwOmEWFkCJGWtcb5gj6wz lI/2+4VDe56M+XQmrLCygwlhzCdFVQcEm6opCZ4yZa4dhk6MkuAlFbdVy+KhznziOHmvRO27wZLy lCmGkQjgaJ0AGYAusYnxko8jwIdwrmmUDZfLnu7NTzKgFQEUGp0wRcTvNutZUgpNeu1Nn+Z4jTps YRVjzzAzA47xqo3u3UrvteecQh6KR5HzXw4f1OMAnpVxoxApRi2afceGKTGkFfcQyLuj9O3JRwM4 kZcT4cXrzeAxJHSoH5k2AHcOO3tHn+IiEQ6Wb4RGZrzhYmqoAF7ZvgcBJEx/fBFAXPg9gSErzZmH Na7RtQbJ3qyb4DS5ehyVBn12n0zlr7fRgZAf3bwfqD9GTCpboBIHO5Zv9SpS29PzVfalSM/NWIuP 0vWQT2MgNFvqX180EDqU7wl0rBB9buLetMnhCkPz6kUOkYjVoJr0InUF02xLZrx4EPv/D5dAAmgt MUtISA9p7BRDLeQ+6A2RdP+fIbalmyjoffRPxFVOOy2AxUN2cOm6Xh1Stpmi3OLKqhPc6DfHFLIj D9M5uL1M27s3ejXnk220P9VxKId3DAhckz/h5IKIqY+j0jElw+hPM2FxhJeOJkAX76BcHdviuT/a Ii8GNIIdRr2hsiYkmNiggP8cJDErNrzVUdZ/Q4R58VkImnzXyaixlK+TjpVJYFqguYgG24bzzmcA Jwd0FKM8jVjfEHjUq+BrPzOGSaZ4/dSXq2msaCaLgdsfVls0Gf+qzoWMQa3eIMwXQ3p+bchtahtD EcREJeWdSS1jCiXbenNx1Ln9zPB9DS0ygvNz3TUPkwOpfo7OrXsxtH6vs6F9pQmunmrJuogNQuXI Coe20E+BYZ1DmbX4AwD0LJnr9pXa4FQDRa/gEOXU/jfDWNCyC46UzbI2AUwCnULt8u74dzUaRCQX ut0TsRn5fXUL8sMOoXyJH5em1ZGXkUmO8fc0LVLiszLLQFSnh2ObBpH+R/wyVwW8EJkjhk+JuR1b dHnGJpnwDng3MfG+kTQcBZfiinRRYEZxXhrY0JB94BGCcMBq07MZ3KHrgwxiEXcz4tp9fPBL1QKQ wail4gWVGXQ3a5eRNxjB/yLA2X9YKC6Zy/EqMIsSu2NipWyymAQ1J1Hmowu9LYeIhGufOuKziKxS P8GWz6nIp9nY9ogqy/FbbugSCW0hkt58rNgkMN37qUpR7e1WPiuMJrN8Jwk2JgTfebRRC5HL3pgi JTHRbXVHZ4ADA3lU3SRjg7QVl2jt4WJfUU+Uy9JdwOcc01LKa5HRr3CqLIWULTx9WaYFXktOrqC/ gioDu9esOYDoB9yxjaZr7noLPhl2JG8qJauotUjJZXC9muourdIhoG2qFqlpkKVjhghXd5gXQ210 YGZeRUB/6QUxp+EyuXhMLmxWIl7hUKW7/akmGa5qE6QXkGalo8YkAtfYXBWXYi0gbQ2ENwH+l7z3 y16qBEvCL6IJlmtXRVMSB+kcee44+xhu5j19MR9mxvO2T8xbdJdjyQWdR4dXjmazDvEga2/28xb9 tTnRuvhJSTWHXFKk4xzZX+kmOmAlmuY91jUxo92B9UB+dYN9dGR550LKR3c4Dd/LeK/1vF7Jzikc aPdOOLZZPooqfLy6w7ugepRZn/joOGA981u3t+Q5PCf0sgf3uvFWK0dk72H5nvJfGRSQSGrwbgRq Ntg4qomn4TC3Hx17InL+qjMh3mrz0OPL5EiNpwmRhrj+nIk0dXkL64MOk/hR/2BEhBrpEpYxRAMt 3gtvYhEb/eXjZBGEixHlE6vT8z0pDKasboFCusDg3eSowJvG4MhmDFRV/nyvpQvX7Nlb6H2vy6VH 4L8xRDlOCNrgWW+XFCzmMYCgSFoMcvias6MEZCZYfc9nmmQiPjsgQzWL8W15scxKDPsjQCFuPAaz M3X6FAGBTG1qfCznSYabDMumDfGZouBckJu3JZiRo/CuAIN97YcUxPdh5bIDhKkJRvCaq7efMgxH rvm7oigdXJBJbfeZeXkvOAmOnRO02oKvWeIy4luS8fVj0qWBvJXjiV5IephVrSbMqjc4lsVQkJ3l htVLo/n/ZcRdL+KpTFTRyOvResaztA+/vBMzI0UjHZZkyYZ+0jdzYK07uEFXTxC0JdqAjlv9trVK 3K88jjMCxgzvIpillo6HXhmYKLk9Xbz7sUemGMxknM2ouImt4va0MDIcVYlsfSq086afL34zc+dS +AF9NHJxlqk1stfGbMg7oarT/qLh7fb9508fLc31Wpt4Rq80nuwF7iIiUDhS1wYBvsRwUtmr9Vew nUBMS//fSkfM31KB6EXx8eLyH/9e7lK2ezyTMo1eXwfEfszffKg5HGZprtt6KEa7RYH3ovfvxIBH Hba4DvBDCtJlVh4zVJeemcOrCSfeg9WjlC0vazl5jxXrGj+RjdhP1UxxHaLgbAGyGlf+jpzTMKiw pny5DXM0PY7uBtG/EjLuaBwzWewKFNSprt24oF12IxW2V/f8AaFFJL4s7zobd7F4Z5XXPJRLe27r dSq8mMizyYPNkqvjqHrZCl0mImJ+ns2gnSD77XZYT5xyCxhttu46r41JWmEM7bUwny3tSWjMjQH7 ojQmNEle70Yfm/lpbVOkeBZBXCMMK4lEy0k/dMUv9DojrwTZAYQkr35UqrzdkVtrfgMS/yoRettH Z3NewOSJDuaeF7UOGORY1xgt0zndBj7ToTX0aCRJqPaZK+dc+boj9OSZRN+oCVx0RiCgcGpv1B3d VE9iEHbxgg0GNdGS5y/yajKRLHiUnfFwxf0SdNW+kj4QkmrebNm5vdGIptmne4MD6oTGkmbIthaP S8hM7Olc8ZgZxoti/CKuW7P/Lv+zx+ccQX5gs3YxpZNwGJHCqwhyDREPugWlcxxF/3jIB+pdsyGI FOvnYTKrM59wEX5QVQH5BPdbnQk92ZSNR1vmC3HB7dfK7PfpCZeQTKJTQXcsCoiuhOhgUzE2hKFa oGy7O1+bweYQNyVT34v+HzmfgJHKsCjAjZWLUxYjocz+zfiI5+HlSyTSHJjK3a/FS/QY8NdWgZYo tA9PssKZ8a0UrQ/V1BTsHY3OfOZZhI9S+dEzV7xOjz2scgfFbsZ55Am/iNR8ZAOcvsB49/UO/Ply zVNWqi9fCdmpdbwvM7So0fuQdmG1eMF3aWAH851L/0n7DS3zCjvvtmO93ezuN8i5WEF5bmgldHMR LtdPlaFDZ/K5pZJfGJFrnraUP4ub1hu7nMk4M3+S3jmBSqLoJQBbhWWYZG2BUp0C5CfQ7tLuSQ+J AFu1hTmLslJT2p8MKdDzpc6crQ20Fw9A/kP42dp8FeZKd9seQlaZr9yY3LpQpJUk4YQEKz5ewFyo m+JUQdJSECIRtXO6BsdHCFasNvRcPSM6oK1YygBPkpWKgWOoaAcA1nlnCBjUdLeikXX9A2+dRznh 1Q3qkimvnNMbEvKlTTZEl7kIh+AH8Z3fg5qzFYnZTKxb0mRcfc8y79WnG4jHdKcaXskUsBtNG0lG W8259tByg4q2bizASNNJs5q4jSqRgp+fkMJJS/gXSsOQUWeWBZy2v7i1cFGDjgdwFo+yaiK7y7Tf TRS2Sl5UZG3iIsLPoWJvxQ+qZCKJYAot1vzJEYzBdYRi7AiD5bXOGt5pK3Tqe0WvR1Fh29vF2qLa L7lRz5hOo7hT+n6QlOoCflryk3VLjdxj23hHFFbxOpuB2meiWRXbYHAZwm87qd7OJBC3Apn3qEIt DV4nO46COp4VHYA7EvI29abcx6Jb0+SRee0OM1xp55UIEnPQLrHsO3rICUXEM6BTDWZZ0Hy63hbE /MvNvNep9Tki2Givc/SnhVRomNxyurTPjS9sFMpuHyGr12CY1HImWCh8KxG9PRT5Za2RjdeEPrCW z29FaOZMtnjPPNB8s8FSZlQeV1DRkXCzsv0yLfe1LSCbyhJH1Fn0DbVDYdo42RM8StLfsUOGujS/ FstEBEmY5HhDRdpe7UaUaQugbb1FjLfxd2+y+N2ASseQuIszEnpXzdYZ3dopY559vwu739YqSAtk 0yrLAF6ds4vxfM5blPotO3OWez8Zko3foklBVkssawP0ABK2xjFTAvknUGHYuXzX8qamwEYVRFk1 Xg0lSO5wDM0zi12m1KGBoGiZvZ70s4u92Du6iNEgs33+fQe6C4OR+4nplb/4yS1pqJcbqXS8tKuK wgPrsFF02S6yMK0tEpItz1MbImEzyHAeiitua1Cyqha33sVn+JueSVXg/hGv9vn/B/tjZzDnAzOP FAafizlB11zSenh4TdEh0q+jBPEltaiodDU/wIgHC1c/zylL9Lty8LBznz2Ps9oSd1e2ntV1f3Rt hG5qyUOeu2HI+RkCcg7d6xuY9lf37mOniJh+CSzD2izqJ8EJhvGGQ1ZTEosO47aqxCiEJX2Uw0Z5 BLKelPLm+TKaifT7YxOyvpaxwrDoe827sfTnM+7zz3ZwUL+K8KdwGMNWbPTSyLP84o7XcAdlN8fR WMENOKtDuVf7XDFVZ9Rdlary3ucItPoR2/7udwFJ/BmJ28JpudzpO7+z4stTghm7+JT63tE8kabS tbAwz+mXgJwYk8AI9TFBjt0OIUydNJol9wF2ORhRAWrL8vFqTcA2RX07fiHcwoqzfxP8cxDk+KDq +is5V9WDXfVhlVvwDyn554wWAxj7aU90lkCk1JqCPDyaZLEXLeiBB2l+tbf/bcJHi4TtyFy4N7fG y/CLw9qaUxgdvyRZPBNhUL8d7It8TQO5j6zcAGETamwGSd/Roo3Uq5Ywx6wFlDXtVGliPHsPChRs i0cwcbCoLsBqesIv4PZFyIjX3/Yc3YIuQRld6ig1RzXqu+ocbqNEHFTUBmCSf9/76iBWy9Pj4Xfg DBnkImxmKg7b/RlQ964oQkhDpOF/+pgrRj9bx8WGD7iQsjlJzfbRImIdTBjKywu4tS12VMVWHoi6 8Dq5tmiB09lArQBEUyTwCEHclRQl02HAK0UAVs+uYfLkq9YEtCSCYApnmJmbkmZXaliId5/c8FIW Ze/2/26dsdOoz9q5c0CvFDw9xJHO3UDIGVhgW0gQe7Lp+KAgh6zt9Hdfpga3DJ2bYvWCpLOfTaE1 3UOueEQ3AMn/5+fC3p12ZcEe2ZxMYB2JrAzTWR2wugMOCB9jXFLDS1HlxJRuHJ6CF+PGdDpXQaIx lYVRZECsPYIhz7UcNb8bWrzA8v9vPGFdRzU0YwxqEJ7LfqRUpYYoQqEzlEqfjnYOwImheUybeGel 4tRErSnORtqRvzAIrjTfOzBBeCElBC6ps+EH5HRUYKGHifeXTpYCpyW6u+6irwBzC6hMCNKLgTMy EyW/37K5TgKPe2Vbcf43+pvQ9ehRyiVSuLjOMneY+jyUk9sJGli//GHXJ09XfMTucDuTaQ3X51iW 2++tmDo8L7ugC9QuupWV5ekjuHeW0L5H7ZuDN9qks7M8zb4aDXKlQlBizVZW9ztRSfp9WrBLVgUZ H+cD7mwzENXTgDZEVHvCx2LaGP8aQotRO6y8wuLgZcQyR3QzHNqzI2DqWjcVp0fAohONmnQcR644 w/tVN1RVZIwCCtqQpdsTNVcjb4npesGxnWs+5RB0pYwqsglT7BTlbaJLGArri6WhaBdGfIo2VSJD 7M9S7FJPdaS6gco6jo6XH7NV9oHvXkhNH8/nOUXfvunhgJN2io+WRuuD89hm+M2PEX4me1JsFliO kW4gSM+WKBl+q9JWJE/kgL0oufoCqESDJ1KIs1uxPy5kNQ7XN2UUKfvGhZhO+We7V58JNiYSirXf rpPdBw5cnuMS1zR7qwXH5fANj7VY9PHtI157dbJncpprgMUXzerPGPpBfZpXnbZp6AQwz5Fhakcs i7qBSzEohXZ7f92YrQsEoedS2s60wBUiRz80i2vb64DUTzAja26YHlamCCq5lvIo1vOlMGlTBHb5 zunN/n7XE7nqnO4bFRV3gi+Uuh5AmJGMSxsp+MZAMNcsvTZ5HIUar0+0B8FTXfyeApv4CgoK0fRS 22SlkyDjbU4UGI9yc5IV3km+nxhYG8goZGRaUXckqQlTOgobsFMnCzCZXlIsWubU8xPgu1NpSVe9 4rKlAxCZVBdAUA7SU+LOfdpvodm5q8HwDJPtc91DaTIWNgTypERNVrS3HXJKYy45kCdKsu0HwFj+ FnVqjizOq88rYApxMpexdolK6oosCkNexcNa8REVglTD5PCnrElXvKwqNwLgeh6veZQ89c8bcf8W ctTD9nutYjYAG2rf6kTfj1d73IAas7IF9h1v8JoXurIE78IKqUKgRNBsTa352m1zHCKDBzPFKlnE BinwoRHElwULP3mbVr+UXtPD8s1c5oNZvP+C+MelXtTX94vgWAOOL2Bty6swyeAbGjVzSFs4+apI 6lhunu++IfQYjH2ufLV9MMz0S0U8WDGFgWp17TYYgR9fnbV4PFIzIHSflROo4xfrSOGCWv6gVkg1 mqWzS2+38/jTRvKMsQEyKGrUpwEkqGNceWtnoP6FjaABrv7gVfE07MQdCHLyXDp9Oy9I5HsIFGgf hpHHwdzzG2waa/8mPMag7M/fCdjQLJxYdr0ywCTqNUuRuIbofNU8ITJneAvX0aa72bO3HmY+66dX 5InyWfPzO5slhfZ4zpx060FDTwm+ZpTkhO/hjMl6cJYUu8REG1Ogr8kcFWsV/4g3MqVi/lhOTB0o vZhbSxyEQXg49CkUk4kHLhkCX8U1Wk0S2Hg8Ei6L0uAm4lTK4xB4qq+VEFQhsCLPku2OF5xsxY9w VxBsOnGuUhyPWVBquSGjqw63DQp3YU5KIJ+Ixug0GFcch/DUkD8hzgctG+6giGGbKbVJJf2IkSHH FcTLATp+fOPdJJR7ePTrOxudP9SCT6oITJlRkSP1a4dArgRx0hwOzEOXSJamIv1Betf/ygagtqhq +M6KvQvQt/iCtiv/zC/GShF0pVUvW3ki9eVb0d39+FFzWBT6sfKrtcRl7JURuHTR8NmeTrieBngy EBxH5zKTgz+MKdBI2MLGBtJYjhg3OFsZ6lAl9VdYj6xkE/TLNb2Wj5Kj/9T3mrO+EibcsZ7qwWs5 7jFm97ALfxktw5Sd9jctCeUZ6C3eoER5YLCK+zauitnKJ0KjQeI88cU6uSxSO/IVFQSdZNN98mcv P3++vJCveyLBcDf5BVl8I+G9AoZflCfZ79Ji2juhS6XVRertUpaF4O+5Flekd5J0MDny2m/QTLD5 OjxmkcHL1ZbB7skWRTl2rVv+rMRqiaR4WZwTT9b8FIffZ9GhMiV53rQZydum1P13JnEItfTHYmoW pshfh5ojDYoIyg94is5s6ZI/5xHPoiX08o/wD25gL23dO8JETGrlrAS1DO+LxRiHPtGUJkDBIpln X9fI9qpuLL74MjLKADjB7FvKUj5F5nbqTI3lVoTAesLOoS9RfLeBHk9qJO94y8j1nuLYJqjxTPER hs41Rl7/cZC3AKyvKS4psEFoGBggfszNAPYjd4Gu9AYsAaM+IQcNnL7lJUXjexW9e9hH2iJgMCBH lNYLoP6k0F9eTEEXRo2TWWofHvpTe+rP9sQnQFI/1CtrZKsqDPWDfackKuDE9Xj+AvJquxcAA7Iy YipDGxGtM/wPmtpsU5ND12NmfUc6VANkaGmLTI5n86zj1GeK8NhvtXKFrhFxQGrCzDWbhfBbsj7P cIojt9y3upPQIFBwHQFn7Dox2Dyf15bzNocxYmCQ00AWNu4CrkDuHGkiDGnR3S8XgN2cvbaccr82 4IMxnR+mi/7vrWZYc14DJ7CeWT0BRinHFFH3p14ezSZg2D0zSqG4/FapdFdt3e6OsOc9d7q4uis/ ZsZiBpyTG+bF7zkE0Al/g8bOwzhmiwCukwDfdyjne1SVEj17PB55yXdLGYi3ZztkyKudqiTyNtT3 mmCC1hrYP7AlSqnJTY3tqEWvhwpjiHMYlCqZ944i12y6uv0Xupm/TE45HZzwPk0TqysjBEikpLaI OCUVznUp8if3CLgaiOqlwteB8v0gd1vpRn49s6HuszUVHy1reI17pmIW2OIeI3WplS8ReuMB9Jje M4K33BW/oQdmZJjy/xJAfi5qgMd5jP7/0kXE/1b6mTyIq7EJTBpEtiMph4vgp/eLBah7WmB8s79L 3/oAoSRIDGdgceDXj+ddnIQGrN5PukQvf+V8E0RuvNYWzGt25wtyPRDYXRRWgLFrGPYSaLgdRuyT 6vTuPiT3BpJMjEBOLoFQ3ckGuT6R7ucwOTef4prHCV4gu3RghFH/tbhOY5ll8rrwUmn61RrTc0kT emEMBNKgLObkRCZb6sI+683XHeh3WqiUUIso2mNbql7LF6aNg1SWc2YlHtuvsDudATSCwAALwLEf e/M0XN5oBXz77q5cy/8F52GxaqQECrg4I7l8O0wgONfEc1owNIDSpeum6ImZ8Mxx0OsR/T505DdK oOGySeKMgC5uzn7uxFsFLP6HanUBcIASubioF0LnL+uXGwysqEQ6U/4Ht13G/h7jA6zj0kdOFuBX JGGmO0UKfka27LDlH2lGZ2wHZD29EhQbEyI0b/vv2vcgTA5g8q8e59cZA1cULDeJJGjluFcpCdAg T9n2tlRznQQ0n+20POsfe1f5ishvp17WdPef3C8fSaiXPkN2e4FUqcTKhIazjdi6LWMgSx83lFaJ yA9n5de581xKX4FVOOwQB3MWh0B05fTQF/BIhW7dxaKmnEPtWo97l3KyHDZpPbRDCI4on5F+Ydju CdSAtU9jZKertvfBjDEsm0YflX724mqW+Op4jPIo5otlPTh86uiOEyyqOWRwXN8liYAbgZYwiv1m f6JknYTk1F1wRE5pMwm+aO4dj0Jn3TBb3swr8ghAIE4Qytz/r246a6L7Uvt9x19uLOLGJtwK0+GA 4+KWHHAbOCOhWjsu6AM15/pDUkJp9Dg03iIIlvF6TohnJM6jZouPSSaaVk7zHxbnC2UAANP1y6Su eJhJBfwmnqW7p0ElzWcXyLj8licwCMajLg6ysY84sDRcsE2blOrNzC9seAiql+/H7YpRzcsL+ibo 3117hqWsvUBNk4dILs/dgyU1mHyoKNGvZWDQKfOgqR9a5iWbvqkwhZ6AMxDli9qttfDlkN5ADaDm MulLNoA1kLwBNAVJJeB/Wk8WrzP+Lr6qnXFyF0fL7bfJtthEv2ZHKRidOh5wrKz6VfkijkOvr922 jP5q5skWMeRQpt1ycxEv+PEPrmKzhOevEVrjEkgu8LQY4ipZq80B9cRzE6u+6nfojKY4LreA56jf MgxBVgtPNc7dzieKQ5CjXCa+s7dmHmZ6OI8lNl6JP/g6pxbOWL6lt3NaJRzqXJdgmUDLOXIUIcgr UN9fUqxxbZ4b1TJn2pVII+qo33sz01f5UGARCWXZ9uAakrw09vxg9D7Qab/As7GWqh0bkJ/TZE+Q RW0F56vAarEYkF37nIyjG+eKrBr7IQZ94kssPxEbPnOWtYV26aLdaDuDBqS50/Nhk4E3yo/usAOK 1LYDWDC888WKLzN18jhEBu7qCR01QRUzn8ioYAyqxIJz6pskpIYCR09aAxDYjfO5zo+JOQ9C0a5q JaFYCyaaPsZzynBp2ZaeQeQ5ohwzKiethx0UVgPfAxvUgj6ep8k9LNvcVZQ6JqO1tiegnZHcvCzN dX4EDrmKNJgjUhHVfBXk9wHCmsciFgWQOOTnomw25nC5uNmue0XqgLjhp8+moUrFVxWdZxnjvi4i gZVrxYz+JMa+HM/BP5QzHqnsubnCBeFYuvgGCnep7JLoCMLye3p9yIjRPTnxxCXfoyMhVCW0tJZa vZnysWObyj4Vfqz1wIwrHOfnzpgl2Y46Cf6Df8xKLywR0Me/GFAcqe/ftoDJMMaoHvyM6xUkAMTo h1a8SeZWdyoFMZag86yGWUixESSGP+e/GA/RDAtRxZQ0uU72pKFw2exz6Ka6JAmmzH55THzHrbLE G4+3bc96T8WgD1Br33gEQU+HbKvwltUmw22n4qbSHIVCBufj8kQYI4RHFzdrJOh8fQGF+dsUqKun mbyAkPifkhbVLEF2DCsTE0kKoLe8NVnZaWGnnZ5qNumWoHyLjMeXQRp+qQjZoYJ6GAfHBTX+N2wa /Eb2rXDYNUfaqDrjD52z8GTaZpy6qGzxNe2+9iAxRMQ2hstpKbT4hoKcMdxunkLArLHU2YfE2t4e oF0SCk/u646rRGjjZWv3pYtAzfvou1g+KTfw/GzF8yrFchfjrFYD7APS/I+Rq0iXaAEfFgD5V4K/ amDB0WGal/l5Ksl8jZNC1MuABG6LAd6PHVdwNsvmN5dg7yyNrms51ozrgEdhhGEVJhDUaNlD08tg fPLTfYoZNwpUjStcuQ/HNIZe6b2FmDC4o6gKaEbrWeLd9zFXFsK2AbEbWGNAtYTy4p5lgclrX/LX DFm9bU9UTZQHol+53bV6XPqSLFF2xS/Tc4PM+4QKu61uN496y9tfN8WdMGaioGHB6I8wXzeLUzIC AUqbf2+gca+aPp8NENuAKYqepMwrzEvFsCH/ccVx/rSXE1tbei7fYPPNWP8396XbCoFyzHLf6sNo ddpUvrvKMQQxfb3qTYSrmNhjcW0/+Ixu/3r6mEUoy+cN+HkJ8OvGGBGY6lVPID/ijxGhZCFg3F8m 85ENVXAM1Fq8DFWkItHWlWRjIIqvR8HKGdVVXvnDvroFJ0oekHDJj+YkYjfscpMY9KYAaaH4RqzI eeI6syjPwqeZa+9QVZkKN/NFmC1mamTlyVzIAg1p0ubf7SJ8r98v2KgXE34NgS9Ztp+2R/cqKi0B +f1I1HaAJEfAfJNDq5+I+ezVbZLBFSnbiWCSLFm1ougQaVrryVG3bF65JivmOJ9c85qN45zj8TaO QQaHj1hS843Sk7C/z97qufLuPvRh0o8powI6H83mYSngmQnq5Tf81ipLACGYura5DKs7mO6LkGdu PpA+NepYW1IOGxiXDIRdBnJqsPsY51mYyIi41hutZb6jRp8vQAWGu9U3bCa98ol3ATktKFHdk5xv Qju2U2y1bxvcV7yb0vMdaEeuHuuA+8hZKcRLVvDVM0FGZEavLbjhxKlt7LmEcqNjijGmtwnWMshh PT8l6lpv89EOhEwEh4Az6BuiK0hu0TRZB6xHbmuT497vWKFDKGOolvoKWkZK/tj1l1TISkdRzOOb YGgmgXxbdreny3Bn3LOab6AIM21OBYMH7+pIk/gQnilWFVysgZKGRPOK1llolDS7p3B7dU+lFBFU 0qb5QzE2th+cLbHoZ0H3DH0ULT47QoJEgy/dj7Ere6X0RZVuR8zjr7w7wp7/GaOA8phohs2OqoLO R+FBmizW/sAhoV9wcFcKJWe7b4hcNa/a5FWCaGcQQNgsrA1/YOYdbqemZYq2nEAHP8YvEGTLfz84 LsEyku+r9IWUZlKK2T9Ps9B89Fkxj2Sow2AX2l0lMuXx9ViBXLurPOuOo+9kosSoLZ+DF6ixJZ/J 3oEXcWBr0gFzP3izJn3/K5T9Gt2FgqZPkzEfDH86qta70zbutcJc8JgeiVjI2FmFrHFtkWTVHhJ2 TtdryCqwFMm4XjahB7pJJtqsaoOqfMqy4huPsIYKF9XC+LtRQFCSwcxIewk3CorOEQcWUV/9r8A2 n7HSh/kXHV4QKWqhwj3CXMowqBstsH8PZoyLA44hUBP0lywGbZ09vypAVBPegsHhYUkg+HqtxWjr NoEO2CkqSaLefu6qFHpPqqB0jxdhxnTNazaocSMP23AOKM2UDXXXEyS4uWs4AvsgyAf3XdIy/RCB 3RrwgyT9jbsHVjn2hQY/5oqhuEOWLbA5tZIlN6VLfqAKJNXYHgerjd6zg5GTtNoGq32oV3qFJzMb UHLIFBvNAjH/KXmVql8nIkzowL7OC26JR82F5GcxEak6htU4Fvj/eFo1ehi/+3xSj3V/LaIa4VAE z+bofECZktJckg3ZV77zfzRqDqt4U6JbQn3WsN1IWfBQpuL/trZg/z9vTJbZw/OcuczS+I9WGvL1 XptwCFwLmSkV6UVtTiiKYMme5Q79GUG40etxHwE6oegs1kZxqWrvWNgFV0DUncB26cKd4SvvAJTZ 2kjzWtCAdaIhzP7pcCXqeWxI6S6i7/Guq3tt561r/TbY5U9roPaqqyOlMyroZHoS2fgIdCmdmEFy 23dWe7AF2hLwkbALuJUiHL7OMApxKn0fZVttkkWFDoMZtZXwQzIZQRgso+GIhxuE6hzSxRIspokI P4oiNbFwddsrlaG3S3Z5TTEXZsou+Bj2Xc4whBeP3I7O5TdYi6AdInwx02frE5abkIpeIGpw2laG AZSEVDB52FlPGCRTsRIrBlkXIW+snxeja3Ul3O6aEu9ZDSYjRu/CjraRIriYTuoDzL4M89PybuA0 BXfQhOGX4kjUN98wo9T7BEoxLIW11dgEHzVHjH1P2670ly6gU9suWJi2N/Awunr1itq2EgQCZKZz fL1cgc5lP+PfbehQ3iHvOjES4Gy416z3VEOZtRmC/DE1WQo3uAluUi38vxIqXi2jBeVwx++WdJyI T8Fa6VLRjGZ+qWxlKoTAXLI7t2iU3lBCnDtilhtoqXS/iyyJabO/cvN6THLvDdlwHUi283VuTtIf YUgEIN3xuN0S74lG8XJPJjh7q5IVR16NVLDBEA+HDUWcvNHVGcKpQ3pqPZJ8zyZfKyM1zW1ZgitI o5FXmSRYHxqxVS6IlxkeGaO49im+AVPpgpEoqq2wV2KMbfyNjbjBPZcTKT7q1PpoQ+MetG9RncHi +2VfED4rknyzHFbrvFtliJjHqvtPLTDlOaay5E5IrBODYKYRlKl9Q+AZF8NcoLXyE66ZFtAgJ6+m HaDFsG8rby++YK9V4E51fqEraye8OD2FV3pyYOCGKNQmwBLgpz2LhkQLjo2weeazQVdGnNLSUE/T Xl4iPp/xwgcBqoD3OCUCYgQRPbpxulrxbAjNSdiauSuImS+0M2NsUmXBk6WxFfV4R66ZerxSBy8d uosfPtWQmJ7YtPkeJEzoqmR0T3fYPdbQfy2PTAUEv8Qx3akA+UgYwks4aPv3HlHjQICDke/sT92J PoZs9w2tTKQqujOfWVgJ9ZY6zTAhZ9BKYYryCqWoxwCa9i6bEgEzaZlt7KLElukGAwZpWI/byXXH cB4xIbN6Lb9GEapfn9l3Azf4UJK2A/aJCVSXStg/5W2Cc6VNkwToM6yuNDEch6vzuUPTYboK0wTY z/KQ3lz133zL/VhTwwr9k/j9z1KimYfl6Sihr3i7N6w+ylM9LaSoesXRbAUmQH28Y7BH2NfdPEh5 xNmHQi3a6RGu5TrIY/LQydb9zT+UXuJjWS6l7c6i34JZW9QvzcZaPEk3TSfs+C3xO8WzsfzNa9O5 E9MCHn08MHrOflBYdsoY/KF2mBhRexfcMM9JRqRoBaUgr8IMutkjlvTdkGe9YZaGGFR36Bxr5Bgp nOuob0ebCawK+lTTPFuQNloEIMz+zkAxyVll2t5UDVd6qrj+gMoegG/pv8kvcdyVNU6nPhf1k5BR jHXCEqNb51P7wiy9GFO32MNUEJwuqCegaKxYJElgXHGRzEJTm6wiZERKQQZAkSiZuFzWjMk6OFEE p4lOmc959W1JlZKE2xqVxvVKZ0+pVjuDXkcvNVmmE7FbTerdp6HwPzaDDs15IjWxrJL/dPPQ7Fbq Ze17VQOIlDAJg3ilWFY7Cw/K6F9cEfs2Nw89HrnjlYds29OGMYWKjcakczY4BHlCRigFs4QyhRuf WiycFlpSXIvklPHq9csozqw9jxoKQpKnQLc9JtJZp0guca2DVb72oGfg85lXfhM6AdEGPILF5xzO g27xiD5NAmyuFa31ZeR6nQgSE804u87drurgIri0Ul6+9q9GLGTKz4makL/tmdOLwzTTRhtunlKc bJaEtZXm1lTN9P7abMioq6qsJrv43bzfeMEQt419M07jPQXTkNmvepOJlnKXRj3ssukjP6vx1zcX vjGoEadspmVe0d5f14sP8yd5fqjMbXdaECTqzaUfcJzAdJ5CeFgaNtRQ2xhABkhAr1MVwMYyTwaV XribvAqRXx7TJ66NXhLVvfqAJnwaiFHrCpeIVEfkpg85B5qik7jm7p6fckBG7NK4cx9nkgJzI37Y Me9LTOVdLbruWyXAbnGI/SCOPGtRwb08Fa7seNYChvx5Z+FBKmZwxskYvYSWMF0w3VuT1qrK7aWN 7bVPXCfk7Yp8QB792UA3U99Fxk9O3I/uVsmdURCRHFQs2n+8EfhtCsCzOzSygRYDR8cSZTu0qyUO WtkcG94NwBjgHerMljcPAOYUgBC2SONpKvkeevb4eyydurHU0RWnP1vsLMG/PMrDN8Hzf8Msm7lG 7u6enmhBSVylPj3u0tqikk85QS0RH1wNwglpoIYmxOriLcL2EzRxoq/UeFORdjqjcbXCe7SeZcby kpRdPEc24D01/DYi9b5IDTXnmLCFPZEq2MMx+2x4kAteH7JMPgPl+PDJt9NNErWj90XfWpdZ1L5C ZspY0rH9M0YaXENDfikp9VJLM04ivNYFDaBTo9M+VqrkUCPNXjI35HFWd5LEr6KOjNGXXvm9Wt7k ZOFzz6P/hqCwUnkMVZumCMuKIU5scspGAajrzDew2NTSSRr3loMQXQD6CCtDFOMWq+9YjmjV7q05 uPffmWCX5PNzfuBXyyzXDZrE1fdgl72Yr+TVY9gbkA2+afcUWxvVwK2LPHucm17B5si3hcGO+V4A JEycXDAZe0lFHVXJI1ska4JVVf9uEccdhBcA1b8lVFQS+u0eR9Ijk9Jx/GoxcksffXTUBs5/7Ix+ GjXpMocUK9X63iIFo02oNcqBedvcDGZcq9UT4JBmKSB/eYjANYGhbaJlVdCljWtJtF/fNYBJ98mb P04HYQEW1YoN6cNG0LexhLAagLlmsg1dTF6brAO23PJT0F0moRIHzqaP/3/gWg9As30q+P+UN9QM 4DSOTWutQOFu0GqjlNahaYfUFMl/17Nves5Ja9KDlM/T/oUKWTZJuy2Xs+vziuR1uWQQUfUXIJa7 3gZOI7fu+eUIZ+BZ0b+2mFThCzN1CVTPeHQvPP+kwF+8nwmdaT2P7AlO8CTorjm58GF0sxjOv6UQ fpQyv0vVuZbO1oT+2RcvpCwBikTEAtDd/R8oxh5nQF4wrZCBQp2s3CIP4irdhxp6a8WARjwjGfQY IwmtZNBHD9ZZugb0kNSWpsn73VDrE5ieVf2YGHkv3lfIrhjuURLFPyRF+dbTjIXDQ5ZVP9K8bXrv n29KpQSqdHQ+95V/OFcZehvEMe6tG20rZAh16OTgTsTfuKT4E5phqt0MFote+sV9As/ZV1HznclF E59+Lc6krkvA7fjQZgyhL04S6aL/3fjrunGL9NZRqDYDG2BC+w8lAFLkb68aVv1HfOXie096gNCF u03RzALz24cZ8tSk+LwcAYXivQ5hR69pkCxadQ9d28BEcaWq9YxJS7mYEUlMf46OhAsYwkCMxA9u ltG8logh8HjPnOr4khPAfTDH/IRapqeC+7SVDh4ZrKxnJxc9XlhfgSt0JQfKbrB2cJRukFQSEzmA j/VI5zw4KOp5LbE9C6P5U0kxwBAdS4KEd8zYmiaalOecFEc66RGzyDGHR30/EsS0wURwynA5O5Gy xg2cXW51ImzYIlz/j9g7pbplETeOuece9akkHpi3Rw5ztqmCzR/Jgvr+b+uV3DKH9jPI2BJvvOui lzstkj6V6NlEFKB57Q1Fj0s3PitkbC8FsaOPaySeK8L94cBe49fJ6OsJHI1sDrZzqpJwC2m+5Air ZO2JYzbfmZiybDmgYgYaOMa3v9plZJQKnW2ndGfQukMnLJ8ATQMPG05YyS/TybuNKvCmoyEi6f/r 36S+mkspaHl/IqW0x9C98oFdeTkhLVu6+05QchyCiDbeen5J8F8njP3IAKH6SGNp7/EPGsgwTrWz BEQ7QWAqw0bovH/zymPazkLJeGYav+/DrYNmzJ/HZqTQYL3LFFzfegywvDqdvA/OxYFt0MzrLJQX sK3Jw6Ee86SB5gjfm/TL8Og8plTwIC2EGj1caoQ2FlPwgXYwTKIukV0CvVlnxG1js/t/mhsqEgve weySpaJk2W0dU+Dd7XYK2nBJDbHyaM0480v52zYO6noZ6Ca8rZbaA5nsfJkVD7uXVsIGZhxtV6by GCLQ4fK6j3t7DyiNEQVKItloAHHEwAXO6b9njuoUhCRMH5Z8cpd3G4xylJn/vb5c1m4As/f4k9pp yy04ZjhEzvLRDLVu82unR7SY+JfQj9MToGDEof7zuTrC+WbAB/hyOjU9uZmHcZu7jw8OWXeCICTJ BHmltC51nbbU3/Bth0o3DF9l7Gui7CNc5ldvzT5hQGNMewjHZTMBCykx+J+SS+EkMBGaSRctEycM MeNTUC2VlhNMhwOz5xQMRU+ZHbIkeRlVYdaim1lnXvSEse/3+DKlQJfoautKmZRa8NlygBLmgmak KQonqxx8jA4RIHYge9riN644InPJuaPXRve9ExiyGHb3x+hw/1WnZANiFolkZGdKw/fwg5NQWY3P OJUAadMLFSDyd83mO3ItHNI2TUKGBR5mU3ExeXojQvvowNvceUUmbI/i75Xp1bGfPNLPmrdfNtMc 9piTuTyhJ//3b/gfJzyJmIdsA0LUj7jXH0djL1LvNccsgB5t/qCJj+f0xOmoUS+MU1nWKWhoD7Ml Az/lbQvFAb7Yo/i1zAG+qkSuh8cGNN7XuClm69Ghg0a+rzWroNtRwIs8+wRcVuSEinPxwfaAaPll edk8rpRh47pJabDpOSsn/mKB5UVnjC3sgtFBztlWCm2M392lA8LDoja+Fpp5u4FQ/RFul6jGLSX1 s8KHGSq9+ye44KxY95rW+qSRGOZkgj7x9p4AhuzRr/ex/5bfzZmy6Gb6EnZjD12qWw9yDkN/MV5H WlwmlbmtlDMq9053mMdtSroT8G26GR6qeFLaWe62jCBAoY0oUvPio8B/b1db/Dcda1u96BOT5q36 u4pyZ7w8G5rod6GEAtp78vAr9IEJjZ019A9LmY9igcKtdGBP7OpDTj2VZMBYhzPRQ+RTvvmZ8dfO JcY5IlTbLtaI8WDIK02ls/T0s8QdczhPvTrwSEcblcFl6cZvyJypMqy/pQeRP1xCUT8R6mbUZcfM MwDca+vbhHUbjcF3r5Kb+sjS01fa+5KG/J0b4s9uZMeoxJxR1dy2jLI9bZJC7ZVwjgM86MiTr5Nq pOSzMyh+cEJy1chjIYJiaIwK0JYctA+f8cG5pQZbyHo9hZ6bwba3VKmSgfrzRKUOZp/JlUTqUd8A 6PULQfl9/EvGOt+w1hg1P4jsWpVGgpYi0GcT81UcQLgngPGZvTvDTbG+VjB2XyQrnjm0HPvZ89Ka +Qrk4LNuiHXKSrVOS/HpQDxjQUNz77XMVdp/nz4Dhcy1x70lI7Zy7ejlsivZ2NiEME3FUD3OMfv+ h5a30A8ka1mTLyfjxJi8vO5vjXfKbe8PeosDYeTF+WamQrk4/A8G/cVhXa4lO3DwAGwn4QxYY09D fkvYaqEP3RLgxvQAd6U7bUOksZqSaGhl+yOzzUdMyPDODMm4Tz8b4yt1USbZ+KuaWxj9kvIjj2yf +o7QW5VBiFA1DG0ZVqkW4B5y0z4ptkLhZbLMyvWpCHfqXWZxusJ4UNG+oKLpF/H35kcDpGxm04xN OiPM7U5G3FB/aMDsRtC+m/d78AmKJ5Z+tsrz9w+ltFlMeBnnjl20Bq20HH8sIhDDqu4869RjgVxR im8O9Bb0FfKO7XWMh2d/EYoQDgo0Osbiy14GfxUUiQoHlmC/Qx+niV0R3IbjYQuqjreazzSdS5EI zBKkINb6Bl9GnxB+hzlPE8fN+rA/VL6rMkZNm0Hv/IHkt3nFOxO9TTX24hoCDFM5AGtbd8Qal/nU FqdLhepF9m3rcK0tA0EnmqKnlh2SwbQyNYJsk4jWBMztUXOfKsYYDAJ+8VfY5TI3NPEWU9kxgptj PkQDocDIvt+2ejAnL07jC6Yy7LIq0XV1fI2XbvaU+oxF0h06aYq/x/DFQOcAx44YCBIntFxG5HeP ZcEVBpfD6Q4t2mJmbgzlIYytpvkLZiTvk0gAeQEVOm5UB/YJXDAUirz4ht2c3TccU+fK4BYR3G0t LH0VhmEKdCkkEj27r8tl6ZBACOGk1q6c1xL5hBM8dQcfZ2m2HsVPlfFlPLGwmKBNrGZl87rPXflx hA+au3RTHO3TlGk+kiMplFMKvewuR9kdoM30EcpPI8iHGWmOu8vjSDiZRY81nesa9ns87Fa1pae/ NNR6z93r4DNigywEaGWa65zcJydNOcos8UDaauBoGh6eY3tToQWBBpRTDphlytf1v9iojEvRu/oE tAZw7M2fWhSK+axEAFCXDztncEuUGS8137L7UQ0dmPdEZcfAqmmUbPj9zXZVuQoJ5mnvNP02+1LT lMMgVv6V++W28sf4WQcnGbunG0oZP+iLXNdeO5ZR4dPC3T2wP3K1BONu5OvFKqixOHboQObMA7pm dR43wCOU+5rk3VMROY+zdR0Xmye7S11VMUppOCSxW1MBoR4mmJNTN+s0Yl3wikGbNnq6jlvETxxY 63zShw7WC7WlYhpT+9rdnL/RHJVCeWtiFnf/eITXaDAG7S+sg3Q3eLKuOdNt3vYd/pvXNKLkiDmx Ks8rSi6OXcc/ZKEd0ATX/Dq07+ekliYeeik5Rt62NWohus80jVI+gdjNgys9SuIfBS6y/LX5ytjE ewMq0HF5nMjXFpu8ZzzLK2w8Ec44oewV+DQB99B7hygPcs6nzgBBDk7aYt3RrYD5sfuIQK3tFKgE zLOZRemy7PbQgqCW9DkXrWgodFf36v2PQKty0L/NFqXTVjUPH9spc9q42jjjqXNL34AYgQpr6QQ7 g/oFmrJBsWqzf8USnbOBqvorspR5oSSps2GTVJe57OarrFU3nDf0lmYz8o2CEq9oQj0dhs156w6o bqdwEgp1Fy00sMtovM8SSY5ioefNXefpCz2fxmsf3IVRPvzg24j2GBrNnud0Cbp715IS748LXTGq ce4bqU8a3uDLw8doE+MHULVzIqSHrwT74M64HwsHC7qcipkHusUq2jfYOmO7bHYQ10gR/4DOqu8M Ojw16qDs4RdHjqSKXGd0/Uhj8/IWEfeF9r8MZNuvRQWJ4kxvmuFIWZNm358gwk2ldgGYJu3iqwfn gVxuT03p1galX7JC1WtQxQJzHqnJRA2NgtMax1fKq3wRdHEsabYctd9Qq8PLVvwFqHM2K1eNZsC+ OFmzlOAA8rkQ72WeUEs4nyUEjZiOTqN+d9YYswGlVPCQhgTif5bwClDRBY/zDFmEBwPI4cB44fpH KbZXV0b1tyMA3K2GzUdzDsp/O3Sg6mpXhTBTQcrOYcW4m82yNXvCbFqj0v+MFtAWsZvKq2ryi2/k wAIf8DN49/BLyP9NphSD9FSwhhR/ptvP7gacaYIwGSyVQI99FU2GptaBtg+VL1+zzM1cIwCD1Tgh lw/NTi2tviEu/vDxSoLC9qcd0eQTS0WW7paBRKk6O8GAzPGPa2Vs/rOTJiY0NDo3a7gi2wbnKSR9 /5Lcd53/diJUuwnthc+hZHmzEcCj/+XiCsaLvfHV9kLt4dwWLPHyjgSSxx5IcgPFalAvlKvQa8u0 38PbNd97I4D4pXjXma8eMkTKPbUdHSnbjQDjJocBw4mxLBC7PPGqkeXrUX+F5Uhh2msADjH8+/tr v3sw5qmIjfJdQBK7CTew5IDy6LICvFg+yjVcCpHvIc8WRnasVJDFKYa1Y3ibqDNQg4X5UeXUVacB QGWST15ODfDi0x7SahtM0DflfmjrBAwZo1B6fcYSR5A922K5NZ76FBUpr0eYpOEi1MhYFMAZAJV5 jyLWtia8B+mwHV5G4CVgrnITD6oQgezaROzJa5rDvG3CR3DOhkk2S6XWQyQ6Mp6GoNMh/vllyGKF ILvaTHSlRVQLQFBZdnMAtLY2/aJRaM9YzThPujLQ/QCBrkxUfrxTvMqrw/YO41uyHe0sz4oroIkk iF80gY1cSqpEOPawoaPjf4ejbqQ5qpv7rQ0qMfCK75nvJSGdlZWCd8sItAa0fQKMR2XewLKcG3hm KoY/Q5OiRzOJ9b2deVl5GoMb5AHUyfhAHwHk8JFRw64dcBNt46gbVdkY3DFDJop6BeJeQZ/vuZbk 7VAd22eStiyCf9L30IHBcUsrYlg2c5pbNO91lCFNiILL10kSSQuaImjPmTe43d/nipSnRTRIYw8Y 6dvfngMRNPbfyeyn+m6IgDHBJlX6jR6uapcMrNhmqAwDI1JhMMScSl2vtnKM+OpWlQUZ2VTTrqbL YHcczP2Irg44L1bCX8Nd1rJmVRJHiRiDt+4EoensZlM3eNS3ELpL2kAAZ5Hf+gCcm1SlVNsSEhph qZSM5XezB7D9MWKFBD6Wi7Gd6MDFqLStwUvtelJstQoRbxg6ev3Ag4iWt4qvWQT+N9UNIIUxZTDa FbvKhGFytomKAWHhYum09f7mTg044vHrPIBk0HkAej7G6XlhR1FVGrw0TVsdnImY2/94y2KbqLKX aIeXxUMZYz8vyblmIaljEofemabeod5YL8ynDBumY5kxYOrGQFnIfB0x92RjXXzZb3qB3gLvWY+Q na5SWqHtbGgthwoY/6FZYueQjtaO+prN98wmZhunPtZ5TkP1XvGk4qTBnObT3Gy6Y5K6YrvDfrZ8 UMInvKyZuglPxrHUa1XHjgSGjvwgKNyH9BHtS+NI2zFyou4M1KxsJz+Bfe0WWhVvkAb0GknKB3i2 8Vy1gbEsKnYYZEKeTQfb6onxBXhBTSqS1shZ6lanJ66edap98wLfy72FEFTznsNyawbAaeZhd3kQ 9kxwkAPfI9888OMOpCTt4O47sAvWGpqKPx2wnpZB/bz1qElysouMBExDi1rarDDlAlqE0+lmm9n8 XdBaIdwm+YI/1JPzV4Xv7Ny0QO/QuyPqtr4cxWeN/mlUgmSTn/ikZLJK1rkDWcSRfcFgJtUKv7IH KmKBos4zCIUUib77koh8jwdLq378QxCbq7oOrS/ypj/DR7a3sSrbRs3whjgLYC3bIiZ36P/VTXEc CSF83G31YIbTRNGmAT2G9lULLO81x7PCbxgfh57pU61zIzQ4gtj9y5yb6iq9KSRr0HKV1eDpRVTB q0rEHHTw9GmtLuDeRNZMJBF9/nX7ofho+Idxj6cLvzI89RFoSBzTX39D2f9nBHQjriBdPJ6kwvJn o4PFoMC8BAnRy1zPMBbyyJ4ffAfdrlXjnNRNmUgd9p5SDuZh+tpiMmeSCRO3oZy+4MKDpAu1flCP XWCS9iA90whKOeEMYCtbczm9oWfz2/bzwupPo/4SYKomQck0bKthdhLVcmUCzQcp/wySpvrdLrvE myjaJuEvOb5d7IugspHVBUHt4YI7bICwB9+yDI59oUlC8PttwOMiM0t4EhY+YBChq8+w/iuo8yj4 qSDpC8VQg7BDGFi7oLCumc2eBghKY+AXj6NE3v4BuQca9S9xpu432BoDk6ZSIJABsVaSYeH9/T1H bnICEG1Z5C+YtnCg+wqpaiXl4H6jignwGYnNNSHZXGyHDLuTMkHXKF8t41wHCEstfrQ3Jnc2K0gh QUwk/35zTyG10XZZpUdjHaimhWfFlX48O81IpE6SS4rCRmQuHQSVAGB+/c7oXIbIkMH6UMBvCsSH raOREdeEVbbbaswSaugXPgj8yukzyWBkjfWFIHCT+q1ATTGj2qb5klwBzdC6Yvk5FRcJs0MoFEym Lz2ZIlsMHpZ8DQeSadXpPQEkdEjdPZdq8ixMzF/N5XQNZ/M+I6+MPfVuzsSD7DisWo5nnM1ddb79 W1r4hoHI9DO4R46kSI5FA24wLQBzxncIpGVkjoqqJ1cBPu/KNcSvF9pJ6ZFd+Nig5x2o6VhJX2+u f9MCP2sUk+VVN0JciIuL5/eeNK8oeoAgK0WDy5a5BgKJqECkPqC4DhE7tno3DiRMguuJBf0yOEr3 I20jKZHmafc8uyIu7ikLmh/wt1FLTGU3V4iEhPpBMiz0q+MY9//1+3OV75FybIQHH9jJI/UOvJ5f NB9h3Uv2aePH3UeMN98z83kHsRkC6OM+VhWRukTwgfwPf5xoOXtRRcvrOULcds7lnHV7AZLSDY1M lJPln4yCWM6fAgdi6XInlw0IGLCxo/v31r8e7DltT91mYwgCqQQRZd1szdGtGYebQDifS+zQKO1b mYS2WD3xTaazvq3iNycQirbr2r4VpvZuiSPA8UctzIKqXoCUHH4I/tbc/61bTKEBVUJbja80OTLZ cZuD2ow9ftuqI0+aO/C7Ng2t7tka2rY+zy/0JVD//0CG3DpNEiRUjTkbWU6k4xY5XCj5Ejprdg4M sDvKahraJXRgFS0uQNqPWNO8rj+gg2ZflOZOQOjkyGkg2JLd10VCVctABUC8ZlA/yMx3fhsrFt88 LlpTKv/53HxzRWMddPs8/yhIKgV8kfQ4mX7YKKWGEx5t/52r+MKquYbP6b9dGZcdmn5l+BYQFAau fBGgB8ayJ60t3ONy63BugXC2YqEHCzV1vE08MGZ0LgPBODgmayV4kSDNuB95BBhb88Fu+GUoaXZA UCfWlJSDbpfXyIlqv+xrSJaOLlEBCADnHTNnUji9OGpBonrvG2cxc9whIdS+3rFsT94bKfwVOTLB qXLat5HM2R14aT+4m4OAxtbGDYNmVUWA7jR6W+f/o/5sMneQuIRbTwXOmorjuE7Vh2s0dor3N8b8 dpO7oM58P2c3qnW3zQUagpepsiKOIAkH+/HYZyU1eFqVfZkk34bT+eHanQtY/YmFRqWVwCron1ku 0y4maHs0F0wSDMRK/PIuMN1PJv5id1hYbIgRsZIBr4oAEfJDX1u9n8SEqB5SBQOsd7Zq++yqhO8z EBu15QW9lz8Se39fTEsdfregU3ml33TZPNmqgUO9W6zuRz3E2/Z44kjbJYdVV+dlQA1C8thOqZag Nf5EUdCi66jQIEkfcmEbk3k+vometQUbxVxj3hQGRLUs02eMw2WhaTu5+dz2jh0PPzwsmHXGHUK3 i/oMMiT2S+CCHwxVwQbBQJjuuyMuKWvkonpN7245apsJn9p5NOMC+v0MrT/ypjMETbYTab9ay4V/ kf8GC6Soyzyf/ryVuH4Sr8pZ2slafPhbTD8asmbJrOWsDuE7+uqDTgH1tlFUg7KjekfdsWSUmThm FEjm6lRLc1r9lhBTh/rWDaFuMY+P83ND2sqmLMt+JsI7hvOYsdBTPPVQoPaXaDmV39Ybf9S98NV9 lgryRMXkn7uXaGmSWmQ/JW3SQs+joSM98iwfGR10B11Gtp+Ju6AoSxoT/jcQg95HDQzMxEZ9ljcI 7ZUoFjhW0YtUmQV25InXJerZ4dqqf3bVj+zLh6OHLacq70k3EYZs9wUR2f0cWhIM+HJ4BcxxibGM UgKHUn+Q3/ZC3g6V7ADue7fYgHOJAqf/QuvfOgUl5WnSwacWz4tnlIgrkJkMu3Gu8klEa+j1sKVu HaA2KOdsft+oNxWb7BvEayQPe5Oi+5E7Jz21XVYa8UAmofalofngIqHZbCqxA9pKdqhwTt+shKdS 4M3owZ3fwV79lwixaV5K2CrJAEYmgeZuETUTLVHrXeM+zm4vdLG9Djf4aDkWK2R9iMlpptzXGwqp yKQBpjK+kGSB9TItoqiCqSGDccP26Wd8klUvcCHJc347imq4+519OOPlphtTxIrnCy7yIgF2ZZ1T vmVq5p1qa7SCUpD7qY4eay/iTJjjiI/pTnhQlHNBCK13hpjuMjsFCdh12Sw+yarJqtJK6gVqzU1g pdKtxizNx2RkNVR+HSZ/7CMKWycll0M0bdvuTHucRR4atTwgME2EWMG4bQGBmzJQ35kZ3gQM3VBL LrupE6wqq+dOAR/yct25UrGLFEYqMvj95Eq24p0ZZ6MYM9bCP5dq0n8CPKQqC1JlHT5BV/6WaSyF TyCWxf7CU1yH0c7fTlHe3+gDpeTAlWMnlK9grhwgkmYbl3HPfncTC+BeKJbWZw3fLyZHRAjMhTAl Z8w1irY8e3SYLaiSJYcQhefa1laNqjhmgSFc4el4FNx1/7tN5dbo43acG1YW0Sn5au2xdnRh9vOW VQ9gw+KVH1FS38OP0yiKOQ++8eWW7RyUzRblafyp2+mk2h/6Qhxoo0Qux2Cpwu1ammXsGXocS9tt HUQd3Wj8rvbC7t9QCj+oHmOwzpFC0RdWRYO+sMlupcJB21T6Ccn9zFsBVUYtOjAW97LpmdmkfuVM fGhQgbEgiOql3N49JasiMvzA4mUq67qbsyk9pME8W+DCpDDTwAOEr9PxQ89T6Y2/R1Oiqfnhk0nt mPz9Z9T517xxMSga3yWO5Yvdh03NawVqZMcEunw+bZYWM3hXPjuoOkh3ZVPd1nYD0xEI2p2vlhul YP2xjVmLap+IxMXk0JoEwQQS+3/hiNflmvEliKc5w3YhmXibOYWH27Gc+G7gXIJKJ6UuTkmED05L 7P+ykiOhl+EZCBiUloLX1b6Iws2i2El7QlaSC0nIQTbMn2s9aP9r5tLa5LRzleeyyXmdleYrAgwS tNy71Zg4pM7zJ9kjrynjFSu3s4cJKZ0+AUqc7Y8nfKTgBblxsFaK1x83yucPou+EPBUoxbG1nKF0 A871xGLgKHjCI/o5KbNx7J1l7y/GRZmK/jrU9krJW6cjDLTYUWnWfIq/3HBwHE1Zs77e/rYrayM4 QUtibR7ibdFy7Wpa8b8SqY2q1Dp6KiWQVeGjG0QXK5tB+ZMhQXiNRLvgA3lFos3ZOOYxGG+p6hRW lUED4rcNZX6ImUo7Jib2HglE92vbA5z6nSg5CR5tCOfCWf0Z/glKeRx2LmjFzhNxIH/8plTODdsR cPxLwtqxKF7vQ++J41kBcG+BFiXI5csmgalRKfzU2zn0TbLmdWPK5WV6G1tpguxt/8jaNCrA8bro 1WJz9e4yEkNmPcAFijpTUnHAiLwFO9V+lQIw0KxDxKl22mlFT1zmX2bN+/zybn1yO6dAqBzs7Hq7 kJUH+vxfi1dq7BrSF1G2bn14HFzmaD/mqAwpqVt1WkFRgmAXDK3ji55c+9Xpw+qZLL5DYKasF8sM 56BzVZw23WHxHRaNrytM/lSnFZT3eWHQ3GMDVfYkPi0bxW5Ktacb3bzcDpUXgRqGUMUgvH0VxTdD 4GdV/fM3QDiiO2vuKHowhvoZR7grqWa1elHLVdW+3gZaA6VaW8nakk9lzslgsl/D8eCUmNtwpSXe z9wEe4RJ1PtSP/2rAdRuweTCUnNCZrH1ZwcriGM1DmJ+4LUcj0Yuyy4Y/ZgSEwsE3bD0qQkDDnVS MsqAXfdB3NQUHGd7QZFWfeE+lo+twatasZzRDDQzaVCpCC9/VH5eGYUxXeALyZcgTUGIvU9Yc8FS mD9ypLVYZO1rN42Cd2DdGd/A6wvCqY498OwVyaEu8IPXSw7kxnbyAPO9tttv2e+/1PwLSCtNVqPC GZSyHfmeF/HrtCmgWV5hgQ4ETqP6OKcC9/P7llNAofpU3TZah17zBaY5rouL9khOlyvOUP+zwfzp ryzMblp70At0RNvQH+9WsF0IURPdqt+zjeB9SIrYxkfvAkHli6/GeTsbKO/eu0pfb9WBRFC8eKGM iJ2bjjdg+ZuX/sO/XvQV0VFL5d/lKXv5219dRHJG5saKw3ExBoecJgu03kA9OxD37VaVcN+oZ5pP WJ4k3OJ0ELI8/j4WZIQcxvxp4/Vo2ThZd4SI23AM/5lRPwLMguWvpZzD1oFB2H8GEnLI+rvUNvXI 5mjACJJS/dR4RH+bqUkeQdSBEcGugkS/9SF4z9BhnubtnvxbUmzo2Epr73VlwIy+ySBTTWe6kcfM U9StZlLy1rN/CWZkjFjN4I4yM7zc5U60o6d2zQ57hsjOEuv8w6aCQFHY0kpQ60NWtnmCZeLfJNML mdlkbFJAKwukx97OLEQQNiUlscwqQeoSeyPO3Ox+fhuwLsvBTfsDpuN5glZ+o0uaIrO44n4oxoJO lCBqSDHVMCRF8ua9DZe+l3y+GcnADGjKvGbnqF/P7T4FaUpiErk/ANu2XTUxs9Kkm0yib0zpMFk3 FOXOcFAPDUCI3GEHLi0ChEUjpc9KZ4TOY7k4jtbnHXJX5ep5Rusjv5nVCBQvsGPkHzxGvGhPmMlJ UFB8cn2oiFbwMzo8FSwtJfwMMIe/f+BIVzsnq3x8SKafZebGjY4DWtTHAjE58qfPlC5YdBfZgVdF uM2vX9d2Ys3jd1fOy8BbElawLOYNxGt7sZ4kGiGiXSHZ+4qFkAx8LSAd+SecaAgooLm6pUiGZV/Z uDjKQV11/U6SnEm6zU8sSQQ3w40uaqJsCZ0og5waFyPGPAbm2ey8zx9fNFxD/J1CHqQsFwQ1mW10 szDWG9TG0sXwzbwcE22922iwHW1ysFQxjdyf8GSKxCfpDhra9dtKoXD+GyhSqrhtoSUx813PrzrG arJEDYViuR86m41LcP3Q9rMk1K8BHBzKVZDTEtlJz0VxnGEbumZW+jsF6fU1+G5cs7ubn4Np8F+x Yc+wa9qEzhKjL5hB+GFTV1o9YSwfRlN0+5rzfKR+uPgvRXQSWC36yqsGsvr9B1ZvXloiuylapkdD 1hwiU1LKeWEE6Y4BqyBnXwqC0X5Gnauc42Allh1DSUbgFcrx7RvVnrDgHvqbUnzm/NxCVT5YWQ3K z6+znRwnvUDtbT0r5k1E/uIYamMXpBHw+KaugDd5zuG2WttYJtN38haUBdGSrLt6mGvtOJbIWhjT hfCb3Vr+GD4XF+jbGOpbSw89pL5aevBniVl+xT6UZfO3h3eR//6ZmTywGjb5HIazbSlo8EkCAFdI sEMmwES3WCzy1DrO8C+AlwsEPpYwuEE7q1qB4Ze/EKto2QXbwGCZhBuCZEzHk08iUy2g8q+x06MI rHtMex2zxcVn6HeYRlycvk8rIL7ZnlwI6ypLnWu/6BDLuGtHcyOVArXoEWi8eloNF8zrxAmjuOgf HtTAnix5W56OMAPVQ4thlDO3lMWkk5EwGgAwAllupD/cOmrVUulQ62en5tRAjibxvIYJ6AJmHMXu 8fRxJH9XtyGoldPOqoh1L2G/KUkTlf7maI4bMyMmKydeNr9L6W168PSHsJ1nupKa8S2UjrM+v7Ie fpXe7wa+4Avo4/op/XRqNHNezTq4eib6Ikt85uiOrayPuUD++FszkZM8fKvFJzu7skWA/NC1AKPe U4vZCw3BXR8Q+Ls1ywGewa9QZk8v+E54jo5xO4bux0niVbq5p5SX3rNDCghToNbVwCLOWwLObz1L 3R0cS8GPSeWCDLI+hhnrp9de/ACb6ni+JmRdcdk/3vd3jLGunOQVRtlCy+praJKW+hQQcR+Sl663 VhTM/zNMhYQmo0NadcSM6x8HjLZhfI/ZMgBIwZqHbSpRzvrmtQmPmMlofqkWLJqbzQWHks6Pkf6D gSKcvUmifVNsoxJfyxthn5RNESy+A43dSeCrgcK+0jRhhLZH4v50nhhNXYtsg3I3pWujpBMb8WPc MAeWvXwmETxAiOKIh+bWYpqFAhzf9AeG32bcTcqhs8nA2LVajxEhySOuCAylBx3yTOcHRxcehRle FRFa3FRXeal6JGIZeFRiakgLwhn5/7Q6WSfsM7Df/XHB/7BpfcxdlRO8EZcIlHc7mcFoEc/nArDt 0mOXouYqtwFlyiWQChME2b7aKrB4OoD3Jxij76e/xd3Ujs2TFlem0oV9xn83+x4Y3dKc3X9zrJVy QHYnLu6yGiPuHvKLF0PseZZv9c80LuSyF8N7+Am0TDNYMnHY0/X6UdK3+BkOcVk2unzw6lYLpRAD X2DIWkXANurYZkoeyqwOm91N/fuf1X177LUsCv3Tm0HNhzYf8Nm4wAP086kf6DuGQZsqQ5e12Hxt F1UqbwKFU5R/mO9wh0PY5CK5enn1DwHd4GiDZ7jnlLoCkokL3s+bjJPeJjcFQzl0LzHt74/fsotp MhLChtoMs95XOYN2Ew5RNfiA9aW6QlrjKMJP5IREmKsCa3sGKbojcVPxZZf2lBKwQzcsR1jmUkYw mdO+qLbIrR6IMY+BuW8Jl9OVQX5K6zDjlqBwRZJW04H51LfDYiPreH8yXCoVssCCE83+gV3R33/L R2ed6jAAniK+oWAeTlHfhLCydmtk9K5jedLJL2wTCozUF9QZ1pd8Z401wd0q2TFgNbQcwm9hxCQL rs98+UQL4NCzL1sNJA9c8VmEeFvuL6ctY2+oH9hdpeWnsmOI47S97Q9XWlvLZdvE1h4wHio+DJYc Y9LLBpq8Pqf3n55xWM4Vf3micFwZdkAMBvJ1EHzk37v7FPaBJ6BFJ31apvt7VZt1np6PBKgC1gmo QbsEl8DdHv9OvMurvl5IetvBa/80c/+UYeObZZeBih3REvfBzq4+q55pN1Km5inHkOY26KIWRoEW e4TX8i0zMClV6XKXChhF6ncqfFT1fZ0+cqOT8G9LKm4eIMw+WsQECw8gQU1n5ucxdB/5pZQ+6dSU YI/Gq2bSRWROJWxB+nigatSJ9TnJ9gxPEXjoKf10/5E9ziRO9rkbRBp+iwoAkqAeUWd3JwHWPaJw 4NangCzSxk4LswXBpJn6AAJsjZFciU1BKrdOehDXUmrCjsEk4NgA0cbjJSC2NnkIh1Hdzf5kSRTX j3pFI4jA7Iyu1RkZmhitO3VxQFKhpxsGanRuf4hy5E3X+3ND3iJX0EQlUBo3YMZ9OcUJTYlNClni 9vxfxNhKWtLaqEawmSudtQufrvRDbkoasnKGUYKyUh3FlJD6GnovOqoq7yqDSyMQ6lIYBfSmWdyi 8zVomz7kpP4zyTpCWsTZMticaZFH7SDYM7SOIoiWXVnq+y7Qw7Q1BUmBBRMQr6EFbtdvYZaCvAxA 0odmEZmRXKwUbnROmODeseE1l69ROzXBgc4yM+I9JvGDmIZcAcKP8/E9sH1O5tfFdfSS9Eq3iQ7c ZTQSFpYrzzgszAoluQKYFf8ONJKKQw/eqmxscTUf6N1hs9IdY0OjSVaxzcfEOcVuvLinkm6QdBOU JOJE/J3at5KdT0V9K0jyizhl3lftb8HOEOVhhnc/ewDgDGsA2jbcOAJld5bpBMyJvgr05uJP7IqR nyqDJ7mviB0w+GLrvoul9iMCj+vvlnor8zdlANuhPQY6ivYCwr7vHaRA7RHHnySWXy6ZpcnhzwNk yubjHcZEkIPkG3nF2NTHGh4eWI4O9Q8f+SnNFRKrpJahcyzYM99HDTnecfnnjbnV7Cy+1NS+6Egu pVhuaTVEtyDJjDL31PRxV8EmGEalLRPyveejKZ6Kgqzb46S93rOD9tZYqzGbLNH1zt9pvwL6CzYw kM2fxIIQF305tut92qm3Lbcfh9IMvuRN5VYPWG8I+FAIo+x3A59I+XDTlBAnzL8XJkp8cn7IkEda hhCMzx4PvoCpSRc5qcmbArMpKeHinyhGjJCJ6XG3j4bDtSnd1AGtfZkMQOONi41ZhtVDJlfEXZ6k EtvSKdpqmzrXdyAs5y4a2T6yq7Xh9CaSYHwjWu1qOM6LjVh3NwwjBSoY4IHWliQdJy59iNWktCd0 UXAt94qBexucw90iGExu1yKnPiRkT0qGi/QbtzdAlBrwVSI09p95lIKP/IMXD/89TZMs/bZ/IkZy 0NHCB19cOJKYOP8jmtQGIvoH6ypD/4CLb1aWiMezniW3XskyqQT5auT/r2AbcUn3d6m4ottOEgDW pGxMwqA7BUKr8hZyeBRuUsaYbOWi1zOgxuOljup6NAo41a87XBWKHqHow3OgG813f8Xi1kQ7LiFI wTwT7NgkKO1uQg0Awt3qfKcEOx+Yo6eIVdHg0te8ywpjmz6hKNUlfn3D7dO8NpIFp4vFHnJtY8uF 1gk5FNHaIVPOEIts6PpKl4vcoh3hmf+hTT3qbRNWhmhQnXpmBaS5K8oTqFVHBZS+doVtYMgWu0iK hy5RTYX9HKKjCRgTYIK1vJVHTflzyjCR6h9t7ob1iOIZU0Q4XQypqasytXG+ebchQyehXZKr75Pt ABBcubozA9ZVC9OjzmAjgr/+1TQF79egWJPDcDHev3LG3TOrqFxSvi37BhpFGVAN2BY0DaJ0CLvY 27Qto0RMA0q36EQGcmDs1xksZWCLmXdb904OrYQ+PFj5GX/tELBU6yuBWNt60HqhJjHqxJCt0xI5 lZoi1ZN2ud7RaNKVKurMrIrz7nZoYK+bGo+8NrT5adur+y6Lqt80h3t6nvrIe54tw/g/xsmo+QMk tKN3rNEyAFlYAsHBQmqyNGFId5c9ZCUdi8yFDHHrBycWwlKRaVvXDDbz6WPFY3rTPrLHcj7yw0m2 17hZygJBNis5XaOwiaJDYnSdr4Q7VcXUw9odfbUfp63m6Cqy3+1i/+9xNck2p9fDA+gYRwwRvfPk Zh8WWK+RXEbgDvfwd3r93qvSFxFS5iVCfS+cceJO7XerUoOEH1bcKDwhyeEs6u2D/Mpad5Wrl1p7 Eo/ZPz2G3jTj+Is4fNJC2IPtAZPDfpshcCc1RhL28egi9nH+FrRO2VcYpZnysD/YQRxhinjqGikE W04Jh87kWpf56ZRechx3JuFx1jt+ycMqF8XWb+tXWvpRMh1FJQJffhEZoAd3CsX2Tgc2kROmcQjF jd2zPiMA7uU6NGr3O859Ol8u3QwVZ+1orroAsp30Z7Ayp4oE0JC5KO94NPXFkTv2XZW9jRsku0Qr Mwqe271n6SrfrmLlE6AFUbjkNQisQOama0h2i3aCuZzVr0t0eYyipgCrbobPZnDHYeSG9lW6zTA7 YYg9dBBZ9GayZyKeYfToFiwUJ4z2gREAs9ymwHAplkuxxq+6/QMBMug1LPYFVtXGcFrktLO/5ORT hwaS1cnwmGNDBfa9tW+Gat7sWsCCFF51pHtsIiLycfwKltk5j5MnKLAMxExz6uLWFCrmv0Qq/6hM gqdILg1OjYcqRQ5unImrf/lHVP8UISYPCNr+0dHllfT59Vqi0ixmysWfnOpDwsqV9TPqCusXaLwW CFSo5P+JP2BnGbKCWH23Dt1E79pBU2aL2nht8Dri7+GzwPz93kTRcAEYcmDPjeKL7N/YonQNxg7R Qg5A5ejGydhzz+AAov3McYpuwh49n4IFsMgPIOXJjPAvFM0iN2twZKSRwHWs9HkkgEpN6nn8GMI/ ejszzYMkW+LsAHEHd6Gs+UjR+K6RwPQ2Lb/J4RH0JgVlA8idk/z5I7oFAJZTtzXGTToNbVJ5KYSy EzDZenaPrAAsawA3DYQn6Osuursqs1kVPwa9J9tG/NRlKeuNlYNDXex5/NxKRwNemYWN9CNPVEAf yfryGU47FQSrmEXtsU/vkJSJCNX4fU6YsEciPoZF4SIc9eHFOr8VLsIA1sizLBbjo7+5j6f8xCBM Zn9A6VauDzWWsM8oPA3mOFQ1dxrAMjdLVNxEefR+pCx8Ofs82tjnna+ZzGHfixa1sVHHOu7YpXPq uSH4DZDFFEx4+VIC8st+WzrakaWa8f+jccq9WX5I+luMYwSfRtDlVNYJlicL/UX86nddFQJG5h/l aemLJgZL5UFFEU+hGYbdZuxlZZ18dMGxsa9Fv2QcXlwWrUJyG8Kw9+GFm7PoHscJjU8G1eQVpYon lfTLfDEyhRxmn29iteoT4Kr1Tj50Wo/WS/nqbNQulz3zdQB9A1+QX8PTiiUk5wl/0CnoSD9kQth+ o40KGfXgKNhvkzDi0CqGb6KKGyJ+RlC0xlRqPR2ya1NaLTLZm66slvOkb6vgM6yftCtqL466D1Zu TJ19dBXR+pgSEw/19zDCgHpVvOiBYAL6ZRBZQ0yuVKKnqgYkH/eNZ8D9YIh7eXH7am4W4y6Cy6Fe 4VA34jkFJjQ9yVJgBo3KZdMIvVzHhRzvuRzX0DsWyCLZzL/FSpS6OM7y8AluvvJQVQYIvwTPo4Gm L2EMQNE0I6jHnYb5aDk/rfVfLF2s8V4GArX6baApH1XxOTRMrOT/047SaFXlI43zRYJHvnJwKSYR kyABKQ07+o+0C8ALQ10P7+1PKUat7Aakn0dpgcSCIu5aSO6plnLE83g2Fi/YOWodt/wl5F1Na5YB NSk5YjyGLpLfCRA4xKkNA2CQZgCBqr+2JR03mi8eVjqYvpOM06Q38B3ot3Iwmllwd0qIyS4J+Shq PkUAfFJxsNVK4tbC5JZyDwD2yhAhXF7T+6fhuUx0SuM0C80ltRe8jSOCoCBJXby15kzZaqrwlT0v 08g+RhSmNL1XIadHGsjFt1//YyZPx2P1t37tBteDvyRt70+tzrrpIlvlAC//MtsG1kfBhIa1V6Jt 4hjTCPgIcBRa8KPKcwsjAYb1uan0Pz+S+L+aNh0x8VaI31TPCJwc1JOf+HvH9y0vuFDQQe0rhf66 xFaixDvuF3wvarukm6D7dpLsTQzAENM4f9rOjASFVVubIDwtz71RRFDFXgZVUa1yh8MAROotPKoy UdAQMQ7wo/BipSK8/vbpVVrY2K6xfolPnVN22OsGwHwuUWILZOhBduU+6C3+PuYGhFAAOTZSAEcV +YviMhxkuCh65GN/5y0bB/HVOlJHn06ww8YYZl4rwcYTEzs8EHcLXtNr3vwgm3v1sSRQDMRhw2oW IVcLH8/F69iCQf7KIx7aQUQQZlOGRbnFhiIsZB+pj3QJfO4IloiOP3Hd7F5lwECCUVW7Y+ZgBnTi 3CajPmT5zxkA1B7X8/Nlw+UWStfoyaCPycovoaQAql1Zru1GAIRhJEkiMECTE9A06SHFKVBjJ6/S qCCH3yByrQ9RREXv/Sq08K8evVRvsjXUxLsEO+xd5KNsOBwQ0c1WOygBc6VBzgxLLPKLtOEM1svz 22h+FSDqvrtZgG5aPjeFET870YQVijEUvG+nUroQVFQebjR9uWiVkRH94mpQXchCHx0RU6nsJuse 0p8F6SqaoHEKWcRPm7jzeEycPU9YTXIP5F1FMdsQjHxsSolb42ZVV1AsT8Pj2m0in/VBprIQUb3U c05uYzwjZ+ypOaY3cNjrknZvzd/NRoJuwDIxNP95TKguP4wtVUZaJx8WFh+ECJaVhZFLp04dZCRE ADGge1vmQKzATLHp2Me4ghogXPlXudwHDmf49sl5jXW2UfvaEyqgWKxuh94ITf2gzMuk7/HhpJFX n9nhFRifsnW/kl87KP4ofy0aHRsPL/QzqVAf5CgnYLCg1tXShvT7Ca/H6ozpjumsO0DbNeTqeYPQ 6vcE3wxm+PZJTpDR+WShvEANgcVEbFpsHTs5779SikWZBn1vXS+Yy97Spmi9FLY/sjasVG6/meD7 3Fhqlc0KQWNByyIeAxGOWqD9n9d+ErnUJb2/DegXL2yOMCsvkAU/2M2ONRJmJ42FA/6ODtaaHjZ0 w9Zwc0/H/m0pxsCoME7u42waJIMYs+hqZK5slOE1gEQi8+7BUHKuW3VNZBFllpfgrZmMXDL1DZqv NV85wM0ghLI1nkiI7fdLIWGrSlq9m8K8SROMn2Tc7MSZL/AYn01rxAKT7QEyuKfQiVA3ceXs0eKB IyBZXlka7Vy6w2aGBFffKSsLDfCwI8BmlAoQrwgszss2s1FRd7MRb0ujVcUAFHITadUGvKkajXRZ N2BCQVlErau9j6Xnfib/1jpRVS+wyWlTgVbIK0pVdxzmjegR+8qai5oAMbEnFhI7+/am3famSoD4 78ZNfho9Wo/LZGJGrQ/cGXdZN5lNKbJWuZmiuBrxmsWnsTLnQHlHJccreLO4Dz2amP2rjga29E1h /2yP77ddNkgwRGPMaHLpGNlw6qAk6k4p85wVFROXgK8S9HGdVU1nYq1L/G/zBjhpKJXQxrQc4N6s 1Yd87iA8b/MmiqiVmo5PfHN5oy1+Yk7KP5tNOkEP0a+ylBXctsa0krVJbZXqeFfLVLSa9PWBRFK7 Fn4OCCbBRGOED99CdVpY2EdzP414ITeQ8qs7tOw0uSCZ1P5eI5DRINAXbuRwNLUDLz0q27L/I8YV RvcsqcucG/2P3sNTYKJyOWMfd8J/r9/AkE750pTC4HPAbCNpL36auYesgcY3Cb73ZGHRZxNWNeo4 D3vNohHTKkS+XitH50SmxB0GGT3Iwg+Ip/6roWz/CpllhSAHtgjMaCwD+PtCXMRg5YXruivhbuwn icxYGOxjGIz+X+GhrFIFaY7yhR2hPcTKf7JJGh7fUKypiczFhGrQhETRbUCr/pdcjp0eyhvML1wV 8uq8QC5ZojuP4iRty80KrhB5DMCCpaqN5M01zHcY5Ydr5Ph3usI2jMhiYqyEnChOYHGxnmrLgasY sNsT0bMWXuYZvCJYpztywawjXaJ0jJgYxtrli/liUqj9cfyV9FvWl+RyfOSwTIHk7IKawwzxpgom YRxFIbzrwD/40klpLwU7E+FyKc02Y3Y7ylM+0VvIvvgtASb1DujSDLPfPlSWJULk/BChPU7XqM9a mKA8+V2oKMIivkRiwTTaYWp4vJmEthIfgLozJJGEdMRW3Wflc/JIVi6uOrAa3KQOBscNZhZfYMOX xXBqqnrOJxcc50kzNdMgTf/fyqCM5i32yxzpL5C+l+Cx5O8RmkXuKP6zdyRbwmsF2GcvLK1f0htj XUKLBv9nL3EeygnODezpQ6bUFel4GHuXO1RvoYwZzN4Lmfun8Vbg3kJ3MauE8mux1WZrnb5wUfcg 6fz7vnl9CcLXe+YDMwP4WWJY2kJkGJlU0PNRzQxDA/+eE3J8H2dh8tiynaVP6glTU/ZLGEoRXGGH 0IDVoeiZk5peuFGm0RJKeUTepvYuRn04nPVbLq0anbr1vYF6IZkZ97fvHy9bwg3ArnrQVo2K/v2/ 33aLhMPYxvzpExMvZKFv+BeqfSWC5YwbUKz4Sz/A2bbt05Pr+nszlLMYYdL2BwR92afZNA9aGwK+ Wvtr+Fnfjr4APV7Q9jtlcB1rZNt2GtxFTmdqcybWwWrvExF3QaYFOjlw6AjXvrdqJIjNgls5/yR0 5tZcMFgceygGgjAmRV/CDjeMjJJwBQapEPhVusBj2TBJGEZLxxbnCK1WH/ex/pNqaNWXgXYqJPCx fjhg9VjrmQwvT7k6IDyXQ07KLKRGTS7T2GLSqsUasYpS/IkBkxenBpaYBpXoD2AFekkzUKrUtMGG NtAST3Wml3u53PQhjU7YGmh8yD3tMF8r0K+/tLpx0y0Wk0rjWPvK0if1/5b1/6MeUf8uS84kU6y+ WqnH0ZbMB5DYP8Bt6noON5bWZrGoQLNRK+Yovv1Uy6eqQY7RSJn2GZU5l+SU9kKB5xKweSsEtuQT extlECqQY1QCYyf4df5zV2Ph73cjV9QWM8UGT1ea1wMnx2giBJ6Z2tj5rL0G4FiUSa/LNw2xLsSJ g+e6Cy+BR3U2Hls5Qhm43Bdf4Ek80frQCzFe/klLAngSRr4tOBV9VKAYAd45yaHw45EdSCOG9U66 BJMCRtWktsUfwH71DRC8Jm371UM+b8bZD/n9l0nqfvDUx7dlPo94iZJfIfXWkn12pUZ6rkE9PrFh R5pbTMrcER8tQrQyFi9oGWqm/uPcjtJNVPRf92L0/PDo3Dy5fGEW9XVOFLCM13ci53bWb1KbGhh9 FQONF/qA+KJA0r6Gj6xfEMnc8wrKpJ/U/KFacxgVUYSxKiaWO/8ZvovK8UUVFMcyJ5uwJcagT8zi G/pGQslh7Vr32pzDW/C9q3P/lFZ+n+AOMfoIhYIYL1BQMVsVvIiSMgmoKLvdd0ANdYNXgXwFaepj H6KxfYPapoPdLU6jOd9Gpw9hc6BhrOT4H7EOYNpWy0PLgdJsd/oxdKVkH/Saq9CHyrv4QDRICe6P oWgXTNRC53eE2xDJM589KRYxT5pQFF0zYSHAPlUDyagguJuhpCxMQ5X6F/tyB0k26S7m5Xzw4Lke dHQ6q+rXzAaCZNqMcvyqWplyuoMLJLGHthaKX+33X2DYwXDKR17zVPOPEtDuCtPhHE4uW7eFYXqK gX4AH268gzYde4PWOCLBjkF2FSZPIV5fVvyTH8x6CbMsIMR+mghrT3KQfxfjjfY7XlSOkpdBNIw1 +xrG/TYHEGYdOw4VwRdAZjY+oBeRdwTBQsi3AgcJdr8bFGh12q0OVrQAAn0/giToC+n8ED9QWIiF Wu+lueVyeSyF5h4LmWT4C8gU2QdoEJjERgCbS4KnasknWUIkxnWtfwx6vOdu+4frqSmjgP05rAl/ 3Uj2hfwLSmO2UJr8X8GW9T7EFHusoR4hzQp07DO3/s563XhlgwbgJFE4/yg6Ug9cw5B1A4OcHj9y +ahmwA/v8lTdT78hhqjwVVq5NzrERXNAgTcyT9YX3B5lUuB6DqmeGbyMn8pJnO/Uu4+KloUZGE09 IP7pF6RiLoDh14WWD3SpU+UnKkrRx9M8xWEFvbI04I8r/o+vgNI9IJ2sRqRYRm4lqYPF7D1VmR1Q Y2gpzhkxqCq2Ap8BqVEeiJQmZlFhzxNFPkT2ZE1iF5KIcfadJsj2ROJJNp7aDsK0IXN7VgtXxT/B F1oGv2/bA+77p+7nSpl8072Lk2vyUCJOxsFd63O0eDIELo4c/j9AjudkkEyVYHliM4v4j8mMuWla HYTCgHXgALmITt558XegvaS7oDPGl3YTl1d9Dtdx5SoZoKFXkGtDnogioxkJsBQAVdIa7S9q52Bg zPI63tgwDa3cKQAoBgXQfDtEshKbLiOOywm87FzXBvkPtBwVnXBOK1zZ2H2Iqt6jV2cqfdSKkXtD P6ZzvxGuzgyWld6LQ+ruhH8z7POBX5D9T+1k9JpXgjBsfzMUm1K7GZPXNIuZ25N3MaVsSaluSgQk e5wumKZ7kgFBsLRSQCt6TeW3gFT/4xKYdPa8dT2IjbkTR+MP/4wEfLJ4yGLd2d1A0CV8R+vIaKKv J8Cm1tUFc/m3bCBNgIdG07J6KZTbhn5SlLVCg1618eNUo8yOkwJjbKQTei6jUMcY5PufQP5VJfu4 TZPRYpbGiA9DU3YY5igvZ6Zm8IwzxVFmhBeUdb3X1LWjsFYoZV2NnnoOsdmg3vqiAv/JZxB8tVil uWok7iwzOB4e7Unn2KLlyd+uN9J0rrOC30Wyulte4Mvog5EMvs+cd+pfB3CAnfYTJVx18WetWXpF INfx5gBjCNtjghdCFWfA3pcUFULVMpZC0wepudL+YG1fIuEtZmejQBValB2tH9PrXpLSd0gkki3c 4I7OPaRyc51vUrJMpUTdJlpukXKf7tw3gjPKHcw2zfsO6I0s1Oaim0enAIY528YV2KfrABdcojJs JGYa91pcGGWpV/LK3rF5dtVj9ODckVqnTt3sObMI4ajZjKibcupRwfv6IG5iu/OIby24byDzomdO IEnEqbMh/d7cNyithkQlO2EdFCQtkAUa9UC7o3lzSENn5c9ExtzpX5Wzx2A4mGJI1IWrp7Fx/ulj AryUUNql0jvBEmz4kUt/gYLHos0yJhf/cqyuCzygECIq5E+WiulNcs3NNJwb6CmSmHNZ8z/t7K0+ ijI6QaSgZ1CIDggs3APPKTV8bM8KeevNvRagXdQXCWpScyOij5Oh8ygXFRWv1cah0VHrmtwAKg/M UXZwu427FijPrGuDWxFHBnYIYoMIrPKIjulq9DDNhnFdqn+IbLmr7UjPCB42BpAolqkwSl9FsN1r l9lHPGLUYDw6a6j76znOG5sSGAEw1tgtbe7deoxOvCsX9Pk/5zauQNhovvDMU8khPT1P/ZdP39fp nYB1MxYJCHzK3Vtfq+GmKEd3ZPQaIJW/ANksHxJ/Va/EDpDSGAvbuv4RFUtwlagdKZe9bteQacV/ IjD3FEcT7flZvS+vaBRyfOAbqwxMkCSrI9v5vTO+zUkK0REUZHIQRz/RP7OZHYyK92y3HjGvJ04v a6RQonjk7l9alat4ifeXd4qAxamPoX7TRtgF7qrrJz3GoqVHyHy0HPcUyHSCq3EkBiuKLt1RK/Zt G0IleNE6fy7bkB5p1WrQQpRHr9Cai8tvRPvNOarjY4/GicZPFIoV4V4qGOi8RvROd2Hw0v1HWBGi sVHAsk8PQnBl2TioebCVAoFeOZUYH/j42ifHml/qUSBE57FB4SqlVQC0EBOFQXFEgiXihWOAE8gi URlNl46PVKhRtamk0WGlV5RJswtVM7b4BVomsXJsYJn5Vl8tQiAltGsFITcqDX9Qi9cLohzopulr A4nIS5GS/h2Zg/99VEIJ+qJkAs6SvMlJhAqJE12U66v607D3uJt2UiP4xLo7W9ZiDzH+pwek+Yuf 3JPxZMk+FN45o69m0by0xPLhwMBerkxO4r+EmOb7bfI5AYtUhI3aDV2eSPstEYEnRr18m0njV960 odujFNQ+Jn+T829QAUhXFN0ja5YGB85f7vD1Z+FxMxhYpy70OTRxCByrBmrw+9/BJoA8ftS4hosl 0mILDR8JpSe/2cdzQxP17lWzjLh1bIf/jxSkmOT7facnePb0RyMkiO1U/RkRusL0t1/IS2oV/Ak/ Zq0ppTNk4wNreMIrKnOzJNUQOY+bp7JxttwgFbJef9wnSrMYYe3rCxnFSKxggjpsWjF0wJrycRKU SjwphHpzDZ2X4P2/fOnojpoXz0JbKllL35q7TaMQppyuvk6vrDM7Xp4ibWd4p1zZeguBRAZpW1+0 H2tpTwOop06UJj6mIh8cUAcnoLhubbsPoeUFJR5+9Vo0h37XFm37B5We3Dv7GU+2n+4uD5ESUWOr GvB5z0mzXMEWm3utwFCqBsrbm68hDftdFCZi1J2A1kChIGJkYKWTpLxYGjqc/1lp7heYKIKQd1RI 2ecd9NfltaNOTAnpyxMwGNNVA/j5v5D67v5piBMbWAdFklVUGk4ZotChGF1OlubTN9NYDM2wCxW8 FiTIiOPsrYRoo35gurJTLsyN/RiGgWKPRDnlsGCasOfG1Z9pc4O34/7eDWDwGHcT9HVTpKYwWu5w 8JjQPF369hBp2MFle12VkcKlLk7N17q8nNEP53yeXyoMxmMdQGMYLxDjRTETNk9vkqB9ZF4zBHhy ln8lq1pimZu3AiriWUiXCtYf0OLivG2cCaocOMyntySNb5xqw5r6/urc4jAr8n1X7NHsVDkjsmAd N5no0TzCE6xfs2F6LBNiLQTwEgYOgOy7YDB7CNUnhzg3SUkl4w/WvWI/C6jqib4fUwCTobyl5n4e l7cEnbr/S4WEg+Z+3uT23wvNMT4Rh8unUpGMu3021LqhvalDmjWeRust0zv8lnUdaSfu4PWH+BkK TVkaX/2q9Wah5cXjKEVmsGk0ypeXHnsY7h3lv+GkzwpgDGS8gK94CZSkU9cnF3D79cipl3HF/oqH ULHEmBd0qqF0Fj9vgF0pgRojupq1qKRwWGjgX+OJTzaggk9GHs4IkiviRo+o4HXm45CLsw/t6iqn QB2p8In5v+6PXpavGU8RWit18y4fC9+UKRcCqRMjam9Fnhds93Id98pL6zmxvIYAiIBfMyuJXJU7 naM8IuiWey5WfOXt6h/O7VWn91JgEOKhxlAQcEKBpri+vTCGEgQYcM7jZTOtId8/uPq/RDVeRoRn L/G4MhY/pf+/pLn23F/sBXNkz62zZkaYbn8c+/KQ3aFruX3YULzLXUY9SI2O1KnMHWkWvPtA9qSn MrRf0IX3HStVt4eyMg8u2bu/Ess0YU2QObzpNzmVa1D8z8kxR2R+iqqHHNoyliuBoQtHYDWhf8w5 7qFZTiMF5sNpQ4o4+9b3HlgJsbAn9r4F5j95UFbkW12VOatLZ6OtvPbBX8BFq2pixwtPLJbk0xSb ADab5kNDiBGZf/ML3TM1m+RpiTCHFMf3vOQ15gbclQAf8HFo7Kdkbd1OI6Hn9mjotMB4qA7lO2Jj okVLw5I0vuv80bIZy4dfLZUAT8PDDmFF5h8XfmPuOAiwPd1lGT4qRmESaB4CIERdnib2KFmF7TjW SwC3F3zviFoFr8bB354SGIMY/QdRgh6utqD4nDL4SVgULiXWuykWtjPJy7X23SAHQLdcHxIbxlWn c8tKenU06j7owjTtVhKA+18LaSVIUGbTjksW6JuVj5BY/HLnSL+eaqKUS2MxJckeP1wNeEoKXYap ApXuL3kvldHXhXyBRJN0joKraUHp/pq8u2Fbl00Z/kWok0uERZe7kQKLdfuhRPLrPe7mJyD98+gS QFNNfZCAfBUxZkXGoEW9QviOdJ68j3wT1Mj2OaQ9yNaInolVAk8NF3fkfXoR6usCvzOBR10nYQ3C x7AK8owdGq6+fmAYZTsteyH7K5xBHC7kMxEgnPbo61eI0vhZLV5ZNaZ7h84Xm8z0x4IDmSxdo6gV 39NU0O53XW3+kRu2l/yI+oX8aYw4n7wJgc+HgwNz4D6iG55OxmdsONAYP08IKTH+rRUEPOJHAy3x EraNxf/7XRtAbuHTWjY7HP5JbrLPyCZI0fvjCnn4QP3pM71O4mejfIxtKlN/9IpPiZzY/glDLRm/ 81vvZCin6hsNSYhsVTXB003pycANrKCWcb8CMHCb68HkpCsngxMQCTTEHLrBkjBz3hoaRyrtjscA VGWESjaJffC0sCrybczyc2zTbjCL2UaKhTv0KYFz/QLqy6iuubvvH3udNrMl0Mke8359/byVIczI aPaF6GC17qKcUzyTWlUxN9pSoIW1LBCUmGMABIazynJealFI7zPYwXuhofyQ/dE4A2Lt+iwuKwBH swIoViCgkpnxVo0jHgzCppnAgi9db9uWzkvruld8RVxaZiYsi56NFF9Hfj6t8XPiIeKjiQYPepIy qi7/KV5JKAsonFCV8G6c1bfzndhGm8i9OZ8zkRCmhMx42yc8OwDpFjMSR4nS6LrDuq53YrJR0pCk Xvh3g16nrXAuNHyKwtE+yEQleXJTutPp2Ca8sFsJ5+DgYtgPFOPw+zpiaELHYoH09dvYLlbvnCdt UinLZb1bzTrrxu3/reeLWe2YpvE2jFzm+fVEdJFOnUBNZYZUdENUdsNmftJIPeQd1YCYNy1WiUSl 4P57vN1m+XdA1Qylmg0UtlkAi6t1bu+IaS/V6vNsfxxiUxi9zr4v9tpUWpT/JqwnK0DzkS1ObHrN wR5INWd3zR6TTYC4jbDbobYIp1KWT6hUv5bfP6HN84DWKZR47M4+dsbX2tNCHkonHGjsKyssjmE+ i9jNMdTNsnG8s+LOD8bxhQymY2+KxPQ1wraOOI8O/MtaFds9VIyTSEnvnivp4R7dLP/4U8seEALR dc7fZbDdoj2L5MGH5Kni0YpNHNAuRYO7rMzx9ewUr1iCBKPQ5p749EYsACBqzlB7/tRavh+qComn b/M1Hi1Ehl00yuWu7wLpjWZIZDnDESEgiTsYCildc/dMj9oEVwtPHmyInHYckWpAtmMBq7UTFujX XQRQyKp3O+RHTGqGAk4bNWuPqcg5Q4QubrCXdp1bayTEa48kNNwGCik8subEPDGEp0mT+mIItGo3 laMG8UtULFbghU5Xk9SIe2kDkuZqfCH24r5xvq9EIHlRwMiEAh+bbkhlr4MMIgemHQx73/PGeM+5 n/DinpMGOou3lDZpR3U46/WL8iLKWemU0HS5zBEp6ts4oFpzXBpmFyxi6fuXHy0ZmJm6TdPjw7X4 RQtrH+QwcX25FK9fU0jLlemwSxddwksebr2ds6z1PKC2DwrGXiyTi84h6Vpvuw2XZChdgYh4xVAl uIDwZf0da3Xv8x8Pq+lFnQBfc69Nk2LOKHafKMLQcFSrLPfC/t2FNSzL1MnTtLKHQZ5NSeR0/2sR r8M1533AxSX3JGxmCeQgE68claR2bdfCLy0qrQyb7WiRgqibr9M3TjcI9w6qiQ5/hi9Jb3S4SK5S GGPeMYNYrtJuQxEPlBpFUlwlDk0tAdpyM5DljKeSLYQSYvJUQ5dHafbN5U9qzTmyvcCG1bzyjO/H 9vphk/Xab/m3rDDgumgE6im2qpM+N/vCSS67+KsyVNPLCjUALbi9k6xkYyTR90zdEfgRSBqEukak PChQT6CAMz0qDktFSjOA/MikrXQzquTpU8sa7N1f4QZzyraKW6meCd0m/mLlBh38kTiozFiikcfY aGnwEsDp9tOAPmv68EdoNbu8TFVGdS0LIfZrJWhJwcSxZU5g/8WbOSkVZfKruY5mJQCyww06Nc7w Xnu2Jb37OHRJqRIpFbE20aqpBq4J8Nw3J5V3c+W1S2UoNDX+UG7v0HUbFLTuxlq/iay9blpMFi+3 eUFUQ89x7SH5zymYCHDh3UoTu+QHUqJX6Mv6ClmfT9I9MFMETXQdgHOnCKQmtIHBZLT4NGcwLQtR Nvel400XxulHinsD++ZZvUuvYSTaFmWzdoivFgH3I0i4fmmEa1of6VNJySrxsidzCU874CTYg92R ESL+PVUbx2Q7zPQK/gZIkC2gL6ywvDYWQXG3S/Kl25hpqT/Y5YPY4BXSs1Uk6APcH+94/MlK59jb hfxL0ukO8S+GLHusAwlrlTG43zlDFZoQLULUgHn2pG8FLkekHKwXxX7GfCBu+v093Aq7DfRgm0+L n/PDPxL+iSh3u81V9I7C8rcotYl7udlLzRbF2OWcZZM7tCG6cWgn1kLxpxO34kzcBj237OryNe4K Zhe8m60JS3GVxtyOoEtXaZ/6+XPfHuPESbePf2TeTiBGyc3uzCdj5rg8LvOPWtxn0IsAH2IkUYMS wMc9CESb/3aByfr9MFFmFegpmnvHFWufsHw5NxrHU/wl8ri42lZ9oc4lGBdld66f6y4BWQ1z9x55 C0ri5Gl4PzrxZNluZTYZlQHDCe6m0tgWVwVnJXGs8cM96zpxDA+O1jT2aLFLRLf4pInClnfBmd0A hf+83/XXoz+XNrolanMv9ukY0K0auw6Gh8dLf7Nhf9PxNiK8jUjb6LT2g4Rp73pWz5PLIN3FHCw1 xE/HUzvI34E00AOvtEQufvDYGQjY5clE9hl+s2QhY074qUSc81PBwpD96fl+shrJMcydC+vaYcFW 5tlD65ainKHzyJ3SlcxTQSn+K8hceZFz6I7K0LIEHSiUlDjMwS9rKBcldCNO7phjnd7Y5WHshb+F QYKDaHD50Xs+04+nO6BO3JH53yztGbvlkB/S/BVuR4CksBG2L7XNc7LzjwkqMmmBnaIHJuc0RMmT 8IL55XYIPsMT5SIdnTlsiIu+0Z+0zmewax6YbxgcI7N1V422MV+4FzU38O98pwk2tUDb10bIbUtY 9QvODS/hOEF4EK5WVc1GuJhZoPgLxdY93QboV+9x4OnAXipyTrhGVC8BlFjXpJlpuHXXLpvWNDoS u+sAtyBwY7myto0R0qgKKm6yag6xB0CBF+pS+wkt/5FXy6v6gXnCviiC6LJKdEnlyvGQB3LW6tqu 9rFn/v+bHi9GhsfVGOOFvhukcmlOpko2MdGqX1iBY8jr5k3D1dQ4vB/4MZyJWUEn3iJ5TfQV4unq PW+Uy3IBnvUHVfqKMXRDMN6y65NvMdrLemMqlMw7bkx1RQZt+/JyGhrshVjtzpmUrz6f2RAM1dPA IyOyWNLliwmvmrPZHqii/oMdbqh8JbS6B2Q7i9Ox8nY/trvJI61PlP/IyA+kg0DJgZviYRujBbcR Hxlwby9v8O0R9v9vT+fZZmfqlBqowV1yRSCNKxodu7wbJSLBhEfrZqMledPBnZlyT+rwI3YkIHUX NpKo4ifD7NfTFCHUKqalPeWVRLWeICmVQUBKWD86TFTerSn3hoOSF0VcBiVPzMnzDa7IriwUlDkK kz4o97GPc8dAWQ1uiwdoH/etWuNCxPlSXYzCwhiX664nNegfPPQSc7J178h9LtHHIUFVCC5E2SHs R2l6N6VxN4iGmOYkKLiunJxRsPk5vdPz0/qY1LPQ3ZGOUANLTphbTrbNDspgoxWG2GmWdvYGBSzR FxVs2bvpxyrLlgd0EwSwsO/GjcmFdqX0IDzOfaMOOTmIViTffUz595JcMRNyKnOyEKSDC1Ssox0n TIC6X8namebLWzbwDupQRnmjaLF+GqLSrtdqDq++soxdydXPQEio23HXQehtp/X/mmQa9GyFyEcz Rhe8EXuIQt81nnzCQ9fMyitnxlDq25+kygIRzlcSzUfjXZqvsp2Tr0bH2BaELRsXoXktxojcVE2m 4huZESuc6V33a7ezKt3g6qtJ7kB4hTpeSYo/e0V+egfkl0cj3cx5JBPDUk9dp2zvDg3nsLRKtX+s RdKKDoiMajvaWuLJUIaONRzsCt0M2XmR2Z7bOMGiz5eC5gvi4Iy7iQjkOff1DiJfgfuCfusQ+kLf mUC+YfnpbDmYvxjXHeufxf1woGeGc7a1q6MBZPcu2zY/9RNe3R3NBigT3AYYI9+fSUnJfFLqjL0x x+orDbzSiAKUUWhuBulXiOgCZqwVAEEU+R3P4RUJTM23zdWod1Ojt+lS2kxBE7ZqCeftWsP21G3q HcjEZb7akjO+SzFg3ye6xqsW40OgPdvW9jtJ0ySziNZa8M6IIXQkM6WHbjpJ9liVTu+kHdsRgkDv wHoPbs0Y9UpFKDCFD/Mu+oqCsZNdlpvkq8N+bVM5Zkse0SUCpGaAQWYqK8D6iv6qhou89XPEhsNw T1968PoByvly6Hj89SeKnPOKBdkJXmWDihmeWAAVsStKkSxSwZoXY7zGM24KwKBbU2WMj7wfhVpH lgrIjhuE4jI/yJLtCEk7Ouh8YlVOnFMwcB1HOXXx0fDHB5aQi1o9TlYQ1rh9lsYfgcqJUjAZBHss NKugN2j5azxfgYgsegzn3Ty8rU1maUaA/fWQh/EM/kvlNXiB8qEEO1D0bGLcUM7Ei8T+vY4KtTc0 evtyliNfvof9yJKiu0mWvtrxxxpBYxXtG26j9JVNulr60WjpaJ3sWCwK/DuVdtDLAq/ISf8xkXcS REv6BqKKwVHswAC0sDzA6eA1FLwbxrvd5jxSfEf3zDwj7TFSN1l+fssS0Yhh8mQ9ef/ePk/5zNIL ic5W7I3gZB5aS4Onlr7n85QRJKFO+7RRfMTDJ095rFx6KvwlCA9jMVEpDQ88auR32wfjuZevN+a4 EHK5HltLNcZNb2vIQkaH0Pevneik14eMUm+C1CCuZsvt59mSdvqGsuR8LiRy7F8gCsTvZPS3W+d5 4DSCNwbNmDmLaU+gBvyBizfRQtC+win6OnNkCJuct65XvEJEaRQPdTwi7KG/sBVFTuzNqSZ7QFdw jXCCzTM0nGNN9WhwHK5b0NjSsGCoUTG1gSzuZxUJx2q9vMI5FaubgDPUctFGPyk+PFFz94oaw5he X9AhmDXQVtHCFE1kHSkqr3+kdcF9wq8soD8EL0TcWfei9vfpVPMZWB1u81OjciX7Xvlrw9gFMwTa cOFGF80+xu5A4csWPa34crHWvXS8ruPV2IPkf+j6CqwvDQggjV3F4EwjbRwoqGnPl6RVa7jAgnWp w+nuzO0K6K1LMzBf17ADZzzAyz2RuZ6vvMdtAk3K3G4zhSUGtIrkqRiZEUlKv5rsGSVF+ds7vF0O VF11JAmVrPTRCP+4ENg0U7GBgrUEPEqAjLOnGfpXiNbo18hRZnQRyPf1dKPq05461ryVxIwP/Wzc AF9NvMkMfjONbl2yBLoQlCwc+chHiGI/6mZAcmM+ifCZeQw6Ij+Z+HQpbgNRaIobUVoSl94X60eR GtaQy1HKlICuhVkvPrQHREInpY70a7gdWKwlpfulzKD4QBCwIqZnb0BEU8f4EgHPTi2VO3+mW9x3 apKKsOW5vCyDBdS3oBEdQ5imOOlcWBDymoNn+peNZv0oyQ7FxPKqNuF2fXtOxLlNyAxmS5yl3IoE BJIiWNIKtIlthOaepDIw/oAARtSenAs2XULjChTRgq/ojnkbUhLuDNOJCX8M078OTFtZGSYHWF2r qYt58PchB5MfLJU/MdeAqXSfS4tq207evbbLW3bIlW3LlueyeNEEfSMiSPghigIB07GxjrNXBomK WvXKzcueIomt5RRUB6vSZVncwznauFfTVxJiLHM0JBzKcS+VRjblXbscXXGp203yn8TRVh4nxP5o mhRg0I7KPMXdyJTZaylf5j6lrI+Qq75/YKGCDeTpz0Z3nQLHOMP3Z0CtOnMjyoAC1AsGQMaag6hc SHSL31jM6hQ6GcfbqUFf/EG4irc5BjGE4l0HXC3fX/z+BY8fbjiF5MfrFd5RExpIuEYjbrYmWen5 t9DkJvRZENCnIIQfTjQtHRokBHvnSMKJq9tcAF42LxwuqKeSTIO4LAUYnN6FqdZSBrpCiCkY3BZk K8DBLSO1ft96x99eZhMPJ5x3EUo6jHsKzE10Xny6FtJ0XsysnOlXUcLOo/l54k3KIb6sXIcDAAbk 9v/TuMYEJ/XOjmk5h4Fe6HEDiGd1SZ3xVIt/BA0CLhmOYP+tWyzM+J2UTTiW9+7/Qy/d4/KLlqTX nj9/55neUoQLdXhG0Pntrx2Tt1GVdCeEJBgKyzh9Qj641+xVcSDdE8isPu44iZDhRy/3hWQSFCNK A33B16k4fxhfxi4KipKpubTyVhK09vBt9/3Vg6hQqIHVamhcm9e2+2G7EmlNGqomy4+t04kKYokx 3Ru1IYlcg0gOcv5wsmLi9WnHeAXjFxbGW14ARNq+U17WFsbHUzdc8puVxHKVxMnirRUpOEJ0HuF9 GczD4eoGT1VXfezQJiEl8AWSU4R/kgPZZPm2j17hrvYNYsOVTsSQuBc4hCDZQVPLRqKLqJI9ctGc bo426X1kgwIyNNbpCp/dvwadsoRJgSkwOdFh/O3bBIUAxyslNHM9JZhdZigGdINa3YwbTy45vL7E IXyjksnnJNLpitbVDyjAlgtCtW91pfA2rrtUGzOPwEUSISDkkjQZLx+2VpSrdXuu2mGLXUYr/FsB XRpTe+I0tO7MxiN7VCVYlAFgsJ8w/zN+RClghut4KXkHyGJNt+1nlkKtbVRb7XiG0zYugro6jPip c0cmSaM5xSGBYZffKGwobvafoiNCRycdVKC3N7YFnVth5y9AEX88eqO69wBl+opXAOW4/Or8pLUY NVEF5dRmwyZtqRxoUiNYIdWV1OVLBVsh0Lzf3EFRZh0Ovi5XtzWWyDaDJurepkXBRVOXrqhZj4/C Jfq0XaLxQztAH/XwVIc/UIgnBPi0KZjVIUsSIptYv5p1N82nsUDvkYQTw6wYVfPBd7UjH0+KQKDx jIrmmQ6SX8H8NeYrtSoA8MEcnLLj0Axl7nMBb0Wj5exZ4qbJNZui8R16Q8RJBTjhPpkGQ7Lquwpu 4XU2BA2JZevLgjp+VlkE1RdeuaprD/eyqNE9ciJDr9a8a6acGDUGNa7IolRkHO4HKl0dbdxh61cT cxH4ZtUAKiI7p/QkrQm4a6qUm5rslZ6DE58pVkKH6gjCymIA/dNPdTrzdRA830Gb40+z0J+Ivphf 4pOnPBYTHpPcxzJfFvbBJ27l9EXDLKooJ4SrxEFSFkXqYbKsMzTVuUemgLFT6nKzcEHi6SPW97kq mexCnttZVKHshq736klhZcvnNfanVJS3p0F/kpVNC+VMObzAMcZPcADsSGChNAC2Baz/7FOcWRKE YNE69iq1eQhgV12SAKvHhG2eoSI8DLudVSQzFqzeDt2CrIpv6/lMWotftI5z3Ni+HG0+Fta/5cqc 7FfbwCJBfD4ds33H79RN2dhdY0syvaHmNyXG3SK+4fdRkWT1e7CeoZJm1NZ7rY6cnUf/4srlq2h6 dnu8oxDiRFxd9g53RsLiq1bmOiFHNOGQJ1JRqzISSwWx4PjFP0L7DYKQMEiaSDj4hCiNivvoLA5B 9EFeKxw0hugyKi3irdrkw6p6h3oq+iRZuTznpYXZaEY+2oyiKj1+t+8ztWTxLKqXTG2RHjBjyzCB EeLHuwdtKGnBQoyL5ppP4j8lgXcwtqgBCwrCXllAFKq3C5KFUB6bFJNgvHfI+OTJ9OoDPfuuOI96 5a6KNvAQD0B4u7bT8aqQDixCVtu4hqF26wisPCby1mdrA+tVQMTW481+BX/Z7zBnnTOFFH7JxPvN wfecUxQG3V844wPsHx+NYzQR7b+2xEDLc19j87DrkMiQJnukIu53Ira4SAUorYECC80hzjnCBKMT RkqHzOISmbSzD7QJnB1ifR3V8lEZuxF/t+koRU5IXNkbyXrGO+LFja9OGYBde2V2UPOT3483BFBB VJmgL9UcjEpPdl7BlByCINTFIWngg39nzjuCmSZ6v7bNzREOXAdijPuaMWscfzuKfMf9UTQQDVH/ Zuy6qu9UHIDdpmZ5nx7qVSMDc8RAnbgAMrkEYFcpHm1G4iQZSEb+zZTSd6inAhWwDqGFOvsSv2h2 /bv0jZbQYaBtj+xgj1z4sfK8xKvb0bubN5904nfbnuoUaPh9v/UuwCtmnZtmQ5NA6pe73BsvQT9G 0y9kDAA+nhGeehiQbd9XG7DOZNOyU+2PKsLauRHIVe6dG4domiPnxq8f0tnqStqbfJoBk+MI8LAF 9V4UDB6kLkeQlUcIqEtTwMiW6pH4nSJgW7w7GD08lZlXWQ+lzRhNWIjsp5RRVxTrL7ZXtylVAVvw RVMeRVSkxW0LJGYKQ+WWPOecsP/pveZe8pNnNjOWbubohVL0NU7TuZ/54gHSgBcIvsut3fqOtoVr aap/jHuXCRDuOMHlPYUOGo2B/IrTE7zk30FobVoXMO+zuCznZH9aflPZ0nOX3he4OZeS8olYymT8 +WCX9lpTn8XCp/vybcj7R+YV6Ej85gHU6ruUg5cTHW+EIZLiDhX/t9+t897gW57yYDSIdQu+ZTh2 a7AL3aWM7zXPsLbPjRxl5OE8L3FG3dFRUBZIB29MRmQ6AerIU052+/M+/UKWTnYdotDz1vdzWJQf 2DtnHqdQ+pxUb4SHbkUvQ9gaQ9oZtkBLWs4dxGOfIZYRHXZ41nZ6rF2QdJel1QjmqZJz+JhW1/mM ina+URcwaLqZJErnwQ103UHCW61iiyxr+PWZNn8+qF8elGWTxPPGqrLjV/6MVP2Xug0suW62rUpu aJZDGMzvAmPhorC2cjgHLxVCppGLSDsIk0Yro3QrJVernqx/c5+LwWbeX1s7Ivy7dId6nCUItJP3 hbYzgge4Lnu3Id+m+jLfoepJTMD0Dmp+HJBIaVhN6maJjcEOTMZmn1C8T9zvdmF+g7us+mdRNjDj rKTctz6RFynWr+e0Qmaju+WP4mw6koyfua0h0xNmG2R3aZpvp+WKxBHORl+HToOrhttnBx2sHVEM QJ8pEt3maf95XyzuqsFRj0cuJwF3GJs66jVZxKRENdmq/nEVQO//TDYAaqDBlzwdCpdrnq6kaS3H mzTnyQuDdG6YNeebWgPtEkI61hrezYmYf4xqhX8lqJ7+za+aJVbjEqsLRZCGYJJk01d+sZSazPsj ZRPx2qIm/LSrbLVur3txFnqPRboC0Q7taTuMiQ6oNsJpWFX6CMUrW0UuZPSXjQAooYUMQgd8bdE/ NaVsB5RAxj4VSQemV4OEXjhHObrHeOnuvIU5H9kNAl7vq0jcLsIjhMJZDebh7DLIKna5OpnkPAjx 8bjIbKVPdmTAjcJGGAXo3kluFc0wZuODQfFkOFx8IdxFCZrFUehjoamCMtfE+Uu5buvuO0mKHfF7 q4sAOOXACYtTpEW41S5KDb+uy2Ki99P+TtZBuITrKTFW2Swrj4xwyS36CmGR25HCXt78v+cZ+s2z pY65lCCYbsV14SeZ2iLozTxoJlCoPB54YxKWxyz+olEj78eqh4+qg10O6Yucdkp56iPujyp+mcdU K0P3mszayzelIQXSw1t6dl0vAxo6Cu/xdfXGbv83jfOifxYoCeL1MeAvTLq7x92urgC+aDqoWEy1 81Rac99y/wrj0Jm3qsOgOg+ksaLh6NleelVETjLIlVtUovWLvz8KlNOaWmFVbKYzAOiBaAY21tAZ Q5uIBX49CJufJ02nPUThK1ba0nqNW8Enppj4egkbpPqjdnun+Z3O16AfoAuZVq0tIUqhjORADE4T 7GD1fuWtNTXiFGumLH+tsO8Im4qBqyDYeEcRm58BPkSMB3G1J+pS9a3+MJqYKtFU/dwPjWqNAABC QCZG3aAlWAzuQLeUoBfnLJ3JOVwRq/ddIukAjqHDpE+Nfhv24YZSbn/ANyNpvytC62Hg2WquxuS5 I0T6U14aWoQ0nA2rogm3vLmc2C2o+erktgIUU4M1ajN4eQUcXovbVvkIXB4izkjmqWp3qX2d5Sn1 He1TqBtYL/7y5H12z1RKyzJiYPycrJLis+ycUzEdXRU58NQFjRqltGcWmGeZb37yLb4IOmOC9qk7 lZw5I+K/iMiP/mPaeQE3wbQ1kH5exzGfNRUO1XbGKvgrC79F5EBe0HHEMqcPDv1pfx0BYV4U1loW bOkmGe+TCHUffSStg8UrC2sfPh8QQ007Yck4H51uyibZUFIh5tEHXr2+T1P4PsEK1COzF7sH7yln +tcknk7vWO3HEfuGfPj157jfwM4KwMymVchRN6IhQETjH1j0aeBPlTUaGQUWUu545mqWMV/ukBn/ Cz2yRXl8JGviLk3z5zUTatVe8BcRiM/uQoDHBcj52NtrPyqAa7HrSYc4p39SMmpbzz/hfxJCgwJW kgZV34f0LkOvIOyAKaDmNW9cMLFlVDZHON+Xhui94p55Um1sbRGwdlRKPslABpMowprd6NeK3P8r Fc6IozCm6/LrKqGMY3Oj8OM6uvXpfH/JcUrEGQPxFqNWt7k5B/QZieyedvRrBrUY39VgLwyU3oug Islsae5h5qKtX7imtPiH2/AeHNjr3rC5v8mec89jA7g9FsuMDnif/7rLcktxxY3rjlCzsnkjcUgv h4jmM7TiKauZCUe/CuP7qYK3G18rFK/+lSCVd8/AS9ECfAqcMIkctTmvkGWMAuhuh14/I9wgH9Ei 97mGthbOjSaTVFSlNoatnCVutfekhY1ERu1F0FC8j+VoMUzXfk9NcymJdAswnUotKclgtLW1wbnV zS1tB7KqrHceMNuIm4IM0VDAkQVZ/DyfzwJk7tRg+ModUA469mvjoJL2LbjEgatdbsDh5YXmlSv8 33Kgxk2932TF5X9w1dhUTphOtlvBxKt9KwDHrLkwCGiFckKByywNAMQ2DiyPb3m4qwVCiKxaQfuu wtmpYIXOKdeNeC/wEzuFXnU3LhFYLObRUHvZffWW4CMs6M7zHq+kc4FAPsGkQihan8SeUGJtg7vP +OevdrrD3+9VnLqTP4q26Mf9xwLCZyya/qpdviYMwb2WeqNv2oMe/0HX1l3038o8s5xrUudQgf1A ck2kOLR/O0Kofg++5zHDjVO0qZp3I+LwnS8+duIn3UAGlGINxgggrXH/1VoQTgClSNZfmGJ7uewA AIyYcg8peXWRSMBBLi7KG5uF6QZv2n7JoBqRd+DpcM8eTJvt9J4qkEZElNEqlCv8CHt09tpUOgdy N8iTE9R445PHQIQmsAFJCPnGdBal00KAGM5C5kZ5gyFhGJP1TFqG3DWI+j1CBWHu7vdTiqLhRYny IZai33hxGg/kg1Y54AN8syZOTbfciC4B8UNsTli7qWLF4RzJ0XH8cuWmjeHk138gkXE2e2CfxXAW cdA3r0AY9jyCQSUA9YV8D5NOIVN2KKd+tC/CZqP/Z2HaAynwoyDwsHjXLmvv5uz9smdbqIPbhPED YO/nb480WFx5LWK4mSCyrki+aQrEma62PpAw4dGsgQaazEQ2og3Fhf2g++ZVSffKhE025mQqtOqS stIyxObvoL2VlXrr6gtJd5gYDnKt3dOIpwDKQ1oT0TyR1Nh1I1UOMyw8ehb3FAsqsSuGfLkvXQ0a bt8S229dv4w415kjc72UvUTpmrat+wR41EOy+m9NrN8rXSK9zqPnXVK1xsvxxGjNiK+FqFqWAThm NqjCMOnz7xOE4Efotidk/nE0qTFNF5vFCSaQ/W7eM7dj0lr8vT5FhK/8K7+T/hrWpyhOQvNzw6cn 8hjAXbgTJL4kciiiZBtXUUN3gqd6bw9JHPJ7SebiErpS8MTbwzKgVXeUGDlMwcr/Ai5z3/JRyGal CXJQKPoYDGf0iUhipQWlepyFHXBudjm4VuegXzd7Rha7V6PD5nbY41VMSG/cQ0OhXqVMKGAA269U TLo1+VTCtPlGie6K2p7UK77md7Omo5PvFY1TAq2+xXtbWKXw0+tDNbgzJ982mIfu74BA50OrIQz6 xJhdg0fY+4is7uN7So7xapmBJhR8BTFImDd4pwLw3k8HkkFmxNfZmqAbJSgU873JU69ukQO4Mg/c 9vxT2gjNwXhLsOYeXQucMm5DjH3H/2SujQtwWDIBeQpyE363dRrEsamQze//dog8nGJNN84xz/vl tswdUdIrI9uHLD2T4yF2FXhnOR+rI7ePExwDAjvXVm+agqg5jtr17UJ01nNoO8lqYaW5Q8iFFklA 3g/mIn3joU7NnYr5Qx4WiLBR5TZGTYGhiwEh59kFtiihdPsUzRCJj5RHYH8q5M4BtH+IlCFZ5oGp XjocbVaXFvn9EarFS79TgiaUTUHhtg8/tZwvF5WmNe3sAmX7a7d0D2e0ZCx45g3dD1FhwpzB0VvN 2S92zyJHFSmz/egPtcVu9OPrNLwbQrJEfGgf7KWt/AnK3ejgaPER/GXnlZW91hCfar0E+Y0Sa9EC 9WTo8VzCYBSc50RxH+k3m2zT3Mh1MaUCdbmPj+F090VKbcs5zyff6KwcoBVPp99vhiImLEC/0Dm+ PHXbivSmnyuE+LSx6xCLwNflBMahIuWMJBbfiGGCy29xwhdf6Xw3D6XMXqzawi5vq43oSJy+QyfT 9Q5N5TtPoK5NKUtozzmqHwFHLcq0FsO7+uwN4JA7YqfgeTswMEM5ltYU3K8GTnjsVTSF1DazcmU0 sq3lB3ZYgli/hqG7RGD17qaPaZ9tbeHlionM0BrYZ1gM0yBfwCZ9wTnLCFIO+OXLM2kOlxpzWf3T 8R8oxINP+x/xr1BumFs/o04QxQmhkdtjvr0mBA3l7bSvd2Af+fbzEi3DZY8W0SDIS+NYWioqa1gX 1taFBJ86lvC+AuSP8umgNyw/8nDXkeqN66arQ1L3q8lb2mwcs7VnrYPL0+CcOFjeQGoRaR6IUWL4 a2HRHJsl0JAkvRq9zwTMRMxp7Gizgd+eSNOZ3uKmIpcFbVCdabS8nPkKp9nWm+VVra+eS0CI/fXS 8evjEIGk5Yc6Rnnk7j13096dyqL7fSnIypqIjexGSksmnS4S2VU96oJntRT5uOR8X3Z62fKQcddQ siy8LVlGySmDJcREtX91IDhabsEjHD+EUvNWDwqnv7+1K/HJOIiFOosov2SGmfZXeywU34Wx5/ON erNkZJ2+W8d24Atf0COVj0LpL2tkuIt/TTrdYoi4+IzMmZ2NvB9+jLLIZt2mQw38ihFFJ2e9bxz8 cBKf6L43YGUcFvigTAnV4kImqRwZonxD4kfSewySyw1gjfecSplSYWqgN3SiKxHuzIrBofmmeRw7 OeHNJphFIFJwzwi4jkb2rHAYb774DLQx4Nc+cjLHM5iZDqqcYXB77LVKWYz4gbpXhEkCn8We15WK DEcs0NB/Qgl2qguohpY5/0r//kw9AgmbJfHOY90u1cHj/D0iJzzpdlrs1VwqU+GfH4ovczZsU9fN u0YMp4mhyUMfpyPwU9EJJDMKhBMbB6TgMpbqXxz/7tF16p50MR6JFSh2+1aT+EJJ6KBqKBe7YuYk 3bR5Av8aEstp2O19GubYiDd0r0sl/8ig9DYcp0wXpwd27NefKQ+zcRPVwoP8XDEPNQQcpBw7Bss6 XdwfRgN0ar68FHhJOCPSz5ZZIvkTbk4xvscRQ8H7EBhHNd2Drv6gv4DgPrXlKsl3TYbu43zVPwV4 3QvejOsoPNj5WUSVEWazJTtTdanX81s/v0nI4X3P63TRVS3euNjanIgWI15uxni8UAhkebVgdWEr 1gEAWPbpZRy4bE6YVzesCC9YnyUcYKWAP1MqTCvWVqUC/rgKigU/EvxHcn01CLDRJoGe6r1G/ZiB E7M2cgjRB1RFbDRe1vS4vrz4++G+bS8vGJ7rXJ6m9gmwrYLSwD2/BfVE3s2opEigD4P18B1aCkjq 9afe/1ENgL/7+/arWbQhuXhJmb1N+prra1KlKzsvQI3H8C+3PzvUVHWftSuE8kmWV4R+e+28mVnV JZIXvydZS3XPCeOOlekqza8Vh8NGETK9/rtsSuYJWwcbQqdUcqFgje/DjMmUTXPDSegnQBVUwpHs v6BkhHOkaJhWK92lO4z0K+Sp9wsACFxOzu6HjssNI2kPqsDvW1HUZOFld9Ou/jvrJT6r51yiJ7rq A9FJuYTiHe/eIDDRDA9GH22v9HhZ0AlADeMzYtqWdxqw34mguVg0tKqNQF+CPUEtO4d0wsV7LrS+ uEc/tWogy9LlJRLSApP4z/cccBLH4OO/sDPUSKGsIg/RG7kZb2rQkjXpV2NSSqleMnkpxYF2V5fb lhauBRlPwRqGyBw7VWS+ahpYGHcwKhFJFFcbbucX/8Zj8bL1bX81nfygQ4v0DdYNbOUSgyg/NFHV NiPyekP97LgWn0CBVigpgnirszHsBKOkVguvrWUjOgUeBanw/1zniD7JQZwEzFb6Qgu5terAOVz5 YrAnvQ4NUneizc50W25wAydWzWYM4U76tUITOTPDSg2u6qMmxxvGlOgpwPpig8wTukTbqHe9gWaL Z58KtQImUjNglR/iZuZHfQgVyy6jKt1JVv7QAgP3trHLjjwm0Pa66FY0w9bSKXp3ityHntlosKXy D3Mxmzc+UhVEaEGRunUUvByFlU17M0qaonBYjBWLjtuErpdYnfMJJSOLLAtVJVZsNl+Jz1LW4tzM t7bxfOPc6Q/EuJssZPSK3VAHdWkGyjcpPxeyqLWwCbJch/nUtOuQFI/dzGJlwPvXhSdYHa9zJnfL zwqadB9GCU/2359yO7CnvVtRKDN9cW2TjI9WfDe4nrfL1FMhLib1W/5Xs1dnZIpV1BD/J9kKvSj0 qBxsjf9Co+Mm4nzey723g7QVQIuyhonTLF+v82Jd22qtqQWpufJl2Q/eXi8pJOfcokeTxDZxKmNW 64ihuaHg9CMWCkqOYL/s/Oj7jTMCSPOCjGPT3Qua9XsR3gqgrf2OHfEjifPIucp4X8wUIFtUA+SF uURPhpRVhc31GnhxxLIRw+LJFJ0QaGUmJiUx7YIwvIR7AOzFMKHjI76R5PvtWVEeMOkW5DD3pnul TOnvolFpQ7Gi5kKf60wDypy/J/GJz4Ltl39+6acnp+w50Whz6W3jw40R2sE7vERm7FspL1NSOodt CATnT+xTV3/pY34pxCviw/QV+LVZYVCD/H/KYGZ7f+Jt/tuHL6cQy2viF8GRNHAN7iKVOHPzXX/I 8X+YJr1W4EFoXHQdBRWwIF5aG2wLBVO9sWzOj6udSTOggOxQ9ATD8MqSXggEuvN0rZGBW6oXFpum zKIn5HbOxxa7WUza5Wfm0IiDy0YRPN4ckpB0TGFPUwf4ujn2XxjDeqy/pSDfHvicIKY0IRz8BPrK sXyWUIHz/TV8irtTobv3YwM6PtV0CzChV31cLMoDMKkQpFjLwa/KbHptt9BrSBZvZo0nKs2AW3yj 0MDSnZRrqYpQuj6wLMrUJE6Oy1vUSBgwzF4ct2gNV7EgpQEPF6n3m5k3In8b0ghhMwRBZaCjbmup MvA1MPvOBR1icVtnL62qsRZEj3iOH2YkUwRsSae8WTH2PpctXHGLmo+6+xoIy+UfjfEQWeklsYia rUG31RhMc8dev48ZBojDVGD2WFOkslwf+3jGk2Yk3F7RUj8qyvxA5GVeocT0aQl52FV2En68CLNh jLxm0E1g2i/PRI4MGl6hwgEL54dbBF9LDzIoB+hNvzmkm3/aBjDJkaH3LO2xLm9vZ5tUkgEP/BFr 7PuikPtaEmX0AL7mD0snZjC+17PjYj7Z9BQLY3zuZxC1NmzK7vNFsaT1gioOpLT7kBWrq1M5wz4e V8LMZFDRhDe4Tjls1OlxR0odV/FCeSSyb66DfeR2jfOuhZwPf1hwzBzlteKj7J1pTc69uEHZTQ+a Cj/+4PiDcHU2h8QXe/Vh1ij0DNojGBvNQk/qZkHqrUpe6sZ2PhIdjFIHr8YJnGTfnEWDocQjE3Ds tsd88CKv5x8RzBodF3yay2RvkhJ+oo93frUB9p1wvpReARlAY/XyOzhnN39FiilX1W1b7IszlH2e P8DikWRT/U+yFGYXhydgEZ1hmYqYY5PMQQGzlxm7MkrXo3Qu+nfCB5Dl8Rz6JqbR8gNbs8lhDf6N 23sSD2IKxhHdgkq82k3ffrOIQ4+JGCeVX1aQ3c9ny0Zh7mquZOR0ve1pPcEjB5CNptWrRovhtMTh HcWgKX/KJpyJ+Ec2JYGoxLAFsSGJjNawUVUCPY7QKZ07sRQOcvLkEL/855OcZ8+ugj2KBRceoewX bp0Pop9xFIq60d/sMV+YrPmy6OY5MkpI03fzL1TVuePO11mpJ5E37nHNcrag6W8OrfoYFu8mFO63 sD6YDy6Z/hGwP3962xd2TNBOCpVSa4BRPSqx+IN2+lUSRW22E3Z6blYUsqC0YccIGV8+yFJ455LB 7WBpnclDs6kQeUFzZMwa+Ox5FNbF/6YIBH1S4CPJyvbqG44K2fpYocjf1HK6JKbq8bpYgGv55V2j LQZUQlSoUgRk+3x+lP/RwaF+KJFThnvnmsJegxvdJTMWTK8Djl6xDgxQMFWnFIcRpiG4XvFh0YIk ppR0tMGWfOdSwCEUjBItB0Xfbo056vXCBxPqCqYo8D5w3vee3G0vlK/3A+cMnxu1M9yxuNW4Wlvh c8r7nvZNPZUlqF8SSXBBLQkUVfjMyPgB5fnNffbPYDx4krlTyj6tez4xlj6DvpO25PYE/UHdy184 oxJ4mxbvQAfrpQTCOSo1T1uA7s1wYwFQoaqT0An2FdZoVTTgb2mcFMMKlgs+2F+7mdfGvu4LOueD 1HkaTtka7f81tk6/rmM+C/kCagvY8ABrdT5QBFtuojYeeuNR5rF5D3Zt3FB1TKVbpaWKwMsNipeC ggdcbPxeMV8A24ZRYPZ+1sy47/BDeKDYeLv2IiD6ub1n75km5N927OhEFAHIrxJ7oVTZiSgZF+Gr DOSqsKYGUpiUenKN56tyDMje3f63RVWZfjBya7gEqlDo3O4XdRSBSXo9SjrkMQvBrKf8SVODr93h kS+Rd7eWeWr/iEotXQ5AJeONWT7T/IRPZVX88G8sOkbZhDEwAEDhe689bY3PaoyGOmzsHXzTD+MK +YYQ0aZy3NsYWTg256Nu2i/0+6Cy3fQbOxpQfr9ytiKI4/x6AUQnYSgZUxm+Uhf4vNqw4EzMBehE O8eYcAzQXfgqmy4qamKa/MaJ8kBsOAXqcdOiqSZHHOL/Z/iKPQC9NCuQTCjWODUGvyTRw/pwFCOG UyO76J22w1r0KahGkm3qF6CMPZ3jHxBYiI2N1u2s4zjcRhezVEX7R97us1V++yB13KVDh8en0dEm VENF9qDwLjbr7gGWwIvCYZRoWJTvQqcsjhEdp9gezU5vyPSPVB7iUICnuJdNgGRa4Cm74n57dDc2 eLy2g+GgTeMrgsPDX8le0rT0BSlYA2+AQHkcQ7Fxl4Q4cf/V3sOA7D7E3woS3NJXwHI+/qBg6ggi kOphhJmEjtQYA4Oup75ex+AShii8b8sU19p3zUVqb/NYDhctBmBjTOQqzk0sIskXfTqz8ms9GsH5 +I5QweB6u5T0kYAjxqBDtoZyhVcEXIz2DCqBMoMp4i7g0r7FZJPFZc7rKOMJCyGybho2CBSOv0yD bChXoya1qRfc+w91lEEguPj9dH4I0dfDCPpmXpHpUg8llPzzxj4tFBhrm/hxmMLjzfxEXCxFeHs1 ADrkWx4IY2wyGxyzeTZAdFzOtsEpBrUUlcxuvWBIXhRPgIK4qeFPanu7d5nwixkVs4vGla+F70Sk Z+GVMh52Asc4qqMkkZFhEQS3q0LQbzG/574u1jISftbw9WvjvpL/lQg1eJ8vM5IaMOnEj31oaOsv hBDPkDOAReOoTMo6ezF/DM0Co6gVWWbYX3PgsYqD9Btm07cC2HgxYZVD1sezIngvyeKbyYHd2TFC BpITMMaO0pqeC70fGSysf1haIs2VOGQfN78OLB6YgqpscfJ15LoCOyti7jN3ZsoqcN3Ne8bOVnlJ 4Ix6maSffJEdNrDYtThGwZYPGIM3w2TJY+xLgbgdrB91cnYRyq9I2oN4U3dZHENeHpYI8gi74xVE nTui1HLNJa1s0bA3/x8YVeTKngrxBSFwWDC0R4xsmP6DnETSzKZ2GaY5TvmpvsZFQUiBrpkpkbwx 5lu/o5VT6PFIIlGxsABGZpvVz3qw57QE1Ny9CJ7iqYOhWvGZqtHIr6A4LmH0C1JerdhKpisxZgHH 1f4IbX1CLBiK9oRjmCuPDIl/CWgIJ0eCFho6MYf5/C4uDZdztb8ZBwGbgMMNnoeTgI4wx7zGKaG2 uWROvup2Y7U7jIrbK9bhzMgid9MGII57jBP2YhcYRG1PbET4AjZx1KlnI6eKu8x5uHtGgPj2D9x/ prkEdTlPNLd82ZicciDkCXL0e4qXVYvLbeeAU/Ia3+eZehGHad86nZK4JvbWo+LQbf+i3Me5/Ltt 0yD0kQ1T7jUsziSZlc6l7m2vBLLm2w4BY+x1qJ+95r+fxbqZ4iqEj2n1wog+pLQooj0cFBbAenXv +pd3e9Su31Q6bvjBVhuhYdgDLJ9Z8HKa+O7DTvMJorjnhqBB7r6o+lUOz9GebDKtuSsu5CLukbt/ /OPhk81Ua38LWM8g5S0o7QOC6DPzv2ZzfEVqwl8yuds14Hz8SqFi6w44Ixgg+ZIC0FH8nmT343CH U/VIS0ihJZ4O3c+7YTLIV+53bVV27Z3bbdcsJUIcpLMqj+qLnzfQAVtzcvvZXcXwXXRQaPnYxsqL KslhETer0yXeTrUw/LAilkfMZB36Ifv1KCEaWzIDpzYUVUnlCL1fPzV8LhRnax2vg6f1bZlVjdGm 76nGIl1EWkN+PLMrO9vJTYi6ImBh0JVTQijXy6ntdFGEHUissB0s8NQ2yzPtheQxTbe2IR1Ri45R 2nybsZiTnyi1spoL9+St8mU1fxpOuijb3+bFxUi+33+vk2zRG/rTSChKi4Q6DYUWkeR8XmH7Ewis hNs9pGJKIrh9zD9yx3kARpYbo+7KDQQ32+rcWoInox3Q09MviApRR0CGCetV7EyUFpxamV20fLsX PosO4j81WjUEmWmG8sdcXv6d6DRq/Zq1nCui/6CioxfBcy1c+w37sZsq9yEojAvx2fRuDHITVUlt HB1CbL3Cf4iSC3zdYNo0OW2p74hTtH2l2A28nPkV+WemeYTDUodiYdU8IYuuq9+sbTG+eU7UcDmb iYzQaPf59kuvR9ypgDguLwBMCCkoLycTO0Usgms+ZCk35bJH1J+uIlBsGxCr2YdxVFaw3yFvK512 7qGgpw10dwLTtoHPFqut+3RfDntRUBCTIe6jqAV+KVOK7b3lmFcgbLQgi/ZCcZL3ZuQP/6PJ42OT XxW81njUgUCXWi/xwRLN5x2Wdo9qGw35uYlk/0sQEwPPaeZTrWEloEAVOP947WNMJrYSBg8M6WL4 F/8DIJOp+ZCLQWnpWhON9Xv0cgHdsIPjodS1EYhN+aFXcdNJmtA7EDWRVmakZEtQ0UQewJiK8AXx xLG7h6rcG/bzqelzd01ZO/XToMmkaTRra7ZMiwJgXlkXWfNgRQx+w4DU1dm9BY4DHCkus78Kzhj7 ChhSXWlU8iTLANWDiH2wSGlps1++6XZ8tEPE9qC3tpTxBVPVLdz/aDd7I3udgYHDyxOwuL1/9n5V ThoE/VBzPd3+G+4tBkTADOnn2X1phTw4kJL2yCkEP0/HspZ3Bofete8yuKdFNVNSCPC3iblDmMJn CYpyIEtt0Ke0nVQBixzSaQJArDgoaqmbhNRAkyCwvY5bFwPxFijwQV/mpCkr/+mYOucJDYmeTcxu 8qojawD/tFAjl/We0zQJQ9m7wAjyaisLTquITnwfY78U1FtZoUxC0rvh0cWVQNt7NO2W9xRlNLxa cISUtQ9yxEIIOLkoCxTsdOHKKvfX/DVSOtOHqceQStFrzfidfNVrmVHWkyN4Y6dzFf9NPMLCvAU0 yZ0o6VH1XS3HanaDmNLCviHkvOMgocro2cmOoFZBZLMHoI8lhPOjRsNHfVmtJ764YOk5V6G1TBym dvjyKtr6BLSySyX0QwzxFZz53wY/1VTvuQIiByUadUdvl9JUPcVAWXiIjEepHx5+z127NB3Ax3tk 98NlXNKS961JETRs5ZuUzOm2GgoBHpwPdlK/xeQELlqOotIdxwll1izCtb813CyouWRCaCxpda/D aM9g0oTcn4ldzFkCl1DP5klvxViFpQ3G+tak6WXVXUZUDi5COOtaFU8W0jiMt6KYezHf08EalGhI a1cE868hbMuEUoI7lzMpvmVBM1mc6WIcLaxBj3U1HiGHKHig2vQK2HmgPcioi8ojihIW3eiRVh+M 1f5C56Hp25BSC+EqE77nP3Xd9rswVlBqDTWew4snOSMS1h4pFamgRq4vg08l7+UDLIH0rVulBGUR wucqEiuyX0kgoirbPjp9K1M+61DeQm336tP8oJ2v8iUwcD3Vr/patQvWPHWl/Husvou7tbEQWBk1 J2Zh2XvpZLCX9CcJ43zH88R74gKfaS5I/2/d1BkRSSYNr8oOhVOb9hPJK8PBupe0RYkXML8B8/ib lXPfnGyozXNn4NbAXAOZP14Rd5QsIjxugI5Enc+FzjOT/ziy0BEoZSpd7RTM3d+1WltceNgCUd+0 pIJot7ph+koyZBRSuY2VRDXpvz4RMAyvOnN0W4K7JNbyQgq5mlw2cEpZoyjApn6qgHCpWVf7AI09 cnEM57Cd8FqgeA+rLGjS1hkFqB8yOseXkaQxsWVTRFHEqwDRB2n836k9Ji2+Krlhglr0pzOWDPSq 5kebhDz/pbYyfJj/5CnfBQvTDQgCvM6JsCaOpYgHJwt1ZKGLDi3pSdv74DmkG6uL8Cd58GtNo7Aa esi70eL+QOg3s1L6E+GDRhXreynKPFfwC76ZaaTQXlfKq53PsuvoS7sz9TKMfxDNteC758OWDNhf /Usp9PiLndoOSG0ZpQHNSoFpJvByPmmdG5zdPNnScS0USL/FX5uDy6XIG69BPTnY0zFY0CWPAtkK he09aEgh23dWD9KLZb70PkB0p71qt3VGDud7z5cMze1PL+J/lGmalqE7rUmhduXPsSp4v11G8NKC jswUg9VvemfXwvGoGX4EKx1sCd/IWDh8BBV+aUfChEdazKSnmqJMr6azEgWl9Ba0PpGmDUO4kDwY iKouBHfoxhclYvjtJ+FKSBtPZrCutmVAYMtPEJ+azt16OVNrbx3zKCKh/gn00yZST/YgMZpK1u5E 4m9jy/qCYgBqmLh31R6r3lKr5U25++MyfkrpHpjzqT+39FiJP+oosPg+UK1tzWWgIMDK4Of7Hyrk YAhOl4mSzC1VXCqjQguaiCPU3naZHwFyMEq0/YxvUQgcRdDvIVfi2svfysAfNXgPM6BsY2uWCzXq uryB4clCbri8F6gWB3m7vxLurvv7NPu/8yF8ysc/mAkw0Zw7KCzJZjLOWsLqyO1jLSx++1KDJGP1 GBJdf9o3WhjDMhLQwCS6fYrClemcaGXwU6WCAFlRUmco41kax0unmEet5tIvtMriqoj36+/+c8l9 OfMm+ejWxOcO51Pzdvn7SMmBrbkkXHHQKuW9G8GDX6Uwy/pP8re89s3xh9zc9kIar8VAwPx9xbGB ziJ8ZnKIK2e9+5vu+dhxA0OcDbWynE7Jlrs0n+C5pmGWszvYhXUaPxY1EJ5FduBZjUT/2/7DeRzN Ks/LbYzQz1ScOVPBHdYv3rJtBv3WJM9/bQ8E9yrhgOKxQ/ZUNY8/btJcQKP9iCyOajOGlJL/e6qV EH7l/MKM839rQRFMYOB3Y45WI81xKtoE7ehe+4Wqqk4AalyIeMT7TdzCRUJ24KPqpMZnkH2d3su7 E9V5t8iu5LlbTjeWW7pdgEcIVlUnC7BzFJ77leuHN1j0oeQqW7uaBVxgmzvGI3o+EDc3EK68Wtpd j0CZWcGVz9Z4V6Zi08RuXHrBp6R1SJKHDFFY83DA161gHQzQhdW1bV+RsJvdTaXtWwHVDKQpPMuG Qe7TbmHx30enl15G06o9U9YHdd3hsxxgy+sTMr6oG3Y4JDDtTdQDG1/XiTia1JF/a+bF6xWD/5ZQ U4O5WNchlZ1uYQZ8P+X87rWWP9Kd2wtVyyL0wvG/LniIfWcvDetoLDEYhBQy6jrMbLmmPG2sJNOC F/upHeR1uTVhq0TVE1ZwnnFkfOFUvz99xdRpk4Y5mciyJwnesIWna46w7vVc30jZtWH2WypEBmHl PkajBVTMono72et9Xqjg5MZl2q9jLuPTZEdOcmEgkKUhKCG6sNL+qjzArb+bi9Urw79867Z3p4gz McxRX2GYVYngb/yCiAVmxzYhPI+qLqG+x4dLyqOkvzgQ+k90m5k/yHkVk7qtrasfauMix8QjmvYH Tgq4JGSCdlvGIWspcTN5vje+1UEjipfaIF6UKeZDh7FqEAfEHWHPrjtKjTjzpRpo+WJrzIkdvftq KOoObAiwsnLHoae3OSCN+X3osT+A+aM8UEOy5eiwutuIMgeNtg3abJHREoxgCvZh4lSmdoilha0O 55XFn2Q9+1FJCPiL8g1FEUqha5Ok4AoF1DdyHKDs0uMdbSN7+o48tiXDjbtgGo653O6EnWY623Sh 50Kk63kVpo/MQRI/mn5QBb8lYAuH+pcmfNboJ6OY0ht/29sHOSMjqOD0vJDM82XRsKg/+fGgWhix 70bAPVnLpeS4rf4XcJqY/jRdV9NC55ECkZ5b/JM1DJotSpVcBlj4EPsqi3GVs8mrZ1beLqF4hIJo ANNShzbRg1A2SPDz58Z2IycAkC30Z73dljhMFS6Aa/DJtDvGw+5QKyK0D4bBIWoz6i4T10l3rJqe WXRnju87UrhuTPrNDXb6ticMcxP6UGXSx/2CI2/MRv93dqtuBgc6XQsvW9THjOGqPOxwJo8x8Hym 2+aj+CorBPZ5T5+ASlKaqJdKd3XgpkpDPOje8HJz/1edC2wnIMn2ZoMHsvyVtbDQoE5OI5RxQjNC o9wJDT/VpkEL8Sa9iT5veJS9WOQXOMxyo7KwOHg7p27nl8pZulS/zhKXTEnuYSmoRBvQRsGzQZRv 28Ujjmxm49SsxvuiDPBY0O63SbdL615uStJkcrMW9/dMyD21vmbT/PTJLO7Q8xX1/yD71TXHub+2 F9ym73TpCDJ9mhUYkbGFABaoVk2axqTCyBi8Brehzi/kZlCrTyUs2eh557gWW1ldslC+kgPSJA2p fkI4tjN7zmjMt7IlAlaogeSD64uEW1suvOrHCXQrZqP/fiwUxYKZ4zL/yqwpRoyFVFvvBCUv8rx0 VJjWn/uIXF+nOjig7T3LI2S3cIsQr1A3r7KWAObXjE2x0tmjXoFUW+DFTO2ytV7iNlQeIGQUj2tJ 6az/60CIMsE5X1ufVES5bCpvr8aFJO9kiYKm3rJUT4iaiIpflazQTdCigJvB67rCTKuV2KVuapD6 DmyEBBtwigsAgK+qz5Egb8VKa+bviAKmnh9ZBfQMaervuGTNgHlVcbTwLL+357On/Z+eniOlS7Yd sSPYPbH/LQWs9+IVSWV/Dv/J41SIkddKregRiNLFs//XbIWuWuIBsU7jfmFxqK+ss0A6al+Bmfjb Z48uWUALmo22yJdxWoMOJXAKSYecnBOD6FZFIa1AVIz3kCptAvwiBnVe1XT3uY7BhQeJx7Wlw+jL QM/l938QD2yvIpobbh6fGD6iO0XiX76zhWaeAMDvtJjr1+xDD78+AsBBdcl/hf5vccna5ja2mOCG 02jXuUpb1e58stArYHo99KuIbl8+ojwsWPepwxw4/JLConDzUtpFvh600SOIe7x7NLVO4tple1pV 72WzRdGHe3hKA8DeRjFkn1L46/9M56LXnd3qZBXhSukZhU1KsiX2/vTHz0dePpLKi5tDkY+kP/9L w6NhzxNVYMD2+DX42Ize2TTw0flInZTG6M/UZbIsofStDFwyUasfdGxwrP8afSvgdjaFqRfd3/Oa oeMi57z53YkSZpE2vosrm+DfeZnHaMPogMceXHiqxOB56GsOgUKWnIOVja6hrRMKbjQgAFG+jmGg xE3dAUv7Y0Fc6bUpOTOChp1CTZjPbMf9FOtuG0RlyQrGaNfLferJd2ynHwjKHq0X3VF3GgqnoGhC b01/EN1+KA7wVjSvQyWKcslvkOU8ix5S+H4adKhKEuYPLNSw+Sh6lzRznXKMh8lzQBNNGSL7Lzq3 BeKYnU99ZbjopBCclp53pUhXMegR35qdkAXTjqRY+0y/GTRHqDBvhX3HbGY+529QWL+v13SByu3s h+aEEBISE34va3zZq1bWihTztDYTk0gOdAOyphl0AH7rAbko5r9iYwNXNz4f/bbhcCqKd1biwbRu kLqT1aI+B5H9V46MZBtTeaY2XYaFuohS82Jku7cOfps26z82dDC2jv97XRhaGn3GoFX5yK19wV5Z uL9QMGPwOc1BXbqoNi1ZV5QNEX6/c2rr1j2PLsN3ULEot2i/joXUTElRx3YG+kNfqRZiBO5our8H GxsKNA270+aJKIKpn5NIOqFKCGz1vxqepeo7wmUuxk91CQt1bqD0cEQUsIaRO1HsstvQtnkQ4ETN A19gI+8lc8Qvkzp1QWINZXibRFxuHkTCOz5IWAuFA2D/7CFpLc50rODf8oqxv087kWc2t7NAuY9W mNTuCA2gM+L+DMxw2xYJQEc9Uumml2YoOQXEnN06xHVGIJKVdc+0Xsr27fU9lM5myChdcu1g0Wbv HpLp75F1IfpVEtlwUlhXslwxVXVtuNZCRBvvv6hagu7mZbkMLYcz3BmRJjIf+XWdtLCXZZU2WB3e W1+eObBS7HCshaPA/oQ+cwdfFJ7RfeRm7VXq/0WRiS7cHeTs/Nn2UMqdYWwL9PJyLOWh7k3nwGRj jTOy571ZvRxRs+UkaXg6gFN4LMe6mMc3DUmEj+zOAeYFgIeDsCFfPvnRkmZEyYtIkd87KdOaukLL LfU9T3iEuOBNyfCetqeG38FcUs2C4Zt94x5O6ktFBKAsZM28WmDyIlJQ4OIyPDU07sOBJvmCLDg3 24WkCcIqNudwvz2/Quk6gerf6jAmrEvAp/nK2Ug8E7f84em7LlGVKQbclX39VTPJ/IdT+Rq8zs2P pY6C3rTKEztDqdSKueKDmddPp+EkuSJ2KjWVdexwjGnde+WTY0NlVCghrZeysBNMTGHhGiK2alhc kjtEyqIpLc48x2Y8akjNIpF7DCpbHUbUTnlSEyS1AlRLSi7vfXRmuJ6lQ8N5inncNnNwVUM7VvoB AHUokhgB5IfbIHfZDQW3+ByRvaemD/hNbJ7JUTyXmT8tJsctSr5deX3c9qplOInnkQQGMcD53jta GgnZPRwvwQYsYntLRl1AokngQtfH3pm5O/FOTVKbGIHUQtkeKyrVTtRFS9X/7jhKS8Pxlu17rsOn uxiqCaGrleeKymn/QU+TVMOGHeGUH4sbC+/MF94L843xCBenqTST49IkFqTje6JtTsGeyg6R0gPZ sq/uuFdNa+mqwrDjmsoe+n9xB+3g6iV87lpEd0eddn91emMNL92IP1hRv5+4xZPS8CVhTKmcMFU/ 5+7gnkIghT7Lizm7EhUTtLxZwm5TE+F7GJi2iamIYbooCNDCm57gnfZVDHcPzZGJa9GoZLMovAzT c74wStJQa8vFPfFSNcAb0QndSXQLI2O1R8+xHSE62o8wexLZI4ljXiTCn2tzhTjg+XORqHbGjNF7 YhVkvfpDDFGH41m7bgzOT3mTr+dV+z6vN1D/A8iV/hFH+IFPfxlgyPa89rL06PWmqHeZBofILKga aReJ7FJOZ9fSgw8FFBTTYuOw/CcZCHC3pAUYIkum/x0D63c3KgXtQ3oI3fzhGEN6dUl62lCX6iXr jbquk14S6JTop1VdzaWJ+Htu2mePKXGVQovYTy9oLosi2cc53pGbOdQx/55alMytKMq73EvENFdQ JYvJg1EOAARaGihezBfhxxeUc7nT2zySuV5saF0jhf8wuIkOAIPlrFdd3uT4iZsOTgFehUVhO8z4 dFayvjrabIB6kOdHFbuvd5Pz+gLRB2uMRhQWY4BDCHwFWa7MKlbYxNVQ6KgPHACBIaDJVzLxTdMe /VdOyJI5+/+oi8h3NXVA4Bmd8HIWcIN5fmtcBLvuojwSeYrHFKvND6QVGe2BEC1Um/eGHQuOAZoG gNZXciJsj/yPvPgj4meASRX9W51Jykail+NmDN7LGFgStm7Z5Qgo0KtXWBrxxueEnamAj6C8jWIB iYkzB69Z7CqXMB9Y8w8GZm/VkqDvWjK9gqHnKo/vbDPGEbVeuTSrTLcny77HDS/bJhgJFKpVyMLd uUpo6QgO7ynEkCgoudaV0UCJqCXAhF2EiDp4zfx34NlAoTMsXwB/rhQ1TGobzhyarTw6pMghGJKO jqzWt80/6OpETehk6TUntNySeuAMITDHrsAvk2cPknrFBsf2ygKG6MwHCdRkUo8eVjHIkbkeDrSE GM53lgFfteQy6QyAjKNwSOGdHzzXqABbTpLfW5EjkZ7NtxIGr9tzNtvUJcauWoPmcihkNKZAY02a JoaMGP21GiHV5xIPSUL18okwO1vnYRXS8SUwTd19MJXX9rgJV/AutfrhSUfKnsK9JbES6gdoj3uC HyAHkfaSJziqpPNiQQbON3NLT4qA20wX5ZjUHJdu07yf63lHjkAv4ybuQ1hveqvFXyx0RP+yLohT /r/MS6htUzeeExttR1SvxUuwj3k6O1/3wxjb91VXD6TPKjwJejHKb+mrfg6qD3X+2MVKy+bkjzuw lZu1niHBSuroNvQ8YD0OnJBXzueoodzQgKh6/OvbFojKI1xT5+Fr8QGBxmex93OnZ7NzaPjb/6wE 4VtiWdxEoPDdcoqhWHDNlIQJQrZza1+kZQVq6cQb0QCjplIwWkeQuO+aos1CdXYaGChCJY3bpIF4 ImsVf1VNGeKB/jvHzZQM/fsO+p5IGm2CUYuaXy6MqK1GQMkqzPBh5Vzb6tUiil9m1itZEol+PIUT ft1mOPNa4zZ4TP8w6AiQvIbCkB/sV8Sw2OFWejzJ7WYitTfL8uQ1+Jc6Z97f6PPq/NbhHllgxx/h /OXDNNinfuB4TyAgXqwSji3cnQ7fzG+Tea/WTIrFJb4szMKRQqtQL236TBdPWyrVHiTW9RNu+FWe pfN0tC2Iy2hM32WIUzdMqqNlfFDazIUJOpW5Q2gtw1mqGrokxjkr1FmZ0ppm+ny6dinJYD5P/MIh CwXrhXSGGySxpoOy4xmlAl9oXz/cFROAFRpmMH09u0RWeOHUWsHhhVGARNYkMxADZrMs0PKiSH4v qlT/W+H2TCk/mhFfu7zDewhK69yqXlfnk/1Elece797WLLLowE2tmD82Stpkvg+l1cCWtHSB/XQw BGrsGZb/++Tq1lLEd9UU41Gf+bUa4o1ZnJTGSQ+my2LXENK28IKZ1lHhPWRI1vysb/zyc3PbPd0e LrUR05Eci97FIHMTHaKI+vv3Ss4l3wwcQ6PNLew+jq9QyTamsZ2q5G4g1IEzl1jntyCm3lgQD4HL ZiGdvPnJrS6m9+jJ4wbrSp4qfCLO/NZFpbGuHbIsfmu1YI93jGV3fLZWEh4o4RXh0nLPro4Y9ddw uXNuZbp5GZggmBUHsZX8vvMbZLlj11w+PZRhVzK2TOXcNqnHMPG6vWE12l9CmUEwDyrfy7Ve5Uso rv244MdA72BWrugWDbfXFrpvuYseOz5KjXcBuHDPtPNro1DsmmXb4QgWT7BFfwPKwOeHssSVM18o 7hGHGjIhyB8M8PmMhqqbuK0Ww9ufk7uUg3OeqevBBhN0ZVYEmV6c/OYfXKKCIR9RxrPgbetSHlIT qU+VZPTtukLnCksxtEnOgouAXw+fqcbTjx3yDXPu+B/HrJKLc84b+yt0rEr+/70WO9L/wIbDwx+m ERCP/gvISaggUIV6wL3j/C/38FdUCcXQ5X4BFMGJfe70NqXSj+o6Wi9+lU7+LTMM1007CwwMEyuq ONSG29PThGTxN7NSmCYp9oTk7emGt6OvmuiIK3orIHkauoi0U5Fz5i3jqpNvEtzXl4Ax4RY4xYLv 90Unhx2Yhuev9iGl1Ov1crkVClD18UX3M2J9JwuQj6ouyXIbtnme1LS/d7jD3VKQyt4hYUkBV5kJ Aca/Mj5DIvdQe6JHWCYqodVdFNGkWQK7zN0kLj9DR9Abd8PhA7zBFkwBfnmNoDSZ4Ppymq92sfb/ rc0JJhdlD8I8U3Ll698JWL8gotfJCkXlIES1cZcrlpUSqDKdpDyGTErRvp/sSeUBI+fhlhXIkUw2 HcFUufMR2/PrcIEOe6hTgibXxSD1V2Ow/YNtSfC2W9xuwKFQ3ibo7zTqFxRQiS2ze3SzkH7GitgS oAsWGhQnJEYSknDlrKBKvY0cU8LIVdDaMS8teA5TKVvGgp+Qu9yro7s5vunqvanvwcZrcOXdODIh 4rTGr9+whKcIv5a8v1xLOfxTXTzXiXRc4MPKWiAzrJjRfS6OFW4Fn/j7+wPpL14ed5J9WbQSHypS Tl8DXYPbdN7pdPb7gxrXbOK3iN1b5rTzc8aGRmEzTp0ZBeGMeDnuUz4hW5HbqSWb4qJR54YPqpTe Bagngu4U5Cm9C/e6KKUPGzQ1Cu0lGEBBRUqMMg7TUqnmU39N4IF7Yj7NmmThePqvpNWymdFL+ney 2tciD/v1HqQTe1tO7pvCQBMLxGvj7NyG5kShTAgfx5zKOuRFBKBfG6RXcKLbUsyDpas/Tht2syAr CrFBK6MHSV6+ygS0UvFkGcZOjd1D+Blja5SHfHXekbRKkaTud0PdPqsI35nlg/jdpQHieEZtDRZO UVDxVC5dbbOQUsbQ+hioESh7y82Bcxl5goytd+dqgdBhqKB40zeTIEX+gCmhp1hJ/Wsd1OSn9+Mz MdmmHOCjcsC0GezHJdMvinVoU1BQK5wmMfBk8plJnUNAfdFFOOCvaKwiWH2MFeqOxtTgR6SfxbKw AGslvvlpPkS54JmWa6ShKdATd/0FJ11WBJgTtblabkJ0CFat+aFmIRnPa4PVZjj7aYjWRnBS1Era /kNZdiKFpi5/pIBsSI4aCmqdka99A2Fvr9bbq8rpnfe2bKs6pkTzvdD2NZsAvE0zoDRD3ueyOTZg atSBk3YEmnOQbhMnChBAl95aAOJApyNtXWJTTLh+9aOqKpgg8/uiczK8wS7pHdtISc7UkwfD5GcA pTq7mQIUNBuy3XBEUPfpC3mAvNVNogLSKHEcje9gbCSnxN+09pzppYd87DA4pG1nSexyoeTmAUsJ EjNFNQ4k6B3Ni8m7CLoWXw5xECK+aYx4HHinEXMpd0ik+3oh8jmWuWI/7qyPekKBpX5zVakHFj8m tjjjIMOEGe3b0lVn4tMqiKHEJOrPXucV+zb4xYaIVWIur7IpXOHX0hOFCKGZC5/soIGUyFXcvZ1C Z7fjOg8dAzfEv4SfZy7e7VUQTmHXwFzEk8TohFLeZNBkA3YwfmZXv9/Ygib/mdY3VEtBB1pvP672 3zWL0Kq3WuxdUWphc3GztRG1w8ttKO/1+3xUaQQPirEqNnWV9279X3M7Ahg1JLqUPVADU8fNQ06l jKZDMiq36Vemj3gHHLrMvLk7bZM6wr3CL+I2V/Nw+TCeFWePJ+pEfBDhuRgIN54s6ZIKXXR0sRIL +vTFmB2cFY6y7aWZxDxSoBTNmunPSRPu4VK4wj19LslXBaz8NU3ag5yTKYCNO5jbPYxr78P1xA/O FHl2FhU++WX30sJOt9oBkopBNHO+ZkLHiwKVDUUimRNKavev7sY3Sx6rgoCCfW+QEHVXlmvFQbkt Zizq7uuRfvjFddwvAW2CyeEZqyhTHXC3Zy4Fq3VdppDaEhnTfsFuJfwLMSyFNDEn/VkFyI1hRngK QdzzFCDnaawxpE6W57IqqALy2K9qNb7GNWeXNIMXt8yb1iZvbvRJEaAdLuacPeRolIzysVzzAkAs GzxrpBJw1WP65h1xUPqVRnugM4JOeweAKhIAsgxNIiTuR1nz0lFHouVDeiS94JOrianTD8TBCbyf GsxwWBqF6V9O2CuJE5vf9vU7CuQPTvDXNDVUddmo+0jpjXHsQxWfuNMTv8+Yp5/XakEUW4EBkvP0 TRzTs2PjduJN6JSAKrH3HqrhZ0c5/d8KrUCN+QjSAbI89HgzRygizQcT7j3Kn5GRfVCOphqcFtbb 5jxOsYtHTiCyD1c37pLZyj43rzg6yB7IDUUKq+GOvfxaUKtAc8bsd+tKfkd1VEcqKaS2sS80Undm 8NW7fBVzADDm+St2VbeCJW1/3Ob0Qn3Bh8XCJgw1B8y4u4+9eOC3oCdukBQvNUrSb87mraGd1tth RrfM7cY10RNtbI1wdBmXaXvD9bzah3faJ74kueXRWdzz32AXJgoWExcGJ3ij0OxCE+9qtSovg0Jj 3JuxeqOzSSjYjZkCHnhGhkJgsf/8Lm3wq+TAXNFkicni9463vPij2kpouPcwy5vVm667fjnL37MN PkY+NWvk54L8ZqyvtNIz0tikbFVWc6y60/mKTlcQKnRcFTlOG/MibeSqSL/YhviKbe0TX9lJkLnS kGTlfcRSXa4vfDTp7oaewzrOsBb6ExMTbuMOQf6jg+HtIRSiGB/CRxgNElz0akVBAQJRjlBwaaOx BulhFZIafoJqJ/ILWDl+eXQqudnAgXFsRba6is8Tuhz+dau0ZSIkQj4nx1/0mP7CDuwKSoA5l6t/ 6UMBjsXwOFqxnJEHQc0bfddZf0cIWSMDVIi7lhqeY7dZloW/CVf+WE58m14Swmu1wt6Xw6odfK/k KAw97FPmNawDjFKNr7hMobxT16q8ddx8h9nt/HAh4V+KTtijwOpA8NQcuIVnyRAjSgGtYrMIGSUD rP5haZnz5VbDE3dBZU1IOB3hDgJ7W67wjZQHL7DefRFwmsrUCYRsu5E1ZiiwyrnWLxEAaXiF+RPY KbNttSZw224rQfkG5vdPjaPsATACWEAq75QTxhSaZEgVhPhELF/0CYBcqA2sMGP3N5TQDLkkvP3u 0YwGvcSqe4uR8VzFeSZoyvYTgkXDYZa/IACkQJuxjcD85x5s9AWGO5WHWuBdEHKy5/JhkHlEL+vZ mAgBHf6mvGK5+qKktvmmwtAcx4S2omtzRBv7aphe/PPXCHs0tXuzElLaZp4Uj3g8Miex3IrWTC35 Tk2HwA8X2jg4v5FOrdF8R5TFeONtITA/b8nPP/b5wXzx/TkJqMHXmeYQMY28e/w2Wl+XLrIJi90f eqBVtW9OsQ7pxKttEQFp8OBED2b1IcTXYYtD9SBGEhOUUoMCM2Qji5CSmwNTw7Ms9tr1k92tbkEk LPZ28NAVdV/W1KBh4JBfpvFWSMlRc3YFdWs8CTP15ZCIDu7xFWYnk51N18nfpvM5WQsCQTCurFi+ Ga9RoLzwOMm8oEuRxkPeru6WJ4mMOgToMn7MQaS5DaMdYsMfMtSPhvNQ8dqeL/8mPTGVsKIqq4Ko uNR7D+3Qe6zqRD1LCeguWAeE1WO75FJhy5pS14ofdt+SrdvShR1AOXKXZT897TjRrPlJhhsOZxSN WYtUMxlFYk4ct/NKnX6n4vOQJfQeiVK9yBNocjlpWqoqEeZylFzhXY/XUdvmgZ8dX/DAmAhQGRWs mWYf+DXgO6eMsazWzXy9GbYy+sV/Ci244zKMP1EeLNAxM5ATMMAWucv2nzioMkcPSSSSFgOjTZe7 PwDt53jmItR9v3dj/Jdh4Tb31bjtx4JyAc3CZli+1blDP5HrGR04in3uJD7DqH2Y26ymTeLwdjE5 /psRO6oiCUfWCqiSPNinc2OqLAQ8AdIWqAauTpos97q7JSaev1vLkG53rsoadSRH+k9dBnLIpdXq Z4aBGiZ4H2z4eAMFwjki71Bsv6ezfPxNdug7jv+EIt4EVRlIm8rp3flcMM5vITseJvy/AlNokLCJ em46O9cZg7IY9tFdNeAmZbAggd4L4wkW9X+4JMzS6bYF83lZ1pZdZKc8BeRAcnwxpvKcRfg+NSD9 o+8lXceb4a1VsWcUudrajF3lIAHBDe/Z9Yy2D3kX3vCQbuopKlQWNKpltLhzFg6yLxluLwScy7cA +Uxi3dQ9YZtAH+eqnJ+g1Muq1xX717oyf511VpkQ8KuLbAfUz2AKEhCYs5K+DAlrzFa4zH1LTkDw Fe1rVPukbUDBmMwk9i/Etr4jYScU7s8Kdh3jMdYHWVzxKMIeuY8BqNwFrVIb5SVMhBhsa5ChxzlH ghq3Wl5RfMte41IoEGMlJUbHbXv8NEF5MJRS29oEtxWdVXdJjZNlhUBUYFH3jWeQxR9GCZCu3R/o OeJ50jBIVT56gYUUsK2IE36X0M9dfiYydraaVpkBtsnBBFb194yIGkVxpQDpblqf+LfkCgDrN0+m 0+H8IjGJV1LgPVtyLqDmcv57Ljv7+YNiunew++s2lHm/s4bBbfU5iZzhA30w7SxmIOqze1otPR8i 4rlx5QoGYYVPUOvTwpCt6oFZVFDN4l736P4YGjzR2juYMwGXVq+N3Kx70mm8qR6FhJ0HVN+ASo31 vGoPVUorEVAxsalpXR4bAoZP7Z0MYZgUzG6JcNzMYBL3O1Dn3QZt3J/cQIKogscgK0X2BlOjBWfD Jo5xnhj4+RYT0dL7tER40K+QVfYek8MnKwvAdiX2yTgmy6oyvmnFUCzBFfKclJw+539nmGKQ2L6X E49FMuaY6IgXrW1tQoU32LIM0AxDQhVPhjmxXhsb2SQhcpsMgK0OI4cZy2ut4yDYsZVeaNAxv1DX qf5EsmBUezhLFFEFe98YJTzBwcCFdBTnixaca0WPwUb+nyZHh7xKb8Vbf9rmpXQn8YqSOox7nbo2 nZsmHjWqGjgo6PVpzgFKzRe+YaV4EDNf4JqHju+yIv//lJBncdzVeK3irs+sblnu1wuw5GxA9vbm cT3WbiP2eB8D6KtzFEwiLAQ5LixTKZPePNl9drqy2+XyWKlw2gpLwCJKlmGGf/ZU4VRFmBxYTIQv bCQ7/vcRl108wQ/1r8iSgPmZJNAw35qCykf5n5SY/IucLB6OGZCxiNb0pc648tkU1e39STLt/x2M KXSPW2Cnl3QzYfwDcsu6J6kluvQLLvRn8LxK8KhqnzIcCwCtAwWN6udZzwVzBqhj+j6fKbYEaLne jymyyLeY3Lf5KXTVTPH/h8q+sqvHBMR5SRHNUy6pDNCwIdZ1tn739OktA2RQpziz1saPmagZOnUU FpmpwSfSPmrZheS/NhCDSo/8mp0RhD7KrRU5Gf0Um9xXg+XZoSTOFrF1UK5OzYCTmaHdEzFONxLp pYf4WQNn8KgIyy+wjeUvcq6sNdyNQpQdDxVVYdsJ1riz8ri2XS2aN6rVFEhJL1DoaZIh5s5c3pw2 kVUHZI1CfeI2NXbdAdhQdR53o2wc5+EVb0wLsiQ7MeQRLGyVIE1WHZpcAQ6bbSQBvcaoxEJb1ChA J5t1wItuyXy+4eDqVgFjL+nKcfZPXkdOTCu8Mjj7e4pl3gqjD41uRBGzC/37S243TGEjzgzEDpE0 LxPgedyQ2zrVD8gTPNTBuMgEr4G8E+XlneRtDCfDequNe8PAf4WvNP8p5thKGtfICVYYhQykLGDI Z0vnNemKWK3u0cO91CQscsKDjvjJif+MgbC6JDnNQ4uFFAax5Jdc0uJFFc2Jv0LW59JjEPiMtm/0 Vn6louY+ppdbsYYhlTCHgkGdb/WZIq82s9FUl0BQXNQQmJFb6MTvBaGVIYngeM0gcL57qt4Nxws+ 93VKkSQbar7jZaPTHCic1yNM3Eg8T2lDWFSkoXzkt7W/1JwO+L9K6/Ue+haoCrAJ2gUnP4MDc38R m8gI6XxHPEPYV6m0TLkNSMqvAs9o+zREoAew30lsqmNkIUdfQyPM3zbif5F4E3LVto+nNBbtYVqs rv49Qx+w3N5p9dwT/fpg4oai3nt6loiZJNkhEtUxpmXsVupc8F32oZNUIiWYS52ymLSlHEBOMDLU JZwKiEVr3kItcgKIe+VQ/9Yvx/xAVOq4mQ+qJGrqD0cQ72bQGc6v40qsnp7WXMQ2VIk51rumIijZ R1dqdNOBqoC2RKDRZ4/lCTFryKdVydzqUwbRjlaIE53bFA/n1ZxMaYgyIfFiHjXmPYrnh8aBgLt7 xl9n98VfcKFa6TG9Ti8qlpTSAPwvhyiGq36Z3N010o5Tps+ti1ZgOQN3n0M3HKsVyHXgJxH+d21L 4u6I4+37tzR0epfTXQ3IDUG7tvNSBRMr0EZ4jqm/LXlA1i8EsGHV/uwntoNzRE4vy7FgjeXJ3QLk Qpr9mpuKAL+tXqUH/m8f2qxHG8T6K5Bp2OZsySt2WxgozBmGZhM7fo3fBtvwYI+kwf8OB9BaRKIg gxW46T5rVIxEicwiKQL53szKh2hhe3/GC4eWQ4UFFojEG1kS2A+OtFL1sZKT72q5Hva/Neo7Smwh m2LXsngOKVYDSkSJMMyndlu+eehh9udZ+/XWpOyitTTozndqtUUawL6ozCznbPUFDE8SMNKawAem iiWU/GdO682zOPVzU8zORpyBsUCTkCOlHoOxcHA6Arznp6zYOnI1wyJpabTvY6oAdiFi1aIL3Aa3 6HWUJgu9p7drPoS13HwQJDh+A9HAXj3RCds6t9kMxl4nJ3rBpPcRUk/q4VlZsWziXVxuU1NmA9to ib8rfXVz636jJ+njWAmX/8Tsp2a1msEsp7qObVMQkr/c6ns9l1vXJpfrNWQoiWz3wx6128nh9u5D g14zTec+9q4rwFktlv9PZjekrtR/ax0ncJQpMVR3k51v2qhXuvc9y77OWZLu3sbrB2D6+p1xu/qF rCbID4FMYHHRKxZPnAZ2TxD2xNb3vE9wO8JWnQxrrLf7n4WN9VUv2liInvWtSeydF5evD70+2YJP 3CCknNUPUCaQ4bQQM8V6dkCgcQ7xYrRVSYAm5R84JWPcktmHWu9aEHCLcSnssTqkGiYZInkhqKVf AZP/+JTlBFoAwPKI/zFtnac/8JYd34UsXhpXbqLww2VTLIIVybejkH9QG3klekI23G3nhtVW1GMo W00zapoDIcZjITtbNeAbJLuGQKEOQAY9JEqxLOBJJbV7lGSjNM5AvFLGfshtciw0NllPciN1HCkM HUfTRIZhwm1dKxT7iDHl6vidz6Bfw+Kv7Nn9SMjFs3qhyDto1yZTuM3fYgJi6tBeFmI6WcpHEzAx 7s0Yw1bnjaS3PY4xaE4IJ0ij1eqsahHrOlIY+gocIOZH7AgsTkP0SORspLe4huaWnygLXgwCn1p6 80x0oGIjS7PygJlrezzVc+jF/WQv91d++mAlsZWnEHeHzRyBRYBxkS0UlEBTrwLV+CMNSMmw+TVU UTF7amn6tsDsl666ckCdBZm+Nd22mhbtjZqyIKy4rn6Z78XOjPKnogEKOp0mJmyEm5EUgesdBwev vyCUjHUNH4jA9m22dN/EWrd7SCFoWtoraYI1lLqBByupmR21mI6Xw1Y52gZx6LCkvUR25a0INVBt ba0vMGPNul1gxf50V7DuWH21FDbRQhFxic/VMEg47TkoiXv+sJg4M0aKwG0R1zGwur1HrnuCsnmi KlNtCZozd0rbkZCkbbFNi8w7xZw6F8yfyJmx7hnj5J0E8ad3wLQKVcrTK9josGhKgEYIcwJ/TPPa P3gq8cRmcNprSIq2dRDZyMSB1WU45kK4s7OdTzF3xhY+WhmzI+rStK1ONF3Q0xtkbPeHehP5Tjz2 lkfbGslnp/M9kHwI/TBjWERdodbbacXKRX9LdFQRajfgDhFF69dM8Sjqpb2qnQQLjSOq8rwStqTi fHUZofpYjeau7mo6gceo1DyxwyM34wzpsYaWknQb1nOKyeYzycws8zTZXrH50vjwgr61iqYxFvMx 5XYnwAjXNXvIuB7aAl2m5lO7GkZDVIs/2o5Ot2MKGiwyeOqtscY80jZISqAhIN/KZPgYwf8a8uKi LbudY+jpXPMRHL3MprbicsKv1Ua6fqXakOCWvqUB4RQwoMGDo2AFYCP2xcERkJM9r6zmev4iww2y DMuCFrvyBEKbyfxuRSy4ZTDYFwNRCAzhYYyG7LmdE7qxi/PWlmO0oLPIXRdIuweOVq7dK4t16wn8 qpgRvis9jGoDcjaeyoyOo9RkVqv1xilLk1ZsUN4CNthwOrfhL3gf1tpHQt8fYaNGVYYmaRc55/SM sjqifeHi/50WpV126cXD3FeSNbsmIuAVChXeh+5cPVOZhAPnhSGNQi1XWPRcTK59cnUTBZP/6fGN zFcHZNddLXWBGE8nox6UZ/pubnIk1OXmDX174LmH/ceejb48Dgh7hk45NCfBSkM/OyhlJVKiF/5B yM6vpwtMn76f/vK64GGOXRJ0vyFV/6WUKwoktl4oLk1HRyfl5IUj+7GkCywiF4u+N0c9VV7DX4Dd aVHvLOBV5wb55uoVD3nNTRyh3DsZef9pd/mYGyHsTtb1XCle4p3KBTQHdpvEjTNTn3P7fmqbkXJC TLe6nRiYB+yTwb0TKYDvUrSs1suoq+h17OA3f3ICx8lsUL2rb8KpsqxxuRRYbAylMJhC8fcqbR/2 A/u7qxBPP0XQaKTSjLmVdpV76PO4pewVw69/aldk8HbgiCdUnUHqCfFTgAp4qI598foUAMkqj2fw +d2cU2aIyhiU+uFAUUg2rZ7TIT1j7gxNnLbosbHsWr5w8MB4R5A2xvs2Ok9pTKKpf6qieRVil6nP lVNvlH7M+SaGgjrOWtncCb0q6JSEkq1mQVeRfBlLEO5JFvZarvQmBPygEdcLgwzGsvm6HtmA0Xaj RCiJ0+rkaMiirmDdfOQ1CyGRoOdl0KCAprzFcZnWbtPJqMukUiyhyhts8393MSYPF0eaBEKXVrwU MgX/LptevNLT1uog1VIerFxGer6z+TmqnNbVyPEiZxTwDbSzE/lCd1dWm5Ot3YKjZJdW/uSZjigk rSSfMpljBkmKWnZPg9xW8vxOrNHC9ihdL40ZmpV3rmV+2wIBcfrZFL17cTNYiG1nzD6popNeQrWe uDiU9Suu3bzHBHWNuW7GlwiW3OcUGh4me1/rOr7j6qoM6g2EaC1tg2N0CX1/6NK9KSPPgJ0yQcuK Z2IYpFkMiumgDsy8gQUXS6hgehL9UpSXnnBuH2ww8180gyr3dFd7usTlOdrBW0ZUrznxx3hfvb3Z f4hxD68u/py/IcNtKdhUVNiB0nqZvdzUjTbddyoR24a/GdBE56FKG9paAbMSip2bhRxUYIm4qdyr +TWv1sL3W9yM/IFVGF8Ds7QgU3eMmz1tHxRinN3bb1fpDJtyXjxHsX/zvzoXpsjhKfJSCK3yGsno QFwQvmSMpdkemPxh93q61s8ZtpScl4j9Zoqj0xCz+Q7yUaxDXSv8uFB1Zy60h1hG2rm+ZzBoDtSf oxb2UbFKgYmSsdNbyk48L943DH5Zs2YO4RKfzCzixQarBdtCCQB4BfdWgl8DV2WjbaWQcoNFM8Ss 8JboSXNfUXa6CuXUoMjFupF325kHrfuT3vnZ5RUhaYAh4C8q/7PVmz/04JHGr+SndYSUhvEYRo7c DG1fagp+G8n5EohxS85fOP2JmbKCuMB7EH7t1WQTYzlAyEZLuv+C9ErtHJy5aPPOa8UnmBhQ6ysc u1eFScuFdiSsxPAIgXE69BEwL+g7rkpnGdVX1VF+WxJJ+1e6dboP46yaXqdWbWTkqKnh9GZ3VhYx QOUARew0jWqojf4Lo1JtKKDSCaLeyXnuv4c0kUk3AzztN0UqMfWeEZu1kqEgjWLJwVtCIBj7ToQX bNW0NF0v7vtIuK/65OohYtUMkpczD/EnkoJsu53i5oW8n9nCm+efHnJxWLtapbnzS78/HNuRmaWP ZZWetUbmKhNM6eFVl99jvTtKz0PPZW3dgv+D/fbWLf3D2qUPGQQdaLWUxrx7Vjj8bBgZNz6YHIIU ZmMJ78xVNqvmUf9DNFDQvYv3uc1D4CxnPXshwFec90IBJhVtkAmvfQR7G2XFwm0nX1Yii2d9XUrW eOic0Vl9V1BeVaLVKp3TdAQv2JD/C/omOwvmcOA6StXXhp5Cc+2LFnt8rPbGKVLKLqfU0B8mLqhX ecDIkkZPUmmV3RJHMXsqTqOOagGQuWyTnuHj5A4AvN/bb5ZH9vhnhDwW8RwBX9cO9tY7ZjXAU6/q kLESRQj61/hpvPXk2RAyLjETJV2K0OBcF5t/d4grxIXGKYQgoV4ZwVXtoa2p/eAlmiZtnwQLAfIS JFVg4Xmwg3iYu8NUvt1i3D3ismTtAe4KrPjWOA/xzQg2VUek8sLb+sKZogccqOxH3ObxTWy2jwEO rTDw2/3QPyBWDiejGzIT0Mdgs4eOkvAwEz18UXch+24yQZAcN10FWyMeTKtte5L+trt99hDqq/mS KPTcaGtxDM91ynxmX7AVmpkWbdciAtphQLULRTfH+c4I/EguNL3A7ozywcwC73iP5gjIYjI4EcCm H/PtsMfDjhweJsrgfmjGVd8yMMGFHgsnDh6IooUpJFQBWjsjc+B2iL8Y3AsyMDA5wnHkbBF+jzre mTSKDvrsO6RM7Jg+iMk8J9zExIXd+KfJWJjUmlNhybFu/eFgJHQI9n+I4tjef5xe17MmhFeaPpH4 LuoRomr4j7HrSTJ+xrbDaG4kJH905wzxJ6bv6XheWxPBGAzVNxIuAFY2yT1Dfg3oRGA2F45a/flS DQK6lXz3GhTq+vkYfmaU7gpb6IL1mI1gGPvhLdvEn+UAPX7qhWe0HYJaKDCP9nqIejXDCyZwHf98 rK58Ut70Ffp1lkRJSsj7YshYeuvNpf1g0moY5PNg7fTTRrZxjtZByMrTnxxDLXDEBdXKH6gGSJLJ qP/7B2kj5DZFxBGcMQl01gZyVS7P2PJboC8sa1T6mIR4ApnAOiIwxvOVkM6N2TgPxSC/3lxhp+wy Sg6ss7o32B982634Yd1tYH749fXkepk7Fz5p0pZX/XkTZsnEGnCA9Y0mvLJPAU1ghzavSAshGvh7 HA0/yYaeHRP0XNBMp2IkabOgeFruKWd9PbqG1aYqPaL0TT57cPIY/t3L2Jh5eRTc5JxHpZTV/MY1 s6tv5molAJkBTHq6z29grQXKvVr/XFkLdofpJIPjZrFhubRgUPp59hGR/wtDds6WqsuSHdqS37EY BM9e4RQl44vz/nkKa1Lm4wvyyYi3+rKo+c6rp4EQ45KS2UMhxdRkGpbS9bU0aemEFqzVQnBCiSFm 2LUlI1ve+q6ieiH62xPoKZ3el6uYYjgrFD+ibO1IuQMpsI6wNEWHhoen6G4VaCIF3t59b/vkv+fR feN0jyxF5Rt6rnSUS9Oz5HYiWNXyHmW/33aUlD6xJUB/Xcg5KfBeKO6QpGi7fPdsruv7MzOBw8rg BCzdbEzq3JOlG8EOAN9ZdHT6i1lDAsGUrdbkkyLBx8PJV61hk3xCp4osFpm9voxohXf7qBFCN+j5 INplBbghoSq9t2NfSyXn15Dxhoh/Qv0S7U+6S9g/eL9ORup2deRxD7iJ2wF/KrbUOpAPRZBw6quk 95dtYQ25tqz+Vi3n2SWMvIpO48mQDy4tQbDOhixhp0BZKHjfK7+X+m6QgfY/Ndxg90OWDw9vYy0u oaWgm+prceTyn0vWNc19cVb3QRWO2grBKtP6uwT5N8j+CTeeHlKZ+NTV2DlOvpCSY3tKxSXIK6C0 k1Bxp7jQYGoNCXCSkBEfgrV5m+TAQz86D0QsWb9vfyDl/OO576sR40x/tKrfmn/UvAdulcOfAhQx gXM7rkF3E5eQLErM/y4IwuF/tDHlAku2kMV2NnXdbelGD0ud7VXveLqXeSl9lpUHsifbyrAAvpZD krIFPz56gs79Nw+2bykxnbgBt3StyAwyQfy8LxrQmHj/F1FCWzANxCZ4kSwgEPE474lyRGwjleAu UkMkpI34HZvbIPmtuIgqKDgGsT8bALaE137kqX6nr9NCwgWxM72G+hsF8LglQIYijUI/fIpyH3fW ytKJgpANZubjZ0eYH3KgMyiv2Z1LBrcRrRKtUMLoUfzo9/8HWUfx/mSNNb69yzwgWCbYBT7o5fQD l7yBgGNUzcWI+MxhBiZsIR6OMTJpa3GplS+rxohs/2J5YU1+Z9hkDb0e7kdWlOznlVms+zVy1lSX Le+RtZCMWnmMfaEHOnMeOGO/Dpl3+Lc539Qa2nYFGht/oBn3VmOqQrWa5u+9O0h5B8oLmw7IMMIQ P+T8HzmKgF3gN4AZEjhlhOuU6tHpALZbauKueqfkSRJtm56Z6tvlBGMMNSIaU+xH1II6Xh9ZvtNQ kHsdyPU1avvTxrnr+gRx47i87MAlURCM59hxluAYXmFNxGf1pgExyjMjWBmyYxbXj3IXuuaqtkii uHXXjZVmleOp4JlfyOOF1ru0iLu8WbGg7VHafLZit0Soi3Grq9fZ5FrMU2VMhSMn8pZuIzPs/oTU oZxcp7x07y21w3kYjighKjCWrkdbHWgA5nYij70jRK0o2IHiFG8DinIJ8pUG8zmDTxvNivTlxIPH shQISWzmVdR95+hfbwbaUA7eRfyMXyriwEEwE5OLwEahoT5b6wU6WmU/7oMiGybvy/Hr4q0llDcF 3zPBFlLTwLNKfrSbQhRC/7zag3wiCseowNZnodVemD3QWmjWaK+nZK+T4UI3r50f/2wK48NonY0l RtAvqFye7O93gRW7FBMC4kpZ9gTS+jhx9uJ8MfiOB0kxU75GrrS3qgnY4HnnboEp4Ls3W5FCSDSI llBT4agdt/9gDjhbQDhGvJYs28bkQFiNW8tmoFayiQ+dsex64sbssV+8l5GzaOmw+9vnAyNnqpgb fWEx94+1efRQGm2FREH8EqItLWiAAPjE4/rMsfJDoDJkaLsax8D2gYtBykkEkO8LBEOZXCgAC3Fe aACe0JCs6Tyl4LDmMbehnjYudO5jjace2wNhuNVMM1ktLd7nTW33VQkBdp+VRTe3PJ870knYuVEL hFbAQSsWd7L6p+EAREyf+t+K0Z6vWmSnNWL/jTwqG9SlpV5L6/eAwUJ/8ldO9KwgVlZdAYZLtuS9 UUhlD4rcbO6hvuY+Cg9eC00zO8+sq1f2W7hurFFvB6OXXmwr0x92WeapWBBS6MwGHI+j893DKj6L dW8Uag1ReSkNG/aWf2oOj+edIhpb+IkSjKhWYzGUi6RClR1HT0YphLAtDE9RbTrpRxxDFIxTRtO/ RNReAjzax/fQE9+fMddaGAaxj4CQ/35u0edt14VT+Nh8KXTzYbKIHqTpVDBr9/M/gxbOF9Ucvpe3 irfAOrY8xZFmndj1o+SdR7oKx8PfPyroaPRRFSNhoa6thrLajgbU9pvhbGKwkEF44/L5viuEq84n hK9Fb5BukBKlKUPMucNZ0p3e3Z5EfQVUC4vGp8x5/TnWmWpY4UGCSEfyxr7jG1daZS6yIXoR0UTR zxu1z61kdQpk4iYl1BIpJOFKbt9crWDdn18VAotohUKy2/KxYJ9WjRvv5AbUqZXNyrMAPhTBkC36 rVTKxYVI7Guyh+Twme4L24eSKdnejWMBVhzoymbcTqEjnWsGauBTlpYMUpPJOHnnftEMXRA/jfMk YtWOZVSgeGzsMaDqfGGJx2Q7FiiZorFWsyDzO1QwE6/Tctnm557ewonJ0sKUdhM+p79pzApOo9d+ LHh6D/GOgNNBIbSN5tXgtIcq7m6CYERREa/8THnWulKWyrapaIXsuDDXJQs6bzBewYtwGNjNpa3Q M89iShcfjR/hjnoiPoB1wE2ZvHtC/veJF+A7y3XSNS5HNQBMxpjFa2Jq+PYM7vIEevp4QQ87Go/5 xaW1I8Kc9RSWoPMw7L+u28xsRkJe21KPyHaWxNMyS3OAMCC7CkxIA/etpZZD8nNjGPvbme1KAA0p US1lzW2fm0AwfFh5aM9PvNSIzrjBEZfPTYi0F+6gAmxQXh+o3vI5+Cm8TGMg+uk1u+sImxel7Suq +vEaFyiW2BMwcRrokuoH3b4Qy/OVWeEL3B1qa9pmkB36l5WeV5DMdJsxlYAvoEZrZMrL/Dktfe/w opDyylpefIvZ7u2gfCkxxX+ulPhl/iarEfpu+MAlp7AfeIoX/qpXqSXNczB/e4CFUFXAE6ulf2Fw BcXOau/XkkA0hpG6f+gO4jTo1E+4mr4DCgmqniK/8gwHQvvNVcyRZk1CYcxCQaib5GA8nFW9PZw0 EfRsnagnMeXN7rQanC/EtzOj9FTtUgN4AmAGZvF6ppecslq02uM+8xKvl7LXrLe9xo4UgRo02pjm dTnbkZagJRVSLcRQxesMuIkdfhZr96+HG2qIfg/wtKth5XlhOAnzCnmTZ55EBZ9AG62QI3caDThk eror3V77F+C37l75c5Ira+/lrg2gSXSrOc/mxYpt9Rq/6tGKk40mI+vbtjBnDS9Yso55OppTOJtR n5lMNEwwtVPF3qUhjWfJJvZLaz7i3St7PGIMHC4chMKVuKJ2MbN/ur/gOrkhRVHW7Td0cR8pYQ9q s0Rpk6e/kLiS8I+w5JXRWyNJtzxiG9pGWABoOE63ALy8Vi3BfMGFN7QJN02ySPakiDX2eoPZ1fw3 Q/oE+8vZ4LCw69LxPAuiji7BjMhP99zC2UWld/F30JtclfjLngonwrGxtIXuLP8qInJGSsEUzJZu 3zWiVXXSvLWDgj6w79D+koco8cbxnGbkArpwzTSuDJ3DBvyfRoZ1hG6szsfB6EOxSiyqgpG6MnBP mbR20V5YCEA0wTNkUWz0FOuDbha2KA0s/PzWcLoGr6CUUEUzZmF3JOXWGBSFibjD5P8BzAtQufbU bLlJTypJ9grqqE99CKVSXcfkIvwzOzA5WktL9QS8jMgG+OLM340I/w6kn4Ayh0yz2aMRwYNlDM9E IUQc5PqxpLwhePIpNaBfq2xT4HjOlRCNdRaCpln2AALRBADecuhW3Jvmu9CgD1ED4xIrnBR7phcE Kuwxhhyjo1UEBkDLS9RRQOYRAwq1lH8AXtjs00PUGGjPpJ86jWeBoHjzmlWaQmRTtcAYLKgAcBhO pydzBzFubkr2LYV023JUSxNOfDoVlYXwDcnygcoZXt3bpkD2CS6th/A8MALwp/DA7uayzDG1gm/U TNGaLZBd9ICC8gwBr9SGCdOHSk7mc1wWIOb1AOsIm+D6hTRTPpp6g8oymT1uQ2biEyuIxmJ7fWQ/ 8Lh6gpLn/qcZDNzjdXn026meySmv8pd5/WHlsWq2CHn3JS8h+hbhQ5WLO2dIsEOxm27USyi+Fi56 yj9cuuAhGC4dq0YFxHmEIIP7u38pN4SeCWeznntY1SFxIL1LsgkfSVO/oun5ENoAhkbvIk448irT XsQ11cwE+qI3FhwTwfuemVQOKX3S7n6ZpcWzmRfNAs6WheympQ4Ivb9f88++bXqZ2jXmzwZ5bYWk 3o71gQG5jat4KpEvzODHwc+LZ209J9I/yMyWJF6nqyjWcdePD1PhB1gLDo5r+yC9O1yHNapAjPsW H+U7bxy5i6dYSa82dtDNq9+jIRsU8cDIyykvq98lpsxGLsZ1Fx0dFji79WaOJ4ys/ONJ8rLjnYq8 MI1Ddum3lKF/dlOttHlYyTPCBkvTvPyHg4tWf41OFEUr7GDxIRXNXMIoThX18BHzc+klmZHhBxOk Krju5KaoxU77uwX7O1+u5s7IPKfRRocD5RjBuWrKj2W3+yLKVp6ThYT6qYsTlytYoTc6uaJNiq/H rQyLLbrKdtbNkK0yIzGSo680SmGe3cgzdJTD0GAQSDUpns3zIf1ezVNmYebUMubtIzzzrscUbeA4 Rfp1i1iiJSrrWuKn2lLwlxJJd1FoO1WKwSX4XuTv3ZX9NsZXH7I3seLbInzookk40jeC7EAISU6b 9lAokMEzba/pGfEeiQRwojqYkTlwCWgQ6s+Hva5Tm7NSKcemLNZJwfUXDU8PkSkelKVhFySzSSdM 3f62kU5s5JNP64DP1UOJgXUPaU9hIkrNkxpE2E1xMqfiU81IPd1GVhku2Vw5Uzti+m3jjuR2c4EN fPDSVYoIbAJfXJJhyRjfnTbW/1Po7RAoPBqdMnU5303BoPvf8IcT3cocme9Ub+RCIywSikjnKxOT +5nPSjxNpuxuCj/rm7UKCL06xElvSZWwHThMShuJheOls9u9ePN9Nd17CrZNm196nUmiP9qzkrAx TCMlTwtQoxRWWdN5RWSjhmtpRcGLuZomddntWSAPr6VV/YgKmGwNBKUk9U+Arc7SxGBs+2b0VRSw tAtk1dNCIOVmr/TxC84vj4z9Fd2iYeiyRhBcTwqLl0m+Pdb9Ct/7SlExq8Rq4F6yK/jPWLhOLVMq OstDR96BK7EXls0GXLBrLwBBAf1PkFt4jKwLUErQpwMoJbQo6c7yK+oRZY7g5o3sdpGBnQ2l4ek5 srJ5pDfaPwefaGAKhBfqw6kV6bXmh06PlP1GdvUZcIPi16SzPFn8p4EEwfzl9gDJ+1Yx0BanaZ8T dAN1CfLgGvX9/sG0Seg5FgfqyyMLubhHpGTSWU9ldstdztgTNTVTeZvoSeFZtxEju3drIKEXqqY0 WS3mXxOKB8SXliiqkZyKfcgmdHuyhLFQz+qzHB78ZGk8OIIAvRfhdc/riS8IUi7SFaEAvo9lA596 ttOTNaIBV/a4F3GeXrF4v+2ayiuTl68zFgDTyOVFrffDLcWrHJlpTA1+nc6n+7AYVkJm1tVE3ta3 lzNkU1wc0yOg0Hko0KL/XC79gsMbbP9H6GPwdq6gY8sKdUFcr8Kgvt6esvW3cz8QJTCARWhtXi1x yO9+7FDhhcjbB5RBZJzNTY6SknSnxSZ/Yw16cxokO3MA1iSwwuF4UhyRwfVuly2A0yDBjxb4tDYx 45Q8fZZ3rphAd/fOUqhk9YCXd4cNCm+leVuvmc/TNYsVptKgklFYNJXhwf+Gs0ViIxk5qRgS1cWm xBqgj3XkHM+c/Ncxk2+prvFMQlJ5bXJiW72NvNHFsXygHJwAB+RAgISWbM+Z++EP6f1MmV8guI0c +9e48dwBmPr6q0aRsV0vNfckMTriFE7IpZMh9IpuqNhDRg/CPeTxq+01ms4qV3g9s+XYQNqrLi+C jSKUWs4wOM06zmFoRvuSPxyhhwO7KIvqk9GbFtN/fLB1i9RHVl82muJ2v9vvaSSH40reGqCvWExJ ozq5ooI/vqgwaa40r9byjBd/0TIvUlT2SbVOgMio5i9jooGr2MTvKnoUkXKla4uNaOLE1MgYgKbX 8Wxm2TT87Y/KZfrzlCn42QVhzcBsj1wuTX3i0eb1XuaJGEFiHzJvr3uO9HC3ToL/H71s8h2qgGHW 5pQskWUUtB/1pMZHPMTu/9sB6KZXUcfq0RrZ8lJYi3T1pOHAgvDT2grxwVOwMxPUZPCdshKL5S5c VvmMwy8pw5bElJf+MaVQbEh+4hw4eJ2SPbE+iH6koC3/DVFOMuvIcrQ2p4qSzs2sI5x2A59TTLnB MMvijGCm87CMFIHntMRnaf4GQS5V9V5BROnfckQqEM7j0AIYy67XcK74rTRyuxQr/0PwmDme/1p+ 3+RJkqfi/qfxDnMPDzlYaMyZdjm3GyVLMwkXr5fNcI7pEZCuy7N5lkX7svmm3lgI69l3+5fyqHe2 dn8RQFKjX4vbfddgWzH4N0Cm/NAqTKZDtfoPMMNcrawcwnZffdR0aRDFO8JxV92VjFVEttNL80D8 sAZOopQLop6Kpq+5LW3voAyaBb3lEBUWQKQXZh8eDdp3L7Maw4HS96rV3sHwM7j9Vq5VS6yPYBWd t76AV8+BGCqiiXN+5iMW8l5+x1FPWoldzwrK4S9hufW5xiiyg9guhO7PPXoeH5Dt8uyL5JV4Igsa fD25gQhM0ptRrRs+gOg5qH3eZhYUr2g9uLxjZoCQX6UprRBPJQemUT/rZS+NDIgBgBs9BUlyHsip 6wNb0E+172EYeGLwdujTwtmrSPobcu9yCuAM8tKX5VszDoMV6XzK9mbaCpYYzbcjGyHaaIxBlsva w8S+AL8hUUosZjvhmlJFERLxd0//Aq9VE8qDq1sEKFXWtdrDUfnhJH1Es3A7FUm9yfFVMHsLEwGP RvHfaSbkD2+dGRtSfghmdxjqCi9mQeZPQZD07wEBmQGi94stkmGL1SBHq3aJpgdp6Xs6v0mTicrd zWsZ4FWg6zDqQNPZ9gco5sVGmlSQCfMJGEmiaJuhO6SX2ijTw4UfJ5SW4kEjMpYZ1S8XjXXOt6ll 2EdOKin5hxOODtGvpLv8RZYh/8TGHKgSWvgHSI91yvbyV3vXas1LHI0/3kCLdvL3iY7Z11bLz2gl smSi4FzfisKWHjnKRjLT3OwRcuAWSZnG/jKz02tF9FDPvo+sIAT+1iB1YJSbD/Ma6D3ewigcngB/ KL6o+VLqZ+ICpP1SQL9ZOeHB+gpAsXcXmnHjaS9/ZaVpqsvgIVX3b2dgWhYlKwolDb2wEfm3yIil GiLSYBfpdQc4iUnQ31b9GDihZotdM2xSqEV6tpK0mIDKyL01mi77G7M6hEzA04kDtaXR6k5gk2A/ E3rxKyhl6XEccGfPE+fSdyhKl7Nbt7Pd+4eldtG/fWSuNo2IZDPNAYmM66TN96aEHltVdB0r8bLc +lfq2RfN0DQoGt0jPn9xDERWW4DLDiTuHR7tC6/mS5WjzPZEgw527VI3EaY+HsE2vIXSz+B8Kzb8 I2xva2XrZwTH+tO4qKn9vYS027j96M/EsFaju58K+XVTTvziUOlSiTc/I6s8M0lRfCwjHSgIMERC DIi3DAv9hhYu0Uwo/PXaAFuCcAumyWJOklNbiHVZKC0IZIbQDKpc5+M0ZRBS74c96/P6v6DzA7+7 6VC7fQwH+hmUdiQgSgUY3nz0lgAB96lXMzT1+DgLMWDmTeLkjiC1n5G8sEtBGBjgum5tFZoOr8zw FuWqmVgb8NB5wGCRN9FVeLdsvJZSII7c3kBaAgngTxa7k1I1/+GPZoFfa2+uWfmolcny4yUmosBf ORZ191R02OipkI0knjHhI35MbGAeGyrQcZcwJUkOEZafwQsb7XD8sZ/iCtOabcuKFyXPCRzNi4E5 3cBo4cCUQBci8Gxyua7B9Om7c+DghspFaPLbK5xCLYNoMoDazMA8bdfA+MV783YUrkjBMy73/ogF kio9WfT7W2+7JxZaA3YDGGC4paEyUPvPmIbdeh6UZE+a06A3LzbcsXaobpmjdXjYjm6GIbWxlJ5h k3QRzVP8rP8Kab96d4+qc6rxWnnifqcIp8kezS1asogF8WO7evSO8gpeq2f64fi8GYGFX7Cq4KmA 3fyEzNI7URXmMNExB1sQruEwsO2iQT8Xfwi63zQ6c4Zzk+jzhZXxLolV9HI/AXBEoL5WrqKyxn4A WsFmQXSMbjIxfr5qlNNM8gVNrpdS2AineL5ZzA5gGCjiR2/gr9vQGrGdQ2Dj60q31DiN34n4hcTy sAR8IgEPFd9VFyNu8ivcDDqxHWRSPyTVcw6qhiRs7nn8P5JTGXRHF9+88jS77YH0xXeVOz5aGmVW BELWSy/gq4hGeRNz7NFKdY9qUb+L8hPWIK+HtQRmTL3tpwfJe0V+TNG5vtUIR/dDFAPbIPwInoyP fyF//VszUsx5iM8wCUYmg+QyVS3kLCMDf16Cm0KzLMMdDmqoLm/2l3Wz34yeHuLaljyP7R+JarBD 6LddW1Jrn3i5m1diC5cbbA45nyJ5cdtZ5O7+vf4KFnCLDfJQ+o1T4gp04Em6koN882vvau03ROKA Whul1c8eKxnTdjSXVBphoVOYjSLPYV+ytV98YQS3AhSvKFs9EGW7T3YpDBVI2wIoFWKJ1Eeqw00u 7x2F5ZT8OBNXy9OfaDLNBZ3spHQei6UVqxx7oo3+B/ECMhhfIEBMln1ULRzhZ2pGxJhLhSI+5K8T W/MF9mKmjICCIixshVKE6y4yMOEqIKpRgIUhaLgDW79fYSeOyUUdKH1ifqGL9SX3sSWkeeVda1of 8gMJFiJTC0PagIzzxnRpWdZHhEr8wkLZkh/8FRAPKxihufuCBAdAry3Hhs0qzmEqv/v/gGM7581q ipioRDySVrDWp4zOUSTtnIBs2dNCgwOdbLLFkbZwC3dt484R6rdIXV8R50RGqt53rI2r80jTXRvB 9mxggrB6N5OkXYr8uuizRIedzS7nj9/FGpIxo1HMNIukdtVEzFq9ruKH/hXEWehZnWbM8deB+Mw1 YpavmyWwdPXhbSQ4A/VY4gZwIKpjXfkGMNsqk+Aq7hGAOPglJ2+ksc++MNh8Ishhl6+ewNWJJX6Q zHtriPKiNkjonCN0XxefPqh7Y4qYE1rkq7/HSSUHtLo3u1Cz28hZy8oShmQCGL7HPCN6e70aJdjv C9ukjf6HMO7Lqc1Hh/ftjTQbKS3XFwEqkAtQmBw/m/J5HE9h0q7CNZITcuncSO6cgnngbMfwiO+Y ylBfjM5b56VqtYJDRi846xCVtcawsEjjBlMhEqfMfWa02JrNJZ7MU9EpuO+0n0tjrVVXukkW/44P fil8QMy2Ybs6LFRxq1ofemsvoKS1ZQ16TPe98h88W8PSHdx/rJA8uJa0EwyN1BEbZQAzOAIC+WNY 5utYn5SnMtPtCw039EjJIFrSD/wwsJrAcKdQVN6vc/nmW8cVT3UxL1/OFIXzssFfNcH4McWHpPgZ tMZBkLu4aP+5YWHF80gQKeQpJVho6ZxP7jDIWxbFdXBEVDo1VclfYFOujT71feKFNiYYrxClzyRA Z8tszKkJMraDw5yHKCo2rppHKzd4jjl+uYRrXdpo/vumA9BYg2XoRieaLJY869Wwx+ct6E+RtYRx s7T38iCt4+SsXwh+sQYc4l7raJBItwbIoLEnTFfziInTWPJdaog2HyZhg6Loei6T2DTJjSnDnMzj 2nN6Dw2brojfYXw+qZ3m6WSrbI9yk5t4sljspUaa/ZodffDw362H22ncNVuQN9il1KRMSDPj6fBR lzGflamED0a06T9W/iDa+sj2nHLx2bdmku7qMoFalVqDEMjXYlaf9h+MPAuRwpWGP18WO+/HvCfg tWcFs9RRD9NIUvegPlb5mvfWXvq6L7D/xW65xh7OpEx+hOgCbHRtIZhF2p9dNotDhzl9798NXjKU 6bgoYskF7/IKrk++kVUd3j6S5h9tSyNBwm1nxS7IV+rye1R+BMV0cjzl5lPmSt3vsEngYotbquec ygfmxvhbCjjHpOJJCul6hBFp1R9y0VavZBK7Z5NTAe1KuPJNT7I4v510qUOEynJyuzy7HFApdDyx mwkb/4lCk2/dGyiCX8BSg+uqCGprmtzIvt1u2quel+kctWS0UikCmn4evgq/E3pV4vcioPFnEYd5 LxLDbWukjq5qmIUfd3nBqhPJ6o1t9vOAXaNWBQUFgRRm3meLFqBLfWX1NSxmyvGnsEqJ5FMg+x0s K8EQYXDB5PdEkb5OB5iAxXti7T7Y+gwsH33auyS81Sod+4drk+3sEEcvWLtx48JYd704CZnX2OZd dsa0x/Nk+sJ21BxqA4RPpXjkJqnI/nuHpz3Ge/mT8oDQWniaIoLMzflpJm8z9Q0G4iMEduUQtHSl wbmukieKWBCOFuqhmni5aajVgyqs6w25V0V4uff4g0zNAi8UKE7tI6nd0yDtVTdXYsoUjo4F8JsS dyBh+qx4jMyq2yWKknHt9lTwDu2W3v4fJ8qZZplXrPoIo97Ho/lfdqDlVEbiNJV3PK29MAcet+8e dQAXnq0vmoxn0DTHF3GzC/+JB5TecKsNzlZ+I14EtFP3x7xK5n5931n76/mzYHphGRcMOjE8qb+r jcMhG6IvZ63r3/2UiK4TGTfeibxKXsjZMk3/7NFURnzvz+3I2rIqLSMwgnrkDP80iMOnNezMwLu1 W4akvyOX5cCwv45XwntDqUL6KXDxd7plQL6+i1IhMLDYhOQMfwNqNek71pmvEgWMtyvv3cM3l3nt 0MqWwKu59GCWoI6ijtCXbKeHRvVuHSQYwFdidSuSxKjWNzYw1iit2KZ5JcqUBlgiLL/lT5997ElJ 6IuPQPBP3BiHJpFlBXkHZegbrf7B07JDY2ZbyEoH58SFNVkg6GYsZGSMb/Lu017qVxhaO5fhdhIj 9DV/4icHlbI/RrnoVsOqI/zSPXp+3kCUjhdwaJRJCGmvh9C4HNFfxXG5CQZf6BzH7svV3Nm7gRLa wSbh+7O+FzU+AbLe/sIvb/CNcKn+oNEWza07lCAfc3ryXufSyroPQuI9JOm/aiStxda9ABgVA26v y51hJmeMDos6PdszlLE66WykDsqRiUyj9NjUAMBv6BUk9Y/bQuO6pmiCOq3wSgiNMnVACrdvmrR2 3Yh3M9K29XTdsVp3a+WjBDL1I6tFlaMchjaZOllZZt6QrlLtTEcyqTWJlqHARxMK8qgr8iidBiuM X+V4w1wB4ou8eWurn/cFrfv42BShhfKKLI7Z0aaQcKd6ZPa3A2pKmEA7fM6NcZx7M/6gaTE3jC7d 7GRBhf9fUngKx6Y9sB2bUwTyZ4W0/WjU8/uLiIOUI5nMttgcHOW7FpXbL5No9EA3CbVqHjZfvSeT jhKtnSUjek8TRbtR0cFcoVuoM04ztf7NT5iJXqPnoVlUEFGq2iD4Jw98I3zmIj4wrJI8VqJGsswr Wx5P9iwb0Y0Siyl6OEhqo5XX4A/UHOTE+QQ/rdnBzw+pJvztFCBVz8zxV/5Xikpe0GYM23q+CVE2 TN7zTd2CJwATDk7s5pHqdOUBb1v+vjer1HIN3hdKesKwYi5iGPJRzmpdXSpnz7YKMqtgYptU3Ygb 80lU0+svsqAhxFIhfoB9XwLmKz1cRTGpU8pBJm3J0pAQMsEi2p5Zvis/CAr6ZLXTMS8D3oJMkbCq 0oLRn7NwabRitMkgNKJ4+qAGAPdl8zVOiPq/uWlcbRqCjbZXpCQbAL9hScrDtIOuUzmNaOHleBRG R++U/uKWrZqUraDyEBAZ25IAmnsl2QaAwU3CU2+MuTIVZTmljEG8oZ1KLPCUXsxgajgV+60B8+4v A3cJvBAeAgQ+4pR9uwKAYjJwlBLh2xNLPap1krbXE5grakEkcHZ09hxXa01sRFnyA8LeSa9NVpzI Virum1rczvRu6hN81qOzjIL3QW/qgDJ0P6zZ+oqvrDZxtws92S6IjgA5ZGa4h3xPddx57dyCpfLK 046VgsRjlxtk7Hlj5c32qtitr0GQCPUEsu8cpSLdRimVlmZ/osXqX+9BB4/xwz54V++GtXgiS6Zv IBv03VzlO2hO0mqsn73UtiUFBG7JP3Zmjs0pGuJxg+yAb9JPBX5zwHDBf0o0lHdx563jimNxZ3Sp oZPYQsToF6OEBM2nbAWySUrPSHWhKGvbR3NYdFjplam5ECrXxcuoovhaY9r+DtGYZQ9wMvhx1Ch+ jGGSvr4VUGpHABx84/loZ2n/RWP1HidDfCXOfpLAtNwatyqsxfs60WmJbEmKgFELC955oAeteYJT a09hfKZIUEw4jIY36oMA04y2sdVrjimfqJ4QyRUkA4v25kjUp/2n8UnW1BVFbiMKOPpprX/zRk41 3Yp4sUrLX31ghCSQd3VV4GqJEPy4FdDTpMWeOXvZ6+itfmN3KZ1TxE3b84jJahL0xgzob2iS3etm r6JuJcN5A1BfJn8PFN/IApvjYMvJCgkYdhx/zoa+Bc/cJoL+mPqWgmtjBCravXgru9zU9HoJPVqB Dskociv8ikqExVNP7gQCXl/eFhBqbW3pOIYlce67GpYD7P/3P1738n77EUlPHyGAVC01shpubsqo rGzF0sFC/EfOU+Sd3iwTjHFUHRs54D5/YLoWx5td9+ecjULciIoke94yoAz8ayCSeEv+xTqFMbm2 YzG8FvhsBkDMabXpVXPnhKOPzDMpnSkFExmFO4WLKlQ6hEfgqs9iankZlEJWj64Uh/pdopRpwxD4 G6ApcH7k4FlnaFDkuubt7566LeW+vhrMVF1gy2O/zo2ZozOzYlZbJt7jhGIQ6xocfLNvTjJD41MK JrvYtE5ViOUM+BeAx7XyBsIfhzv6TS0C5VQwIH7G7ZKpqIdy+csPNwVLWgzTTGDYCtsZn01gZzDy Ebp+E8doDB8CJwWD2fVT1HZerwdndOFDof5LuNgv3aIPsaP0ThQtgGZJ8cGtqOyMjSzitOMPPZfD cveIvnHRt/8B25okYFDg+yygix12QMR/tvZRo2avSiLNFGwNPHLdEgP3wvhfBsvpRBrkSKIDS5Id aWecKQ4SM0KfmGIgmBLtXpKNL6WKX1vB4YS15almZeXcs/HptEQKSacef4Wtaa6MXGARl4iZ2viw EwOHj7Qp8UIL6xQujq38zxDMJuzrpf+kTUvofcijYcB0ZJ3niGZWX5t+0FE8MCb6uEwUbGfsW25y gqrMNg1b8hPv7spB5gM1CpphjqtA3nTiqu4UcvtSSNOEO6FUFg2vbvwX1W7uDyB1tkEuR65SIf28 wVhFPeRbPqRetGUvMpV/bm/LcaHmDiM1h2fMEwPkUUEIzkJ/G5qgskjvEypPjovNaHklx2eWLDMn YgQ/a99g5gwZijyRmkcMoLIA3afkL40Ih6cpptRJAA8WQaxh4EaPO6dcZNuc15OD8Ht/YzmosOYh UfdEYDW+1hGC+kJeUFMOUefQB3hJJ+J8P1kxiW5GeiIRA2zJ72WFIhV5Y9j+HWnRCiKlzDHnaVWg vPRvU47w58U1z96hZFu7o4Wtg0mZzFW1GwDdLVHX2xBRAkt/RHDj3YlKgUx5pwOrvtSVPsRtY59R FYLVAO7rZ9ek01vLrK15Ha65vkHd5C80BQWfgLnrWjtuPfa8IHA1j37m8EKX4/i2wgmWjqXFYPqz 0Zwj26/pCw86T5FPLbrng/4o8QLC7So8+KZVwIwggxBPMohh1GMXzPNwy1btuTj0SP1QgBml82nz AuJh6KpEFKh56ZylpR3PsDBegITQPV2GwFBWJYslipLCk8r6BWp5vFtrumZ8j0B5JIfKjpRHZPpn vFX1EFB1a/xp+Bx+2KvGufECwQsECn46NBrtVnHcYymxIfmLQbdyW+wUTrpX72sjqNygTrTfs+Zp 5FF3ixYaDiy9BrOB0f2O8NHrghIG5uwg24zur/ycQyM233BHSR2GZyKAXXuApePi6HhwQ5ntx5L9 YHttGZTVFqUPej4MGc29m1xxq5Dol+gMihjBYfuNv9jwUdBUhRtujNca6cjlVXSAgw0pGm1dns0Y tvShRQjtjYEZhYJ+BJF8Pvp1Uocsk/u93tlf6lYfacDRoxcU+OJ3wtnnFmktIhKbR9BEY1AktyaY HRyn+LnufLdLjvLQQKynd6QZ5uaj3pWRIZaglIQMFj+7kaiXQf4kptZLGy+xTgfrnvZ3P015b1if QCP6fzwyBwNxm0Vgtf4u7thbgAMTrKMQpK+cCJsGBJ/MmvMtRWTh2eQd2MbuVtiv731OKlcQzP92 9L1cuQ2MuqNtmnkyTP6/XcK+aGOnRXHM1MjkImFvKmp5StkxmxUsZKGbGUBXGAb5xMPGNknWs7PT EihmuLZBAPyT3N/AUM3dMtpoNH+IVllpbU+jdXh/DSVdClrsAZgWC8K8f+0WC52g4+miDmrwi7XU 6+QsJL+vTXlhv+pSxLABBCf5jHrGyaUOx5tyedmaj/tVPLuQbIL49Ao5G+dbyMEle6fPU6q+WUWd GzvJ41h/pNNFn4EaO7Yac3D1iOEVq944Y3AxQYaymX9KOBesMOzWOJqWoAd+hgcdKGfQfo7dwS+v V8FbU0C9eEiu5TUe6PBWrOC97Hh1uRI8JfqyZ3+7Fi5ObRvbbeUbYvCn0anW5rxkLO/Qqf9h5x6F t8sFoVwqOs08XJ1ZEmowvAfLYzQknS/LE+HQj1t9zou3c7Xj8jzkQJksyitwdXJgkDuGRjTO+3rt JXVXO1ji9CTDw3+lZt1/JOrD55jYI8kAKF032SVXwyBNfTF0bossFCIJWiobyjq98hZEy2Y0wIsV EHcaIfoZRCt28Ku//Oz0QXXjr3SQfbTtCSZzbNiCah/CYWc8Nc8gh64fOv29BsEIgq9NRY/quD+A /WYfMAqcmWzAt5JcWVobniyd4126OXy0DUK0M6v02SaT/u1zERF1KmRfjUEmYoRc/dZGezgKYmDB 7AiPRXQzBdfsUmgxnCrnst6j75f6Ai9vFmCeK97doehHlzuttuPYA5A8zbE0V4TOloWDvrtn36sT gDW/mC2ibT2fX+Ufm+o03kdOq5N/hE8+pmjDxydRftqv30l1yM3OqCPqxtSvHbEBdcN37hCLNpQV RVzi9ANJ+UbjqJ9mP+Csqf8gBZwjhGnkcxOCcmVj/UroMHXlQbE6F3bZxUS4AqYT6MOJPDavJKJ6 Yf+aQuS1IIEVSmJg `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/bram_fifo_rstlogic.vhd
19
21262
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dKfOe1Fgzj6faSFeL/IK/IGbXRIzt9OQ8DZnq2KAQwbAq1xs/txiDbhMB5jT5GTGOpfv1lX7K9mJ mDVaIsrDmA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cmnaZ+nYMcuVxuKDdMnuchBB9inZOxPR3/E/irYVdWCPhl0UM4JuWPFoKMQnAcsoQ3vgnwO/qltn 0x8JvlvddPokOTwabXK7+R741NBmTaawP5Y3zobRhI33jusePpwNTanCHaHjalZxzALXRseOguzG AwGiKgpBkrzwT+frUqs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sUxQSwzYYe52m4+VJThnA3rSxL81p7y01A34NmBjYzEeDRUnhBCVE2EYcZxUZHf3SzWeAqe17qZn +OUEYPsHFdXLy5QnKWkfeT6eelEedeGrqLjWta/XE+CwvggarDRC3yCpKHD1RObvSaidPkoLOQaz Mr6i41kRIdL7xQbC4uLsdgEZKWh/fWAVQ0EsVnkKqE8EuxaCZ+UTjEptEyr1FyibFlRQuCcRV1zc KGcqqHxwzSvE0/TqNDvaxlN4HZAny51ra9dxL1achi8jzJgZlO8wt9Agqbh7GQueaCXon2S1zoWz ehgKeTmxlL7ytzeVDSpaRq2XKBPlYb/82fe70w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlRZm6Q4mAeDfFS8oXcdcSIf6QMcM0qJWL/GpoNfKsPw7GwRrG7w5Fv9DZ3ev8dGDXi3ZhhDXcQa Irin1hT7IkRZSupkXr6uysVtJeCdG/feYDkdTZzOR87EjbK5yer40aqraNg1lVIuObcgZ8AniYE5 0hMf7gQTkG+H4+tX0yk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HiHN8/USAozrVtx8xCHzL7SU/8fs0dpiHUe+Pxq1X1HHq6PWwlbojxR2di+cVlcr3m6I0F2zjyVW WLu1kh2il765GldD+RCzgw8JhGbJOXcaDKXvV9p6bqICOBy5WCTf6gQ/vOVRu1kKDvf68tu0aJcM 5GW26Rwq/4L2jSNVHzuzVdgC87Mdq7eVgLL1qlhKwYslU6Eg0eOYTUfGfgCo2Z6Lcfi0atBesKpT DSbchvClt7fyjz3I+qeNhclJOyfOLBdaqFIyBSFk+zxyw4U3h7toqFVwQu8Fc+NwLgyBezl0ZUBN S4Kep7fupBYYGAqkU2vi+UvgcgkZQxj4+5jXGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000) `protect data_block 0iHMIpFOrX98h7sdG8ilVHYbTeEnJdFbnTUVidR1vNbZAGh2cr4HmE6keLgSfMCD5h0NPMJszn95 lefuw46FHgmdiq1KHmbCqItVy9Bb5EntBdVL98scm+pu6FWXRRXll0IwtL4DpJWcBV+kK2crlsm4 DbStY30V0UJHYPmRbtN7R+eKz1y7qrxQEJBLHntXAyEJAemLkMyhmSG2lui5SLnF5vCLy9VBDhgu 0cM3TC/3C+fmgqIUw1Lldfeth887ToXNdGBy5IWMOti/xwOnavm9yQQ1UwJbBkYiLQb9LaG56Ej/ 6b3s70/XdKd1gISGQST5d3eCI9qFMafOlAhy9mBKK66XeRgNzy+0g0r068yGEyHb9ijAPwNS3hrU Ba6w8x0UPDig8kW0gNzuw1xMnzrz6t1GxGnaENQ0mQ5m6YWeBPabdEav28e4EDezzj/HpYgvtZg0 12X04rYK337RyACk3j5D2Ho4fKfvgcuNAjXJrce58FX92+bpsHTSgl7nU0qhBeUUj7nsLotrgyvi VfqUfk3XcleA/X4bxY7Z0+ABMZk9P8DArBqbAYiaAWXNw5Tq6D8VurqAJWH7lvn/YGDqAKNvA5pa B27McVsttY3zNbmyQf5ZWCZ1il10jLS/WghDIpsOrZK5Et/7osNwi0ofldsB+I6o27Tmt5LRJZ8L nERGcNUzzjKVopFMokJGr2OBWa0z3SRS5XIKKNcf0js8B6wwEVqujww7VaqPMbQKUueP0svfZDa/ NmNlP1tDSwoRxhsiLmiiD/g6rBkqkisR0Q7VqDUyd4mk2BZefv5U4GfJV93zvjxFd8c/7Ts2wZXY u2SkYAP+2gF1dK9JfyX3lq6fEPtOPffq1e+fOgoy2ArmFsDR0SP6QjXnb0sW2l+vngzwPxJeG+dR u3ujmcTCMVjYfrQ0hBWfk7OZFh5zWuDmCUul8OgDXLh6r9uuLoxwwwyjpXgTcE7msNWqZQNU9L7K qxgGPgiDLKq5SBuhxWrigGr3a5XzIG6Ff3VPAqxjetGns/x2B2m5VmZRthtAo0phtgFNrpl/WNL4 rJ9fzG3/1OtKYs2RCXPM0xhx/SxJNK4wDtxzdAzPxwGktD2EsckwYe0fuWeZIpD0N/P3nHV0a60L ep+OL0n68X8bf/6LLoa/UWDRHHE8Sf4qGAEpXmBIesYd3B0KCXEfAsVypFaLVS59I46ay6qSWzlf YH5RbR/MtwNwEzJ2rB0kGob9cFMkDARuVWmq1ocVMM1NYekLuTqRQapB3T1xBNUldrj2DQKCzAyT 1Bi8/bK7Q5/uO2NoXvg4oZiWbS0m2iqo1NvhkPjEfkbuPJAcjC9G0m1zSHLDodmuOXbRmokvkn3k UwSOgDDSY9F0GAKzR/L1wq1KQrMnZFNWKieSBwzVxy62YXsNa+/i27+DWimG1LczVOqSlWG/gtM0 s9xDlunU8/YgDjdVTgvBLCy0lJ/9fcL4jX50AX5qNjrzikVICzi0Ob3plQQFXZpGaCjgf/vqona4 mXrQoOI2hIRv3ol7MfwNNfwhN881ZZFRVNMgaIhn/7UelXuRnzGI/tcmput7Q9DjmcfIiw6z3fxZ J0ftEWcOpRMlWhUjAL52/ymkVx6a/fs3zNV3SbKN9A+GLYrSzTewpvMs8er/nIIl8zA+YR8fVVPn PrmmXZQ9LhYp3C0u3bKRyJrLwlEVJS90LMzAMbT2oVxA86MoDeNlTebNXpvZ0FkUVb2nuQJD7Dti 6PDoR+oaZuR0hDYwsiNiaEIR/7UzU7H4yis9XQwP8d2gd1cJfepCKqeV3K0/W1knlpH82PqISmhc LMXw3dVQvFaacm5eyyjzi8FhdWu3gQYwOLZT5PLqUMHZ88EviQcthy8p+HVWr37KFfYUCGbOpQ86 ZOdDcrWhykSw3M7WrUhXYcd9sDemYfaM+qBUdCA+5jUndAtU7BkrU8CW6ZtXwXPDm4VV//R3lhAd +Vd2y19v2zQO2+KWr27Hxm2qCi93u1QGijhQmMiV/Kvg+wC01VXNxAg6cWTZAf5H1r8RcxDLsUUT soopwMpjm4ZtOB7tZUcs9M4Ndk0kfkIe820CHojxQ3kng6v2LtAUir0CxyOPLtXx8yd7VFfE3Gng bxd7CTk1xGJvfgIl/AKvH3bd9k3HsCLIeQdi2jn76zTw3LBGxGnRcdRpGRLkTNPRYWAdEwTN3nqp QOGoaptvvtlrBrrB0UYe1EhYEvkK0u/b/PgUdK1Pb3ckggRmILG7GeyCup3CwbXZJrxgKUEJoKLt WdpbW7bWxexhKVTTb5PzLfZlZxMbEpv4CVgsE7VWCIDQeAAGCqMnOinEftBcWkS89acu84W620Mt 9iBgyK3+R2AGSWDpTwCI+QjfdNI/RYq03DACTlbjZjdAt+viquJfY9BQoUYMqzNRGnUwn/sdpbVo oT+mbajETJSvdmJ+9YOIooPtyC5vEemOEzYiellrxKtF3Zdzv1G+tYRr3dtfgD6hE2OETb7UXAaV BfBl5r8iFC+TVN8mR47gIOZJayD6ghtpi6xL8WQ5StP8e2uE0eQOtG+MXB56N+yUrNWeu/wkaTit JHlQVhySOC/+UaszxaoC0RQomLG3xQTxDNm2gci8XMpnGQk6cJuqDFemHM5id1AFr20EPUMnJIgy nnh2kz50MyNfKDUUhYMX1DmrxczU8iUJSfPDbmqumS6Ih+iWPjHtLoOhUmEusnBxz1dozgi8mCWU IaUbJORnq+HIXBznjUoDupksRlIhL46I0FKSTHiTTgzV/Taq4Tlhnrpm2MCkj8Y+SDzpfAsBhO96 1Yd1o9i9vns7ZXjwuZAxwoNrLOkenIf7xrqRsfFIlNw0NHOxr27dzqLtq0K089n0WhgSj39hblbN 6stPob8LBDwUDJCwlWA5ak0BTOCCl7SAzmggVpKLz+xE/g9hE/6p4LDmlhQudtcVGxW2MKko3+2i cbyg/6h9+SNUqmCU6TZqY/dNSbVq0m8RqoVBZKuQ6qmQ8OMpiIo98utX4d6fC41eQg4AiTQzqhZF In6dc5C/IQj4xB66rkLzfTs2XoEAwsyw2AaIh+0C2Cq2fUAeWRUQMmZT45IuESFT1JwRjEDwsJPx Cojxg5uw+GxWGCfBfuT0rWmPNUxc7FRPep7LbTie9B1u5jKLY56AjDwbVLyVCzD7XqOde0GtFXrg VJpWsC5Vw3eXtYZ3v3yCDX4gOyXu05KhOcs5Gn9wvqqDzfOyCr9k77xtiDflpSPLgK6XQArZhr1s 5N0I8F+fc1Qxj9OcwNIXicGJ0OcjLaymo9pNbboHJ7V5/JW3qIXqW6Tghym5OHBA4CBnzgJVw8zI yAcAS9EiXhwc2bQ1zw4Od1ll2JM+SAN87loCfCbEMCarAlZnmI5DqmJ07XG39Pi2nX48MkoCrkKX ICIql/KssKNJbTp1qnlfHstj/Xyz40fQbuOhX0OWVhDSHUVyLt7vGGQGdow8EmUKtY4qxtPBds4C FM3vjG13NPaAqGtz2iMamEky8mKWWdOSyH7j9OdjhEKe/JFsEWFSI6AHipK8+vM0fG0gvEx9asmW mnpoBFkQNSWyhLTIM3I0ik4rBhiCxZcFz59KEfXDEXaJjLsK4n3YlxTbLpIhMsx2kfES/PcMgfcp vMuxptIqXJhf/INN+lLoK5T1vM2spdXGEbgZ8qfJWRp5B1aaAHonX4HWcyS3XsL7mSLmXycvxnjq uOa/wYSZqLivZPCrZlhO2r9pk1DFViy6e8g1oSQDsaweWt45bVnvakThKuXOb4J0d9IaDHltRPsu 8yiVrsvHn+IFwo8ws464qHTNKgPR9RIUdGe6IqrCLHsUeWxaQGqJe10J/TiQc+MNub0anz+JtnSl 5plfPH0Bxa94Js17TpIQYK22aU688QUsMcLNn+N0X/um/gs8HAaLRh3LoiNWUleaaxPONdPA0Sip fvE1j2pni7Qig030RRaq+nSAvCxObqn7t88QIKGmJ2gTXTDNMarC7skBloE64BULt+knLG3CTovm Y3mTaxrI+3bMuVRs8oujkJWrIFKhM95RObmrx7VCGw/ZW6hT7H0LiqW8pN9SJvhle4RBEJYMXL+i 5M5m7+nK886bCpLuv+Z8rqlfUaGcHV/LOB0dNYnjkSL3BsW/3MLjLcGASbcS+LP3oY0gWaNWgvpN sZhkU9wqjb1doNSUsahPSnOklCEt9IX3N6pX53N2Qd+3mouhWcA/GxE6dcu90T4Nv1TSShZH3VX9 pYr0jcT2q5V7Glu6Ke67dH0ISbqxRQO8oqgsY2jFwRhcChwYVieCUbys20jaW1lxWm30m7t2VmRt sEoe/sb7J9dm1PiIizRP+Jjwnogn+HbvUjgJj1OFlX9pY3oFQDdiQExW4/T+oRIW6vwLPIgH4lzJ 0XEKHgVXEoZ0p/ReE+MMPdV380nR5iZ0ff73LC5YP/wXtA4o90J+/clpXj+8g3FysWwRs1HPvG2a rSzOVw2k8U88gXs5wMCpuNLEa8S2UcsfS/mowqbFH0RBYFT5RKS8kpWtL18B91V43PG7MDggH313 sBsAKRR1w4oG0XR4kJ2GbC49Ujoh7Pv7EU86Yaw/p1QNx7I9WJdxvkz6eK1Hy44s5kdykGCL2yXt gTDREn4nge5vicp1/prR5s84iHGmCLSiFcJQHoAq2CxBLRx1kh1pSzYabNnlRjTtr3vYP3tOrDzs nsNpRpNzL10D1L25SQR8dvGK8k+HlZQy3sbnZ2zL5aHmHDT527XzO2lGP8oKxXztRS2ORuEWKPhD 5hFKnx2WOolWeGPlV/8XS4KRuUNhUwLEKHh4hvAGjIwhfKXQkpJXkYJaMd/6lPfQXwR6FSYUk5Gr CfzvVw+IbgTRzlcMvavDBOzW3alODNNl2p6yeES0vcGWdAdFQS9s/rlHtIxCYdjziI//G4HET/kt 4FC8PYWrq+p5vvTy7cwsZEmY8LLMJ0FST/KGJvZrCUtruI7ePgMo1sS/yjrrnoRyjEBnFelQ/HS6 cUBsVz44pgpFcb9dsFrQfL1S3vWoNdG0XDmhmsyD05EVZKtjs407bn+70Wy/pmyxwz5+/mJMZ28v 2RuZGqedXnvSfVepiU0HcahmeVwBcRx/VxF/BhptS1XNXKXz+eGX8zN0k8x1aSTAyzcEw/uGshvk X0itjtklzZZssBD5Xwnt7IZXt0kH+POKKdzYu6lGRDDFhXhkS0X/qSH5/dRbjCCRJ/YMTuzht0W+ YIYNNb9JkYeJUayLlBLLVGQpwoXeTv93ZIbIwNtv3fpGXOo/6p1IZMerpieTaBq8/fV0EVaGCD8t 99KslrXpJQyHWkPBmeDd/inaWeJjEhzVoF1Ew6ivm/36MoER3umL0bBlCSAMSXfxtT+QsbCp+2k1 X7R3yokzRm6vHktMNpp0sz3XbKb2MPQeuEIcVhbsTSyVTkmSY9jbKnbNnxEzBEzcN49WUVGJO3yh MYF3aNdGuLmaOwLlIrXH6evfo6jjfpPtrBaJC4Ma6J3PaF2kFa6Wva5J/iEqi+t16OG34T1f2T7z AK5XcUWUU9+ialtY4YedmMBYKc7049ncqKmaSLrieBUCG5B41IaU9o/QBxiPwWm8y/QbYKTEWRCL sIRtbNRezEGuCBnivmLTHYeXLSl/8Axpc2J5UDP73P9cBaHNHALxXrsrEvMQb0RpebwxHBcrikyD EpwktrMcbDt5BnCiRgDFXmlw8+HzRDWXwvn7vMQI1i1VjvU3CMMFo7kQ6GpHAH0m3ykQQ/mWy+G2 WEDor6tLaSF0W3Gdj3VH+lcVeKxIBUVLRBfzo+G8WdG+O4nUYyqO7uCPMjWLkxTipBAg9QWQHnGn Qriw3cKtuVxSqo1cT5D3MUJKxxxOBz0+9kBU7Aq0QkuLHJCLkZPH70P0XTaW8p55nv8GNTncmLah UM18uh+yFEoGvSj1mD9ZaD76jVPH30vh/M6nMYgw+94ZxKbfTPrfb555stEBawG4zV/eCPLr5Vwn 2+1HSB4zT/w5hBlhzmlcUdv1iBDEbfjXTvHriZGxmulRi/HGWQV7wruDRMhSxQLQPCYaSlN+Beu7 lrLVfqB39Q3/yUVgZUZpgETrAcn9KgGYEugAuQaxq2j69Xm47y6tvdm66IcuOS8C6AolgxgQRwIc CeQ9zS0jS0zfmZpidsmS3beF3/8O9+Q+sMYYKhmZHmcLNpF+/Un7+Smn7ruIG67cW7zlNqeuxX40 JXUG2PEeVfRAKxtSsxUJI/WTimZVYkXhouATSlxdVH5NiPBrboYOAwhZM2pGQRfodhqIJBI8VGqf WOXj8J1zSRAq4X7HU/WfHl6NnBYn1sq729RTKFk5cmCHRqa/1QePQelJxHMr6SPATOoLWFUKzyTJ zoLZNLxvSLV9p0WkMKW0GMUpCEjZgkebX7k5jwyt1fbKGp/wDI0NVfcb7argESrcHj5b+JowhWoD duOyJYe/+Yo8ju9aa/2cHrtkM0hJpHFF67CSCWVkKJXe1ymWyQLpkEM480LQ0rL//jOU2LFvGQOt r/t7pB2QoC7AeNwAm58/sVjRk1hW3SDQv2lpox5NoAIzQpykAdaz6gQzhn56hSs8T4luX8YO7FR+ ldDvsnKAKLIJ4ECLkdNp5oJoN9hfUDISx20wKSIqQdjDqfXeNJkw7zpo9mx98YJ5EpS5vPZXWf8x u4zVtMrPCCuVZlenUk5WmYsr4rzgUwRl4S7tEyCCHZ1ZnOWfuvEbXNQJrXE0EfeGBSJZF3/JlCoX ShvI4+xj9gg9RIY44Ypk8Eq6GfJO8pIdHMpMjRw2e6FUm69SoSfaJTencU8gTNnlHyunvTyAvd7e SF6ThVkxI+VdPzNSOlw1W6dCIyyEJslPEMkNRI1/11LIRtQFLkxR4epgK72MFITh/66LT34PUaLD dH8GmaWJIp+wOMDdzldTNEaGo83Dj/9LJvQ6YIidlI8vu1C6QaqGAIsecMuMD4/GrYgGJlRLUemP h8KvGA46fHHstTRfb7IvgX7QoLhO3fVr1ncLNwiXsFKvqZejPloQfASXdSy11rZTyBrpDBNiSDLL Vag4E9K8c1rQ7yjF9kn/OrZh3JGMcbOam659XYS4QBF/kndR3goUoEBs1XAzdfWZQHTGNJtuq+3d EfbattlsSysOVwjXESd8/7Jb9cRguFCowx4e8bMyKLT3MG9AnAa4Mp7E0PsGYBxwje75qvF0nGxT RNGZ3zEAxJ9pVZDI35/vaGXPumH6SJVCdF9I+pSvwyaE2uYcq/AfFSt8ZNA6a9xCQcciGlQ/G3ob t2QHTpOODY/BKvQ7VFTWiZnGXVSkLKZGhF5nNoq8IMnflaqDPYcjjRahs8qa2am7+iYanlTUAocy fwoodlUpoj2goF0LJQhnI8pKYT2KGHl6ksgJyu9mOhxQHiXk8SxsFJUmI7xoW3LGhnqdOp4ZThjB vpA6xEtN0tcW/5eUFqUzReB7pwYXS79C8TJDaHiuU2BfrbzUQRONjDa2cpH3TNKes9rNUVMk/UQi Flc/ZQYyZ9iWdU25Xr9ckvg2qCXlVfIf9oBF0N6IlXdWaV+wnPh2jsKfJVV+LmXG0G6PA2ZKvSA4 S1frjlb9zzuyWvo6l2tx1jy0MhTJlUnq2OKfS5jOskV/BfQ8soswxpumRvEKD2iZIJPlehPPtf9+ xGZG2NHaZhiWicZ7YDVd5N2TamV5fdxa6orGgqAynXD9lC6wMWLVbvfi91C+mOu02xaDJ0z+lsCn m4pHHOseFrslqEp8UE9UXc+nchI5zWFVm8ajWLAIRnDZdQ4HJrRT1Ge9RGt5xxA8zSThYduSx6wO X/A7yTASn13ookgc0rsPnh3ufZD1wIox1YXVvwkU7DWZFr8W0FBsUtyrRT8W8tw/88KdL20LGSnd 5su+ouzSPQOuNiIQVc9SKgEHlCJmQQE04+hRyi1UVjWEN9GldQJ7Zt5MpfesG6WdG/QD8oTjbUMK x76+biyTj3XC47f/0DT13359DSP6vH+H/zpntSKimrsALrNhpNkuM37V8JhQG7scPErp+Wk2GFK6 ROamqGJZCp62DzWGGKt+MTu0ahT9/HBBUFalUAyl7Y6znaDPyAVcLuz7L7yhbUoODOscmJI9igCK S5c3cyPkffwqiM6WY4vdg1LXUKW8AADEa7Ilb6YOX7snTJGRikgqnnIOL+Wm1ttVRMKHLeG/kScj mYjNi7Xmukq3BA0CdVcTWdZvaVN+Z344TWO/Hs3422o9Aiqyth+htPXsSYUdXlTUFEEwKOqWxIQ8 ah/iRmkks7anvCOl2uc/1oaJnJuP+AD868scjbo1q8xnOreVw1HMQJaYeKkjMqWt+Up4ua2MMaAm B4PyX8xeZQee5y4kSTBh6D6EayQeGyEP7o6+FZcOxl7if8VNlqmwREXYIGBNrxOVR/zJis7zNFBc RXfP5YjdYePuGA26a/RYk11qkLPup4pP/3i5GgWp6HeE7Yrp82zGraRz2H53KNfva1pLtqBJM3hP lyTnLlEy7BsHu0o63jlk4xVzo7E71+pTebzS6dmbEYmNPVoaot6ATYq6qc7GKqrO/Yz8uxyoE1Lo qVQjEHoBbyVG9QTIoSdU6c24sln16DhNqLmr9oxF+XxF6Ekv9LabQrB+lhgXpab1dLg0OoxZEetC A6+DmEms9yKIBt86XBmymqEMp8jB1ViRqMsIVX5Utb9lIllLIYfvZvLS+kllYhc2DLMuIZRxtvJx YNHr2ztJVOIz8HOB2cAJqpJilG/7eSmKlwesaM9ow7i1PSogGy3mdkWPvSLg7WL8HWQvXh+S558a vsgua0xFdLyp2reUMGQFYdiWs1pSSjS+HEB/V1Ok8PX2mmL0pUXMNjmJ8f8+MbWrzYtpf//bTEr3 h4HdOeZ54LGZ7xXroi8J8y0kp2l9PGbK7UkEiwqbjufry2WzjBfxG2QW8L9WKngcqGy5gOpvs/r7 s+7Jo5G6eX7OTphUNstZiN531lr+jr3T5yduhdj86+zu/SwZSMRZBRDLlklsJ2pJtLbJJ2iVb/iv deszkgtBqGmYX9RFYo/EwWjNMtjpp9GC/4+UMaScpAOkE9h6rlzeGymzVl/z+t4wc3z8NQMnvr/4 MZsTwC0OVoYWl65GGkJSk31whsrTVgqoGkcyv+M1zNS2srxjprbBiFRuM/BdHc6JRJTFTf8qpj4J piVKRBwj4L12G83+QV/SD40y2MY3DSX+a+6Io2ENoG7BvkmDsDHEh5Nls16rCtR5uxfanr99eZ0I JB6ewXUdnTapbqxa9Om0pASG7ErPuz8GsVAlI9sf5nuGivycMr4sGCymcI8ZkGX1UCPTkzVp2YJ1 uuf/77qQ8rGh+QDYM/Bls8hImaaPN6ljPz/+kNkTluBu9ozRzq9OKA+j7SL31iwrbFukmFLzcXrG ETB4RgzzMr/qpEoG2eh9L0Vui9zMJNxwsms3v/JOSmWgsvcpuBuaNBrkqfEobPoI3vBNsW6atkoQ Djt72IfleuYGy3RWHreyIZRe/KoZrJsh6F4Mo7t8oKfkV74Z2cM2zvoV1N6aedSBZA6e3LYE4OS0 k/nDWnplc3k9bvQC47z7MUZS0KZ+l61uo6JJhYS4/Ix0GODbFLElwz5bOQxD6a+b+4z8NvtZkeSu aVCF4wNVQQ1OyZkGV3HZBkJI2pcEgBD7/kn2vsy7DYbR0huaLI6CsQqyCaJMefSPT/unTvwMEz5/ rlgsrlQlPtCjxwg54wQKG/8u3/X4yrEUfWOAy6sgQ552uhGTHdGjrBwWYvs8CpXrel2oe/TAvHay 1K+VSlL4mOotnw636Irb6+YRpH3V3madbeClEbzGXSUi+4BSeCzxLpJwfTtvwGo3A8Qu6fm4Tsn1 rqz1vyQqOrJEndzwRQ36VqNj5Sm3Sbu1G+eAGX1Y/H1wxq91tpx99uWWVHRlRAlvMy3z/BlUSnVk WO1Ec6hbuwofvZ343w7sOvyeMcageBlNK/5UuMA5RYwVdK/APDhoHyGniHvhKaRJnUNfZ0P5hnmD 0dtgWmG13UfwwV253Ke+Fd7oYmLFw/WUrdoqqHEwiL0niI7qrFFISdzm3O2FgMctTGIn0PyD2UEk LDagdz0GFOQE8mLXfFwUEf4E2zE6ndES4ajM+4l08rTidGVWkCNOzWGhr76Zzg0/GrF3+Nt0CqK7 dpzR1jzgB72zBp9oP2eHu+gnQTNibWxyQWCv4T+AwAIGrnfpU4KkTDr1CVTCtnQCaP9GJUut3t3a a3VxT7A3hfHXkeb2njuSEKVyrhymUq9ZQz7ZqISpBops1GHUqlEXufCDvNggIrCbDJ6XWFQ3dmpe A+Ln6+3ZYzYvSjIWwIsxsPygbAroLwpCNP9nqWM9Yr3mEafkRdRf6cCdKVNZ34v7oKv82c4SGP6G JCmdEybRClXvyhTFdCaBvw/0wCKfInT9jBmlhNTiaq1H3cogp6CEzZPqvUeHhcoGOeCeBxNYM0FG UILxS2rVRl3CbaGsCKd+UOlAo/R4BddDcdAPw/mFx1Iqv1O4iLMh6WJFkhZn/1sRBm3NDqqX3bNu nVBBYQcNWNvDdOJPfs/7cj6shi+y4mH7weLarPeoDh4OFyBCO+4NLXHV+Cl9e+DzZbR0iFS3DXoF 7Elimm54D4mpQHZrg2kJ52C9oVC+fFjfOgm8w/U/DQzp+350gAzN2Ma5Ptw46CgFnRpjfkPhqqUs YCVQs8hwOSiIKUO4FoZ6Ljg+Ctsw1kgy8jNq6vz5gtYpUzuvdcMG7mRifIO25BI27woU3I6gCwbS 7EmkNUbcRTqHutYbX4egKeoh0C9xrrLvc7h1puWqnarddwJe1GKQ5AtY1z3i2CgIp3MU6M8XaBKl jf1VxYrDuiDZ5la6lldI7q2YZQijo837IBHuFkUbq+y8ZuBrMyBtW47pCUe0cnK1VPY/3TYXNWL4 f67Tgaq9flr7URz9gQFUBDXQ5VBumJ/5UCD1rv9oZYsnGqWNcxPJAmehTq+rdESaqGnYHBUtRQFb +ZapPW8I5FHOQOs8/U3OvmYk3jmQ4iqVaknwxOWOnCMH+JBjrcrVN5Mem3B2n2/g/4kHhQ0CP0Fn RotkVIuR7i5t7qMRdCVepwCP+z7HqlgE4/HDFJRhKd2NHYgv+ynB9Fx1zq+sfYKgrbV5JkSCbJfT HxFVO49ku5T9OnSyFjb/3ZooCy9XIBba/Vqei0bjoHoo65aA/FJ52bgO/Igf0Nkc5G+X3e29wUDA Nhd0e6OVOZYQDqW9feZ/VZEhO+0RKA5Offz/Va3VjqWkwj0BUKl9qfQL/jVrCZgL0vRd8RhOrPRI m+uM1kvCYeIW7+ao6Rzo2SNEc42uvK88mpB4zrnV02Dp3Iho4mdDHTDPh3tFTozURWqL01mWBkL/ Szyn2bOkH0qJBpEmk3b5FEVpKeTIMz4L1BQ8Ow+aDS/mHAawsSsoI9zArtxRuQAWZPEFxyc1T14W 4MXyMG/9m5BxSh4DFEDDGb27tckDbj/eCb9yB8+DD9l+FoVVmgNZ5EqCGduVMhiIs/jkEx6uvri+ oWx2HNpSseF6NPxWPApZsdP35hNxW+2TxPypNa7a9GQROJRxoIck5h9ifSZ4psj2YfV2bQpFmIer TpFvkVI1btflORzuUseC6pB0RxXVh+A101Pkr4D+BOEBXzk26bRkicqhP17Q+hAXt9k7oG6/G1Dg gLxU5FRDsUu6EP2Po48cm/CVpwraNvf1rgmvBzm73/7g+uwSMlP9whD+DtZLt8DhxlN6z1izpqde ouNcthOUoNbQmkf2eD5ryXmAOjoqszWzcO3TYqw89xCSu5s8UfA6cqHRJKbP3v2pz/WctSe0Q+t0 IZq09I6/3JsP/wSm1ZWBUk3DsvQvpRzkfBRd/U3M0JzpLHCo72qiCNZHxuqKoIdpVE9hBzTh/vCh fjT8/tdw9F9dtV3w5s35t0dCXS2T1s5eYVkAxedIcuxvMKW992VyGRRbcxJVLoRK7R2e0Pl7Ky2I Q4Qt6ICs5yp6jUNYxQ4ydhzS82pqf6R01Cu2FZMAoMD36BiVBJ5oLqKulJICOns9BaaWUfPqav48 AEqzPsJyDGrnLRkDkpeqwN7YrTL3uYA5uPGnMLoujKKVVy0zJJ1g9eEQ0ycmNvTJNfvaUki1hWlC SRM6zVcDIGORIrbi3S9Aypk5qtgwBV1T5MQAjhgvVzyM8OURivCEzP7l6jM3UEpZkG5/BLV+BwWA hNXFifM7ywf+7yR7KDSYXx93DKsJ5ow0w3HeG3HCSM+SW30fGDRztvYfyncLAL2WlwWASaZGtODb q6yaJ8SSMfAdT/XBbDSDZp3VgT6ATgBp0JuGsYJPgIjNNE3OdPGKVGulXVFCWXbFYg86PGuGXC/D w2EYUX12eiAInoBS7pwMd6qA3Zd/O3CSqaRCvLs2QAh19Z28mva/7DMMDEyE8k9oTjgeAGfxjFNV tl/FP+orcqf7bxfSkc4TY++Qocdv85tsVWQgEdLqtliXHNABUHU3QZMWe/U88JKwZAXxTOGhveZe r2YKz50SSvNQmwzRxSz1q7Fx8y5X49CYYcwrWLKMvg1YOzWRUtJGQW1DLmWfCmhTY7Jhhb+ZKDnj 9b+R0B8ZOD9ov9J8/OlptTqgUFtNyKhauZ5EtAk5xjbZO3BEh5d4z6u/0PJngKDU9bxUlg2c2yBs vQkJx/Bfh0fZ81WOjvWluae7TCK4evLtsod9y36C+JWgEPXMoGuonz5kjjYKhG8bAmoaEy0vcSRF sfYNFR6DAI4M8ba0GXpfnvVqR+HmiEcu5Dg89QU5WjnsMG6sc1Ht/W//KWDTrCKoG/kZoaW2x0jG 6SHXf/9+Wk2CRHRu8OHuXos/h51lvSoxy7Roi/EopCdcSY7Ar6drMh+p28QdXV1ikWAx7+yMGEZW F/r0/NeoUZoidedKCL5OKOEmm+UJH7P8XPBu42xOxk3XjYytih7pfLKk8P4F5PGbkyoV/rtSTkn8 unBEaVxNED1ZXbQRka1DPY42iZnRz3Vo+pdyVyxuVhqc011hPf4z1VePA5wdEcSpzVcPqS8aD4vy MFKAKTkIxdwpJiQVRD5MZN97DZ/GAZdfJxRxK0AhxjanXyE6cHXo3MbLvi+RzrLx9oUcmVIZt4Kx qN2fzXnNA69jV6DWP0j98du/2/NkaysaqPJ7Mo1sS/y+fQVzV/7q6vMtV1ycvtZXoIm5p6Vcl+3H jFqiS7aqsmvpu9mbWBz28jf0oL/l5wIO0+3/LOxf8BpCdZ/CcZ97GV/k0xYxSY2qExQ9EKzyDtOa JlKK9O5W8r7Oi1fQ4vshRhCBFvyxhktjA584wiIAafocDzm6V4wQviDxWEOrSXk+whYQXuH5CcOO adlxuMGM2WHqCv7ky9yRWXWPzzCaxdlw+VZqcH8LiHlSFTe+HZjW2V/61c3T88NCY3F2N7XWsy41 bag27skyfeowbX0AhZsgPkSpTgcfkbsJyYhSJfhxEtwRcKQVN+GFD44+3MEZza8/e4d2Q9l2Wlf9 TEx5Sl/cKH4NTOsV41dXePHMuLz1D0zh94Ms/YKohKIef02V0N9kY3GuwPCczhUG3Ebpte1OFo/r 44TC+d7ijtZ7YOo/2bFeg57l+SFYjqAIDJIQom3PiHw+A3P2ztOgbB62VxB4iyVY44M9VQhAQO98 mZg789XYq6SpIfYDiRnbhCqeksMUItV4F3snJQ2w0S51QiCVejYOiFxNMyPaDaTXV+h/ATxKBikO vr6DOKWm0xEq9cLBjpwnPPC3Tzy7CpL1qW4+sJyVhGm3XjUUSszGayJgjddtNRPIYdNB+RkjIPUk d59ldMK8NS9l2TIav63opmyoSPJa51IW/5ALCC5otlRvdnDZD4bzJF7i6yJycuYVigjhQsIjEBFv eqebsMfMZW6Y5+HAriWZ3uL6dMklK11TUBh1dAX34O4wwh6pPwaXv+mkfpiP7p9UMG/onn5JDKjp /+wgJl24ZupnTmb2RRNwZlZh8AXVRNrhJFh2+uLCu2fCBCA9+IJ6fSjVEuu7q58RZqlLSpvp251V JIkit5jd3cFC7i/LMDcbCIQHMRj9PS279Vs/XZFTc9Cw3sqXMzbipXJHwkhGq+0hkzmfbNlwZ+hX 2VK7xnTlk20EFZpBq3Cuys/WsShQUFQ1z9R0wgsajmk+56YrNPgqvQNTrk6d/EgnY6pYeNaWK420 Vta5mMHfFh6baibRWYLH1DmMfFLAftEqShYd99ioaf2ta+8IcfnqbhY+em6OiKGLCo1J2AQZ4Q18 vwTWJACjUK76A5IgEeD+XicgWbXgejZ19yQU+MfGyAvzNXWDj4vPP+fV/L4W4K8oMYxa2guONaC8 8BxXDSfF0xCt5UnvpsTxrqVT+X8Zr64gRDVYgqhfwOOz+aY5XEVs2eFOojPSOh2eIDFo9slnbnE+ ZLyE6dKlTfUKVOpBlcvaYPddQw9b6WQZRZcTsQfQEfoZB7alaMERzkHNIa2cV0XPdaMGK6udRQDu r160IlskZkWylUUIOncok365oKPRXdkgHtw5ADPpSx+A1z4lxKvuYIftI/pJ3I+6uGWmhytAj0hy Y3mfdAeASJetbdcmfigAukn0opy/cyycg68LaIjY2lqdoro8pHhaa0EC8pFDTF0zBVZBjA6qg35B /VZ9URMyklu5Ca7er7r4EaHKyLYmnr9q24kNYYVlL7n0JXm5R1qyw1pVfVnFf4azUQF9r9BEuBT5 nfvLfDoPV1m4glBfM5HZFsb9+qSoua1uwMs/DpArungO3qrlBEo4Afp+hjpkwUzeAGQvE7ypLLV5 dzfQxcwZTTIMXc4z1FNaoyerJi/4SGusMSLwwbVKEMyHGoVVP8lUsImmrps07NVIzn2Gi4RUm9Ee 23ibT9VhPjJKIlvuMlZN0yYTj6HNqL8UkPngG5Mvh0wiq8w1odMRvQ70OMX7wGQnNVnZF/4VPdFb Hu8QONUP9gryei4x+30+CJV4teHc942ag/NGkll+hEFUTH8iE/ZstcE4uBm/kWlJuH3Gl+wIcZc8 sN6Bbm9YsC1Y8yYWL/Jci8nw0uZHPF9L7srrYpyL6AtJG9iucMfrYL5unyNUZj8voVDhz05pHrPS LVJ3slW63OUOT3kyNfwCPwuuSnJPDoWbl+bNZ5PggUkdn18YKVxtklCU8he/YZq7T5y+kJmmcPUk SGzbrhfQtBAUZgxMDqOvAMfXVKtmhlJuvPcnLWCD0kWG4f76b8rj/pVpsXnAKT0xvOcZLJIPyfgf NFZ0nJP9Pr/CYrQGhavLVqblNk6u8TTwoLg4hNaxjUgBCQGxU5XHv1bYgBvbhqiFg1Kmf0adEM2e cVi20RXxkybCvT7QYYuGxWL/9IvaJSFOBsYv08qO5sXJ+vDZROwyPb/W5TzH0mw2cZI4Rz+Ko4M8 wqF/1JitRJil2pX5XUFADzBmM6vUdfj1WfMl0GWkve6gKFHXIQe27KB+wcx/RSDGeXtWEC1bSbcf ME4gLdELjrr9608yOCdqLynRxJATmZJb3iTYR5fdDAiW/0jsNXmAO3ZxK+rBpltTB2Y7nobHgELQ g0WHMZyM7LK2fTsj0MTZS4aoVN1oVJP8rtDDVIrdQ4w2WVu9wDBFTNdh0qwP0asDyUidUXYI2kOL FRbENNdsKttHshmarYbqfZuoQ4+b0+VtqGbbCwiKU10moirBeZ7Aowh5CYB7TBIVgM/4RvZptZS5 z0BeHMmhUbLw10QQ/KHtj7wQUzZsY6YsJ/2wrFUaTEPCAw0XALbSc60PpnBOoZnQX2ZTpOdydB4m TMBoSQlRvfv0g646QvOdnJVC1RXd4daRyH3kr0jzJ2wnw94I0z1Tjc+x4bKNzRmmfBc4AV8fNC8g Jlj4inq4wVH+Y5O3MdCpb1h5r2gq+CI3BnOxixiltkN+QD2xTET42M59myj2+zbzhm4i6P+47ymW Kr1Akq1k2hueUhSe5Lo1RZKcDhle9F+MJ83iX2MFZUVmKvTj6Ig84Qq55TOy1QfFzNfyfxtjuyO0 wG3wN/aMZ+w3e1ixG1BpSILkvYh9CTg+4jOFhr01s4V69GVNN4N7eRPeTBtkg3lNo8xNRx/x+Wko AYdxMiiAjGjcywp//1NC53Kf0jqSpti7dWI72IP3PFp3TEGPf8toX5WTuL0Ft+zApg7E5ZgM00b4 LAqwbDaWC5YVRxfLD/VOk0Pd+00LedBxPha/YkgvuFTQ+FjMqeMFWR5RzuZFd207NiP6glwBO4LA +dMs1Om4MUdyue2uuotss+8t2ur38pIPdH2mwBZLail6QrDOWUGLHGqGaOXbMJggawcxtK/Q31Bz +OQKs9O/dnk6J38kzJB7V1tLfPUsAqgwzSd+YLAWt9CBW3CKG/gZn5t/YYEgpsR6BzDaFQdNZOg/ GwZxP0AWwX4rFedaOeBpxMMioQSk3tJNY2Yo4jQl+gGXXUW+315nJbSsR45Z2oNA5V47Oz3gqFEj gaVZefr6jsWU1fCK166nZCH5z7BY8yQZ0Y7SU+qF08i543I40BlrKwOOa0LdZxtaQelhOglba1o3 xBqwssR5jPuWOYHGxFKCQIeQ4PzaJutHKxgQFFGyfxvMAVB/wNX6t9mB3aujKB1u4HTBz5nBvdE8 77T+dDynMXtEiEVbQgM0oaulF2qkiB9GSLvh7gIMm0KYFXN8trSRD8j2xn/tG5j8X+u9OGGVaNUN 3tb8ghEJflj9nnu6aiSS1k3BaWfKb1/1dF9rKzZUdvKsSjOsL0UhHbLJ+Kgumv/o31BzUlG5gjQf dHIuJ3RTJFTns6a28CYnZsj3M51ZL7BfXcg7aH7YvDKTfKdhtzVHL9t3KppebQA0zip9l9x9nDBZ JDQ0dWg9MsOZa2WXT9yagrSrHr0jjmdjUXcXJdCx6jV7tduKcEPwTpOB69yWprGctxVDPfwzARVh YWCu1FI1mqCAJYx5mnN+xYegVYtaHbf1NkQik/arg4XBvL2yU+AVXMrnro06cqMrEgNiZEmtZH10 MmyGNcb9qho7T5d+fId+9FDmShfRK/ZY8XvTMxH8+WnDOmOsoqBhWn0YJYbbD8DO5SghL7sZssXy 1f5b8waWOSzJhAgx3wC51LiD98n2kZuKeKeNrqzS8D17A4tzcJXoqMViLAH3Ap0y2zHwWQO3xUXu FTTIiCgDUkA16zJDfp2+2ZAtxjtsf9c/CjrjYJip9xlPRY/NfcxFuyDCBQ29QyXKtri5MGo/B8F9 hqdWyjp+3VvR8koemAiGN2ea7uEbpJi0dKMEFUvQ3YWDxOR6bv0qHJhgPySaC1wcuIkcn37j7ANx OuwUHn5OJds1e2oo6sOBvEEaL1dGFLVhQLnaFvA16TOxfUZwPANJBYFTYEPLFIKaFlEyiCpiyKB0 0wTItyq6sMbE6YpoYqRrOxYMZ9b4PBtKryRekQXtpdfxZyQKsegyVeuJ1f+TsR2l37Q66YPELh8X moYPpxPMY6Jmal5Uz7FyvEvBq22U9kYl10Pu5sBfV3mdq1mtDxHWyFT8cF+plYFl/9sAuTQhvIQP p/CwQW+UF3jC8doUd283uWdp7PEaC8nH1WS7LCRz07CRCDoaXMmQP524sO9bxPLqP+qjsoGdeAt8 5hptViX3gxb9zDBcv+s5ng4b3K0/xAeyTgSaES9d1Y4KXwg6i0ZgjpPEWom8gNvzxw+7Uz/ERzo6 RsODZtMtPbI8TWgtcOlD6usFkOLmAbvKhby4my8NZrJOSkNrClJLqM1KptY6Hq2q4y2kGL8MWuN6 27fujbyLPb7lb1tHe+Lm7zBWSq5taxwVAi2JAaFlxWOP/d+eT8qxVT1YXVsxYNrXM9xWtMm2gbGV UsGmTSzeMsTi+LV3MwxU4pL/W3YhHbf1B8/VW8QtZrmD58QsxQjs+6Ard3e0Cf9pAvmyhGcP5pXL Yia/2YkNB6CsrA5YKbY3mHun+PF90fY+6CFMEuAv2CqHnGDkJdDE50Ap/XwUneOKCExbqRP6tblQ LV7dZIGVvO3srIY+LYsoM3tBpIXNN+dA5lKb16rdbbFjVyRgKCFdaHFSBdA6mhzFk/KwV8o6Qf2D /HzC0Qj5n0p12dojRm1118Ai9huRQTi4UfY98gq0EVQ8nhQUUNwLR2F6vFvbYiCPBX/jCKQ2ezrn Ur7mTbK0LIkPZpR42JztPpiNcw8upt0M6ULn+L+GrP3qfa94YI2UXYBiqJXiIsubicjp9B9rJ1// eSnB6KT3a2qrahnVgIeNpAG0KelctaQfa8EEJcbsih86TG2LaYLLefOqPyFQSq1oOwtSWFJQmyU+ C8z7ji0olCn0gImaStLDZJhFP/BuT3WbODFQ8/896zbtfNvjuMh3H80TwhtLCrPSsuB12Ryii5Fz f7yh+QQu8BFseuGUruVBpG/Lm3zdcPCD6c1pW/iwk1kOC3NH3nDMkdCUoA/QlkPwRQf79nnrkygK g+P+5QuoNdcIhoFN36MTyfPA2ttHWL0S56nOb0s7hFvTpxoGnWSBn7m/zEdz2BTPjB0dvhMQ/kTa 698W8c4SSmu/ki3RrCbxR4snjTKq6vr/7zqUydrW+7uFJfFPHUrDmrMWhQqDQ4Bdci00QijqFK4m +lxJ3RqEtDmo9LmIcoif7+2N+HuZkSkfOD7Gnq55EftqXsI= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/ramfifo/bram_fifo_rstlogic.vhd
19
21262
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dKfOe1Fgzj6faSFeL/IK/IGbXRIzt9OQ8DZnq2KAQwbAq1xs/txiDbhMB5jT5GTGOpfv1lX7K9mJ mDVaIsrDmA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cmnaZ+nYMcuVxuKDdMnuchBB9inZOxPR3/E/irYVdWCPhl0UM4JuWPFoKMQnAcsoQ3vgnwO/qltn 0x8JvlvddPokOTwabXK7+R741NBmTaawP5Y3zobRhI33jusePpwNTanCHaHjalZxzALXRseOguzG AwGiKgpBkrzwT+frUqs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sUxQSwzYYe52m4+VJThnA3rSxL81p7y01A34NmBjYzEeDRUnhBCVE2EYcZxUZHf3SzWeAqe17qZn +OUEYPsHFdXLy5QnKWkfeT6eelEedeGrqLjWta/XE+CwvggarDRC3yCpKHD1RObvSaidPkoLOQaz Mr6i41kRIdL7xQbC4uLsdgEZKWh/fWAVQ0EsVnkKqE8EuxaCZ+UTjEptEyr1FyibFlRQuCcRV1zc KGcqqHxwzSvE0/TqNDvaxlN4HZAny51ra9dxL1achi8jzJgZlO8wt9Agqbh7GQueaCXon2S1zoWz ehgKeTmxlL7ytzeVDSpaRq2XKBPlYb/82fe70w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlRZm6Q4mAeDfFS8oXcdcSIf6QMcM0qJWL/GpoNfKsPw7GwRrG7w5Fv9DZ3ev8dGDXi3ZhhDXcQa Irin1hT7IkRZSupkXr6uysVtJeCdG/feYDkdTZzOR87EjbK5yer40aqraNg1lVIuObcgZ8AniYE5 0hMf7gQTkG+H4+tX0yk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HiHN8/USAozrVtx8xCHzL7SU/8fs0dpiHUe+Pxq1X1HHq6PWwlbojxR2di+cVlcr3m6I0F2zjyVW WLu1kh2il765GldD+RCzgw8JhGbJOXcaDKXvV9p6bqICOBy5WCTf6gQ/vOVRu1kKDvf68tu0aJcM 5GW26Rwq/4L2jSNVHzuzVdgC87Mdq7eVgLL1qlhKwYslU6Eg0eOYTUfGfgCo2Z6Lcfi0atBesKpT DSbchvClt7fyjz3I+qeNhclJOyfOLBdaqFIyBSFk+zxyw4U3h7toqFVwQu8Fc+NwLgyBezl0ZUBN S4Kep7fupBYYGAqkU2vi+UvgcgkZQxj4+5jXGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000) `protect data_block 0iHMIpFOrX98h7sdG8ilVHYbTeEnJdFbnTUVidR1vNbZAGh2cr4HmE6keLgSfMCD5h0NPMJszn95 lefuw46FHgmdiq1KHmbCqItVy9Bb5EntBdVL98scm+pu6FWXRRXll0IwtL4DpJWcBV+kK2crlsm4 DbStY30V0UJHYPmRbtN7R+eKz1y7qrxQEJBLHntXAyEJAemLkMyhmSG2lui5SLnF5vCLy9VBDhgu 0cM3TC/3C+fmgqIUw1Lldfeth887ToXNdGBy5IWMOti/xwOnavm9yQQ1UwJbBkYiLQb9LaG56Ej/ 6b3s70/XdKd1gISGQST5d3eCI9qFMafOlAhy9mBKK66XeRgNzy+0g0r068yGEyHb9ijAPwNS3hrU Ba6w8x0UPDig8kW0gNzuw1xMnzrz6t1GxGnaENQ0mQ5m6YWeBPabdEav28e4EDezzj/HpYgvtZg0 12X04rYK337RyACk3j5D2Ho4fKfvgcuNAjXJrce58FX92+bpsHTSgl7nU0qhBeUUj7nsLotrgyvi VfqUfk3XcleA/X4bxY7Z0+ABMZk9P8DArBqbAYiaAWXNw5Tq6D8VurqAJWH7lvn/YGDqAKNvA5pa B27McVsttY3zNbmyQf5ZWCZ1il10jLS/WghDIpsOrZK5Et/7osNwi0ofldsB+I6o27Tmt5LRJZ8L nERGcNUzzjKVopFMokJGr2OBWa0z3SRS5XIKKNcf0js8B6wwEVqujww7VaqPMbQKUueP0svfZDa/ NmNlP1tDSwoRxhsiLmiiD/g6rBkqkisR0Q7VqDUyd4mk2BZefv5U4GfJV93zvjxFd8c/7Ts2wZXY u2SkYAP+2gF1dK9JfyX3lq6fEPtOPffq1e+fOgoy2ArmFsDR0SP6QjXnb0sW2l+vngzwPxJeG+dR u3ujmcTCMVjYfrQ0hBWfk7OZFh5zWuDmCUul8OgDXLh6r9uuLoxwwwyjpXgTcE7msNWqZQNU9L7K qxgGPgiDLKq5SBuhxWrigGr3a5XzIG6Ff3VPAqxjetGns/x2B2m5VmZRthtAo0phtgFNrpl/WNL4 rJ9fzG3/1OtKYs2RCXPM0xhx/SxJNK4wDtxzdAzPxwGktD2EsckwYe0fuWeZIpD0N/P3nHV0a60L ep+OL0n68X8bf/6LLoa/UWDRHHE8Sf4qGAEpXmBIesYd3B0KCXEfAsVypFaLVS59I46ay6qSWzlf YH5RbR/MtwNwEzJ2rB0kGob9cFMkDARuVWmq1ocVMM1NYekLuTqRQapB3T1xBNUldrj2DQKCzAyT 1Bi8/bK7Q5/uO2NoXvg4oZiWbS0m2iqo1NvhkPjEfkbuPJAcjC9G0m1zSHLDodmuOXbRmokvkn3k UwSOgDDSY9F0GAKzR/L1wq1KQrMnZFNWKieSBwzVxy62YXsNa+/i27+DWimG1LczVOqSlWG/gtM0 s9xDlunU8/YgDjdVTgvBLCy0lJ/9fcL4jX50AX5qNjrzikVICzi0Ob3plQQFXZpGaCjgf/vqona4 mXrQoOI2hIRv3ol7MfwNNfwhN881ZZFRVNMgaIhn/7UelXuRnzGI/tcmput7Q9DjmcfIiw6z3fxZ J0ftEWcOpRMlWhUjAL52/ymkVx6a/fs3zNV3SbKN9A+GLYrSzTewpvMs8er/nIIl8zA+YR8fVVPn PrmmXZQ9LhYp3C0u3bKRyJrLwlEVJS90LMzAMbT2oVxA86MoDeNlTebNXpvZ0FkUVb2nuQJD7Dti 6PDoR+oaZuR0hDYwsiNiaEIR/7UzU7H4yis9XQwP8d2gd1cJfepCKqeV3K0/W1knlpH82PqISmhc LMXw3dVQvFaacm5eyyjzi8FhdWu3gQYwOLZT5PLqUMHZ88EviQcthy8p+HVWr37KFfYUCGbOpQ86 ZOdDcrWhykSw3M7WrUhXYcd9sDemYfaM+qBUdCA+5jUndAtU7BkrU8CW6ZtXwXPDm4VV//R3lhAd +Vd2y19v2zQO2+KWr27Hxm2qCi93u1QGijhQmMiV/Kvg+wC01VXNxAg6cWTZAf5H1r8RcxDLsUUT soopwMpjm4ZtOB7tZUcs9M4Ndk0kfkIe820CHojxQ3kng6v2LtAUir0CxyOPLtXx8yd7VFfE3Gng bxd7CTk1xGJvfgIl/AKvH3bd9k3HsCLIeQdi2jn76zTw3LBGxGnRcdRpGRLkTNPRYWAdEwTN3nqp QOGoaptvvtlrBrrB0UYe1EhYEvkK0u/b/PgUdK1Pb3ckggRmILG7GeyCup3CwbXZJrxgKUEJoKLt WdpbW7bWxexhKVTTb5PzLfZlZxMbEpv4CVgsE7VWCIDQeAAGCqMnOinEftBcWkS89acu84W620Mt 9iBgyK3+R2AGSWDpTwCI+QjfdNI/RYq03DACTlbjZjdAt+viquJfY9BQoUYMqzNRGnUwn/sdpbVo oT+mbajETJSvdmJ+9YOIooPtyC5vEemOEzYiellrxKtF3Zdzv1G+tYRr3dtfgD6hE2OETb7UXAaV BfBl5r8iFC+TVN8mR47gIOZJayD6ghtpi6xL8WQ5StP8e2uE0eQOtG+MXB56N+yUrNWeu/wkaTit JHlQVhySOC/+UaszxaoC0RQomLG3xQTxDNm2gci8XMpnGQk6cJuqDFemHM5id1AFr20EPUMnJIgy nnh2kz50MyNfKDUUhYMX1DmrxczU8iUJSfPDbmqumS6Ih+iWPjHtLoOhUmEusnBxz1dozgi8mCWU IaUbJORnq+HIXBznjUoDupksRlIhL46I0FKSTHiTTgzV/Taq4Tlhnrpm2MCkj8Y+SDzpfAsBhO96 1Yd1o9i9vns7ZXjwuZAxwoNrLOkenIf7xrqRsfFIlNw0NHOxr27dzqLtq0K089n0WhgSj39hblbN 6stPob8LBDwUDJCwlWA5ak0BTOCCl7SAzmggVpKLz+xE/g9hE/6p4LDmlhQudtcVGxW2MKko3+2i cbyg/6h9+SNUqmCU6TZqY/dNSbVq0m8RqoVBZKuQ6qmQ8OMpiIo98utX4d6fC41eQg4AiTQzqhZF In6dc5C/IQj4xB66rkLzfTs2XoEAwsyw2AaIh+0C2Cq2fUAeWRUQMmZT45IuESFT1JwRjEDwsJPx Cojxg5uw+GxWGCfBfuT0rWmPNUxc7FRPep7LbTie9B1u5jKLY56AjDwbVLyVCzD7XqOde0GtFXrg VJpWsC5Vw3eXtYZ3v3yCDX4gOyXu05KhOcs5Gn9wvqqDzfOyCr9k77xtiDflpSPLgK6XQArZhr1s 5N0I8F+fc1Qxj9OcwNIXicGJ0OcjLaymo9pNbboHJ7V5/JW3qIXqW6Tghym5OHBA4CBnzgJVw8zI yAcAS9EiXhwc2bQ1zw4Od1ll2JM+SAN87loCfCbEMCarAlZnmI5DqmJ07XG39Pi2nX48MkoCrkKX ICIql/KssKNJbTp1qnlfHstj/Xyz40fQbuOhX0OWVhDSHUVyLt7vGGQGdow8EmUKtY4qxtPBds4C FM3vjG13NPaAqGtz2iMamEky8mKWWdOSyH7j9OdjhEKe/JFsEWFSI6AHipK8+vM0fG0gvEx9asmW mnpoBFkQNSWyhLTIM3I0ik4rBhiCxZcFz59KEfXDEXaJjLsK4n3YlxTbLpIhMsx2kfES/PcMgfcp vMuxptIqXJhf/INN+lLoK5T1vM2spdXGEbgZ8qfJWRp5B1aaAHonX4HWcyS3XsL7mSLmXycvxnjq uOa/wYSZqLivZPCrZlhO2r9pk1DFViy6e8g1oSQDsaweWt45bVnvakThKuXOb4J0d9IaDHltRPsu 8yiVrsvHn+IFwo8ws464qHTNKgPR9RIUdGe6IqrCLHsUeWxaQGqJe10J/TiQc+MNub0anz+JtnSl 5plfPH0Bxa94Js17TpIQYK22aU688QUsMcLNn+N0X/um/gs8HAaLRh3LoiNWUleaaxPONdPA0Sip fvE1j2pni7Qig030RRaq+nSAvCxObqn7t88QIKGmJ2gTXTDNMarC7skBloE64BULt+knLG3CTovm Y3mTaxrI+3bMuVRs8oujkJWrIFKhM95RObmrx7VCGw/ZW6hT7H0LiqW8pN9SJvhle4RBEJYMXL+i 5M5m7+nK886bCpLuv+Z8rqlfUaGcHV/LOB0dNYnjkSL3BsW/3MLjLcGASbcS+LP3oY0gWaNWgvpN sZhkU9wqjb1doNSUsahPSnOklCEt9IX3N6pX53N2Qd+3mouhWcA/GxE6dcu90T4Nv1TSShZH3VX9 pYr0jcT2q5V7Glu6Ke67dH0ISbqxRQO8oqgsY2jFwRhcChwYVieCUbys20jaW1lxWm30m7t2VmRt sEoe/sb7J9dm1PiIizRP+Jjwnogn+HbvUjgJj1OFlX9pY3oFQDdiQExW4/T+oRIW6vwLPIgH4lzJ 0XEKHgVXEoZ0p/ReE+MMPdV380nR5iZ0ff73LC5YP/wXtA4o90J+/clpXj+8g3FysWwRs1HPvG2a rSzOVw2k8U88gXs5wMCpuNLEa8S2UcsfS/mowqbFH0RBYFT5RKS8kpWtL18B91V43PG7MDggH313 sBsAKRR1w4oG0XR4kJ2GbC49Ujoh7Pv7EU86Yaw/p1QNx7I9WJdxvkz6eK1Hy44s5kdykGCL2yXt gTDREn4nge5vicp1/prR5s84iHGmCLSiFcJQHoAq2CxBLRx1kh1pSzYabNnlRjTtr3vYP3tOrDzs nsNpRpNzL10D1L25SQR8dvGK8k+HlZQy3sbnZ2zL5aHmHDT527XzO2lGP8oKxXztRS2ORuEWKPhD 5hFKnx2WOolWeGPlV/8XS4KRuUNhUwLEKHh4hvAGjIwhfKXQkpJXkYJaMd/6lPfQXwR6FSYUk5Gr CfzvVw+IbgTRzlcMvavDBOzW3alODNNl2p6yeES0vcGWdAdFQS9s/rlHtIxCYdjziI//G4HET/kt 4FC8PYWrq+p5vvTy7cwsZEmY8LLMJ0FST/KGJvZrCUtruI7ePgMo1sS/yjrrnoRyjEBnFelQ/HS6 cUBsVz44pgpFcb9dsFrQfL1S3vWoNdG0XDmhmsyD05EVZKtjs407bn+70Wy/pmyxwz5+/mJMZ28v 2RuZGqedXnvSfVepiU0HcahmeVwBcRx/VxF/BhptS1XNXKXz+eGX8zN0k8x1aSTAyzcEw/uGshvk X0itjtklzZZssBD5Xwnt7IZXt0kH+POKKdzYu6lGRDDFhXhkS0X/qSH5/dRbjCCRJ/YMTuzht0W+ YIYNNb9JkYeJUayLlBLLVGQpwoXeTv93ZIbIwNtv3fpGXOo/6p1IZMerpieTaBq8/fV0EVaGCD8t 99KslrXpJQyHWkPBmeDd/inaWeJjEhzVoF1Ew6ivm/36MoER3umL0bBlCSAMSXfxtT+QsbCp+2k1 X7R3yokzRm6vHktMNpp0sz3XbKb2MPQeuEIcVhbsTSyVTkmSY9jbKnbNnxEzBEzcN49WUVGJO3yh MYF3aNdGuLmaOwLlIrXH6evfo6jjfpPtrBaJC4Ma6J3PaF2kFa6Wva5J/iEqi+t16OG34T1f2T7z AK5XcUWUU9+ialtY4YedmMBYKc7049ncqKmaSLrieBUCG5B41IaU9o/QBxiPwWm8y/QbYKTEWRCL sIRtbNRezEGuCBnivmLTHYeXLSl/8Axpc2J5UDP73P9cBaHNHALxXrsrEvMQb0RpebwxHBcrikyD EpwktrMcbDt5BnCiRgDFXmlw8+HzRDWXwvn7vMQI1i1VjvU3CMMFo7kQ6GpHAH0m3ykQQ/mWy+G2 WEDor6tLaSF0W3Gdj3VH+lcVeKxIBUVLRBfzo+G8WdG+O4nUYyqO7uCPMjWLkxTipBAg9QWQHnGn Qriw3cKtuVxSqo1cT5D3MUJKxxxOBz0+9kBU7Aq0QkuLHJCLkZPH70P0XTaW8p55nv8GNTncmLah UM18uh+yFEoGvSj1mD9ZaD76jVPH30vh/M6nMYgw+94ZxKbfTPrfb555stEBawG4zV/eCPLr5Vwn 2+1HSB4zT/w5hBlhzmlcUdv1iBDEbfjXTvHriZGxmulRi/HGWQV7wruDRMhSxQLQPCYaSlN+Beu7 lrLVfqB39Q3/yUVgZUZpgETrAcn9KgGYEugAuQaxq2j69Xm47y6tvdm66IcuOS8C6AolgxgQRwIc CeQ9zS0jS0zfmZpidsmS3beF3/8O9+Q+sMYYKhmZHmcLNpF+/Un7+Smn7ruIG67cW7zlNqeuxX40 JXUG2PEeVfRAKxtSsxUJI/WTimZVYkXhouATSlxdVH5NiPBrboYOAwhZM2pGQRfodhqIJBI8VGqf WOXj8J1zSRAq4X7HU/WfHl6NnBYn1sq729RTKFk5cmCHRqa/1QePQelJxHMr6SPATOoLWFUKzyTJ zoLZNLxvSLV9p0WkMKW0GMUpCEjZgkebX7k5jwyt1fbKGp/wDI0NVfcb7argESrcHj5b+JowhWoD duOyJYe/+Yo8ju9aa/2cHrtkM0hJpHFF67CSCWVkKJXe1ymWyQLpkEM480LQ0rL//jOU2LFvGQOt r/t7pB2QoC7AeNwAm58/sVjRk1hW3SDQv2lpox5NoAIzQpykAdaz6gQzhn56hSs8T4luX8YO7FR+ ldDvsnKAKLIJ4ECLkdNp5oJoN9hfUDISx20wKSIqQdjDqfXeNJkw7zpo9mx98YJ5EpS5vPZXWf8x u4zVtMrPCCuVZlenUk5WmYsr4rzgUwRl4S7tEyCCHZ1ZnOWfuvEbXNQJrXE0EfeGBSJZF3/JlCoX ShvI4+xj9gg9RIY44Ypk8Eq6GfJO8pIdHMpMjRw2e6FUm69SoSfaJTencU8gTNnlHyunvTyAvd7e SF6ThVkxI+VdPzNSOlw1W6dCIyyEJslPEMkNRI1/11LIRtQFLkxR4epgK72MFITh/66LT34PUaLD dH8GmaWJIp+wOMDdzldTNEaGo83Dj/9LJvQ6YIidlI8vu1C6QaqGAIsecMuMD4/GrYgGJlRLUemP h8KvGA46fHHstTRfb7IvgX7QoLhO3fVr1ncLNwiXsFKvqZejPloQfASXdSy11rZTyBrpDBNiSDLL Vag4E9K8c1rQ7yjF9kn/OrZh3JGMcbOam659XYS4QBF/kndR3goUoEBs1XAzdfWZQHTGNJtuq+3d EfbattlsSysOVwjXESd8/7Jb9cRguFCowx4e8bMyKLT3MG9AnAa4Mp7E0PsGYBxwje75qvF0nGxT RNGZ3zEAxJ9pVZDI35/vaGXPumH6SJVCdF9I+pSvwyaE2uYcq/AfFSt8ZNA6a9xCQcciGlQ/G3ob t2QHTpOODY/BKvQ7VFTWiZnGXVSkLKZGhF5nNoq8IMnflaqDPYcjjRahs8qa2am7+iYanlTUAocy fwoodlUpoj2goF0LJQhnI8pKYT2KGHl6ksgJyu9mOhxQHiXk8SxsFJUmI7xoW3LGhnqdOp4ZThjB vpA6xEtN0tcW/5eUFqUzReB7pwYXS79C8TJDaHiuU2BfrbzUQRONjDa2cpH3TNKes9rNUVMk/UQi Flc/ZQYyZ9iWdU25Xr9ckvg2qCXlVfIf9oBF0N6IlXdWaV+wnPh2jsKfJVV+LmXG0G6PA2ZKvSA4 S1frjlb9zzuyWvo6l2tx1jy0MhTJlUnq2OKfS5jOskV/BfQ8soswxpumRvEKD2iZIJPlehPPtf9+ xGZG2NHaZhiWicZ7YDVd5N2TamV5fdxa6orGgqAynXD9lC6wMWLVbvfi91C+mOu02xaDJ0z+lsCn m4pHHOseFrslqEp8UE9UXc+nchI5zWFVm8ajWLAIRnDZdQ4HJrRT1Ge9RGt5xxA8zSThYduSx6wO X/A7yTASn13ookgc0rsPnh3ufZD1wIox1YXVvwkU7DWZFr8W0FBsUtyrRT8W8tw/88KdL20LGSnd 5su+ouzSPQOuNiIQVc9SKgEHlCJmQQE04+hRyi1UVjWEN9GldQJ7Zt5MpfesG6WdG/QD8oTjbUMK x76+biyTj3XC47f/0DT13359DSP6vH+H/zpntSKimrsALrNhpNkuM37V8JhQG7scPErp+Wk2GFK6 ROamqGJZCp62DzWGGKt+MTu0ahT9/HBBUFalUAyl7Y6znaDPyAVcLuz7L7yhbUoODOscmJI9igCK S5c3cyPkffwqiM6WY4vdg1LXUKW8AADEa7Ilb6YOX7snTJGRikgqnnIOL+Wm1ttVRMKHLeG/kScj mYjNi7Xmukq3BA0CdVcTWdZvaVN+Z344TWO/Hs3422o9Aiqyth+htPXsSYUdXlTUFEEwKOqWxIQ8 ah/iRmkks7anvCOl2uc/1oaJnJuP+AD868scjbo1q8xnOreVw1HMQJaYeKkjMqWt+Up4ua2MMaAm B4PyX8xeZQee5y4kSTBh6D6EayQeGyEP7o6+FZcOxl7if8VNlqmwREXYIGBNrxOVR/zJis7zNFBc RXfP5YjdYePuGA26a/RYk11qkLPup4pP/3i5GgWp6HeE7Yrp82zGraRz2H53KNfva1pLtqBJM3hP lyTnLlEy7BsHu0o63jlk4xVzo7E71+pTebzS6dmbEYmNPVoaot6ATYq6qc7GKqrO/Yz8uxyoE1Lo qVQjEHoBbyVG9QTIoSdU6c24sln16DhNqLmr9oxF+XxF6Ekv9LabQrB+lhgXpab1dLg0OoxZEetC A6+DmEms9yKIBt86XBmymqEMp8jB1ViRqMsIVX5Utb9lIllLIYfvZvLS+kllYhc2DLMuIZRxtvJx YNHr2ztJVOIz8HOB2cAJqpJilG/7eSmKlwesaM9ow7i1PSogGy3mdkWPvSLg7WL8HWQvXh+S558a vsgua0xFdLyp2reUMGQFYdiWs1pSSjS+HEB/V1Ok8PX2mmL0pUXMNjmJ8f8+MbWrzYtpf//bTEr3 h4HdOeZ54LGZ7xXroi8J8y0kp2l9PGbK7UkEiwqbjufry2WzjBfxG2QW8L9WKngcqGy5gOpvs/r7 s+7Jo5G6eX7OTphUNstZiN531lr+jr3T5yduhdj86+zu/SwZSMRZBRDLlklsJ2pJtLbJJ2iVb/iv deszkgtBqGmYX9RFYo/EwWjNMtjpp9GC/4+UMaScpAOkE9h6rlzeGymzVl/z+t4wc3z8NQMnvr/4 MZsTwC0OVoYWl65GGkJSk31whsrTVgqoGkcyv+M1zNS2srxjprbBiFRuM/BdHc6JRJTFTf8qpj4J piVKRBwj4L12G83+QV/SD40y2MY3DSX+a+6Io2ENoG7BvkmDsDHEh5Nls16rCtR5uxfanr99eZ0I JB6ewXUdnTapbqxa9Om0pASG7ErPuz8GsVAlI9sf5nuGivycMr4sGCymcI8ZkGX1UCPTkzVp2YJ1 uuf/77qQ8rGh+QDYM/Bls8hImaaPN6ljPz/+kNkTluBu9ozRzq9OKA+j7SL31iwrbFukmFLzcXrG ETB4RgzzMr/qpEoG2eh9L0Vui9zMJNxwsms3v/JOSmWgsvcpuBuaNBrkqfEobPoI3vBNsW6atkoQ Djt72IfleuYGy3RWHreyIZRe/KoZrJsh6F4Mo7t8oKfkV74Z2cM2zvoV1N6aedSBZA6e3LYE4OS0 k/nDWnplc3k9bvQC47z7MUZS0KZ+l61uo6JJhYS4/Ix0GODbFLElwz5bOQxD6a+b+4z8NvtZkeSu aVCF4wNVQQ1OyZkGV3HZBkJI2pcEgBD7/kn2vsy7DYbR0huaLI6CsQqyCaJMefSPT/unTvwMEz5/ rlgsrlQlPtCjxwg54wQKG/8u3/X4yrEUfWOAy6sgQ552uhGTHdGjrBwWYvs8CpXrel2oe/TAvHay 1K+VSlL4mOotnw636Irb6+YRpH3V3madbeClEbzGXSUi+4BSeCzxLpJwfTtvwGo3A8Qu6fm4Tsn1 rqz1vyQqOrJEndzwRQ36VqNj5Sm3Sbu1G+eAGX1Y/H1wxq91tpx99uWWVHRlRAlvMy3z/BlUSnVk WO1Ec6hbuwofvZ343w7sOvyeMcageBlNK/5UuMA5RYwVdK/APDhoHyGniHvhKaRJnUNfZ0P5hnmD 0dtgWmG13UfwwV253Ke+Fd7oYmLFw/WUrdoqqHEwiL0niI7qrFFISdzm3O2FgMctTGIn0PyD2UEk LDagdz0GFOQE8mLXfFwUEf4E2zE6ndES4ajM+4l08rTidGVWkCNOzWGhr76Zzg0/GrF3+Nt0CqK7 dpzR1jzgB72zBp9oP2eHu+gnQTNibWxyQWCv4T+AwAIGrnfpU4KkTDr1CVTCtnQCaP9GJUut3t3a a3VxT7A3hfHXkeb2njuSEKVyrhymUq9ZQz7ZqISpBops1GHUqlEXufCDvNggIrCbDJ6XWFQ3dmpe A+Ln6+3ZYzYvSjIWwIsxsPygbAroLwpCNP9nqWM9Yr3mEafkRdRf6cCdKVNZ34v7oKv82c4SGP6G JCmdEybRClXvyhTFdCaBvw/0wCKfInT9jBmlhNTiaq1H3cogp6CEzZPqvUeHhcoGOeCeBxNYM0FG UILxS2rVRl3CbaGsCKd+UOlAo/R4BddDcdAPw/mFx1Iqv1O4iLMh6WJFkhZn/1sRBm3NDqqX3bNu nVBBYQcNWNvDdOJPfs/7cj6shi+y4mH7weLarPeoDh4OFyBCO+4NLXHV+Cl9e+DzZbR0iFS3DXoF 7Elimm54D4mpQHZrg2kJ52C9oVC+fFjfOgm8w/U/DQzp+350gAzN2Ma5Ptw46CgFnRpjfkPhqqUs YCVQs8hwOSiIKUO4FoZ6Ljg+Ctsw1kgy8jNq6vz5gtYpUzuvdcMG7mRifIO25BI27woU3I6gCwbS 7EmkNUbcRTqHutYbX4egKeoh0C9xrrLvc7h1puWqnarddwJe1GKQ5AtY1z3i2CgIp3MU6M8XaBKl jf1VxYrDuiDZ5la6lldI7q2YZQijo837IBHuFkUbq+y8ZuBrMyBtW47pCUe0cnK1VPY/3TYXNWL4 f67Tgaq9flr7URz9gQFUBDXQ5VBumJ/5UCD1rv9oZYsnGqWNcxPJAmehTq+rdESaqGnYHBUtRQFb +ZapPW8I5FHOQOs8/U3OvmYk3jmQ4iqVaknwxOWOnCMH+JBjrcrVN5Mem3B2n2/g/4kHhQ0CP0Fn RotkVIuR7i5t7qMRdCVepwCP+z7HqlgE4/HDFJRhKd2NHYgv+ynB9Fx1zq+sfYKgrbV5JkSCbJfT HxFVO49ku5T9OnSyFjb/3ZooCy9XIBba/Vqei0bjoHoo65aA/FJ52bgO/Igf0Nkc5G+X3e29wUDA Nhd0e6OVOZYQDqW9feZ/VZEhO+0RKA5Offz/Va3VjqWkwj0BUKl9qfQL/jVrCZgL0vRd8RhOrPRI m+uM1kvCYeIW7+ao6Rzo2SNEc42uvK88mpB4zrnV02Dp3Iho4mdDHTDPh3tFTozURWqL01mWBkL/ Szyn2bOkH0qJBpEmk3b5FEVpKeTIMz4L1BQ8Ow+aDS/mHAawsSsoI9zArtxRuQAWZPEFxyc1T14W 4MXyMG/9m5BxSh4DFEDDGb27tckDbj/eCb9yB8+DD9l+FoVVmgNZ5EqCGduVMhiIs/jkEx6uvri+ oWx2HNpSseF6NPxWPApZsdP35hNxW+2TxPypNa7a9GQROJRxoIck5h9ifSZ4psj2YfV2bQpFmIer TpFvkVI1btflORzuUseC6pB0RxXVh+A101Pkr4D+BOEBXzk26bRkicqhP17Q+hAXt9k7oG6/G1Dg gLxU5FRDsUu6EP2Po48cm/CVpwraNvf1rgmvBzm73/7g+uwSMlP9whD+DtZLt8DhxlN6z1izpqde ouNcthOUoNbQmkf2eD5ryXmAOjoqszWzcO3TYqw89xCSu5s8UfA6cqHRJKbP3v2pz/WctSe0Q+t0 IZq09I6/3JsP/wSm1ZWBUk3DsvQvpRzkfBRd/U3M0JzpLHCo72qiCNZHxuqKoIdpVE9hBzTh/vCh fjT8/tdw9F9dtV3w5s35t0dCXS2T1s5eYVkAxedIcuxvMKW992VyGRRbcxJVLoRK7R2e0Pl7Ky2I Q4Qt6ICs5yp6jUNYxQ4ydhzS82pqf6R01Cu2FZMAoMD36BiVBJ5oLqKulJICOns9BaaWUfPqav48 AEqzPsJyDGrnLRkDkpeqwN7YrTL3uYA5uPGnMLoujKKVVy0zJJ1g9eEQ0ycmNvTJNfvaUki1hWlC SRM6zVcDIGORIrbi3S9Aypk5qtgwBV1T5MQAjhgvVzyM8OURivCEzP7l6jM3UEpZkG5/BLV+BwWA hNXFifM7ywf+7yR7KDSYXx93DKsJ5ow0w3HeG3HCSM+SW30fGDRztvYfyncLAL2WlwWASaZGtODb q6yaJ8SSMfAdT/XBbDSDZp3VgT6ATgBp0JuGsYJPgIjNNE3OdPGKVGulXVFCWXbFYg86PGuGXC/D w2EYUX12eiAInoBS7pwMd6qA3Zd/O3CSqaRCvLs2QAh19Z28mva/7DMMDEyE8k9oTjgeAGfxjFNV tl/FP+orcqf7bxfSkc4TY++Qocdv85tsVWQgEdLqtliXHNABUHU3QZMWe/U88JKwZAXxTOGhveZe r2YKz50SSvNQmwzRxSz1q7Fx8y5X49CYYcwrWLKMvg1YOzWRUtJGQW1DLmWfCmhTY7Jhhb+ZKDnj 9b+R0B8ZOD9ov9J8/OlptTqgUFtNyKhauZ5EtAk5xjbZO3BEh5d4z6u/0PJngKDU9bxUlg2c2yBs vQkJx/Bfh0fZ81WOjvWluae7TCK4evLtsod9y36C+JWgEPXMoGuonz5kjjYKhG8bAmoaEy0vcSRF sfYNFR6DAI4M8ba0GXpfnvVqR+HmiEcu5Dg89QU5WjnsMG6sc1Ht/W//KWDTrCKoG/kZoaW2x0jG 6SHXf/9+Wk2CRHRu8OHuXos/h51lvSoxy7Roi/EopCdcSY7Ar6drMh+p28QdXV1ikWAx7+yMGEZW F/r0/NeoUZoidedKCL5OKOEmm+UJH7P8XPBu42xOxk3XjYytih7pfLKk8P4F5PGbkyoV/rtSTkn8 unBEaVxNED1ZXbQRka1DPY42iZnRz3Vo+pdyVyxuVhqc011hPf4z1VePA5wdEcSpzVcPqS8aD4vy MFKAKTkIxdwpJiQVRD5MZN97DZ/GAZdfJxRxK0AhxjanXyE6cHXo3MbLvi+RzrLx9oUcmVIZt4Kx qN2fzXnNA69jV6DWP0j98du/2/NkaysaqPJ7Mo1sS/y+fQVzV/7q6vMtV1ycvtZXoIm5p6Vcl+3H jFqiS7aqsmvpu9mbWBz28jf0oL/l5wIO0+3/LOxf8BpCdZ/CcZ97GV/k0xYxSY2qExQ9EKzyDtOa JlKK9O5W8r7Oi1fQ4vshRhCBFvyxhktjA584wiIAafocDzm6V4wQviDxWEOrSXk+whYQXuH5CcOO adlxuMGM2WHqCv7ky9yRWXWPzzCaxdlw+VZqcH8LiHlSFTe+HZjW2V/61c3T88NCY3F2N7XWsy41 bag27skyfeowbX0AhZsgPkSpTgcfkbsJyYhSJfhxEtwRcKQVN+GFD44+3MEZza8/e4d2Q9l2Wlf9 TEx5Sl/cKH4NTOsV41dXePHMuLz1D0zh94Ms/YKohKIef02V0N9kY3GuwPCczhUG3Ebpte1OFo/r 44TC+d7ijtZ7YOo/2bFeg57l+SFYjqAIDJIQom3PiHw+A3P2ztOgbB62VxB4iyVY44M9VQhAQO98 mZg789XYq6SpIfYDiRnbhCqeksMUItV4F3snJQ2w0S51QiCVejYOiFxNMyPaDaTXV+h/ATxKBikO vr6DOKWm0xEq9cLBjpwnPPC3Tzy7CpL1qW4+sJyVhGm3XjUUSszGayJgjddtNRPIYdNB+RkjIPUk d59ldMK8NS9l2TIav63opmyoSPJa51IW/5ALCC5otlRvdnDZD4bzJF7i6yJycuYVigjhQsIjEBFv eqebsMfMZW6Y5+HAriWZ3uL6dMklK11TUBh1dAX34O4wwh6pPwaXv+mkfpiP7p9UMG/onn5JDKjp /+wgJl24ZupnTmb2RRNwZlZh8AXVRNrhJFh2+uLCu2fCBCA9+IJ6fSjVEuu7q58RZqlLSpvp251V JIkit5jd3cFC7i/LMDcbCIQHMRj9PS279Vs/XZFTc9Cw3sqXMzbipXJHwkhGq+0hkzmfbNlwZ+hX 2VK7xnTlk20EFZpBq3Cuys/WsShQUFQ1z9R0wgsajmk+56YrNPgqvQNTrk6d/EgnY6pYeNaWK420 Vta5mMHfFh6baibRWYLH1DmMfFLAftEqShYd99ioaf2ta+8IcfnqbhY+em6OiKGLCo1J2AQZ4Q18 vwTWJACjUK76A5IgEeD+XicgWbXgejZ19yQU+MfGyAvzNXWDj4vPP+fV/L4W4K8oMYxa2guONaC8 8BxXDSfF0xCt5UnvpsTxrqVT+X8Zr64gRDVYgqhfwOOz+aY5XEVs2eFOojPSOh2eIDFo9slnbnE+ ZLyE6dKlTfUKVOpBlcvaYPddQw9b6WQZRZcTsQfQEfoZB7alaMERzkHNIa2cV0XPdaMGK6udRQDu r160IlskZkWylUUIOncok365oKPRXdkgHtw5ADPpSx+A1z4lxKvuYIftI/pJ3I+6uGWmhytAj0hy Y3mfdAeASJetbdcmfigAukn0opy/cyycg68LaIjY2lqdoro8pHhaa0EC8pFDTF0zBVZBjA6qg35B /VZ9URMyklu5Ca7er7r4EaHKyLYmnr9q24kNYYVlL7n0JXm5R1qyw1pVfVnFf4azUQF9r9BEuBT5 nfvLfDoPV1m4glBfM5HZFsb9+qSoua1uwMs/DpArungO3qrlBEo4Afp+hjpkwUzeAGQvE7ypLLV5 dzfQxcwZTTIMXc4z1FNaoyerJi/4SGusMSLwwbVKEMyHGoVVP8lUsImmrps07NVIzn2Gi4RUm9Ee 23ibT9VhPjJKIlvuMlZN0yYTj6HNqL8UkPngG5Mvh0wiq8w1odMRvQ70OMX7wGQnNVnZF/4VPdFb Hu8QONUP9gryei4x+30+CJV4teHc942ag/NGkll+hEFUTH8iE/ZstcE4uBm/kWlJuH3Gl+wIcZc8 sN6Bbm9YsC1Y8yYWL/Jci8nw0uZHPF9L7srrYpyL6AtJG9iucMfrYL5unyNUZj8voVDhz05pHrPS LVJ3slW63OUOT3kyNfwCPwuuSnJPDoWbl+bNZ5PggUkdn18YKVxtklCU8he/YZq7T5y+kJmmcPUk SGzbrhfQtBAUZgxMDqOvAMfXVKtmhlJuvPcnLWCD0kWG4f76b8rj/pVpsXnAKT0xvOcZLJIPyfgf NFZ0nJP9Pr/CYrQGhavLVqblNk6u8TTwoLg4hNaxjUgBCQGxU5XHv1bYgBvbhqiFg1Kmf0adEM2e cVi20RXxkybCvT7QYYuGxWL/9IvaJSFOBsYv08qO5sXJ+vDZROwyPb/W5TzH0mw2cZI4Rz+Ko4M8 wqF/1JitRJil2pX5XUFADzBmM6vUdfj1WfMl0GWkve6gKFHXIQe27KB+wcx/RSDGeXtWEC1bSbcf ME4gLdELjrr9608yOCdqLynRxJATmZJb3iTYR5fdDAiW/0jsNXmAO3ZxK+rBpltTB2Y7nobHgELQ g0WHMZyM7LK2fTsj0MTZS4aoVN1oVJP8rtDDVIrdQ4w2WVu9wDBFTNdh0qwP0asDyUidUXYI2kOL FRbENNdsKttHshmarYbqfZuoQ4+b0+VtqGbbCwiKU10moirBeZ7Aowh5CYB7TBIVgM/4RvZptZS5 z0BeHMmhUbLw10QQ/KHtj7wQUzZsY6YsJ/2wrFUaTEPCAw0XALbSc60PpnBOoZnQX2ZTpOdydB4m TMBoSQlRvfv0g646QvOdnJVC1RXd4daRyH3kr0jzJ2wnw94I0z1Tjc+x4bKNzRmmfBc4AV8fNC8g Jlj4inq4wVH+Y5O3MdCpb1h5r2gq+CI3BnOxixiltkN+QD2xTET42M59myj2+zbzhm4i6P+47ymW Kr1Akq1k2hueUhSe5Lo1RZKcDhle9F+MJ83iX2MFZUVmKvTj6Ig84Qq55TOy1QfFzNfyfxtjuyO0 wG3wN/aMZ+w3e1ixG1BpSILkvYh9CTg+4jOFhr01s4V69GVNN4N7eRPeTBtkg3lNo8xNRx/x+Wko AYdxMiiAjGjcywp//1NC53Kf0jqSpti7dWI72IP3PFp3TEGPf8toX5WTuL0Ft+zApg7E5ZgM00b4 LAqwbDaWC5YVRxfLD/VOk0Pd+00LedBxPha/YkgvuFTQ+FjMqeMFWR5RzuZFd207NiP6glwBO4LA +dMs1Om4MUdyue2uuotss+8t2ur38pIPdH2mwBZLail6QrDOWUGLHGqGaOXbMJggawcxtK/Q31Bz +OQKs9O/dnk6J38kzJB7V1tLfPUsAqgwzSd+YLAWt9CBW3CKG/gZn5t/YYEgpsR6BzDaFQdNZOg/ GwZxP0AWwX4rFedaOeBpxMMioQSk3tJNY2Yo4jQl+gGXXUW+315nJbSsR45Z2oNA5V47Oz3gqFEj gaVZefr6jsWU1fCK166nZCH5z7BY8yQZ0Y7SU+qF08i543I40BlrKwOOa0LdZxtaQelhOglba1o3 xBqwssR5jPuWOYHGxFKCQIeQ4PzaJutHKxgQFFGyfxvMAVB/wNX6t9mB3aujKB1u4HTBz5nBvdE8 77T+dDynMXtEiEVbQgM0oaulF2qkiB9GSLvh7gIMm0KYFXN8trSRD8j2xn/tG5j8X+u9OGGVaNUN 3tb8ghEJflj9nnu6aiSS1k3BaWfKb1/1dF9rKzZUdvKsSjOsL0UhHbLJ+Kgumv/o31BzUlG5gjQf dHIuJ3RTJFTns6a28CYnZsj3M51ZL7BfXcg7aH7YvDKTfKdhtzVHL9t3KppebQA0zip9l9x9nDBZ JDQ0dWg9MsOZa2WXT9yagrSrHr0jjmdjUXcXJdCx6jV7tduKcEPwTpOB69yWprGctxVDPfwzARVh YWCu1FI1mqCAJYx5mnN+xYegVYtaHbf1NkQik/arg4XBvL2yU+AVXMrnro06cqMrEgNiZEmtZH10 MmyGNcb9qho7T5d+fId+9FDmShfRK/ZY8XvTMxH8+WnDOmOsoqBhWn0YJYbbD8DO5SghL7sZssXy 1f5b8waWOSzJhAgx3wC51LiD98n2kZuKeKeNrqzS8D17A4tzcJXoqMViLAH3Ap0y2zHwWQO3xUXu FTTIiCgDUkA16zJDfp2+2ZAtxjtsf9c/CjrjYJip9xlPRY/NfcxFuyDCBQ29QyXKtri5MGo/B8F9 hqdWyjp+3VvR8koemAiGN2ea7uEbpJi0dKMEFUvQ3YWDxOR6bv0qHJhgPySaC1wcuIkcn37j7ANx OuwUHn5OJds1e2oo6sOBvEEaL1dGFLVhQLnaFvA16TOxfUZwPANJBYFTYEPLFIKaFlEyiCpiyKB0 0wTItyq6sMbE6YpoYqRrOxYMZ9b4PBtKryRekQXtpdfxZyQKsegyVeuJ1f+TsR2l37Q66YPELh8X moYPpxPMY6Jmal5Uz7FyvEvBq22U9kYl10Pu5sBfV3mdq1mtDxHWyFT8cF+plYFl/9sAuTQhvIQP p/CwQW+UF3jC8doUd283uWdp7PEaC8nH1WS7LCRz07CRCDoaXMmQP524sO9bxPLqP+qjsoGdeAt8 5hptViX3gxb9zDBcv+s5ng4b3K0/xAeyTgSaES9d1Y4KXwg6i0ZgjpPEWom8gNvzxw+7Uz/ERzo6 RsODZtMtPbI8TWgtcOlD6usFkOLmAbvKhby4my8NZrJOSkNrClJLqM1KptY6Hq2q4y2kGL8MWuN6 27fujbyLPb7lb1tHe+Lm7zBWSq5taxwVAi2JAaFlxWOP/d+eT8qxVT1YXVsxYNrXM9xWtMm2gbGV UsGmTSzeMsTi+LV3MwxU4pL/W3YhHbf1B8/VW8QtZrmD58QsxQjs+6Ard3e0Cf9pAvmyhGcP5pXL Yia/2YkNB6CsrA5YKbY3mHun+PF90fY+6CFMEuAv2CqHnGDkJdDE50Ap/XwUneOKCExbqRP6tblQ LV7dZIGVvO3srIY+LYsoM3tBpIXNN+dA5lKb16rdbbFjVyRgKCFdaHFSBdA6mhzFk/KwV8o6Qf2D /HzC0Qj5n0p12dojRm1118Ai9huRQTi4UfY98gq0EVQ8nhQUUNwLR2F6vFvbYiCPBX/jCKQ2ezrn Ur7mTbK0LIkPZpR42JztPpiNcw8upt0M6ULn+L+GrP3qfa94YI2UXYBiqJXiIsubicjp9B9rJ1// eSnB6KT3a2qrahnVgIeNpAG0KelctaQfa8EEJcbsih86TG2LaYLLefOqPyFQSq1oOwtSWFJQmyU+ C8z7ji0olCn0gImaStLDZJhFP/BuT3WbODFQ8/896zbtfNvjuMh3H80TwhtLCrPSsuB12Ryii5Fz f7yh+QQu8BFseuGUruVBpG/Lm3zdcPCD6c1pW/iwk1kOC3NH3nDMkdCUoA/QlkPwRQf79nnrkygK g+P+5QuoNdcIhoFN36MTyfPA2ttHWL0S56nOb0s7hFvTpxoGnWSBn7m/zEdz2BTPjB0dvhMQ/kTa 698W8c4SSmu/ki3RrCbxR4snjTKq6vr/7zqUydrW+7uFJfFPHUrDmrMWhQqDQ4Bdci00QijqFK4m +lxJ3RqEtDmo9LmIcoif7+2N+HuZkSkfOD7Gnq55EftqXsI= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/sim/zynq_1_proc_sys_reset_1_0.vhd
4
5845
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY zynq_1_proc_sys_reset_1_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zynq_1_proc_sys_reset_1_0; ARCHITECTURE zynq_1_proc_sys_reset_1_0_arch OF zynq_1_proc_sys_reset_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_1_proc_sys_reset_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zynq_1_proc_sys_reset_1_0_arch;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/common/rd_pe_as.vhd
19
25238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OHeaBmhw2WWXga/8pOVTMIzcYutI6Mhna2kzvZmeKvttg8GRcsMBDXpogvkdmdxp1KLLzWXMAKSV fUAOBPVAvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ao3tKAmGrk9jDIJ5tmEl5p3MIRphIc7Vg/SqO4TER/rFDRMS3J83CwQ2b9YFrnde65FSvizCvsTV 0Knxkw8zoIma+TSgIxOnivhI3WBhgKeA2uGkUI4h7aI3JKyXt+ar8rATgfMIjtkwwZmXnAQdFAm/ DhnKD9KmESp1ihQZWxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tIRCJBwrqw861TllYkYZisN+3Hf+P2JXRGH4rS3/mIyKaeRa8ciKvXh+DuDwE0CQ8FK1JKt0o7Wy 5niCab0pNdgMIWoeJTN4M3Yv3mIYHhxe/uhUY+qL9dbTdi1peu0ypGwB+pCVAaCMnYsMP87ovoxG mFxz/aWHoq6z5hUiOqs/8QctFGTu5uGrqo/fDpwnQByfUDzc5kOGUXom+7Ix+u0CBnUzxUPMVE8H FW15FWlEhZ2/WOv5odw8POvTaQir1St/I4TCBaM8Ne779Z1F4E4v1nyrImWHcYGt30Ex/kdASWup x0rIb4g/F4zfpMwk2F9PI0IRzfsxsXBx1PSZmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vDR9iZfmcKoc03DxzsUkjAUcoXZpLGp+jz9oB+bhIzk9fA1B+YkBJ4B6wGhxOSVsIGzj0A/2+sve cYv4/y/PnMWoVJu5GAXMXsNWS0+yhRlFm65eqZTnif9T4BQLUfDB3Poe8t8+8qJraoiNha1dShh9 FtnafnjfaWlgFCK4DSo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P5OVsGiC3k02pbA8zjICborh5BXFBySD3cMhIIsNr8DZdx+UrjbiVbqZMU9Ry3hJ/1iX0Q8zDyFo F6W3nmvV82n8xeQJN36fxUpz69izOLDYVC7B/XqC5I6fwrewIKThxTuK9lZtFdQHHrzj3T2ZDLDy Z1+PK2wQ4cNjjft1DSS07aO+6gcWXb8X25cWmNGk/P6Hl0pzIcfFFHwO6Oq+bJ671kKmsX3jUKAg DTTCgxx1Ex2XG0j8cWCnhZjmetyd9o4fKBdb10goxmIXB8/8Sn+4BcUJVLUQkMnRwy0YJGGtpiHs ZxxUU5IU2sy5csUBb6rGbP4ap8jLGVFhtMQgiA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block xeg1xvAX445Jemc3c17YsWueq1U9mUrvFKBzq3PsgdORUCal7C/Jk+MBfoacKIz8R5RXQ/ilri/P mEP0LAp9SnUCyUesxrkPXlMrXZu+XGBKHQfx5sN+wP3LyOBB3sDrK6NyP0Ykc4HD7SarGKwalNYj mMeui2tL7T/I4JVXc6FJFbwXcX0MTTLAdPmnr7HDnGZv55u3NI+4nQWQ7dUNA5iI/ERBLJ2uxtjS XcbpESaOr0+NIi0wv8WBHPI9UshhRzckoGVVRQJKgMuatKBoJZL1Kh2SaKZraeAhaVCC+/yRUbgT uzmVJrQ+MfjaVUD4bD8/72c298owHi4TpTo69FSJhnWmiQDcJKvUIbXScpnSeGLXGiUCIhmTSVI3 jkf7CfvwBd/yVB9G6nFBMi/s1QTrhlA/FfzAXjbCAn9jV9IAzxiv8DJQF74bgD3cbsITk7gPO1xV 56foC9qPsGzYTMB88iae+Mpq2kVgav7uQwuxSV7Rdj3qX3LrFbbmrn0Ws3dnyfkf3tRBYmrcGZjv xsvaqVsBEZV8pxpvwoTPnlgUPVkhu97Zckxde+jH6X7vwmfZlZT+5wlY0SGDwjwIDk7RGPh5oNow 9cm2ZBEruCirgJ9bzMh66vbM0RTgQgfhNf5kIW/sqRReqKLFRVjPtE9QZluwRkt+zVw+OEtjvkKs KXxk/3rPxQsYRDkeXm8de2melrzAf4kZ+MqZAg936SEjWAgYlqNfVsfx7aHKlnbT9bMT8RDHk64h cXXrZ1lGHa2L9RNlvYXKxwmAxuLr3X73B7ErZapkVeAREz4bKuxvmU34Fec7dhcVsEH9gju77Cfu wRIKSuT+EkIIXqYkCpbtJaWEqHMYNor7L7w/27QggYi+BfxElrQpaq1UuMWShg1hZsUa6BpYTXs7 6CVrXwSwxsbeHK2JvwuVLtIMQ9DKHcbmgsqzfUrYKR4wkEGM5jvckYFJMlV/FwCsKMTfk/huEr8C i1+UajHGXnCPUJU8Kv+1QrzW+qp0L2MX1kXwUsD2ZtXVJ6/1tJxstpKApP8kqYe7MCN4AJMBm+KC 59CcyEtv4kalQS1J7ZHvwE6ZQ425KA0ZnpiZwK7MyHjpVjRBtePPyRAw+DQJE3V/9i/UjyCbIG1o Ak+Z3AdZomOZ1ZUP7BUJyoh3I9E5px/nzgyVTGJZYBznY00+YrmtpNhU0pox8AH0XjcLTuDSt+nh uV0/d8HV1i9CdKM7W4UsL69Y3PuCTdwn4Csk7PAAPHPauAguZKgL/qfHTnsE3PJE5iLcKKkgyIXA QkYEPijhMzQb2g01JFEEFZwi/+1cnIVGwnNbCU1xDr1N2jomiwZGXeM1eD4fuwIcvlSrwfNwczke cUN1Z3NS11VKnDizZq9ulq38bynFoDnkj7Yigzr4txTbY2WwDGscT5zjGVBUAVdUswbPP779QvLN bEM7QNDVR+aE4FIruPnBdAMNtj7Nzrzdm19hsww0oVVtDT9CqpKJwbTb5wJo1f8f2cD51KY6dk4q aCIt+QgyDhFJF89qz/4sYE2Wd0VSEK8oBLiZWikG4YdJ+3nAfJm+MTPu5aRbNT7w0X3L7ym7hhuW 1f2EEjkyMokFpDDiYjrqIspD4UdZSc5Yqb3P8qgHkPXvukwdyUPe/e9jZnKRHJ5XjmdjqY96xm4M J2rlJTwzHF7ac1a2iB4yLFDzrBLPjVMMbeFMNBMZs4ihZTuMMfqQTDJRLC09clWJm2NyCmXglVoo 2P8QJ6cUJPEUeV3t8cq86a0ha0u2N9iGCp0m1Ji74pba3cZGtXjoAnhrMcs9G8+T7HS6MT3978HG lUTOgBGniBxQbH1JjjC1KbMbNr//6c7xy3tH4aWy0ybUcFghZW+4xDZCVYALsEN9PrtsL6l4IaIA 34UqWARkgh7Tgpnfz2y5c/ylTTZO/s+2VosoM0UL77diFH1mDh9AC7AyY7gcl53y5W/zXnWtHX8x N12vOEU6VEwhpBNHGR9MSsYXoTbGBruoOvPNQM3Tt2KmZ7tyTXxGU2f5n/fZUcLTJJojq6xdWtz2 H02F36Ne2dGXtKnUsdOAMYkN4QALABY0vbNm2Mj1EuZXNblqiHjySFfVM8TqSERXwvNwFzmPR8jd VPHr46HbPFNSoR58dJFKL08d/q3vjIgB7WzusuHoS01lHqefwuY5xF3/jXOhnWsTB2mSxZaRn2d/ PZckOCPAbykUGS5hUb8qxPP5impMKvuFVX5kpf5axEKCBk/geaJmXj+MGdi2G5/t1q87sjW34m3T JybqfZDVWuHzE7jh/5ihUZLlCUGQEbtgI59RqpZ2Lfzu0DCENHOQAaCVI2ygAXYYJI4UDd5crLiz MfCi9DmXrzpbRte4iT2c8P9Z8IXj+stxu2qVRw1gHG8Tq7QG2PR4w7/c1O2nQpDB7O1Orr/aAi7R IXadwQLubICcyrN8P1qb5mp0zxg/PgSvXlpf/qRYgCLJj6sdLh3EGvLGhwHt1LXYligRBXD8nf29 DCigNq71NomWZsb/kyk/PHQexWGhcMmzYy/8TO+EalD3NsnDVSlGzE1RI0jv9aDmHeTNp4f4q7H6 pORA6kEACAnSN1mN8cJqtHo6WCcb7gjV4MjFHsfb0UPE/EO114ScV1Cm2EnMlIJRtVlYf85+UTS9 GqMN/DhyuaQVRWcdzmah5jCrnXvNCCkKbe3r4LSkROwo7YL5HKR2ebqeskaOlPJllaEG2fS4yAyR TmuJLfq9ThlJJWIarbUS7r/9TUmndnjR643sNKECKdxzbVp/7+YpoSANMo4KaLM6E8SYffDioJrl Bbw6nxJNFTJF0k/MlHwt3yjGeuYz96Q1mnPbJx9o2LBi+UXYzPwAaGCXG5hfrYLWaE4hhG8U4RD5 sTgY4KeJWCXC73Q5Dt3c+iDqszkT+OzCcG3z2JcSYTM6SPmg0dvUpMdbyP58d55csn0cFRf2Of3Y QsQiGI1jEVndsGYrHr8vje0NxxzMuRw9r/Gz6+KbkkMaqKNjjSKxVPOMBdwbqO/4xexy8/eWzkla +0HsfvcIrTCsUmWzD52gG7RXijLW4MesxOap0Ir+61WCcGvt0hy0cHdNRKLPq6gHtq+c160XUwQ5 x+8OfGeNeZlLdR66XrWUX9H6ZM4rNU6LgRc5NQIpukCJGOOr4fZbJu7K33oDLdmym5H2Bq+uAHN3 K3Wk2YzFLGqV0+DXwwxzSr+AD5WKkCkKgDt00iQeAejnGNyth3Cms+1ISbPPiKKryALxlRbR3BJl cIp8PiSYttiDA9DlsIBKGx1cMx/ghuPvW8Klmvc8Wy3MlBn0d9GgSflLtsTnAAwERvdKx2V7RzWU PZr3O9ZTDJtbyqgqHpDW6L7KoNgpW9OhvFkVI8DLirjdslIxnsvwXsqPgPNKV1gdAP3cMdvYdYTK at6q6x/I6PwGEan4bfuUgOc8nY1awqZvZMbQDB+8Eus7ijzoSPI3fVQWri5dC0U7NBB80D/3vf4J cfbGDpcMqsabM4oQVqmCbI52NSapRpTrO+6wacJY+dVy2cuOs/Cvd2ZtBmdlecEVjp98QBsjPuMl 6QJV6iAxjVZ847HhrjB2l+YR1Dlw9vXhQLq9J3pSjVbmfSjvRT0mPlILyw+qz86yBoqHFqCkJOjl Aea1vD5J8gV8A9tGjvM0JuAYTHJN0kqeteTTsk/qbocWod4T8FlsqB57ZiXDLgSI8E1qRL6chtnF uUBXzrl6FXw3pLeFY8Gz1SulMKmQCN47uaVWN0zTcmhleGxUlP7vIqxG/aem6dSwsUlnPUq8kIxf RLUHWgvEpV1pHKRirOuy/xe23whA/8HyetImPAKeYkud4Caova9xrB0YkVFY55vJfaQKyNcSHIp7 7C/2I05cFqaENbOEsO72MVyjb2Z206ZkjYpy9mvgY5FyJW/cng944wfCUYCSCNS9kDLspsHYPwrC jRQuQdHnkjI+H+F/paGPu+En0CZ+eqFKnlU1c+0dpmqnZVxlAbDhextaEPn2UVnKlXNoV/kJ+DXT Qh0Xol2XF7ss8dWmZqrdcGd5VH396sEOlzw4JgTM5Ekg+K4tg0wrco1M61/L5Izr2xOogkRZ2E0+ gCkiabFLw6Fg+odSMZ9f7Rcz01UJljpBkN8pkNBGJDitLPUG4XOWealoqKYiOBSIPIf6mMjRTPJX 9LPtdJv21h0t0EwG1l/o88c/OsFhn3ArcI0PEhwdxDYXwoWlJ0zm6CpXBk8HmcKtb9FXX2L2+LLU hOvN7kFnsQnuMScQRdIyzOwND1VaxfPwi0vqUXFWiuKM/gi6LAXlQlsqKB9oAl4GSuG4evCICgGE AuHKyvju6WmXSxu8K743vvjy4//9bZXI+gECulJ0yvrljJjhh+0T6aTEf0Ojx0xEDPcykklLIcOL 4OEL1HDX/YHsV5Lu3lnVjvRj/OKgZCvEi6ygINQAwKQGJ46zfnKh2TAsbIXUa2QNeOVSemOQ5r/k l9+gCxpk0jPJU4ZyOrBSGLQa3imp0BLVwrzBlecTk3oN5PkTh1ErO/Q9XqHh9M4qsPIpQ3FAid6c waoaH75PjD3vOqMSrwKXSB5nlbddNhUYWxsSrcIHqzRqD5M00coVzxKZ0tAzQNGIflnea9IaeJjV rUJnjC6qrCb2lNvX2TPXl7DvL6yr6IR5ERNjH2hQ0RCOdI1Eovy2TJm8nP7Hr1O4Y/1CPgtrARRV 1BKzgfVviSuIfy5dup5S57SLU+GMe7gHWBxo6C2uObCtSsl6KU3spG2T5Zd80wmzab7Ettcy3dVr HDtelhW5fE1eoUC5/jXbuFcrUfQj7IcSYpcpzwhYNSjlcaTclmbMN90Ut5WkCBeao/Sy1DO4kqIy i8EwRa+5rGPgUTVh94kZuiQXCwdH3U9QTz2h8Sgd5h4hcOXQqUF0dFDuRgzWj+rnpbqp46qN0/CK BCgTpO8ZeUxxT1xTOPKyNc8rtKqny0TqcDPKRf74c6nuKZ1/AegRxMvRWIUJRPyOtMMmaYG8Rzfh rmMK+oROwwKF5aytRctmI+1OuTrFGGmIVd4tydW9Mqi4hNF8VaIYMMjpgfkvzKgd7CWCsaW4zUea N8Na5oygowcdviClN98MFm1Upz0HhK4204RQYdBpO9TxT1s86CZsyouucLmE1JKV+6QG499TvZhL 0aDg1AJVUYa6GUb36epGjfW/jasgJvctz1Dp8C5gJ/x0QDghg1A5JlqKK27nZ7Fzdzhzr2kGGfd2 vhQrkMIqHEFdP7D/7KSw5TDEkSwLVgwAk5oanGXsOuF3fwRfbGlMB5BtC+td0RFS0yTUVOhb1Uk9 UmE8+CkxhkxEYhSi3vGAg8LgbGmNuPU6gwYSdr1FwxC2eAa0ZjxaIATtab1sQ+NXRYJqjvFk9ouf e/BM8iEdrA50fL7YyEfxFRTOr+Zjy+ZaeESQuQaNQ80x6eoFc6p+eTLF7yA4+FN0NBqdULayQZ2x YO8gLE/LRG0fuax5bqWfs6i2ot+rMHwA3OogMoDZKDHZyWUV9FUwRZRADOsq8yM9bp1Rx9gnrrGR LaJQSvqso5Ni5YONU1P07Oj1F14gl262tgT17Y5QC2w3/3OPnE0oKQtxr4BVlPg+WBM/ammQXyPa T8MmYFfIdpKoZ4IZHDCYbQW7+sKAcXmZPP8L1AYunVw24MFIp6CChtFuWQxS3r4CJMquxX1Hj5Dm bKAZvCF/M5grhlRV3X/jetsoRx1fAgoYBynJQ+v0yAUjhwM/9bUBNxqkv4DTXVdAxSqfsqc/6rh8 La4rBeWsh46pydmuZyuQAp/O3OiJaXp13CkL5nLhUg0LvWm5XF7XB7YaKQGJmS4Pb2lHqFivuMpf Bn+V2zLn6RQM1IKbEINQkWQU+FoZTkGZ2eoXkEv9/DMxBiqM+qZdzoBDW37c/WXo6fgqcMXUtaPU t9rA7acBfgQe0CXJ6341ZB1M/zzKBaY+eh4Dm1BnZPfNdS5d+fhmTNowv4Rjxs7b/UdReDVkohGp CK/UdxZ/AyhcACsuXh/6hVhCT7q/iQh/1n0sE0Fjle3GMKvj8YgHMZug7EBp7Vrc347raTVj3X3W oqaUS+GEUV31A7WWF5+hfbPoz6PgNbNx+rbM/vyAjyev3bH1jGvcqh3rz1GlSNkOnUG5e2DX9aFU JLFxQPUvcxPINf9qxXwneSRkVONc5M4/ixVU4hljEAvWjskV1mhQBUx0SNc4wp3a0y6Q8rwB32cp Y9dQTv9ltYIB7zEv3Z/kA9b4RZUlTLMN5CwRzMvmf4QNLj7lwGzstIBqdQehc+ENNswizfRxef7R tlIjI7hYeMXmntd5oTobMRdrPOZ9oaQAyUQpSU222imebn8BCTXcMLEaZ7VndXFCKtIiaWlh5Gg1 pDGtDB4ATvqx0Lg2+amHNH8YczG12XwgwzMhOieaJXZu9sU7PoJ0tivITQQGQ9ZZtnZKc3s+m3e4 vmorxrR57GMH55SRS5oSGeIp3jeQ4ruwwKAo2tQYZQ4XEjnvXVHHXNRbcMXo7kE+ZUBISJ66KMxc R2djIYZYMxyQenVspPD1IG7mmUcq83MIk07beIbpHhI7f2SVrgxG8b7Bl/9o0DiyLZFAJCUIQNDy DbdlIkhs19VZ5H3E5+NLwF6ADDa8qARq1ycDij9p/nJebydurrrn0P5SnHOiRirf6XNsWKRFrkz4 VK+DSRlCoEPKdJwGWgA3+Qxrn+QHg4c7DGDcQgO0OnlLpNrgaZ0PT5nQXGWTA9XsWbI5vbQb3Sez YGCmHE8iwQAz6iK367cGm3pfS6UDlRk7fjaDzkgxLH/tm/JViP0GrSw2q0GbnH0nRmbXmrf9O6NS DeOEPVumEgPxA0JPz5P5MJNwsHbU91oiKbvIh+Ga0WI6uNbwYfmAKKfLuEAey2ynKdTa4UOgsw6u oFupk6NqJOn5MJB1Qh22k7gA+7YJ0hpktMaedvSOYyNEww+LR8U7A5df+78Y2yqeQEE0ryJusCZw MpYQ4CKvYx8eorEy6ciTjPnqMNlfXakp0RaZEuLsxhZ9+EpNQMC1VHuZ1+fD2G8xIm6NfrY7/H98 12iqTEUoikIzkt/5doo4icIi4s2NJ5y9RfgFrSDkHm7Qb7YDuZuTjVSA3VuyLmJEjnFcDZhg8ihe wDyUx4IR79I09ux3nB8ZUQDebkGVs9HQqZ+un9HiZRtCcl0qLXxO5oveSCzUKFX01nDxj+nHf8Zq BExV2xFspczFmkQRhlx8eSYKqxaQi3XI6fJVH4rzja7H8lP1+Ulbz4As4V68YJ94xc9VVcS536wR dmYgZu1dDw/1Mp6LrIcyiI6UZTKm2G2DjUfhc4n57Sp0RVcwkQiUAg9LcxW2Zx3d4XNvwI4nkPtG hAKWmC0OiDXtKxwaxylwYtWYmHQ6Xq8e95fkJkP5JIjgVLagzFgAOcXvasAueQi+dxU0AxaREfcQ 87ib0YnrjpXtd9tG+LnDSYPnOlx/biK3oXbHVRtgHyzF9sO3CBur3K4BZiVk4AjHDD/D0BiUxNSn jhepSVzLaYC6tXrUDOz+9cqO5hulYnPgdArCQxazgYXv4Y0CJOJqymR1Bwqy37KOIfSiupUGdHuO kuEGq+EmnF1MJVZinoRTx/Yr3lGn6iP2g0rBcEtTUONfm45+7zU2+w2cDoFXWqm0nDRhgQ9kNUoP JzOcXsaN3D0CaPqLqFiMDjnIc019w1IKsKnB9j7NVSNt11jfi7H2yF1EgTh5+DSWTWW6BqB9JXd/ hxBLU4ZTJz1szPtSYVx4M8AXiG3Gh8cenVkqwWAoNdn7rTHmWjM77ryCShcSYEDcw8a2B3KmA/Qe Piu+wM9SEvC8c48LmzfeSLMZSNTnTPpFR3vaqcL9Y+HYqvzY03oxB5jJC23Ik51rX03drxucgOtb C73EqdjLYiCg7U33ZtsIYxLJqeStGuD0QDjHeGQBOs9e//DuaErThYigDxKW1f6VDs7Yhtr2u+kQ dELqPytyxrf2rNHjmQfVPEkcqtb88Y1KzJwQD872XYwWSFJ5nKyHqc3otJ/lr8gb1FfY+ktaHr7T QxtUMLG2YQ/UxWe24al6Iunwc7EcAXwkCaO4DqnH1bBLWO293i+E35lfVUI5pdpg4s1zZzjxrEwA W4cVUtZPYH9IcC+s2OOqar5f0eXT5g+NTeSv57XR8qxBosGQ4exRw8m0Zsbyw0oErZn/lbiV419K eWoff9Hw1Wel7OXd91jbBajXGLhOHGJvek0slQFFLyvz8yQ9LkVKmumBb4fGosLycy/rTBVmyCeS 2y8dsvqePLS0P2zbCJk4pF4wZC0IrzaK2X8jKmPxFYq27EfBrpXue4+QqtUdXZYNrNJJNyxuLBnj rGj5OFtSMfs6LMPAQZ/m0l01K0hCyLRV0PfCWLLDaW63D6+pcd3HcrdAAX39YqpJ2u9nQI2705f8 rYskSIhwNyjq1xDr1xkQMvXkuXuiYEeoGBobiwrCH9PLTvU7hQThCL2mx0FoK5uLhI4NARoS5mWC iCLtQ/CTCIWHs0Vdpe+BZMDVBdd++lGfYqnDH2dwZzkt2ucJ7BP686+z2ZjBOxe/2k0LFzpNS/LK liX4uEFVYCTQi3PfeeqqM0ViCnDoz3qQeeANg9tQq40vMnTIdEnjoKzi4125uUO981AnUT+5kHKw G5ACVC+SXNlB3qHFi2gtgPxADDhC/QPiNGzea4uIKyaHMcmGKPU34qsBelVEkIqEku7H63kBqZZN T70ZqbO7BSVGAbHBJO7me4ISi9ScJ8y6OCjrzc5A1UT/tLE5FPbqqKZxQ9rb0tF9uDXpOtU8oikK hV6YE0IwEzG6+WIRR240HoWWdp8lgs7Bxg8lbxt21XYTWzY1ivEWINEPIAOl0O/EBl0jWm+Dm055 Fl075Hs6iqd5sb98BQmDVpk/ws82bgKMSuPuXqDyBFvU0w1w1A7nR5GQL96LSFHiO+Fwa+dVg0WW JIeVCzAYAjoUm6WIZSIb3ezBcsfHCgU6kqHNJ/W12y3qPQaax28VxoDGex36Q0ZyBcUdXQgx5QRo wajDS0392gz1FH+P8E/H0BNSIi0DbI8V/qXMACafd1fPcu4x3FTgBTCiB7x8K9wux33ICwwzhK1I p228gOgU/jD+Di596GeBd3WJmTI9ZaUGyq8s7ob3vcBYZNCoXIL4P4ky+fcPeZ2mBLfwg/hFtnGZ l/C9jhNCZsk3FXv9ZCSrA1u7RZZElYiC6SUIGVXlBH9nFvE6q1JsqfiRN3ThW5FsUrIK/sGTG5i5 UOIRy7frPNkVeUOKLMXKzMm257gO+6oMcD0rd5/jgYNvwrovvhvm1Rz0gtFwN7ZZ9MB8cEHU+ba1 rnBMYRlYYz4Yw9WNKmxpMSDcJutopdpgC8jzrle9QNhFmlvt/6SdqLyzdPEedVKKuxAcpv8QZG3/ 8mLFGteI0Z7eKVeZuFhhrBuhGRwDSTQA5532RKlKfhP41DcS8DufBHlOpzeRGz1iP2NlGpDWLF8D LqAmmGPK0o5fGF59f6OpHWAp7d6vBl+wlcB73Lyc++OYwuJwUaxWsP+k3XxcP/RXGcqE5EiLtnlI S2gkzLRo8eDt/fNLhYPvl4eXTBQtbADBmwy+v7lNca1oBrZfPuLh9wnLVn76zg3Nnq1RcmtYlbDo y7vtbXeIFq/lJbD/A6xvLWsg/CA45n1EZURdOANRJ4SdCs5ORolCT6UVCoDMGDpdI/wl6sGt1x1o 0keskRDM1d6lzKYYKCmj2fvKQ5Kal/YO45jPjSHd12IBz+K+/80HT3N6Nf9WQ9Zrm7TreeexcvZk SdgesdfNnGq0U6fA2SuiXCIK8tvxK851cL3zXr6H/68FV+/gZm+lUHWjx53Yc5oDjY5wseobfSO8 +sItK/dT7AutVKzV4567XEiT9Zgd/6QGzGyx5wNydOdIebIZzlfG1QueP/LsooN1weXaAsCWJ5Qc mkv2HDY5Cj4V47xoBGdOfi8VfjwQ5IyEQTRZeL56b4Yoh0v057dhFIyX98k9Wme1L3AMgVqivJWb Q2BgXX0ehitWmaHwWXbBKLYr2KgFyxQG07QZNbqlvhEbLsz2JSHsAb+ILexK/48pAE4EEhQ6dZSM 0CE/4Oe8AMoAk+M0n4ycTACWLjOt6YSteuWItkIz9cHyp/e7uJ8eG/LsW6A+IykWoDsnIu4cKE+Y sHTwJPQB+PZ/ZEWnZnkJXhNC+KNAwDz/mRGJ3iEhzSmCp3OihAEeYm+HHG3j2xA+gSwFvsyc9zKX BNsAOjpjpZh9M07cAmGm4XtIEf1BWTU9qWbHZcjiZIwqmfE/a4bU0ANTU2ULTKfcpKf89YaHxlL4 s/o1lBTRxhqpj2aJp6+FaLDnPL1y3tetqMJYSlHgVgE17kH74MqrlZS7nd7FCBuKMlmnVBvdjrE0 2v5gxgLqZKLW9EAdtqyhZ7/do1ue8xGRDBv49L4FEbm2+rKGe6I+ViW/OYSqVnojhSCEfHsh3pof TJq5Ciw/kTdC+LvzK0DoaKFJ6fM3dZdKIa9BdmhoDLonXAnUWhIIagg6c479Tb4nv4EZWsd1hN8T DL+c6UnSKx80UBSnoTJyMjGBdPXpiQjF11BwRWChQ1mp4M4ct+FVeZasvrxdmd5HG+Bcs4nOY6Ip 1u9CRQ4A92AUdIYHGDY1iVM3SbDFD3Da7XG3gUqApjwODD6ggn3803B6BASiuZurSRXUqKKQVkyT cw0kp1tIlrVcDoezS6VJ7hhpjaEn+ZmHRCITPdnd+jzXB8p0nXELPkBPtmBcuNRYWyRfLNzCbhxo kPJUDpQA67Ig6hVdxMk3yJFY9owUxQJKNHGhy/Mv61zGwqCEP3/JI/+l7pN+OBJ14OWLMpCkLBXL OwZIaHlOvzxi1UIvps0d9cQ+NhVGkRNR3qSwgGf3U0Eh50YnfpBBJqPWAvVNCvJ9pr96ziL4R1gl 8jHgHIQWBJ5UF7KxRye2H/JJNKWnD8CPr3PJ/8+O1rXVezf53vv+3wpmm/Qim3ZX5EUoUxAarR4t JUWS1EhSFVLwI75UYIZ5QU2cQr/bDOi5VVe3CL0YP58grfKZRI0InCbxA+XOzPje+I/yplzXN1f5 idjztbPm2fGuB2FSbTr/xnYbK2SuoIbFTaSHyamrXMux2zWxfvf9KMS/JMhz36qU9xuHjgI4hnbI N+NOmzqSUY4MPRx+ly7js80A+1ivRgDZmmHO9EAAXM92eRTHRzddeCEMSPCUbsxWoI7rW3g5ZGl3 t73ZLI/cr5Pavt61JMjJZQx880SDTkgxeT9sCk2UPKRw4QxSmfCc/OCClV6xrlFjUFJODdDetdUd fqo9JrPLjSHNs4urxjHIpRHputt8T8Q7BiX+oB5/fp7Vz6P8Eu710z0obl80GeEGu8rL1d6yT4d9 SHVF0DfjKkqN6Pryj8BNPwoeGoTauiIR0dQ/7mM3F4RcgJ3/hvt7Tv9GvI97kjdHxY5gDczl4CVD uzxM0pynStkigPfM6KuEOk7h7E7eVBG4fCJpu8cUjIOdmh6SyuP1EISxcKjpKAdp5uHPohSM1Z0U V9e4eucdKn/E/gaOAlAom8JHbfi03VEMGRWnmYl8DG8wDih4PIzKBeRALO4p55RCEQTpkRTT2wl1 Ac1kKzsIWxAMN17vUXVmHKjOWbHgGQoBdqFcipFl3P90AodlVG64L4VQu7rp/P9rgrCQj0UIqG31 T6wyVPJFTt93nB47RpNX4Iqb16a1brK0Arz65gkQvR3v0zFlsqqGgpQbpT7kI5GO9jL+PLK6dhG5 AV4Q0DXZk0KPQJk2HQWLWoE/urWapyJ0/t1DTw4wZcV+7q8UXqBdb8aE6uPcwJV+WeZ6EbSFtXF2 KvajWKmI5WehPSkz270hCZ0HHOtQDFaWIsgzF3v3sAOqWaJlAbjG7RYJkeVbqqzfWFL1ITugw6sA t/OIAbiKBy2sK+J/kgtQO73a8CZW/Hr0LfIzb/CNVuKZVfuYCWTmfFgnNygojJv+0wGOocLfaejm dpKYCYyXdzTkNKVXilGUlzLnzXIbcE249O+ai8b+HunG4VpslMDRP3O7Ibq5vkwVmuSHHJjAg3fE AV7fmWzy4IRhLD8WsvlKe63tYdl8CC+990awqSb0ei2Vlox7T6YtH4KrB58Ee75QT6+u/Y2bVhA0 T05ANNi5Al8g4aqUmujqYeGMJB2H3fUUDP7Cl1GO31JK8dfhYjtGgHQgIPIbTu6kv3+WhfAPGPLc 6ug1tvJak/3/BwbM0hUBvDwlM626+lnYLfBPOfVrmBDmL4Mla2xf3HuKQhi0goybXU/5SloECrGi JSpNC5I+7M+4REUvJlkQaBYvnaUIAniC9rmW3jtYWKMpzESButjwaNkXeOlrIXsurqKu5n9tG/FW 4i/uzYP6CMMzBGYurEZ3Cfay4RlvsCVC7Of7GFOmLAi+WVfx7cL7NudBry6WZHpdOGiQPqvIglgG HMdzq32dnl8L9G56G8ptEZP3rmdmzZ74++oMqF4PLFSTKQ8N7yPJIRWt1LOV+2EQcGlr1gWoshET fqRX22Y8yPrgtdDzq02M+jKiovPpxLK5EgAMTTctYM30SSHvtGoN7gGSTULyGd/WYy81SZ1EcoCB jg3AoxL3n22H8CFpaMKTEkL/jXVwBNQZluQ5haWll9U80qNPrWrDeMlUmY9BZGQsH0seTJ2CPQQ3 /TTr0erA4H2OLUHp32yRdOfZQeP4nuOiMHH2sYN25PwD0ydtkGfAYw/Ird/sMX4/1TkbIKgEIn5e IOAgz4ntq3Kltom3VkuaTroFnKZIrqGXZGKsg3gSd0zeBVnn9gnTaPuL6nX+WoHkG7bJKhp+QFV0 6lNvBrFDKsJjA8jiuRJaj2iXtknmfGV032FERy6GPkXIEKqNBouQh7BHYdrgmrtbJaoYqTjnNF6Q w3J4j8G8wEJPxmBHt38v0uAa7JoOff+Fj846of4rBkZ/cnFIeuBVWJBAcLPg2B1OxlnC+UYkGwZo siuxXyGENqadUkWH0UfFW4DPWIEbfiPm7tLTy3KisUWPDluPHkvEpAkNzZNcfCgfjg7k9OdQRCsp 6CQSP0cSJeJFcVFcOSOa4mB7c5qiMIzrH2zyNUC7loMoIZxPeBVN35i4G5nefGUEcnpanXfiPN+i cuoEBMsYTjeNheFxb1Pnj+5TBz3dIyCglv8qmwhYJoSPb/48tX1/dvDLk+eL9z1ZGEPT9HRq+Tfx 5DOU3JG3kph2VGs8T71NyuSrx8hN0eWd6K93gXDIfXp/ib4wTJz3BjVe9trNHLIn4a55GiNbVgNR bzZ+c2AFSBZEkfVA9xW9yup0F/sAMShy1QqtXQXbXP0OQfNI4Fm+muSh9jEyXTvyyrbO8ndKTbah GrbgjPuyI+ygQRiQH+76TGUsigo95jQUKTlEnQhnIlfDQdUzeY+jhXmEIPQgy4weS5z+/tCnt3JX dKkwE4xvMRE9cQ5kZS+zgQcAmtXIC1mZuG3cIy810R8dcFT+U3nRpRNVoGJDsaFdpmalfzLizdcZ AozG7PPlpAx5y8LWIBWjZ0KbzsRRpthI0b0J5et4aUapxFt/FtXbSSDJnAyIrHGc2Va4NcBthXH5 My9wCQUafTEBBaCWbYLUoicOytY630+bPi65hrnryoq5A1Z2tbjcaPyfgmlS/PclnE8JwT9CjYd6 OboFdfAvO07eZybxw3SvJdKxkjsBVBMh3L5kBroXL09zE9nHxNV+iBEFIvBNuPz/bVHk3/xNHKpx aO88Z5z3nA/YM56b3yUEiJOyeMrTYF/4Zr7KWCz1fKG/6i95Nc904nWqi2OQpPUybz8kJgEyi1Hn 26KxjRpW97C+FLONMli8oWmvUA0OKkCcYQFQykT5SrkrfjWi6uRnAGJjTU1JvIv7bfP9ryN7Nw6Q 3NdxBtMJj4BoGOpOyjR2DLrQVOjyKFdLeQv2s3JYh11wSGYfYefuIHwK1JD2jr2TU5DFOBnBJ0cP WBVrs1XTIwJrABtisIWnk2G0JU16WDzBsUA1O0SXp9bIygn4nBxKmCQOWFUB1zO9p/vZdm3aOlnj bvXMgtr8u8D+KjeGT/217TqUrL5u8EI4dy/jzDPetoN4+lV+VYKUIiwmeasgXYkyUELr9NAab4WR mSLRilz9RpY6wBtKZ162Vo4lR8TN0Emg0BnTTFrv9f6OqcV/Hk4W9oLdFar7HMYppYrWeo5ITi4o iRaik9z1+HQakbUoZof0IgYCNdTRFNO3oIO1Y+lPdMIWL2zNxjCpFRxEzP/anDDsWXcvZEW0w9ai trEDkLA74b6+iHjDljbj6Q+HoRoCTWzNNny9sYAioC181bowekoTy2VHaGntgU0jLKpFT1odkzv+ /kaUTPTqY/4IjQdt0EF6X1Ajv/KETA36THrNYW0s1d4zDS5fwqMxPpW5ZbSW/Qatpu4Qoj+RGJAS ZNdt/buZMKISMYkbdOMCBJNyopH5fbn4Kgk3JKWyiY/KmpRYhuQscoGZ73qx8zT1hBgJ/Bemtxir pJNlHktftMzZIGv4VfhIx4woQ/5iWGpb/MKLpWREXNnYC2miXULEVGjFJe2SG27r2P4vx87EcXYt BvSgllFmWdpk/dgh3HWqJGSCap4+55XNuAbXHcjY4ko7CeZOKmFqX82AV8YtzBoPOk9eemz7Wox7 1fEQuIpaHJrVuU0qjNVpgE0tv1u8fxpCyD2hNs1KRwSRc9yTC7Jb8xinN2rQlj64fKUv7CPAsh4h wyH0Q+xsYFfDrKsSkFJrR2Xzhgi4NlO3moPYV9vb30ZabfrxN3vrIL91ANQO7pjH6fJrn/W+LU4B oQnzjQhtun+us/5gCRbkE6zj3z5TQdX2GhHSiMXZaOThP9ifTzu6D5gKkuH+716Fvmyhy32JT4tv yypI+6JUTc35q7rB6P9LtpO+YjqDj6gOagXQm5TGl75QR5h/2UadINUtiWnqg3UmERyI/KYH4B0V ltvfPT/MxPxcCubmVGPAlJbT7eoCHi4Tmh5cYYCxN5K+OE+LLmGnOtOz0WrGFLpNnCJIYLv+ysdt cBAhXGUiQkudvxW4zkRddidrQHAOlhT5vrI14QlJkcSs1PkqfeOQ/zpwhu4mgXjZV6zZR+EOOEe7 65dIE6F81hn0UuJQ3olYjB2n5FAwyRWfIbOFXuRe2PkcEA+IuMlbtTT2RTlYcshWkAgkXoKApRvM z15p5Eildj1QZNIqyyYsr+K8IPOGDQFHMa80EiYpU7L/4ydQGDxmi+jR+R52ilEqFzjV4+FSpvzS eTCIus6rj+YVaXJgG6AcQ+aUxkO3fuebPPSbb5/EfgPrLaIwFEAKX75Ki0a20+H/G86bwa+/p9ri fw4ujfLv+3oom8aSmVo63iA5+1ZKgivU4b0Ap82s5yTFQChxHMXJ2wEgHC2fpthPckH5uKyygxbv pvMQM6WUqbENG4k/KSh7Kda3TnqmjdZHXPDnAvqkMFm04tFurN1VNAlRdxyjLVrRALXu4D3qhgMi B7fpm5CO5S9rPJhYYG8ojbKVZS+oVvEXyQGRlBUSfQCfn93IWDVzIOiDRgBX1bcpPqCOZvmGL6PR I3Hb+sfJE6sBWGKh42sE0MdF1qN0KR4PolHZRzrTCTPeA2AwsI02yG+jM/5wCY1EiaUweR8UVmvO 9ms+reXFIcrl5IozqdtALgCvwDEyEqI8kXT6px2zA56Rv6DHd/K8a8oQoIAhWIUi0m8fKoodwDrk 4CFphQovDs+vGUYN+iCbh9XdPCXZZYJA0iHDLSeJO+oZA5VJ3uisNPl0ogFHhGtQ4yPvGYGxauJ3 esmxhde5yVd0cNZWCbMnHIPfJCPY9DhMwG08EdWbrvxU2peGWWJz8Wuw+++lZ2BS1zhnnkl63q0e 5ru7albyeXCKMKV6jcqJ6+yAbgrv5dDtSxKFkJeMILL/f2vZIVOK8ls0A3VjYtBTCt20H7HEwsaq tSqMSZR7qPtn51D0b9BQVKC0N923gwvEl5IkCr1K8KnMb5Na2WrdItBIokdIhv9ffig3F4cLhqpx dUqVtWIankKc6kl4prgdvhtV3a66PouhoeqvU3yuL48U9jNRsmcHkxbOixAbWq3PP0uFR4i2TP+y 5UpjNewu5R7jAeqAfsi0zG4MDjTjxAxunlMImKSA0BsYTOOod1POgzGfQjZNeP8Hgx0TjOO0nY7E r4dvgCvhGKjmOjtF4OiqKX/DvAUq06UaVun7Q1exC/LntSRCGhJ8RcyLj9ZnB/lYtN4NKvM1dLSU /BZSOjFpaAOQS9rE4PKOfpP9WdT0Gk8egGqnyAZ/3cIn8KckJzGcornnlTEyIdUWkhSX1f1dYZBQ 8Z0NrEBe+SFLDBbwVqsMma1rXfr4f3u0/jzbEGLAXrWvapMnPsusvIDlmcQWySy9vEMHd5NgfSjy UKO+/80smdnV/CUknAoOpkr/NuNTVvnyoSVZUUomm/FNRSbQLHBJxvdUGQqPxRQNsQO+fp91C5x/ 1QjRUW/lrsdzy0PYeayC0LtN15epYZJcBWiZ1Uxeyo26M+/YgEQn5WnAhrQBujNizOhQOwji/Lg2 J9RWcDKh41zoVRLZ8vR5jTtHvQqbGZ6ZwNr3en/l6KOjdOoa00pphHnAcCmaOjxsBbsmmMACfQR2 hKffJ2KyCE7gZyjHo0uqtw3Vs+qGMIeU6ateOECVHuaV0p/UscHyJc9xEgvV8hGtWmFbtzthNCHw q8Q1WmPBpj9YaJuqMM0tQQVQRmzFPSvLkWTUUOUYcq0PBv13llk10qvybpC2sBwNEnb4LEuiFl61 nZwjpf8seNSgVtMKdhGNlLeVfiKesSjT3IKUopAIosiRnVpUdX9ErPA+U5+hlvjIH+m1OXlyGYZ1 9a0PvHZmTFCt6kRoP9gUNHVxQz7O8bdx2iVLtz6gt2SorI/MdGZX2rpMNnv4ZnIM6KG9HiGvuIIi T33nak2rYKsDGaO9B2wZmkRQxaRwjCH8ESX72cWXtYmtxch06a6AGBSU93d48l0fN/ZY3qcCTeUP arsLv3wES1UAfNFIQULYBMw0PQLPH+qnyyv4/Y+NGnK1HlPFZqVZWL38lpbWirrHUc2QxcJHSPcE geBpAcOX1VYdcYYIwxpUbhD2To+daBQtPYrvwDXNWbcnOUbFqOaztmlPT3lL79JxM+BDjJ1Sltn6 fvnVCsf6hVs5ojslsafIhaFYoE31ybSGerT2gP13GanL+7VViv2kY7PVD+GsGhKKu/r4Txftiud0 BIKcpHWd6s7yApw8FizCSCVAb12/gu6zAXMjcrDuG7cjcWsIY9UKJqJwF7Q0CpxOPxck8l5aecAV OfSCz2GL3/Rxz1KBgrIvp3A06x7Lvz2KzbT8hWSLLTaRDqMOUHOIG1lrEyUOJawoQtP2uoVxxpaF xHJaCQ4u6JSCY3X7ohYjVO8XEwMwU67RM4gCHcPSIPrUaiZ+0dyrgBGlKKx+l+KAIc4Z3Bqz7m6g T3GAgB+s2giLaEJadU19Wtb6gK4Psc2lsW2QrK6b/BCkbEhvKRyRi0kt/OwsmwCb+F+mznwEe3bC 0dEkjVWrJ4BZAQopkOpWYNZFSx1PI7/C2vKSdASBTG0HWT+ZmagiTNZIizmYagtwp06yZN7GKhFG 72gRiN3CjDhR6fUJJL8WTBdw9lmBD7darqiScLyje1UA1pOyy/Xc1WKnYw0L7L62zMsJdWOzOQRe +FsqkvmKJoEFCa14pT0VOp1+4N4ywxEHK9RtKYr0yxBHL6TKRppFiygSARnYTf6VwVavr8otBqHR xYhy8dBpvuFn228Dx75RgsiIIe1FrRqOCwp/I59X/z1bMpQJ4fp3gLYM/em7zY3aDxi8nn+fP+Xs D3zWeilG3JIO264Jluj3pm7K7rhdAGheetL/Sxi/Kx4WzWGSbUjvwHJgc+zKcVc8LHSqb1VGCqlv UlhGw9qYPdrmne8zYsmHLKlMkY5nBYkYU0XM6XYAkeRake7rfrT6a1mdZmY8em5J2aYKJbxldIqa YNVWXCBKrBlTM+3r/7SqsJKri7liCOZItySAxcEbo36FNOd6qhyWnA69QsfsI8wmiSfLpj9PYI/P vtObFb+r4K40y8iLAUKQNGg3w8IR2dJcwioEXRW6PR9bZZoMQbq8mjjTZYESwptFkwGSPbWDWQiz xnQc7tQ57F2w0IaXg3/q1NErkVZIhSbhaKEapg1h1KrIFo6vNfCmqB3zL8mebHDLYxFqU04dxLJu h3i4QX9p6+se31jZ/vTqXX/HJGZIj/rT6oRufFA+XLus/tImRpE/6TEfq3XRqZetYS/4i3v5PLqD Ek4m5TKpRXHGOZ6rtlxLiOQ6PpM0DJ7kov53lS/lsrUDoGUx4XMGMTitKeCAMw9gm/I7eZEwL8Mj wnUhmzJ7j6Mam8fBCzuSedlnI4eZQ1elPw51e58uepFCQZT4ybRm15tCDgjZDuPTZCY0XncEZwGt yS4vhun1GzrRMgh2swme5Spj8AuSqAp4urTv2TsIk9PG60n5W9GuxaArNhy4kQ50pE5CKp6sdgHu LHFaA5g1IrmnpIxbiD5Az888mK3P+JjDo9z2N0419P8QxruBQT9hjy23xwLjoe1WnQSakNiegw+L zAEQYogVPXvVmpaTTfX9rAPycnt4IbddjBosH7AcRNJG6KvJhv3lcpV+F/mF2RKS3WLqveAvty31 8XNBYKzRcZzkYoQus4hYxtX9c7aTTKHvbhFPd9BVOYlnS+YOLC1UusM4FhAbuDKg+XlhY4gUU8iS UMc8XwpwK6x4pJuFLFClwQnGhwGvJK72IuLg/gI048yGhkjhH5zlk8tj/Kmz7wF9ptSavoB0Yb2A cRJpzfanwuB+ALKLE//yDsU996Q3P1edIWlB4yxYHGg7+2+5Gq3eF3+x9p7YLijVMii9UIbaVqVN cbGThw8nIAPB/uywQqqh064zhc52RlD37nkC+72n5bDMNOOcH01qjcKdWufhtdxZ3dguW+m+hBNJ sXiVX3cwVatlQyvYpn95h1pGKSfa/P4rswvKLwOS4FbsWsP5Ex8BYwjlHE7fy+bK2ukvr2UxVEcM DJYN3McFO3mTBUPh4R60P6ufR7k0h1Ny4CM2k0Xw355N1C+mUPf18cHgHZwNhp+IMgrpVu4dPMld 2UAq1omyKXWhZ/6Xy7n3j8TjtMUyXqP9omc4eXyajEltFnO07w+Cx9M4FWsdnk8iq6KTouaO+JFQ kh+xpQDI7p6ijarEE6iKaUiV//DLedr9bt13tf4gaR081B9mpcC58QleL8vaPXLe61ksbGVkijEU WZIMduCGz+Fu61rFXG9uWfaHNT/FWDogMXmD3Sy+HAK/TYOeJB992b9uIyw/0XPkVZ7LVOsaiByH SeLfk9QLAD5z2uEsLBvzzaIRIrQVqVszpfLjLLSzWyzlhaobP45nzWvaSZ1c53oFvmb3WJqHctOV FYbKpUjh7SOQYvji4bX6uhhSQwgeTI2QXnU+auR22XRPEioD7MKwvULIQC8shFL3WMuqJeH+HVIw rSXG3PhTdv+SKJBXDx6LRHL4omk/2npjQ0Fg0Qp+hguFKkkRa9y2OFUM99lMFFYBZGGW2LsvWqi3 vXbrehtdo07NxjVOYEassHWHjQqvzmtHqdFeATbENgY9yYNztJODqzkTt5xBq/UpX9r5OmHstOte dxtHdZIGgN8467hJyGkvnIRqlJOA3Z6/3vQ56mWeSFWqNm03koPz9vBMW2TMdbXuWMAWk762iLGD ZMlpDl/066DrIEoeGyo/IKN4bxvb5uFWOzU5h8j7WBRQxssKomMFLwVR+WSP0lUyIKnPgayuovSb pvnt8JSfxERUVFEWykXRZCOGAtz/pJ3Klska1Lvf9fV3/CTSTDkKVG3QAwtllvjNlEhlXI0KWg9D f7pa0/MqIO9XS6zceSTRy4depfxYbIyPBU4aABgKItVQxC6Cmx2ia2UlBRsBm27SYAZ5SA3KkvzA 2055tTDzemXOCKY+Oz4aHd/DdUXPiuZFW0+e6yLjzUdjAyK76LGxC4aTaJIKdz65QpRnKQsNgfN2 FR8BXMyStNXlFzqXpsUK7aLlkfHQijKKgDMfkHGMX00YXMQutQjpUZz1vEi4XIRXosggcFWNSDZN /pmcPZSNAuNmp67h8WYQ6YCgfbzcKiSsBBY46r1f6fnY+iSS9DXCaY71vn8niPTW4hDloD+vqLHV WZXNX8tu374t5nF+kjaRs/hc0YNV52dXrUOytIN+Ckdxz+xR/YgrmQ0wxTRV2klAF9gKRRDN48rG QOaP3LNX6Kh68iQGLz01ZdHhe6QXvhXmq+OvZ8CJ5oMtgkDZqn67J1xotKWRARPqn4kTNSNtDiNd bmorplvLs3C+1WyBYMP2I+PAEmO1oL7jDJ+ruHllKg3TN55KypG5nbt7IDO+atv9+atWA3xMbZTx pY7wXQ8MqnZ2uNdHfRa7vqfZNE7dmoYJh1dIrtTCWPODKYO14E0kRaJTDW+wCN2MgZExxEN3irKu IRp76W2QG9q/k47tV2bsSxnBliaTJXGP0indCltSu5DSd7b8lEvKUZ2fiAHD41+IK388JULqzmOv Wm8vd1umFS3HrrbMIcpGjUDOFfXarZgcqu7c1Bucoz2wc/TFJtk+dSgwwLJv3GBVx41o7YqqTT12 ghOW8siyFuRpJEKRoxlxC0CmKuKEHWsqW32J6gZ53kipPZTVRDnkj8SHOB0yDw360QagEW6AsxY+ FKY9yBIYMlBjGbAtuve793my6AUzYH15+rNP0Mh4IcE/5KsJ9rnjbVL/oxpWDFOU8CUc9Q+IoJRc Y5lhSPoUrUmLcod2y2W5OLUpjL2TFU+YsL9Issxw7QrZCnz6+PQkplN0BvNIS6OH5z4RwpHifTJy h7VV5/WwnV30cWNDbBL6BvVHuYl6SByHc4mbZHfIMbO7IM90gML4QNAyAje3BgfTE4Y53ZWg0QjV 4fLjjg2Qe58E71/fVA5iCy3d2QF/pMb3s29Su6Iu02lpcdsURSpswdki9kVP3xeN+6lpBeCrcLNq +hhLP4tmgYuwn8kwSuZavs+lD3xl30FYz+XCr5Lq+SVoHnpjEV3Afs4mTKOJrpxLWAQ521qh7dfB mzMytV92lYGMDIMvJoo+A3iSOgSCpdArRdyiImD+ycyCfYrUbKn9ziQ0uYEEoRCZlkg58XzKJdD3 AKx3tu/Ak5IkqbG2NeR23brO5AAZ5TUXON6Im1NMu4McLf05T61SPzEFYcwNlfm5BIrjSlQNZZG5 5vB9cV0m5hs7OVksRtCUTLE77EZYA5V+oNbtg08SwRgIGDAt1i4Wb5yvwH4Y4APb5zzuF8rfcwrq Yv53qp5jDrWNAKTY4NAOuNEHzCpUP7MeV6aqFXUifuHlRlyO38ste4FQq+SISABAdWwFXAEMGak4 OkcWamtRr/T5p+vd21d3Yqt2dEtPHDL5LVLVLMBBhWdNqbI0hzZNUdLlUEOWhl1rLuPwMlJLE77P JdO2ZboWlLG7Pps0T2Um84Vo7dnCnP3o1nV4jiakA8ZpmZToHx+/AHih3tZsj2Bxguii5fkkAQ1y 7e2sBRZCuMnnKSv6uvBabYdOyy5hhw9bcZ3BhhILsmjccw8fjEFyKXHH7du7tQIL3xJMzDxkZW0v GkaH3vGW0z7G3No/hFo7XAHwLt5hE9R200PclLk/tyOjxEnUUDrkG+yf9beuWZ0nlRj+XCEdh1A/ Mx6cuG5rs2uhRN3UJxDXTz5DG0BfdADP7A+JIUimJGr1K88kTTgDmav1qPk68xytklBRG26og2v5 yybsggVgrr0i5jtSjIv14eV3ckR3Yfmmrj/abAcFJOvI5hEIQfudj9tl0tXwwX+sR/BDz2yK9Zcy NRpGrJAJ3sa7ZHqJAYBBRRJs3Xx37hJT86erFbC9CKQXPBToC4PjZe1f1CGgPg7DXPWJr6UZIFh2 KKxrRl+nS1pzRIGij4NjOX1w/qUg8X3NJiplRVxhmep7qVJGC3UF67aitMQW4bk/0/1rQrBLc0/r P2Rd4EWdwPem7moGthQHYtXhU93z69P+SeHy4eLWVpAa5YsWolS8XBdfYLDLxS1YIJKXepvkQaJt XnRhqsuG8jArr9oUvP8ErEBvKURNY+Wc/b3uxGAYcItyg8XgW7EgrvUAS3eUmD0fLcM6f4eIy4BT fw0gueYWBMmSdEdIKdHd2DytGAB0PQqumcGSWWsnFdDovJ65o7snCxvvkYsjthQnfcouM27y62g5 nGWUaTil5unmOvJhFAyQOl5H0/PvCbatX5fnlrbdiIeUe4jNc4iTMKULLSOIyUqPCHTOLQ7/SNlC ghH/LR4Kb5Dk95vqejpiGcJxXl8J7jDx/FqWitF2fCEW9+ptyLGlT445sgJy38qh/ek410+VDrtJ OCn/nt3hth/40vU+4EOs/2l14hRpc/ovezeZChJRtt04J2rhhuBk2+mRrqM6V6bVRKHRcB8TxyUH yfEsjHQC5kDzyBLivDk//Y/ezJVHLUSutYGDBnyUv4Dm+Uo/rY1VCV9+iIYu5JigPjXcPqyTjXy/ MHoY0UxJgbERNalQzCNP `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/divEven.vhd
1
1050
---------------------------------------------------------------------------------- -- Company: -- Engineer: xx -- Create Date: 15:22:18 11/06/2012 -- Design Name: -- Module Name: ALU - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity divEven is -- generic(N: integer:=20; half: integer:=10; size: integer:=4); port(clk: in std_logic; div: out std_logic); end divEven; architecture behav of divEven is signal result: std_logic:='0'; signal cnt: std_logic_vector(size downto 0):=(others=>'0'); begin process(clk) begin if (clk'event and clk='1') then if (cnt<half-1) then cnt<=cnt+1; else cnt<=(others=>'0'); result<=not(result); end if; end if; div<=result; end process; end behav;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0_synth.vhd
19
237901
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bvOwtDo+u1XQuHmmirIW0G1Eep8h4q1lu6sagQVNOpqoo1dUL25zlZCKWpryXBrbavlsSVZj+/Kj u5U6Rqq3pA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R8VeuF45EN20zhkGmJksRGl35KTSV0YbXBmOJfN53AFOKNxf64co0R3kMl1KH48vuem/BXWPzNwW 17k9On+EP4ryAUZ6V1YvtlO9Er2xv4nZefuEO+pELxS67R6s3b0HhdPIKa2fxDF3e7AwjfjDxMiG HOQbqK01rVOmqe+2yps= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qqYTedtVydnDu0uy4wgVS9xnI5W4e3CBu2tom9I4ji9x6Du0u8YzLw4sHBXlBjTr0CIBWi+453uv 6i+HBaHUw6WLmgP+uD0PvRoMp9iMm4rcTjCZCtUo+5bxaKDQQyKy3VozWJN9cYsOEXUyn41sbHk0 MfnFQ231FTzHKrD8+sW8iXzJhrvAxVZSOCQNc8FKSuvFHDKgrQOZi/Dde7fskgmy7Y+pQzZQUv6h 7xsxzMyVpdCwJjhjdow/xj17Fc+yTtNKSxkHMIxVK6RXkbOidb7jBkIw+8aEzlqsG5f5vpboGqLH 6uQ8IqqBeKv3BDowwIwUDotWgCgTdyFmv35LwA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xgoCG0tChkhv+ljdCxpV0I73D5nOgliZqF/G39R6pkQNEQixpt7jSEz4sP4s78dR6d8BiB9A3KNg s8gNghB9SqKmhRG0Jvm/hSIBQCWAqWOwg26IvTnT3j3MalMVsj1r5WE9uyiqdJ+QCTo/Y58NBx8l pM5ABblrTJM59LnIcqI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VTcA7V7opij8+vJ+tjjgJGiOJ+o6V1u444VHa/k01STvZB7T6/Ztq4KXHSVmD+driESiC+2EQRes dfVcUifCMaPU4kNZrlpS+Cz6GGzKHuujVBDhNOZum+ncGM2VGmayYd6F9EbhwKFTOVOkQmEz/eFL 4IAryyIE59LghhLnEgKJ/yOFNS6XwipLZ1ztAAj7QDruS/h8wJcmBcjwC4vXftAO79YXKmVgRKly SlrrXAPgfawAm5V0hj7SI23oHUFrT671NQiN+jfhZylivDC/aANQXHsoSuY7NkiKvHESuXKmJ3iX cfk8aGjoqSspgWZUBuwV9vfaTHDt+AtBbt97TQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 174368) `protect data_block Coihc3vuw+nnGrqpA9jXzelo2V1TKhFG2KyqfizD5loBME2ac7oZ0SbKNvleKrm8yLH8imrB8Eyl /pQ6zcj4KO7G0U5k5xc9k5ojHU2OGkz6nBS9ljb4VH8uT3Ku3nHsI+eWYfnrJqBkKl+OCPrcUOoW HW1nm6ghSE2wneFqZPfonxLnm/ymo5gSxDzbPtotsGfIfyN+q1x+Obt3qpsae9X4k290E/4+6Vv7 dudq402e8G9MmP1cQXeimx8/jFyteVUZgwmTfuByAtNj8H0ceFdpH6IVZTcy97epOYT/hQvaTF+U X/UutKlhLBoucr7ta2k3usI0eKysUa8O7akBPlfCRJ5RKSnVOih6Id2NiahpLWBo51kWf1xgkJkG ApBcqcrZPkSZGoXjXweO3rWRkzFX+FGkfaL87tejbzi84YaCHjj8ceFSsnuohJmGOQz88RZSJFKT zn5CQTvOIkK0daGaKJiZJesXKCaBTq8cWssvOdeKtcm+Ydxk6JEcNJ0EJ++z7KO7gKw1R9zRu/fn rUoU0u8Ny/7+1ah6OL873yGGfdgE7yEx9xHnE0RwtyUfoGTbB9xy0caBb7brYo2Fe9k+dvw+zCWT 2GxWfh6zWthDL7Rh8TsXgjKtVyS/z0O6ft2hUXKhYdQyDFp4K2bHCpTPMswFsJ+hc48OdHAuXz8O 8N972crpqJbK/+MamCViY/bz23uVf/VlozdA/tMvWxvooyN9IKw6U0RCC8N4MfXJWoSwxGa2hXr+ SmyOVK5XHWA37i21Fc8jcN3X5xesl0HZczYPGrW3WnbddfmwLQL7MQbRUPdhVFeOgIcFfhEdzkLW pWL8sbwy/Np/K/gseKM77eLc0OJdJjyQfj8ovKSqPtNuEIfKjGCOBGL+s7TIDSd3kNScgVNBRyJA JphK9vLm9tffsvsnSe1PMdWs+4Xbol4RaXcXzKP15kYB1RQIiXBKkcP+neGFo2Pf1E5GVmePC4YR XCuHC+MOSPYkWL1Q18EMHkRFJ4Zcx66QRtL/TGvPS/+l46FTpOzLEIvALOX/MnAYxjeEwHcdBUh1 MmWzNZ9KPo1fZX04yhd3ZxduOVu0kcp3SuIbP34AZjTxIok+tAnMFAWltbRSIO61cO2ZRCuuJ7HP EsPYjc2o3NtHcUUyRahKXAxKvzWFNoxzaWvTwwJabqG2S+PXnUYtZp3/gdIyzDVI54mSRckMu4N3 esfsmtk9TC15qd8ZG0Suq1YNN9VR1TXgDph7d9PtlCIt6L3VklkEmpjKufKCwf/RaXCVcbTZwXxM /DPqFVSsI6ZB9uTzZBENMZoRlr2cGn0suj+XZtjtCuZk0NGquAH1flYuNvpmzvbAlXbFJ+3jX+9J /1gES36s2ALan0+ActdgNNIPiOWdAYOljA/3ScUevDeJK9XybXpByYcI7dnyXVxtIDznzg5ahmps 1nDf31jhvHL/RXH3r9URRvDNT/NYFpLbnkcYQdgPyT4oX43ZmpBKv7u56n76FBofoP+gNgCVw/00 WUkgueLslw/j5avTdKBqqlYTbsGK7w0ypbu7lhb5jJk/VW2TMQ7pHk7+BNLyxJ3ktdD8ycL/z4wR vSTksFCGpp18Q/qU/WhMmQrb24k2QjUhXkpQ2V+pAPBPDytF/z3IYgkv+jsN2DwD4GUwExVIfG8B Y/QgM+diZXXmpYaOS4RA+ir95BHCyWcpv9g/qGKFcMPzzYraQK9hvkRBXs6nJkT2fr+Nd01+uj6s Bky3HsbaPprDIBPjYPuQE/ZWS5qsZqRxcSbfXff7oxOG6tcs7EKKuQme6+Vk5vr2iP9sHx2qo3Pq E5u3211Xtptjx8n2R9Dh5/tX+wSfCZmSotVbG5hz0xd6HEmY7yGJmeEmOrF90B96S7sJ8U/97Ul+ 8fxeNUh8LebNEqof0hYRR4NJF7jCrBqlmnT8X5vG1TkvWBctkeWXf5oFvY1KyfR8H1ilNA577cnZ Zlkim/fSMZkn7g1clL9qflmOUzyoo74i5atVSZb7dpj4135pK9vDkXuC0kXd7uYY/sXE2/fMaHXQ ywn1wlNbwlmwBoM/3o3zgW2MZiQs4PuA446fbCS2U9yprKOAMWN6KTMTJ/QxSTwhUSdop7e7rJjo H26sz0aB2237Xn94sZAop7DYqZyli3rHz+MHW8INkgYFtWtTA1BUnmCLb4o2B3REZjbTgYaBdB54 8yEpv1n1sQSSycxLAV4eFBMeebh8iLUh1RGSHYPyjghaFstT8E9xbmXCjGmAzfd/4JNNMjHvcZ40 qr2FLsUP0ak6wdwKrSFm6/j5LFILOQi8jZYxmdyv5gcRtEMGOcJPudsuxzVdceByxotfDbHKpr81 I6RB7zMrlHq12jALy1/Sx6l1eN8Ll57m+kJcSdn9gRMRCn3NPfWktJbfhxI0xZCJJCQOCZ5Ec50U 0MXGbeGtgd8wrUyn1Li7LplHYbi6G0qToqfkn2d+nZnI0wdGDZ1S/llzoLVxKmjtxaHj49EmtdXb dmAKwA92JQFr6MbXtdH8qM+YDFW6jty2lMUsYJ0ByXPnUi93lneXP3TqbEBxZZ8fyWF4Poeuo6Pi 0CgmorL78982g26ZGiWpcytVwuRMiMFZNuWVOEGgryPb4U3/wHI1otRT1Wac6D/UcsxiW08SvpVM oeGyCuHGGvoy4Wk+bhBPkIBnD/WqE9HIfnQI+EV9KGcKovVZlTd0RvDmrV8ZjYuSmU86opIHOhi0 4neW8ncuFeKhruA1DXvoYxoEcqBvKibp8ip1KsPHTSALmp16noaz/GZvwOR/HUrhZSFQ/Tt4muhT xLLNWkZEnRHz9GDzzCCp8rg1PfXT/QtjjJl09SpmMJVrOaaqCSsc9iHzMWGfojkKFN6/NVVD+hwo NQVjyEm05DwJ3Yq0c6Wt82ExCGa1v6Xv2SQXADSXP7V6PAKmd4zY2qLPg6ys3Cwn2TcP0RQRR0js MPWVssnJwxismakwdtYF0iiEHa6HnDM8HTQYYMuIfL55IKqrbJatDviKpTvMe62vS8cRnulmNYO5 lE2wYHZ7RJ6aPM0oMHT9gSqNhHaN1hrIevyxuwacId3enB/BSn6qd1kPEjelPbz0f/stEDw6CMoM fMTdW44UTuh99nX9SmSMJsfZUtz9qqsvzfaZrFSWiou0qf/qya4xKvxtAni0PG9sOUnpQNDTazQh sIcJrlmuOG3yjQmhZj3Ff5hbYuF5m9q/2ihpGnp8c/M/A0jEmrTVi9vYrxaDkMAoRCt3egbPEbC0 LNWgLqkdwL4DAZGXFidzENM+rFgCglwte812gb+YuFp9+IPzCmpvvZTgnZo5qkCbIg6aTPIAEtdN vfKVaO9CQtrcsYa4z5/B+VEdt02cLUvtE/KjWHUyQfhRtQsy8zJZooRQwKlowU24ulBITzMBSxMA rxIr7hwajx8yueNr9OzOQFoPEsEz/g0dnkjUjJ7LBx+4DY7eN8l96DAHt4X40KZs9rAfoV14hGJi sm4RKwY0PTN/wlxA4ysaHtgHHem6WIAyHyLNAhzMgdnNm5fipLl67M8C9uTnqYpNQj6xhd91Y7Nu hOcA5fQ98JWZkhVV+g9DdghHT/ZbSMNasYcTp5dUZGEatS6xNPO4c1naCh0BogHJRWelfdAw/+gX zaTuqXq35b7DWApBpmYHQu+gbpMQAZTliDNREuRRWa91YvjC0XTwUtIS/kOyQxXVe/iOMAcktNHG 6xExFH7LEufmvkzogA39KA7C5U7rPjICGfH7Z0WGz+1EAEhNDBW8GGC7J5JcvteTww8WNn5HUF0m mW3QfUwfDhsFveOuiIBFszrNGXwxdzzexxXlKAnFqs3T1TykxFx8HQg2E4UgcsJKSIAq8nVVu/7i eR6h9Im3kX3uSKPOH41r5kP+XA0yFby3aleRX1z3u2WJ9R94ENe0dNRjCWGcayc1Vn4K+r/PCZS4 avgoMv2eOWNhpjo6Gi4V619Fq7j77d9Re5sXP9Yspo44/YZba6xTorhfIoZILNOiGMTIQ+2nTs7g Ks35yT03vN8jm+vcPuDyL9TgxvQ/xylWlWloRmOD8ptNrTTdeJHLApX7+nhKGFr4vzHRumXzeOpx eVIa/uSUnGmldpIpiMotQHNLySJOiq2+atXwUuC6JVekqhO6yxRXv4UIbqSbpCEz4wYVhpNaYljG SULDgWXLcXBqt9CiEu5i7pRyOOg9Rw44TSRhtTMtf06yKtCHZ7VxfsLJ0PTYg+pxOcwXJKq4XG7d 3cm1HPcb1suWcxp+impaJfv3rtaaIx9rDXWw6FhoBC3srBaCxt8+AiiXz7tOY3+sfQp+0GV+Ef4x elPqkXOI7egj1vEjDEEbeHO/rb6mE1AB5Gw7CwU6J8adfYBoM54sqFkORVpgOvvqLPCxIAejCP0R ym0RfmmltxxszKMYfccB4CyBe2Ac23oFT6CJRsh2FC3wPMtMvLjRDapU6rpzFg9t1QMQ1eumGISV JlYSV5sMx6EBYc/4QTGJ+OT7/lqbgsF6v7IbhPbq3VR2Uy/bhGuHt14aUYg9ZZAIiBXwhKmZl0Wj gM90Ez+Ii6sZPmUBMUvh0ub3Um8LypyqranYkF/RYvPgrPCVpxkuqbDctyEnGQiiTsilq9+xOOI9 0TN6ah3ORQ6IUOC19UN1ME/60T8kVo1OrhR6+iGXYxelY4P+zuabYZ6zpwXllgByoV6Yv60fw54h E7wtcB5hjJxxS6soUy8eJqPaz7imG78dYvr39eGENJLqaJtStSP0+ljfVOHe3Fl0gdPVrd1Qx6G+ 4fmtonmQGMaUAR3mO8wLvnirTLYVeHk6CPbURP7i+G8EUhadI+EueiqLHquvW8Dhgk3sK2vx5aOz irjp0vRrlcus/k1WPeVssdoXhpIOlSrYyHhuU6aZBf4vFoVM9rYEC1YRtewAiXbLlM0TaHTVEp0H h3h4zofzL3FBxTttnowafRoUIea1sMGvHmqWz0/ox8VRxEw9sdONAnMYa70/+NZiBmVzWMy8oUxQ iEaK7KCch7+6SIasdeiS8aqxvAuqns6FajvyIoYyFHwTCXJzApkaC7WGA2citUBmhm/LAqee59Mn PfmPK/1PgBXwJ0vkcBs+ZzBKDlJ/Gd8Ijkjh//JVoQXlBSrm1JplFU3x/hZYQGXGg3LwiBNMxaeY oiLhfYcnOqeY26TlSfQ9TAUpMxdNOWrRqgC9McU6Vxb8jLXpKXXDG4/6CAc2gZbVxEXBNP4UmPg3 3VFANVvmpIXNYjYEljuToijK1zP9yeLh4LSwr+aIx7M4tsBzHU7rTAhKI1/J2DUdV7SE4uDZudm3 Ah/Yfto6lcBm9tTeAzsb9uDPsV2T4o43mA1MuD1o4qMdfInB9u94ln3E9VJmCpe5paLWtliRZRk0 qrrPmWTKwuQIkkqf8NUsdphPAxHgba3epYV1+YxP+UwZYjky9/RkVaRPVYT3kCAJD0mKonvoFzw1 9nR6nPi2ipB9TxzWQx3UlncrsN426ridvEnSeMtoXOifJ9AjX9dvDvfdwPqVRNIzTzpfqdM8IJXl 8S9Enb3R+duG2XwunbQ1IpnpngOkYVuTDed+9WHvrCFD4M4Rfr9DOMpFz5EF018d3gl2mE5pxVZD 7DMIZ4JmEpIAv6zV32nFP/UUzVoUfbMk26mRlTVFyw6LLSGLctmJIs4J8Xp16NRCj2bsUD3VgI4Z ShciQt4zSSMmfCfBLGi2ZF/jitd5F3Nc0uKnkaX9SvJL+Os+F9YgN8RLGnF+oU+loflU89O5C93J 5v5jWjhPTzdksVkUZOQZQp1SYCkaj+hjn4W2lb3PAvDTrg+rniiG2OXpzWM465oNwj+PRzg0KUlU 4pavXFEkG0CeY+ZzkOMyC4t6t7cNL/nXrj0iq7iJ47q+v7MNkGOikZVuTO0jALHI6x9G3WYYazRE vE/s7h/w5bKdL+hd4Rf0pX8Nm8lij9Vhfc5q1SVDfDLBgvGY4bIAfSmsoMWHh2nF/Xu4P4qX1baN 9lVvMf53LgRMDwl3OgMHDolx5rxGPMwPDJxOTmLP9FOgykQmGERDqagzlGty1SJRWm5udsyuGUvx XqGq1Iwa3htWAUlQoxFF055ArEYRn02uAKOlc4UnQE1LB2x62HFZye6V7A3GdlMmlYNDElU01eUs WTYbzx8WyfBAl7c4O6d7Z8CKiMq0gJsYG8A1ku/GNvpoG8yv0VumibYRscbGJp21TgHsCWmZiLYt HtkqWFFwfbj4f7dd6xMIU3UYzH54uhoMDfckG/RGHLf8imuGrACmYa/94Gf/S7OEV2DWyUsi7jN7 k9BOwZoQtF3iNXdNVKfxTEjCDnDzbQcoFT4vfuZwoUJcSQtVRcF/kKcjzHt9wRtQTlylZutxA46a 8/k0UwGEkv7hwdRDeIgViQFeGhqM6JoCsrGSo6XxbO42SNl9ftCjRQ93IjSliP98NOAmWz8DCJRo Ytf3O5LCKiX/8tKh4pD/sT98GFm0dr2OkRLgNP2RMR6g1mgHb0l3YEtSxynSpXw2ALWZByDfiA/3 ojROoYxzl+V5Iczq3JQ7x0A8WNSgcX3Hr1qJfyQe0VK5ZHtxHj5mNF+lQVChYEYYJNUcP4Q7AddF ohDWGui/XpgTwWKJxb7+j75AVhqCf2WMVFlbWAJmpk1p40s2JAkQC34C4BPRvOO4bErBENAukPkr uK5T0ZZ5Rjqse4Vdxsu4u3n4MfKpKfAx5PzlxVXpPtG6W29QvteYy3i/DsFNnB9rm741ZIMWBfrR C552v+kGMSup6FQBYmOuOgz8nDme2JHQCBmiZGr0FnMl7Yp56tB31u+y9nAhW28rlLCNOLWfHduD GbB1SuRLXwtYCsyexWFe6d+zze6dJm/vZWZGA9LBcPF/bm/2+TbKG89mxClzDF2Rsd//QgpuqQEX KUhpli/6JZxjTmlOpt9IUAi2WmDkDrIpiFzb7G+F1uTfYzY6RPj0GbxZnrpK9vOnhnlXFKpFernO GpR77MQMhXGAAIj4c0HtaAQ/WHbyM/VeVy3q5MDJ70jmrdiXQw3RVZwwWsIe41vTo/Hvy1asa+9I tTSkyctiU97INiTDJvvbOo3F+0obGsZ+Hz74z8hUyogPDYVVAJF16g3nlgO3djHonZpc+M8NvM8f DZr9XfbQYhWCq/wVyOZwesx+DXshHu2rj77WeOYkWgWV1njHxeI6iQNIM7+GCeaWs0Mz9bCMotJ9 JU5yu6A768svV3N1P2k6tE77mKnUrYC04hOxiYChDD/74vyu1/BGlCsqXzlSv+8KX2z8E4a9+Tsh AddVFG7UySNIV05W16K5FOv32cBml9OFaRBAY3DHD6FhK3DSI8RyjNHE+g4NUyga9RX09VBQKSGk Sp8qbo9kSS8Dbndf0YP/o/PXmLlvAE8tqN3kapu3n2qdBqsj1oyLpt/N4PsRPusVjEQNVw9cu4/F OgBN7NwHz1gN7qQuIlgiVjrF55/UfqNYBnA1vmhuIIhQkDVsrej+Z8vJeyPGmxYgTPlyemK/exPm GP5WC9EKu+kJ96ynqHloLXM3waskVGKYPxp494vqdLBrwXzhkjbhbMk5ogHfMz8Iha4n6bT1nKCf uDdjsV+1KXatWqeuuGtr3uhNgOQ4IIdNkBKYoChzdiQfCAuT/W4zYV6U6QSj7w6AKV4NWetBSOoh cbu5AZrK9blneHla4xxlIc1znfsnrzqGI/KL0uZTDz2hxLZIeF5Zy+QClSq0uDQ2SWBrUxtYUlTM 5Ekzq03rzEl/5+0hML3NMHbd8rrdBP7WQNrRQnCsVnapQZqmVxTLLwGpjx+08TeYw3maqsyTgrlG fNE3cfL9DEX/ijCallhUgRl2MWlbu/izElB1p+TYM2ys8vDM/UGZZbs8U1MqefS+fWNEUGN2CmIY M0Ls1EyAGPmexZ7MxarwiK4gIq/gWUZkHsuel0HsAlYEIWZ4UZMx5LIqpLhXCjsi7dG1qVxdeYYM AJr2DeQlvEcd14IAMVyV6Se0k2KkMlVvpJyynBFP15gUn6bYU722rQ0JfbnKePPsXaEVnhnxRpEQ 6oyR01ZmK/LQfP5ibGRfkwQFx7uM4Fo5McICm5FZUJUukZzAP4IkHFzQ43Nurtpo83BGr3PfarqW wnpuHPtfA9yhCn04SVWw5aOJhRs8k8BNRwtTvnMEkJkoyNBOrvviG9Kc010U4STzN+3kb/0x2Gfb iMFJc/PurnD5RXTTt0OjGAjtJdfQKEqN/qmTo4LxGZS/fpAxFYR3S90w7UJ3kp2r/2Taa2HQwdcG rrsoYYM3+d4QZ1zK3SH+mx2ADMILL7i89q/UqOXZMh4NMtMY/5szD8RNGcrKOndQMZP0DIjiRK0e 7Z89YdZoNU3ufOThLzcxV9DKkyTBYMN9Kq/GasLaqi2mJhH/0taHkvrpAGvadmMSI8qK7C02tCGf b1w+7UxqKqtmLTNwtSZdO44qKFwxmOsJTMrlDSet/aHyZxYNvk5XaSYsr0P6xtVleQ0JUuGM1pmC 6OTbgsz6lgM/qgLJMJCy7Sg9zrj3XW9hE9dnalVlO9OgYJU0qglAft6VwMaKC6D+k4roA8gZxdmQ oXg5lrY+Su2YMO5rgF7rGDptLGaEfjrVBgfw5afb8S9OIi7EOc1qQvwpCer6guVffS+DkIPZjOiF Y68BeYRleg6uqP8BCupjvWAB8+0NXx8PeKY8GMLkJ88tB0ZuwjSZTihxqlIaNQ0dZ5WElwhCTMvD 2ld2JbblPA+ozqlb2mjnWNa5UmvwbIRwVuZXxQf4YNqrfjAaG/FJ2bQHTIqbensXezTgtbDoWmri 8LNiYIAfQPp04OindZc3GowViN9HvJXE07KNDMpd3t0olcvnjibeBYZdfxTuNAUlqcAghF8T160+ ysk9tkgp9xcIZHt/bw8NcHV6ibDzODwgim+/klZsq4keERB28n8+SSqutNpxC2AbQF/QDWVh7ifE D99UYmlLEpvcJCIdw/HYrZ9ySTthjg+C9l+V6RMPtY0HwSSAzPL+3W0n16KnHI1yj5pzW1UI0TOx LoPdNIWEQwY20+yU2bd9K1ANVseOeQwVe80UwCJAcK2DNzh08lQPmF4e+HMV/EQ850+bB3Gr9H32 DjY+SZFaQ6MXl7iTaSyPSmGYVtu8K5ls8UW9BaHuiCJbxLkpaVbxj5Ybk7Gg+Z7wC72fiJzePiGX fNqBOcSq50NBYhdVUCDfOB+At6t8wSfI6oqdh+g+lQC7fVZfxf3bOzesTelPjxRY8c/JCftPUtjm JiimsHJl6F7SovuLxQm2Ao2Bk+OEVeFgUQ+Y1KTq+iIofkUozTC2mS4hl1yTJXblHtFZDVsVxc3W sP+NBQ0fwLc9XK62klNMT+4LDIuz/eMFUxUZZDfcTFHn7fMd/LqfWp8R/purpT0kDZfiVUsknC3/ stPTWPX0MnFvvTMJzMLAcKPUnY7cLoXQqPFP/5X4KPaktGyUM51aN1fOMp3cw/bGHjr+o+O5rYWo gZOfYyazxcm0K2ziomswoQjidIoYEEpoz4h81k69dQ7vuHRtlMeSWkg4VtYujIX4i4KJUzuf1Aur u/GrGPhNorsj/LmSJEfPLAOkngaF642+xQdK6jRsyDz3+S9dm19lk2QDiPgEAFlhmX8DhZvaZj6s lzrgKQxtD5k4MrsF7o7eNnxITgRtP7cVlWT6pf/2hrJUJ0XEcQTSeftAm4Jp6WRzzkPjIDNy5Arq R7zBrYKZ/5PvSlKon4+3PJ43lNYCSyGtaq8+SvipQu7Y5nJFRRCACr6/apTGVZY8WZtg0OpvTXTv ZQmflbk+E3zDhdbFWm01gRh//SZgDQacX8v7KdUrAmXPQ+RzBXcsWYRW3+1qXPpm+VjMhDtJ85RP 6+iTKyumaYBe4LP7Snfwj9jx86NBdgwcuQo4x9WhhdfTVbAfaXR1LkWQ1pDp+/KV+1Bl+8N5/2VB OpfCoyyyZkg0/I+OeSL12awxTO/euS1COdCL4wQpqEde/nnogEyT6DE4qgopEp88/lzoZzE23Uva bz0rZgrhaJBfdeSWHnQswMpKp/N791PHnyfOV9gh15x5Q0d2jd9OJD2xUclT8BEPfKc9we3aLncy NIF5j8mZ18qneBTCEtBG5AovMnXjdcq722drZScjpbrtAaDcF3YjBtd95nx5X54ye/xueqvaph99 IE6qTgkETBQmDb6a4ITXWQNr6yCraDT2K7UJrOmzOA+e72UX9i0cg1pStMnI4LdEAIxKD5UOMeb1 is58vc+ILOmrQ6Tu5fFsL/q0zZIuzqwBRV6lvHQKGa6q6xoelOvJCWq4/7LOhcaSpRM3whPIGcbc zH8NGIxKavUPTN0R5r8PnKOJYcv93rXfv8Q2ZATXvxdAOv6/9MK4+Qxn6RiYdI4hwgAV+9MRUlmN u1bQW9mAm/tLI2kRJV9nW4neq3mv1CIWX3nfg3xCJKyxwOPAC+fOaYKJylefSOP3lp/8EQw6KQdT 1FrU1jl2rkfTc4Bgcpir5MynHm+jMFHdP0MpwjSdsXk1b1vcWZOXJmfQry7p4UIi/Ov45nd3r73t OvinD16B3zineiNj86ZAOY2BXgLfSrqmmCAGfprVecDIT9j5cWkjjBAiF+PkuSGIP92/EHLaRHu+ UVsa9aNNyyTdvFSAX1+hFmsheFsiOOe6up0o08HSkSPa5Q54pONN1zRuthkDmtEOX6KpU5vcBiBc PsFP/CiaFx64ve7dwQowcJObaO6JzS7QynmunJ4CsnjMsKs+DwJvr1OffNTuc7h6k9rbiX9zmaX4 6ap77/MSwWOJb78jfEnNVUHCUNNO3Xf5SaDetXq+t9eakG+VakaARUOXxzWcIZW+W35BfcWawezt XiIii6Zwihlq5m8SpbFaPOnlVMruDoyvRouuTVG1OPgMEEbdvc7j/Uf2unlStI905l3lT06vgcXv fuFVPrKT4T/YtZOsUjgx8wqxpc4ZFcoztYdgkP0obC31YHTsO5N6zblimnBvt1yAykjKIkjykhHz vBsiY8PK6G+7z1V/4+Pe1DNN+jM8Nz+DvL16mShY5MOObpKKPGoOb/mhvv5VfYWUSH9RIUbM4DUE tUHixT3cGDfXvpm0anhv/VOwkC68JQCct59dtxIM+BNrGZpx+w5/5STVLhNHKTMntPqMjmTFRxRb FVN/yb++EtmtZHVKqHyORLkI6yj0QoSw8s63OlEV/wWZJsxQ43MXxb206lXuqJLcsNeiboOO0gB3 XtTLAqRur/hMkY1Y5GpqNNQR6AI/jcwvMmmOpFwU+cwlaQI65v93x0qnvHco6yshyzCQOhdtUICb mY+LYzK/B2cbo/a3BTCplfzxFC/YneJqrbMNP2y5kz4M1hQJSoxfuecriZU1D0Eun7vKKFJNZP7g vhXkupEFOHPa1y+l5LHE2NfEdE9P8DKik66r/MfjcF/jGsYHkP0eUlnhKCczjoY6l+Pc2j6oAx3+ 993hqGwlAuZCR7ELrh36wHylc8O5gfU5rSlw74qJeKVpVBBuphkMHza2qxhYjf3B0pyJfNlewalu 2Q4fKop4mMQ4R3Pzb9L+rh7fFu9lRCJ42Cv1NCT/irJrYSyLG56fD/RJ6VQKCAXbpbjNy0rYBx5M /67XWHI6FbZhzbUPASZUDBbBKC6D3nXONAc/M1UPFtls+ADmjP3xx7f0yTIE020KZqzvc2u8h7Iu SJ+3NV72EHMre9sRmLyEUNSwZKEXU32IuUiYKEBlthwA2/oRjtAD0rpf0LrrdNPDkrZzjKnsS0hZ BHtYGjtn9mf4GCOoAXH+XiDIav415mWWlEy85yYclKKN95l5mh/iIH3Q08Z4+hmq8Uz7UKysEKBU kJSfCpuOLASdyYFu1U/+/HArdr2+4EiXr0NqOOkSNeUxqL8dHMvYpbJoIVUSqgxcm4TwZfjK0EET quC3UFhfwOfXR2vBu45tGvLiIqbwIYO4+d6G2hEQo5EF64PmRCvvZuwDeZqXQWYBi+nJGxW4n5mZ 1Gpa5vkn/Vhn7W9peDlopjUoaxIv2qf8gZ1rcBrZnZw4qEpZJ0K2vCXXTRBXGCSZgR+47Y5kKsk3 +dTgYxux0c1mWhYaKrHIOZZcneWAYALWDUGqn2IbYUyJGtRNmPzPrV3Rj8tvJaPIH/57eKa/ZD8H 45D3voqNWstlDmfR3sPSqMuKK6UxKlPpgmpfAEbGcJCnyiVWvcFZPibIeyvPppvyA932KgwgKnNw qO4wl3qgOoLvpjSj4JH8Cx/BejxMzIUmWdHLCb4ojiFdfTo+lzYkG8RzlYMzTPx1xf7u5mxop1DI dH3TcSAunx8KGUImSMicAI9sHtSS2NmIx1wE2O0e2TIwT+Hi/DC34rtnnbGrWTl7IQMZMerNjSBs kGnXuiFNC1i0lNmMlHA6AnX0gy8bJgooR/FAqZuBIA5rbfVrm4XP46idfS/m2uZPd/2S9vSGgxW0 RyqNM3K8fhPOpScilNrqjCizXXpnM397sZlMxwqCQsJ3V3BY7YxHQJGaNPRaAVZ1KlTeGTjSWAdg PWDf+N+f+SCtZqvW4mqtGcLaZqHYuIDIFpRjZAeI+XHb2tniWNefGlxkpIfKrM3KTE6ovRgoVzi3 kyrx7pffddaAlK+VxbkEFfoxRN1PW+6YWGPF1CYh3QOHps8OVL7oOkJhZprgHpgtrL2sAZSaTExX 8MWuUCuy1qGKRzv5QdHHrynKAEyztcGKbPB3zvepVac56R4Zl2fkU22eMYaomdSOTR+XAnma8u9A +/EQCxilRAIBDQG9jr2HpPTG4n0B0kfJrZXFvHYfeW+nAFLONtjiijud2/zLnLqLcdiX4UAiI1Dv A4Dbt84Btjo8hhbZnwAbKl8zy2YH/MH0h7HFmvJRfL4bWqLWquy9Ir4Q3+dPk93mu2d/0gkU3p9Q Ci/pK82mJob5dXBenWri4Yc5+5cTH0ALv83UW7uTZ4cLVIcIrh2bozYsTsLa/4irOu6Bfn0qzTqI K4UwDSIDeVyvwsNlCypUMzhOKiAYw7uwqLZ/+3Dzn+Infby330+w6jriWzV4nGuIC1KW3uQ0MiS5 875e+bLAJ3ALsn+hi2oh1jFG3iBic9q0m1oS6KoXL1QvIk0Pc03J7RfuF0zK4M4uvmDzbFo6k8KX vFiN2BsiVX5jkiGDpPvlSysDzFu9Pk++8DtMhxD4DUBewxHihwWzkwN47sjAMNbgX7Q6LbG5FxBL v+f9XCCGJaBBPT1E202tGQKTas6xs4fOU06NR2H0j2mtZSvaFyuPakzUz/gU+aI55Ng8rOUjIv3l t/uOPG/xmnN4mzAYK/U1+pXDcfiA65GC8yGftXVsXu4DbmvbyM3xAmM0YLEDZLJls/3ffQOUf9yQ qnXzxh46Lcn80PQsvuflRUfuPH6RpCCG170kpfXkCXeFRkPFU3Vh+XjWRMaA88+e+A+teW3sQjrc vc8+cTmnmJ+T1SJnmtg3S4UoIv0mNVhdIrPjnHji8XKQ59UQTTqqIx4Nsz3nSkXCmHj/T5m4UTum jq1f5IK5Qwx0ZgcRNnlLF7EIqBY+BuZ6LOQ9kbhG4/Kb/pFG6B+yp4OLTPFhvXtcaNeOOIZLlCOf U1umXCtMxoCy/TaWa+rjaurqcRD4th6CWMR4kJtz7Jxy5n/3kOEYEU24RymUPTghb4zt7okp0rRa CTgYGVmotDwrFt18GRgxvdXdCWSElTIpdkTcfe+AIG7Lo1onHhEm0QKD5JSTOCIPeLOkYBjrUWhv PkBzcXCePDHSZyK/XrIbtjPr89RiQLzsJTt9VeF4sg1YNjPZGKDORDi3vnucJbWqgfOC6mPoFJYI 6lVro2OqtS+WA7tP/9SAHhASsqwymrbKB1dt0hHn3LTJQrqtP5rfCTiBmPblRcfc7z6DwrQUw/oO /fT4acA6JRmqqOxIyhvDpvKvFrH0k4pdq3qF/gRKxgm/K4L4wW9/dhgMR/e6Xcs6/h29JUu3ZtWF rpFOYjajxcesQmwqDVxAGBBHQrkTGRPk2fTXspHly59/exoOdlkLuYVQTjwclx2szNJo4gvsKw+l uYBT7dbLlseB8/O2M8PhlXSV0PlPzkPs46h2gNCqzbupXmYXcybf/noYom2p+oLCT3Nde/Fb4saE zeSN5juyy4KWjKi7UfvlCIufaIZNviQXjtkehMwxH5uXPedR3+cvlPXN9JHE1UZFu9tnRW1h4Bh2 /cHQ4cERQhriChXEQeikMjzDoKtbVesJ8BIXY9kb0xFwpAgrEb6G6McvFbvOtHPpyyAVvVmmjvqB HFv51812TahqF9K4GoL86XWkPK8FKh5T2VhMFLTPk2w2gDoYVeK7xEJzXO8X0OwCqt1tTZG8p66h 3zvlgzUTYaNAFhbNThwAc3pQPYVmbRmaDLdckELoi4aRKaWQenzvtl6xmyhNbIl/Cz9c/JNRps4q yXKlYBw3k2BCGrbe6ogTpVmRZs3DuToHY214ZF6KPzTlSejtTQFZK64GdUcDtkC40HnBuLp7OqSi j/eVGeTGJ00zVXN41DmvppKDVCuJbtaNv4IdJtTeoab91yZPZjlfoxa6bWowvFyH3VQAnDTko0wc McBv3nhSlkUm8hKreuqqRhzpyAhRZqHglYaUHbzH0A2BVYBOz2WsdoB+KbMFvBz9xngfn2xG00mp OEE9VnDGHgzg6WYHcu6Ichp7yFT99fETqI4PKmCZHQTOJCIwM80zi2aQp6DJkA+HFM0VNueOqGb2 qN2aq1a/JHAWqxO1SvM2TVxNXdlkeSL20oMncv3k2D6LD/Y9Pbq4ovzaM6uQSx6sEck0QZfT+T92 2kxeabzdyWUQKOsYuxpwlQ2cexbOhUFRLvmxg95rVzxGqFoJWH0j5g4WCFki+tVBFWjPQvfiIxX2 0pIdxFK4CxBVIBdkZMUTpLSrslAbwH9T7DjM5TdBYTQo/78IUTAaBY+hR32zpIU39MyjuowU5KAZ DTDNKRfXMQZGZ6plB+KJSihvw4uiAgzAs/eFtnMilFSmDAa7mw1XS+/0JWipJJ7l9nUFkJ3XwQhj fwdnyQoPpKr3KM38qEPRx1AmLWIfGNiPXHxfV7WARNHHEuZ0iQZFqR0su9VP0PJu6OAI4HGq5FwL 2XMk5L/Y+IBc+/xnyK7ft4IcEG2E1ippY1OyqlwaE9eilQ3m+QI556EWEe+34aCJl1VLVaofc9h9 e6C0ML4hyVq+js8gjNTAZlqR2pvh1qZBVvKUc8U5Uk5O3EF2qn1cKBp8HL1vpIlf/fKTLDEmFILy s/EQYyl6yHzu7tvaBhzotPfI18t714gBbwdpGdJYh69/1qwYcTqznd7AZ2spdmuCX76Yxi9A43SW KDn2MxnnpFeTXFBg22a2XyzfqDsfj0J6t5X2WxVmOUif90+LgZghquGZZUOdqBsRjSwnVXkmhucV GIupCI5Trm43a8juVaQE7cimO61doVFDhxIDVppcmO8bDGR/8tx+AaP/TbVzEqpYJmK9fs3TlEdx A+1SW4HYMcCVw+eNneV81SPDr6Wf0tDP+R6AW5p88FiJePExp9flWfRjGqS5WH0TDRli3nbWFqRI oEkjJV4P3GpQsUsF6yQSI9r0M/YyjKJJ18pTto9eQyN8eaTJYYeQQEUkwqfx5gHJTc/bwIYw/0Vc R9Xi5PExEJcmAvWCy3PQkM/6AFHQqkRtoKi9LUj6ePDa9Jct1E+uIoZcZNpo9z4kHfRTyGJRpeyW o7OYyRhSwW/8VCQ1VHZBxpSkSUOMgmBxQBFSazSPP+gBv/un/h4HvZa1qrrTj9f7sudSuXW6ngXL l+TbHZPdbVZdkwAIrnmkirwvdIeQ6p3dzpO/BLM4lWIii0MdFv8N9uHivoN5+cFVixzjguXXzIb9 NJ5N+FLZ/ijhOfy8r1nOXvmJjoq/PhQpGZZ0+rmt3ggDVQZ7bQiNJojKp20mSZDpB9eVw3zvb3m7 sAfc4I5Q/9s2SIjVDfnyw8rLqkW+trsuTvfQcHowx9++y43xadOP+gK/LmySbf/sPJtuUoiosn06 zOU1Pi6cM2UCC/UiGDieiUF4IWi8W5LtHCgs8FkCav0JcaWKnqI4lzz54NiM0FJEW9+Sie4j1klN ug434AlWwh5w0l/F/fMCdzPTkPQaetMRmvvjEOELM9HOax0xevPqwItAVJcCkc/cdYJ+5GS79yJE Ni6HAjPdXhCxbBCh8PL+vtXxOup3VtZCoNSrk7BJaGAep3K5bbvI1nlIaD+RwZM36V3Tf3pb/LN6 VU/YjYgxWma77UkR/CvV34dowQvnRe88ELY5R4SxF0apqycbTPEB6ScgHWlx5EcMM+8ZMZ2hDDuD 70Y4Zhps3RKVUzfGicRMPXfCC4qQhZ8M42++4QdD3vYD263OKB53jhw7BuYIOLS9D74HZb7jU5PE MKIZZ0VUlCIgV+N9K44znfs7oZ9xMhlJYyRkT04jnwWZD97ztvwmp3NEC0rertj/MTEKg1X/iV1I LNurmf308lfXN/GicKsreutpSZEWUKB9PptDkgFHyq32ynpa5B6tjM2o9uQOZxcb3ZpEUkZV5P9i 5UVbk+WeY6xYzww6hdGb4InPo0l7Ul1rDeKZXhlLBgLC6aPw1rskWnu9un0uNdn8Bksv9t7luv0B gSUIoo4LttswF+m4B5ZTQt0lMDeay+Do8/bQ0BN+BSKY19oVszd+j7W60c/Yg6XdmVPAgTo9j58S 91rQosTqWDTtDyMOmTH3JfOm/spoguq6WyzR1rF8UZFwFVz2fT8rRZsCYTtdK6floscWroU46fki kADbKPmJ/evVLH6pcnDGaDhi/2rhw8ovh3teI1PWWDh4huWrzaYc12Mc34aHOt5bRoZSwH8uWGXT fnOZ2kpP6FCrYbRv6Sw9YtLHai+yG4KI4UN2L3jRvMkgnCS5JlDwGP0/yFZwHHegBVxsoJssLLCp y8HyeTz4EM8UvmcZtZy+1ytdTezxdPoJ429l//T1P/JAwNcC3fuPQ1J2SpjvqCt1NQpI0PYGJ+s+ n/EyfBczx3RKkpau/+rELolV1m6bhyXvyagkezPBURjL9ZbPgB0wd5UUbbBV32d8LViUHGZ+lDlW 0ROCE+Qcvf9Kc+7Cj6Y6PBOgiJUN3L9ZRjTpz+tA/9r/IR9lFMWvhDHplY+T/wMV8JT8vkJf0nwb ZCz/ipk5G7KcBkql313oqcs8exZGLWBFOSIWkQvhqEJFvHYMQxO5Z/FxmnaEOeIYSSbsa2FbiGJB ZpWFORIv7egp+5mtIPhvWjs/NI13kf1xp3MurMhXUUXRZrcEQysih1XfYqP5CQZu5UWjwEPTDW5M gBUwsqIE+sC8L2r0XtqF53SHoX6PBp2MvuWl5uHv1ePXPc6+5W2rPqGcx8if3v4LrrirtbAh3kes U2qxXuVxnGJOHdzuUQPWGpfDSSlVAwPa47HbyH2ZQHzZGXiT4PQU4ERUCtKs0JOiCNMCr3CJMJGM YPx7wDZAiqkg5/lMEtzEITqYPmgaY4mp4MLVHnTRS0qw6KyQPIGYM1dfC1nPwAAjmOiIYtHnK172 jy/7+f4TPLhyqbTztU/kbw/ksw2QEdFPvSh/45b1uPBnSY7YEj6q+0+WfHi4361M4GfhCo5gnA2D xbGK6PjqDdMPXrjGvXQkbkx0a/kcFnWFQuqzQndjogPKWNV795NP3DV0e903vX+JJMXu3iY9R2zb qiqQCkxmFpZzIh8qqBWS6LofhCUADTuOVCb1aSY0gg3QjrUMipPP+UG3q3nz7AF3xuQDdm7xE0nM 4sxF0bWmgcdXfhenooRG3H189BVCY2e2xMX5lwhPq7cTfLmP8EuJsJ/+425l+x+R22syE7dK4r4Z rMEZdXMIHyo+38mS5G5R6gdBWd9RiXkTfMiKpQ1hd36xgxJ1+P4CFxZSW0arawbCoUqy5d4RcwBo 6V7aIwxbTDE+Y2+KoWQNPNdQvcQb7de6L25YUBG22C2VjJpI+cR7buin5YeW3bUMuT0RZm/M7zTx wMg1C2GfXVBof+HNL1WdC0pNQt3EGNLvAbLSUQogWThZ/RgkRG4rqhjgftjcN2Skn2vU95eZZU/D 7Dz5muCym25+NHzZ0B5IFIsIlGFQOpem/+mYLQA0JW/bmmqFRXfy79Z/PNtAZMdIPR6+ffiM6mk2 03P8sgT2wzHI6NRJKb+p2L4YqlQb6HRhE8S9X6cuUusaXKJMKOaKrDSZ5gKKDF3kpG2XlceTV+Jq NJnoaZs56KmE4wwcLqrCh7IWpEIgo7XAZLx4ZYpbCdRktWiCthJsFCh7BDRio4ckpNDRbRagOkIs Y9w7qYE0zxew9lbdqQ+VGt5ZMw150D2q6bSNeCvCKzXXImJ2CHeVfanRv/LJ2cFnGjKi3/NpXy6P rkMBnfgQm2ns5JgaKba7MXVOPgEAFyykLG8AGpPIfADHHJpYlI8+0n3OLU1zDF1FaZYjfGR5XeM9 8ElH9SF4UO8/XXpvm2wbaVAQUHEVsJ0aCxaycGZWs3R/BxupbzXD2jif86p1gzZfiON8CqBYDZjW nNoh5IdUVOEuT9Y9uZB2WeznnvqPy5iDtlpg22Muo5JSrkp67+xtYwKUZLYlUU35g8ydgLp4/PxB rq7g5+cBLNT1DuIm/Rvw34H1evxBhaaV7HJmrm22MsOJG8R/gtKuH16jesMcb1zfI4of3tWdi4p1 CEXb/9Y65oCoQfeNtkAHD8sxnTeV8knX1Q9XsRO/Ss3xrV0i+euxHS709TJWNWhW0eZTwor+XzS9 eWeJEDw0X991hsaUOsFdva/AB7x5rUP975vt+Yoe8e5XiVE9JrukMsOMIPaegnQQCQem8UPScVX0 YdtnXbNDHAWC0PkZSXyg982NrYx960Vc8K4hXawNnAR0AwqtFHgByeb+l5lKvCglxY2v4w0kcN5B Qrx0XXLo75oQVFSIMCYtVZRvf+lEzysf5N/50kwrTnJYCcoWU4NueRW3kfbDj5qorLRMKfXaUg4X Fe4oAjCjfAcvIS+1kdqMzTJuF5Pvyc+eATn1wwbCcaurhCwDOMjy3f0FovEIwaE0lPC5+GArupDK +FvQP25cZSJn64pSj4R0SI5DtW3jyXS9wpSZwALask9OiwhGBbDBw6pejRSwnuIjURd3RbP7PLUZ I0JDG4BSwhj1A2Be/i7WRtqueq6tBOxhRbj963p5k6mdSeMUArtQHn31Pn7lDOZT7NI3crG1ug9v U2sjysIYK6SOttDENfApB5JKoVjs3bCXK9rqLC7Lhf4mgU/iCaBVZb3dGP/HMZv3HZLZsojaZ9U6 /D7kjPMC9RPK60kiAAVOJCQqKckX8Lmu4m0YEVJ8d4ygmOj9hmJ6wakvGH0+1tFLHYw9umb/2cx3 FB6khAjXJ6aZ85uRawy9phwU/aT77/JWAqJ7YDf1+fQxw7KKtEXcM4TJ63BbHYTF3cEnSyjp2s/l WcXhCb1PVXYbFpakS7f1YX3OYZmryUz4eCbaRHCxewNR8zg7KC3gzdiCcc+04G1AqOSGkat4V2SK X5vqBW6hmTgwFGzEXy+V+armT9zIeEgppstxELm/nGbMUAt1MYVvCp4aIIkti9+XKe06KpRoeuW9 55PbrbUoTV9c5Z4giiyWoL3UcEeutEw1IS9dQAFHeeHHmspc/kW+dAeDVpGOEGGUUZILYJMFF77q uP9rXANoiiPXXoo6FstHix1uMec8ja8kuLqbEU+4JANiqF5ggNjGc60vRXFx3Xoyo3fZV2945rOB 2pXgrvnrKa0I5NYdmC0Xtyi/VOPvVR02+e7azsMi1v/ATgYi0VDZ/tGx34vN9YIpiObYcmQIw3Vm zFmsfOMm3GO0EBAkMdSgqWF5nl/508m5vm4vqUt3RRCrf4kzCi+gYCxgN/S5Rnf+e6uFAhMf5T4v eXzghZtuCEaO0z5G/D1BXYhSolwJlq2HweECESfUHTVee23KyDSe5XNJhJKC2qB1vdWSL9Kh88kW 9ea/F8NxxBpw99pteKl5bj4iq7Yt6fzLalGclv0lKwlhpb8EC2EBYV1k50SqQUSJcLklIr+Jl6re flnxRxJB2S6WLbqpQYYQ7+DUpQbyGtuZbF7jI0EHQ52m8D56GNpxUDkZndHtIxF3BExcH9dHtsxR QLfeB7YnpzBSY86fXrj/7FEg6AOavE9yKjve15drGK0T14jyn2Q9pB4hM3ldgBu4jodStlRdV+0c gmT2Fn4kPGQPTyUBaTHU7C8Kf4E4Gauryfg9ge1aCuVRWmLWs8o+4w5C0aEU49F0n4ImEHIBnawK HQ6sDllAkieVj+YXOHRSZ9KHAw0Mbs9BFve6YooUFGOUDe93nv0ASbtqd0GPXDoi+OcOWcdxr82X SzXbqbJ5Q3apeGkmUP7d2g3ZwkG/XRnjiv/Sb0ZSbdjwen8hL6sZ0lUS23Bf2YbFajyKtiINw+SU 4xuNq/L+ksWNaddOBsE+w36KDXcMJj1FIh5P7p+wPNit+SjE3J6K50E+UWRXfy8P5ty89FVa14H/ 7AHZDpnjG72cZ1DatX3EnfHo/CHb4sTejfQBMmmAwtSypVLfVFsNIkMKkrAI5efjVCyF7l+rZphe 9sskkIT/Oe8+OhBvFmxnTh5/9GxvffJ9HlhBOUU+azeWYdkt7wKjyauQ78ZWOjAEi6ZLGwInDj3q BYPYEDgnYY5b2ggB16LEVR1MzNUvDh3cby1ymDlWunlUrtBjHE88qNu6uZEsapAp+mIHOzFTf/h6 y8Ec9oWzscFU23phI0O9/qA5A5zYHSDsotpn9QkpI5TQEP8ZiXH5AuKa9vapng3vJ50R4dyl2nep RgM4Hv7BMoYvYzXtq3LhX5+WqbjB9qKY0lIhjiwzxvRFrEE130rO2sPDRyPVDna7o5Mgp/DY04It ivNUACje/c5kO6NeobF65EdUUF71UIgLnAUSMRLJuUSrPwSkn4KXvBtLFvMm0nCyg1O+IIrhu22Q 1gROy/JM4wPdraLijr0GjYwbMT44mI2+dMH3ZjueFKHxqHmdfcv19Vg0x5y50bR2VRI+hE4/eRzI MxqzSKIuM9ETQNKLyZKTp1RVjqy+9PyJjhoQaTBedl3IjnwhndoFQjbANHWSn7pEE87JNAwuf32E 8Nf+sQJMgTBWc1s5txYlaeMWsjFDiKxGdz0VV9psGztfzrNsha7HVllMvIbWKB5Byq9BtkplHHaz hpT+jOckeOiGmvOKBju9KO9F8EhJra8xH3E9NGGu4tTOWDmAeFF4QmMrA14//oc0jTe6/O/B6wOX mSw0WO/+CZHYF9Ptl97PQz8tEng/JswqGQwSGv9xfP3cZqm8PDli24ffJ49lqwxCp88uu2Zyq+N+ S3l/txU33yoa+GNx5BUv+eqo5/t2/qBwowE+a+CT719hgdGIQFLSxUtrz0lvc75utH/ip5TW9aPe IkxER/UjAirilnXVusBEe5Ap8ZLg70MO4g3wP1D/kyKii2tLHWvMfHkicQiCdy3lXFP/m2AIXDG/ wmNQPYwQlE4/taiFwp15iwD6EAz/cxtSOV1b2fqftKdSpDmmGycuujfrVAKLqcBAjS32Vo5kdiK0 agUKJHqIT1qMHCvI4dpk+7qKPKnSR4rOiyyeMG4VvtBkDDzEos5mXc78lPWPMCbzYX6aX1qtTT+W UUC2dU/GdZcvhNGuY/Kbiy7OpGwKi+lfP4UAv6UqSquh+3G5S9GAsTQWD4k0sPKNLlIyqOjTVqh6 +2Vw0aKli7mwqb5mc+1L6T1qTZCcGXHCRu04xucqG2w6CAMqxFr9ruoaGW0D5otRSrruHir9lkEH yDOMe03bwvxe13MrC0WfqmAU2aWfhpFVt5tcNIlo6x33XVloNEYMZOOC+Pht3Uhu8cstKqn2bRmU nbWpplNidEj4UF+6GKvykmBmUtoSXuMsfJKunww2So9G6i3HkLr4sKYNUmHIJGKTWYBCLPv0Yrli 1RtmMUMv2zRfaGc2+JNhSQZyTGNGPLXV4DRz39QoQKQUL5r8Lx9IqP4WxAkTvpuDmmfWnw7Dy8j1 V4/Y6XToS/SXZIhOsO1+7U6bc8/kK63v+LXKDVh3ZjaDL6LQvYlXeCHM5nOMtBvGe46wxVTshhsD CfyL7wkrIq81FDMcOOtgCzIScoV0Bxi7KMcRKpts5CvAiwtlCwwALH2ol2wrbSv2SHy5brlvunlh 3kajF0ODnmoK5pWxIwPsfQIulIpnpWboLwQT3XnI4/eCjQTrlcpA6IaPZ03ySJRTS1Bxze+aDJ+e x6ptU6jIyF+fGoQ5QOj/zldGnnzsqwj5K57DVDgGO0RMc3/xYUVJxKzghX49I9pi/JFgU32baFZN vUaArs3Njd5bVAKx8fMVPdm5ZPFEEQAoN2fEUx410t45hfEZi8aFA3615ZbgvtoE6AiB98enI+ga i3CwPLdUpf1viEkipWm0Z2wqo6NAMFxxBquOIczHagkDN+Xk4w7f1XR3ZCckl99aJVeWk+ILukcs EQPOmJSsSlumAu+hcSgsvZSvcBwbSCCbsolUMpIcVZ/gPU/K19JjNa8+iPBpwdtoNnpApttoW8+N sKiN61wmDnKDTBibzaXY54b+yGP0IgozAeqWEQ8O4WbeURk0/knf6IYYUV3vY3EyV35DQU5nwp10 RWWl0A43KtBCh/YuwMatrehmCajcnF+WkRT+cgkxH9dXJUPUzb13vQHIR8AiCH10xrXJ+ntYlWJo 8ntabsMi+ARYUJx0jvsACV39N3Yf/GFfrNLlh8JcG3rorgJ2uHKXSFvL18+hDtrIGPAX8sM+N2oT d5fb9+eBG3RE93C4Fzirn1Jaid5IvtmskZF70qcxWK6V8e7Ucg4hkb6ahJxTPyZqFO3YvyNqNFYW W2DHn/K+9eGi/38A7SoUWz8vuqrKcxcETMjUwa6NI/h9iEe+40YEv8ixnM0y3DS7a5uHOui8FLlY fn6QE/SjXVIyhm/v8ElIL+06GAdeELoi9V6mKykxWQg9ds297Bi9mh8+UzbIfjKXrgSGgacnwz3e AJYhC90hkIhe4PL99R7j6ffJf2KXGsrNeqDkZ/ms8qW39X7M5ST/+/8GRNcfCHzj3CGv0n2OvqiY CJfcwh8C2Bl+uqRT0ikyVC2smPBVCjCECyVeVjqHLiXbGAFgFt1iyBa2cjT8HsWoFKlZ7xLS+0TF Av7y8eBkuytqrYoo/NHteE7ZQn5WgIY/p0PcVN06J9wdZ9R/52taosZXX1uFWRKSBKyfTH7b3igp z33ilv+c8DGMFGM5TbnZCjp+R/PygyooBOkO5ex5NvUlgj6SqOHmKxGcndj5wMf23XWrRaGmplpX MPD+52WHM+CL0JaJuzozq7BTMPpVEOdxhXSHHm7RqOUX/6JRzcmizii+gyDMntouXm1JcS/zXrDT UtPApAYqKrr8bUf3Rd6cWw3JC1H4p43JiG2XWNhMwwAyxQ40G/YAko83okSnLzoptmnCtSVAAaqR 6/2jkF2Q4oqMCr4FKLyZ3DoD2e8Pc/+NPo6UnQFG2/21QwtTDiYNYnPsm/Qcmh0imE7ymjsUb6fC BAUrWJanbrYM+Ou4lMEgGYQPZ+HCEYRVMiji6TCwtPvwUFQ0PbdCatLlUoKq4D9YczCPcVna5dBH ZiJpW00ef3ZUxmF/ND4GAORaRUi3jOPlo510oQA6p2eMhuAnwAYubyjdM+1qYV5/7/x9gioerlGU XnCh1QZSktRec1O5crD0Jj4jfHfv0FeBclr9HdTkIs/XrhACCLjZzD+LACLFgyP1BTsW7ZlX7FwK 9U/tIvvL4gCef2WyA3csfsXxbDXDLzOnCjGd9CEruEzgqbgQT5fCFFGCwkhYv+T7kLLbFxd/La8l RwgO91c43LOIPVKuGegb9ZKdaEigs46cDZKORZnQQZdMMdY/r8TxiL93ppMh3KG2HEN+GkOVWedg dj9VbJ/8ZuOS6+MXuF+J+X49HA4VFkIPPB/TnB4/OgN8oa/9xfGUfOqQj4CtDt5wg5lHtzytF7y7 2RbRtIJuDPm7deFY9id+y1sfI6x8C1BmLxxTh/dFzET6hyB6XlVNTxz8oI30ZU+1GkaT0hjAUZSJ Oi680O7BkeS0/dRvFdimpABiTCMwGEwgXp1+fuPZl9JfbcKWr5ZSgbk8rVAPa2E+lIOC/NPuqo/m iZL19snBJq443oGvoAF5X4rO/Lb6dV+absvoWL3gCUoYpJ4p9F2/rsGanEAYPTCNhPgpI5P7ywQd qUKRw8Pbsm3u8IzH81go6OLAr/lCLwC1ndgPN28RCZdQhDY+MdIGZ4SNSA2t4oTCtNVa1BJUXEAF sykkmYQXuC4sL7TFjq4dwdSts4ZMPamDllKgYWgTqMJPm5Eldhnb8OTbTZJlQWWW4WsDTy7cckCk VzoR4e+Sl47tah8EVy3dTf+Hu2/FcbBjnoISWdBSfT2Ozb29nyOKcjZQfQaGnmtWgf/gZz8B0Ag+ ZfqTg3ZRi2Kaq4VX5cyr/sKQAUGP1vinyo0dRFFjPXkJa17JfYeVsqjPI3kMRcDEPxQFFA22eISa sZiCkQV8YUq8ButHOqSfev5OUYh9HNQ7IuIUvfC8W7oOqAujwfr87T+YIOAgwbDaILt7dFimWcve 7gJVcSkIYTVDjj6g7UyM+09O6mqeqRWS8a82cdXQI8GnbL342tnEdkrE7JQsUrUl7AHnQ4J4ENT7 B7xlzL3Yxiz+7ukr2GIurwsDMUif6ex9JH9Ff0G/MzXfiN2qRy+kVD+4W/Ap/yyXU8OrOVJWaCsi 4hHwaPv9Nplm9pxXxIJjetQvl1Xik5q/WeWfKCI3naYLBNcCYal0uPYKdRtglrXZLURhQSErgAzv Wg7jyf/XXLRjgEWv/wg/5BNb/U4eMxrFJZswYnFYR4czE3J4ydL68Q4wAJ8zZAeB7+0GRBBuqDSm ruCIQr3YenZX1dxJLCFK0Z/C4gS4y5gxrkrk1k9Fms05I1fTqg7nHIplR92NHF0Hb+/8Nn11iZTg BzJYrKrynaRPJat6prxhF+gLmbdVO6enR3VTOP67Zw8L+S7Zd0yD/LWOOuTIyYVk6LYZlIUXb3yy E1MOdd9RJADul0xsuc52QjulhXUuzogiJ9OxnLQOpVJEHXTCrZeaodTR0evV3RJ2O5EqbTyYosdU UzPCE15/bpnaZTwDuuyx3hOZaPAK1vSNz/BKEC1jqkg8jd//+bbbxhxn2yypjtCB5EMXqICQYHka 8cFvQlyv1p7+ntYQHDVycDydDsCriRG8UY+0V4fEO4siUQQCKHUqpTSHlXcunArAtU/uN+BUfVrI R4Z1HqPvWp0VotVBNU40/OxC/YJ1Noad0jR+bSSeBBqCCwP/4qjKpnImb3pcIWXNEDFNxr2pjXY/ mx9oWPfHDrcOOVD20S41tv5nZ0cRz7nMgm1L2K04roTBQVZEVNrof1J95oGCNpRg1Vi8lKjks0wM nz0gb5CqrZGoav+yGslqc2bFBUdLdfeLtNePbYycaTrYExK1gOu5tOs5JLAUrX1V2ReiZfWJPCVX ZAD6880nGiapjp9u5Tw2/VgFE7yQSdt+3oToxsC1Z/dmHKavVmHRDrt0xWHCSyb4TDUT04G3Kplj UhnaXxCjD0w+w5oKztxwQ+aYEpYJwqo0Rqd6h2ekPhZ5GWw7GeVWviCDcBqQ12hlttwGKPBDM4VN PAQ/CY0ZVal48ghmmy0fFOepLPT0LcVx9wTG2wacM8noJZz/DgSWLLqAEpMrlNOZqSY7uuxinzS8 mqjp3w8s2F4NpVdPFVD+q+RKUDETyLlfRItvguyWZ8yuc1yeoS4sMRkl3b/TjPjkDrbBSaPBqgWX yJ+rHlFdPGSYTQrPhn4oyxyHvCIjDVr98FsUDkhhpoSytVyHkSvX/ZQ13UUHR+mBmt4zzEwCkAUb U+Rkq5yFw+cIVDk6TxRajrTzMQBtZxf2iwISOlU8osv4eNKSDb8d/cJurL0MSf/WjiWWIc+ueg3h O2xf5xVqeMpNwrVLWUrQ6g4Js0weLdeLEzAvPJct6vQXArYQDv+0wMS71OrVeUkTEFCw0z3NAAqd 9beKCRLS812ELp7RrVZXu2mpNLjjiXhBX9EdIBu7UbFR+ytpOiiE9H2AAIc1B1+7rzZGrDT8hex0 d7BwNmh3p+54IG5EQcdnpUcmvEBhPea+MrGuzltQD3T0ohITAMM9MqagD+llBFvHto+diwpOl/rp 5uTR5gFuJDCv7hMIcCi7itcS1q64Y+8Qd+0WLVk/yT0oOQmQAXX1MaA1Mf/v1wKjgFFnif3WRnJk oTn8vNvi3Yv9izdjzz4aGFed32u5RwXIKADLrdadXUi/ahY6aveLGvVmmgW6xw9Y2vZfvCaFzsM+ 7fQQ9xHNXzSRDH2GS4HZq/05qiij9p4XQGayNPO0X5D1E4bfr0vERDIDXVotE1ISqwWQnHGQMEUR hh+2m8Wiew8B+Bp4NRATCk6o0tzdrloMDQomHAbXCErDj6h/PMG31/q7LLMauuFJSA5vbXZE5Web ESnux6egY0/xPDG76Ln3lFT1aYtuadJeFv2ZH48dOuT/TVXMtjYEX+I15knd6MNBOwHHvX9ahYBS KhNvBLFYi06EFFCZdlqKhOwGdFIRuwvgSjskK6e/4OFbmvP4Cqu8d6eMhwfFn+wWJWbVgBYvVeTZ gienW0f/CzmaRaVM/AlYPzR663CZ0yesqnOmuHMiLX1TCS1i96iJjnwSuoSXBbrEmdQpLeyslkQD oLejLDnucPfp5EW9ctpj5bMUSDdYxwpXLOe2LhyiwIvFpNXKmKbXrePchZU1csTnRpyv1EsSKat8 WPLEptDJQD2eJr4wRMFdrDelNhR6SPIAXY110BIsO1DOeSGHf6Jpt9lWAlXTCR/EzrFDFT+oKR1s X4yMUPseAmMWDAmDqjhD+q94VtyhVZd+b94FK3eUAGq1igIQx3lZpdyGca+xUKeWR9BjSsPlxUuv FpXlpHWnLlHltebR5i4rLm5iVhD6YA7Hi1t6QMxbj5P//rkUsBr9cwWAM8/Cn4hLGGuGXb/vaafE vs/T/ZSNf+RdkfPTBWoWgFp3uUWvQCbjQm7zZMclIN55zxizFmmnbLS6TL02cITDA5vxxbb7bIhp oF49dBkJbaF/Pv6fMu72F1h4UCg8geWoE4HLV2YzTrY230I7KE7A1/a5qqflNkiOH4ROr0Oqvi4/ BJptc/Pt3APRuR4PHDyzn8hjMRFqgXL7AbFZr6XuTdUsOSkX3SwSj2wv/TFuZpU5CdCm1YBFH7jc fbVCoP3yXDKdrXKE5c2NEmLJTdwSN2yil5c11Yh7ltVPJ7w/jywYlDM7DW8peoJHeOXTDHWbRSf1 mMd5y1+41Jt8CgRn50VqhhWigYYQf3WUJ8Qu2EYxqGan6HGmYipuDlqfjXtpjaLvcYiVj9MblAVS mSqHbLzD4qMD3dyDx1K1+JbTlaDpAFtmQLvFh6eoSbkK/TSd5V8yVpueH/g1yWIOewnFI7mxWwkL i8KTD9/hPcNq8cPqso5MSJ8lKJn5ehM+VfIs8UJCqOHntPK8haEBtbWOBtBKztP063h1LfGORo6u s5aMa20Y7dyT42FixDnzCviIcUmI2VeJftaDGvAXaB+v8+UyH/xarOhCuGrCoBTO1pzkJeHi74o7 SLVpnoT9Jjhpj0ZoC/ve9hd17bPAvlDJVSVfLeyUN9hOvNa64IpGUGDBtBD1SxHOFq+QyLJzAbK5 JK+FPXYAYOIIZQNnODSEGy0nD8p0PIRSc0gX0PduMUU1EoL5vVZezNUI5WhahXsRvCe6vaa7gq3K DhSg4llsrkV9nIm5VXiX/B6dvOYrq1/3Oj+wEnnEcvX8M1UV0Ec4sAMI2H45JS1pk4zfIZhRAA1T SCjK4FTCt0A4Hldb36ZFmcZkUCNcKq5mVs7w4a/5ftjWnjvG16ber5GoJetDmHvsT5L/27b/5o51 weENs3zKqLcODjXFLdGsIA2lPgt/kpyGaWIvdUyYTsVpYHSr0QLc4uwo5jxb0Ppop2Y+Z59/+JaZ pzwJaC+1X3PbQVI8jeZx1QgPxu7Di5nqnm+A/SSBzFC7XSwj7Ch4ibJf/dj9VKEOtC2z2B1RjSCs 643n/8Kwo9zWNJt4k6Ox/HyjjHCpo7PmPwoEB9E+0W4eCxOryqDt+WGLogPXo8RNgAmatUNBKZQZ fK5mthHQ0rvqI7CWaLMm6b0aLRtTu5FPOy5D2jJxpe6W14UDOxFmJ8lCqEPxeLEgei0RUm8p8BMw PisxSq//NvwmwyTI4de+pxr6htxvpO7/u+LAGjLS6kaa5V8hDFHDqO+zoQBbPIEQaf9lnITzn1dW hx3r6jOAT+FBJpZ5g0JStLFfm8pgwIyN4IvbLQhr665IV1yCgNzG91Sa4NkFcRycNGyN6XcFv2sT jf6Tl6IxsOItriAd/OPiVenlmOS0cf1zrWwEM1ZXuWHyPR0xLRM7kQcC0dBSRThOn2D64HaAxNBu 6/gz3Q0w6nDrB0h65iuvI3AhM7RPg9OKoMTZfrBJmFs7H3glQtMU7k1rr4AcquFy5ZXU6Co8KxiT +REWBJgYK2eolrXkWgtepFTDIpaDSWgyxbSQ9YGfqGooJ7c9Kq0taviuLOOZAeQPB3RL18G1Be4G 8pSYhN5Kq6Oaob9a+QZPWfwnPYaw1PwmPsdud+YFMuyBZk0Ik6+6ULB7XQqWht+2yV0SU5CgmDmy 90BIgES/9dqgXzlxWvcobFUnZc/6GMAexJDQnqdtWvp0yKbTHDU/XWMcw/OlpF9F1mYWqBu6Zbia S6GVpFh90zAeBIL/fJ5WfxiYjGT8I3j3V/EnaxBu8CfyiDWo1o6AXMGxvzm0jJiTW8A9cJnrwioG ZPemZkBZfG43lIYSUKAqRyvy1DGip2ozV25lPadpgGJZD9eVNo3BOBYxd+Xl7rAkBPfhxiuM7YvF 4MT8zOPE0L2l5yIzdxMhC8CsNYrbzwjl2atocbpKgwq6FZm1rjlMo7SCD+P5uyMni37Llz84jqDl DLDXuH61oQBO0iQnX9e3Nflc8+3zuFHXi9zHvNmcQUFMSZ5oOeIhIWCdLZofacoiF4Y+9hDKBxDi uOStCcwKhdGMBr9iqFOh2soDhfOI1nuHTQ7bsfVNzifQar5vCE3Wf6ru64p2gP3LfI1PDi2xlgii EBbm2dDcdt5sZFf6lbNApqy1B8bx+KGPTf7t5Dam3Tog/AMXBZc2C/ZD2qARIMMd3kvWtYH+Gp6w BOuyD5eHMDADngGSFnZjQnWnMTrkhozsFL2xZTHsI7nJXoFTPOTDGhczQjZFemh/x3SweTxrWDon dflF7Gm1c2Vl7urqCPd63yBb5x7XMVaHUR7DmoStcRetP/tl3b66FrxCpJDeDvLTfjg+FhfsU9pD Zy5uwTEiCoMGqVE5a9GsHBWCshR/LMGzb+fVHD6K6c6K5xw2Og1sU8iKsAJjS/2Qc7Z9qN7sg8le NsBkV/A1EZMjqtDYmZmO/0rbjunclHWKUS9mFmWsi1itWACGOf4v5x8rg15fhWrth9pvlD8U8K1q zG7DzPfKH0bVFxeg8NuJN+zmED7uyWz4qJjZUIDZd3TA3O7GgjzDDe/0jwDmiYxVQa/vkbOgGqx2 IxGy+mxIx/XwDcW+S50fmA+xiTRIiIP+96afo1/93jFvegcGtR5hVAO+Lj3mGi42mQirPAGFL4Em dPaVJhFN3GH4+4OY10hMQCswMlBts0nEyM7RZJ5e4qJxJG/9hYH+FG/OML/aOKgixMUCD8hpbHNv O8AK1an+GxQY9K8EhKoFbaQtpLWNt7jnlwKQIS1oit9RsVRQPbi9ePqNTngjojkkGtwYo2Mta9xn /3tujd1WZ4L42aKvS6bvDbEDk17+0L0oLAncSFH3f8IcvckTncDqXcN6EfqKhWW2jKOU0joed6HQ /8U069Xm8cLJ7bJTnVblqrhMnwFLPQwXNX1llJVQkn1ZRlNX0TQDE2jFG3hm+bbrOHM7tN6WlqbB Sc53X/qYJUF/925Y3FwHQzumwu9/hbc3daRoAYMdawxaXgS4pawkF+tcJkFq8SkLQ+nZ+gzap+Pm pMUT5Pg4oCxgxKxwkb7V8lGRbR05HwcN9lm2Es9KANMG1qJP8VLp59YSQmrfJFeVUucybwoh8e+V d7DBV3HBAZnsk3QVYOmXyY+FXkp44m7FGrpr4BcTq4lVGm8y3D/Fghh2lxXKNVBYXLhBWKTqYShu mhN4BJ23jNABPisGIY4cawBiomX5uHQYtMgk4H1hdcX9MA1jZbbGDs6yL6kyaLdHfXWUWeOdEu5Q S/xdvWyT1HYwMhDe+qHgKFt2JrvbBUOGH8mOqD8rXQQS+Yn7Dtn5IbAsGfMHbhAyi3Qvy2WDmLf0 57jAgxxVsmiKbbycqyhvq56qX/dAGxhbvx7TLyVpFLd/GANqUzyP5GlYe7BJkdej/r5ScrkVDS/c fAPab4PP9W1zuOTKVv27KOykq70XGPBzmWd9wfFfIzGIjaK+lzdB6dOd6UiM34LlFu/ecgKvHsbO oN/sdISmLYQadBDOwFTRTcheCitm2S0mdz5LkK2Kzs0ggjcTUzCwhQNXB3gMmsOPIhjgrYnn9xtq /rjpZQ7L25fQnTijcvgswqKooqPXjpBePMPNzXEPF+8sb5wH5FopXQXH+gvfhzU85uQ1xNyyqD6j 0K518fwpzC5zK1htibVnDY4k5PA2F2wQ5U/wGG634fUEUKcDV64v0MDeOIDYIh60KcRcZzr9xJsv gf/1VTrKTj8ugFT63pIASssa6+ioptGYOj7dWk+c7Eb+VmySFgt62idRjnD7ygwYXDsLSpqbOPf8 E+3g+sqNHZK8sOJet/tqjAOCtftKIUT45hcQ9VrAciLhALdLMCKp5E0XSHT+FtrQ0mD4jFc66Sei YXw29AkjOPwHwYCuAvJAXg2BWc3di2C+Rm5rCMuGNDTaalxes3jFQj0ahF3Eqnd9je9YMHOE8oW1 UMASm2DVvyXT7owV8GUxuqF3KqHR7FdQMFu9ItPfTC7f03bYa1VWHhZ0aLEY0DmWvNQz+7MrnGKk 8AGKuyCqTDaR2VFqRPy61swoHK9yah8GsjYBZQyBTMc5AX2aQ4BrYwyKknBeXFHhx7Lwe4g7xX1d Pabiy9ipZtw6aXwSaErME6eKAXk59uZz1yfYdvm1h6+s5h8sfGr+ZeNB9WkDjVZ52j53ILX6BGs1 QFo5XbJ7XQuuyJI3Uv7bymokPuuiE1zh4B1etMoI4p7HWBuY/VPW5clLKPZGABCfG+F+VI1jv4CS QqU/VfSGHYLnnkNzzL6aRrK0kuzcqcIi2uhkfqhfqaJOF+gYLRfWi5bf7tLRlPfQ6rCMYGke6wQy Dq2Jhco0bXXEtfOiZKFId87kEWomIe83+McV0F7GKDC9Eq2wewsOgFGu8b3ERwS022FIgM+0UhhC k99f2VfY+OQzjIlHsKiamhdxRNMHgI5Q/z3gVWULm/kQlpQevjLJIaDHC/AVoDbQR4WRejWQVrRW lc1N9C/wF2/ysyLqnrnvXNJRWmdDUQVYcW94LpSjRsEgWn5aOPTGt7oiR6CEzEBpGP6bdwLzQJyC 0PDqg2wSJN4CRblRx9i9iO5YpiaJHFA0xPHGRaJ69vG686E69DtymydUsWrxF9V4+FknAuXWba2N U52ROuPGgGdHPX5QKzjg2mOj0tTuMFvrX1vjWpiyQPeAsKOrlqzZEkBwhgabvF53UKk//vOM/g3M OaOAlXqM+63sZ0+epPRs5UXjCEfYcv1F58gDcPJm/2DeLH6NlZ6T6wzoIQViu4Ell2Ktjr2dBj+q 2YS2AqQx833hT3HgO/AbyYelYru2Nc75kwxvNk5bwX+4NR+ucezBd5I7UB2xntQq4Kyc43xub1Uc XCzCscUupXBgBuUrgUDsp6fdiefwWL6u3bA8IpT5rx/E+hV55TouUy02ivlw4eipYxP/s45pki03 JEKJUUSPMdtpgH7BmieyInoNRhpcE6D91DeDBUR7B2wObmtG8VGdSMBHVIRqUmr1im0lemQCF8uq OQhqVzmSI/x5Q3SeuDh1FYEJZrG/wlOw3M7zfjs6SPphXsF1QrJK3sH+EA8DKBREwbjl3w7B2Xxd uW27pMrYaUgU9fXzrkHb2H2lm4OCRXhpOvU8c5aZDQVUz6k7wawlLasv/u+2KmZNu0JolpvFbDOa 7z8pxaCJp5RXxq5/2RkJEhdxuhvnlFBkJHaciWgibjU6sHylv/FJVhYHMDzqDL2xKvwxlCWr0t7G rzQW/J/3jPWGFoSICYI3P+W90h8OcFeHHxvn4hUgrJUk/sjZ+0vpm0RJfROZaoAZxb9cbx76E32a FPl5OY7QWkGRUBNVukpiq6TNqmJVjz9J5Ojm3on4SHbKdsy/SS4+mbtK/YpbrCwtYA0zUtElTukX WL4tjufAzyQO04HU7MmZXBF0IQgIZ/bonPRht0A6Awz61RwrKEpvWmUYJSfPz1h33frJSLfwK3hw 0nb0xcycgeAFuokgfKQE30jAc71XT7FsUwEjtKaueFwr89DmpjjVZChRLBkkGaOa7fTjV4/0BiKy SUyQoAbGRsMCwfooTjLLMTwiYGcYMaSVZhLY4UEqNqyp8EIOGcxXnRmbxpVhdQgomq9eQYLgTZE6 eRbuVaLKfYkqxXpg8+3DBOEyoIzt55ybO22SF9K+cXhWCQqUzH4Cu7PyDuPBjXluMNIG3b1VmFWo YyXu5fLeMAssB4S8AWUhFIGVWHcuUhSuXHMAba12iXBjYRN/jKcSqjnEhBe3vPOw50eaLe9GNDhi 45jjxmRxEQDH7VPjZFcg6l1n6O37cQMfwfTM3xntpZID/L3tfD9/Rj6wmq8xL7Pxhei7xnEJj92M axMQSqgLNOrprUYUhx+5iIl2JChNSOeN2csw7gMhxjFxQcxwp7pOGOnpTcswgwk68sxRoIpFd4eS nwx4n638cqDyYKKwl/w33UbEra52/PLEc36aXZbw0UfurordBzMPrH/kCeROvrKHjWO5S2qWAFDN G2raasbjkxnsclwSPqYYaRzDMaoiCjDMSTLmPKCxstdtX67Fwnc8xVwbvgfBAsQk+MvAOU3m/Sw2 sb8INCoDA3U36TpPX0Dj15txhGIKv4eUC6f5oK+uYM/PTmFSafsZEyMgi6MpMudB1SAyGC+uoftp CvDcQGwMmYcQf5sLQiA9xjwzDYSC6up5420StihiLBltvZGACtzMuLXUq1Zac/PxlWtNz0WjjOXe 367gRj+MST+uGllCeA4MmW9K80ku7TQNi0eg37i2E/WiUr4126T0BcRxzbrwWbpp3dtVnJMSj8aj VjsF5mY6axGFLotLIV2FOf5paWjcK15Fwc7Jjn7zGslB0nU2E2aQnFoYhsBWs0T8w6Yqztrc0DF6 Fk2SYzE/KzYKv4pELI5fZMz+wBMolTgd2hjYeT7NVxZT2aW0h7m2tnehfnQ8ndFWij8gTabpZkOX zpWNygV4PHWwlCae+Leh3Z6FHKBoTW03zuz9BudSwSp2UmXaI7Q4HYODZ5OKKKx6qc72uzDdUfpO NO3xbhhdcxQpxmBbeCrWk5exvWT9VD/n1/oxxa3m2Zeg5NipB+eU+QipuUXgas6vM1LQyY/uapB1 +VSFA7lOuJFaQwf8Wk32M99rs9LY1E5TLtPNL8gzh8QGi3YzOhqq44wOYSy19RnaHYAesTSHF1MG A7d+g2quDmVob2MKOkT//ibNhNI7shW1CFvbWQszKfFnpblJoxfOl/kjhD2qVIAmhncQiVD5b3yD 28A8vu6X4M8effpRsc7oLDUWvXcv+8KsfamBkd92CqeNyO9TamnPZnqP/CbT1PRk1Pt+rEP6GSAv XHpSS2jFYy0a26g9k8C2KWFLBiakwDZaz5+qTpOVAWWvJi5UZVvwoaujTekHvRd9/4cd4ANV+xHu 2KRsTP1uBwWK/blpTw3vS5Blfd8tMdG6w9Zezj6lal1JbP1+xrC9skWIkvgxoYMfMYLPIj/QnROQ ICemGJMshp1aELnEPFZf+oMHwXhyWyzXkNuYZtG22jGcUWKVWcPXNcO1zV0n7pPFWqZI6KE4kFV3 wEJQruxkR/Dlg94b6rPrv6dW218SMIDhL2VSwLc5jlVfrL3PL1LtdF2KDKG7bru3eMf0K7LOtCkt +LGtkOQXSDi1sE0HDQqwe7QX6fCgO0Fx9kyxnizrFj4mRKL2zH7wFymtkyDroQaY0dNkJiAXjal+ IlzZnI0FdyQ8Gs/BlgIxGHRx7QO0JyVZZr74kkR9FENgmJVnY31eOvRHj4A4Xl2ONTEL+qBoaONe E8m6C+W7WMWywo8wJYtmrGWm5Sr8hKA/xsRLFq+yNH+EOsPOlBwHqF/0Bqvko7Xxmb8j6Hdy1hgJ 9XKdx++Xh/Z3F6ZLXYpGdGjoNEEMmqcCC2LUXNrM89aEzYIBeZVcHkThQUp883zd9Xf7qNrNYxSV 0/5q2tRs+4vEURGSdb2X6cZQLmJMu9VzpqEbL6sUy2KozkmS48hvwEAtoX0DTnAvOiDxLig5Y95V kZwT5oedG95xPP8Q04qvejsie6bA0oa5kPmZ6bNNp91HgZEgbW9i96IciOfs1wtmAKVUfT32lzfY kIYmgaxuLILHISjI0Kv1oWFVIR2TgJ6S1GH/4dDxdg6VgfQUBtgToRDdKdjfhwiQJNoTxrUmacuq iaodGqeruhhVrXo3IKnmLG0slNRvu5q1Jd4mWURoumbcUW5q1/9Hlo7aoiWrncDuriITadu945i7 9sBLvhGe/0RSdLJiCPH/7+7lbw4erPQQ9EjvrFKq90QYABkS05dIJJUrAR2FVXnxmji7F8Gfszhl ZLIPUDSO6+4eB26W0jfs1IvYAGJurmVjSMive5AxpxVPV1CGceKcYuNbZJq82eBIg5G6X0LhbDgV 54duXAQgLkoadNF1j/9qc0o2f4vpaF0VHTCmEllq88zUQvI77PHlo1XyRXBqXP1zI/IdfXV/f42+ ZxrOZ0jjJk3MlUOBmHMqH/YzTMK7VC6FTPRafomfyuVODir1a6/nP3JhDh62jqajy7dAEvZDLIli 1B5fNHTC/2gJ/lVpM6tGPqsqxSvkBZDTTi54RXfb3kyF6pe38xYVOKTZjthWWAyEyXqRpvr4NWe1 6Wp1bg1HDJNJoYW2qQZ1FvLTNs6OfL86/Nktqqk3YxecEQ96VImnvZ/0VllJD5NyToUJeMiT0AdJ xhCrxgYeCNwsz5n+hcdh+NtXcBPGtEej6eTi3Vb0ZilCuGa7ez9zieDInmNdBpYdEdSokssI7X87 YdYPSqwys2j/4J++epIYSOLXVDRcKQpQ9i07orMaxNXdiMCSKROpoR/4glZ+ZmaH9yAUBQHnx8Hd BcJg17ZChk3p0XsgdyUaYd/YUHTAPrBVroKUk9kBq+3xb+kxzVDcextPr7bBq3UWrzry4ye2PA0Q rq1WFta/mbK1tzFvcNhzTU6JBL5SHKcnpUjOgRH806FfPqBZt9dHY6np24PJQAQ68Zun0CKTjkEa l0VDxf1snqiUZmjRfXldH9CqEq+W9AO+HXp0ztg4/x7TorZOBAci2sSSeaUxMTpekllvCE0PaM2M Thcj2xnA+njH0pK0WzdlGVuC/RS39Tnb5mFzncZr/MfDBGRa8ZfMbiQCZF2e//5MCnl0KGKryFES zwIBw1Gdvf0ntcV2vk2eIRH/2hn3xObZcq+Z8w7jBLrYeDxGeFMOWePPnpye1/Z2H7rr1IalgQgD 2cArL/DSG/to2y2M7Fooq3VIJ7QYxC1GtJPorhNjvsLEoLJyoVT/QpfAhpaFee7ALzkcDrHub8YR xXvrDyI4eWjIu2DNVp/D7s+FDvjOD9/820FmtI9wLWqp8t4urkqwgLV0j50Kpq9WDnwsidPF8IEg 57UE6dPDF/enQrC9m+qwwYwE4w3h9iLn7mU71YshQi/GAuXL/t+humVuQBxdldl65yBrKip5Mx7J ggFBXdhfi2gEbtYn+CYwYtQq/PvBaIcFP6GGfwW2NMwkoDshAipaVt49IRqTnwtdVKqt6ZWSgioK EIC0i8DIfQY4iP7277jWjiCYmR1OJkNF/b1qpZrdSz8tIxdh5HsjdjuV5K3PCuUbYKfsn48I1T/0 zeElyOcxIKHSoYqeuzRoMW3XCRSweDYhRKAwFUM2onBCybZ4XESbMl8ZErd7X0UpPHOhbQYbXyfi bPea1n4c0MGu/StIbD8bpZIQMtfoSwiHjOwFHgHeXvhWA4x+yMEKDDBBJTZ62Qo/SNh6NbgZFKJ8 wavqVSqcB9aJElmlVmq13D/uXd8idHaAmW7cUPsC9aVL8NAOO/xufaIX8bhdnlkWVcNKB2/Hdeoa /Z4cD50kcCClI8drwu5Xp1WxuBI6lGxBcswoKkR9xPCkkxOr8D72wDr3rJfw2d6dgBcNhbcDikIt nMgQuQD/tcKpdcdoPhT+eUYWRHYksvSkcGQMg+H46Q7jhXpt/Yrpx4ZbuUV+xGZ3h8RSgBdQoPxK QbnAlgzyP916+c8SW3jxcSVaFOVnjFAu44QZ6/JX8051dTwACSnMmze2azBdKjXXeViGf57x7pvA HecMXLOEobzm+WbuEmovJfBmfS7Anpq/TabwvYZBqTWUeQ2ZwXC4Vdh4k10oX2diRUrOqPETUdmK beLhJHWSIy1dsiz9jAoNmAb9akTrFJFWCYLk3Lrgq+NZEOUJav9LES7vUMsRFiDxx8I5rw4LKTOi fmq0xoZE9Fzigi5+j+3RCgYT6Yls0Y/TcyNOS4uHX/+3VhBab1Gsg6ObML8AXau/r+TSPl8ePa+y oINVAMvriX3Bd9gAkxCBHIsVEdJk9gm9pJtzOsGeKKkJtomzEv+8BDpwSNyzSUve8cfaxgo6fWjO ytyFXOWpak9yn7Dfjb0sJmzcmiPe9S/RmMHkPRENsPCMwN2uTinwjLjhPxV6zKv+bjpq+ki8g/N4 qQZCUKE2Sdonrxjn0lRBh/TIjY8z6hkp+ofYwZmMzFvAgxw21qQJTlDMEITUFdUMLkogXf1nP8W7 tXSY0+jVrD3UJizD2H4g2gqMmJfCb4duWIRAj0J8cgjAdS88+4R/Zp3kThPis9kfaORyTElTabI+ 5qfieUglnVc6oQ/EsYwVV1CALgLnPwbtMsTlTp568LKE+7S0nJ1LssDsRRK2Wh4FRCaGsMHjK/SK gqM5D8UsS7TZJcOv6r7ok2tfNcckfFfSfhrtOZKsiZIrd48uDsEo6Dv7PwxTpa7kiONBdCE17H4m BkXPSaxP1tecnIieoBD8Mjf5VFIJ2If2Fg9qhc7Tfu1urH7WxgentMZZUhh0M4MYdIVVx61Fl1kv NX10Z+rawYAxs4bMlClyjIfpnvshDKwXkpMp1IiwjP550/4E2/EUwIPctmzukWxiMWNcHrRsAqoj e8Olzi1z2r79NP2PQjH4ZuM7hJ9orLe7RetoS0ME5gCaS3uMLT+I9v/beoMDTx7swhmhw5sd9Wu6 j/UGVcSXNwC26A2ZwliMskWl7J2avQ1p9pK5qhtJK8budEJxhXt9VSwXuxYQb2FZZzKiz6cfIQ+w VgOK4F2TcoFACi6TdLX4xLvMdABBCluXw2ezSg69K6wreXPeMfCWxuoJ7XvEck8Men1M+xPW1i5a qqZ1cv5hKJ1plb5DuqiTBo0gdV3rZ6vFWKY0P7p2SN77yJE40KKHFsHkEvBleBssbD3REe+d5VY0 iZi9HcpJdNEhVkMGYRRpEWNLylt92DvHdnJL2CiTGt2Dv3WMHVJmis6Ze4Wlqi3tYIQMm1MCdCrS NgyAX7edTOK04rCXXabaqVolAIYdVeaWQBqU11ZAbc3jPKcD+p/p1Fx5SzFWsEFCHJC2nhEwApRO eO7sfqY/5ZcLMaB+5gyPRcXvSaFP4/NkQVGenvskNhFRqgEgnePnNJL4oycUzJCRNYR6Qfvfbfw4 ejWN5gG8w4lCv9lVV7+FXY/byQkFUyszHEJxM1AR4Vlx+ZMJdBVuAfJINbl13SKtZlOPEnBMZGw5 R5E+W+288WF6YC5ZOs10wRkPqkg6jbanunuuLT2olwBNkJU4LXE1lDKXrUTF7D5rXKwsENwEJ2Vk Z+/geUKEuEK+z2sOItl9b+a44wAyhT1K9k35C83s+EGIU4DaYng+F61Esin5oVVAOCUhwkbqjskV xSDWRBv35owz6iJt3h/ycVCFMEbafgk5r6iilq+tWd3zcxK9ZJpFghe1Sy4UPmKlKRdNuDQo8JSa YePT1yem8AmqtBa6vBDDwNRO4Xdd1uQDRloVPgBre1fIl8hGgoed9cybE6exzuXNdPIF/JdL/QrD rpIMZ42S9GeJXP4TwU+HYLCx+NzmVSknrnXMHYgge7tInhSkeXq+txZ1N88WOvtYpXLHpLZbu1zS k/CnVSDtrdtqZWn0eteLxQfo1FanCsMQz+A55JKh8JQe0ETwQ7cJpna321XSjmXFrUdLTMdCTi4n XTAuC1SM1P3hcAcjARnbw9kqvwr9n4oUUsDr94kBMFcuOGB4gdyCKHdmWb8otSfB8xhhTDT1yLY7 urrbogyNNIESIS6hkel1DSI+HJ558dEpvNESTzo60Ag7HJD0Nvl93ivKEa+4Et73R0CPlsI9QiOn QoOAArC3m3fhaaT8YQELpV4ZAEd9wyzkuZgrjxWKMhwPLC/gm9XCwTpYAg5TUehWf1arNVatTLhX r+ZrXQ4fXinhQ0vzK/dXs5Vqc3K4pErFYdWiH8DC3UTl1P0NsA4RyWFOXkfCGU88yvb7eiZLStpC kZudDebH1xWaJo5OqZLGopkW5yAmJv1XsHieT1ny96gxVMGQiRDeSv3kZENW7/C2RVNz6LTy2uwj j0RJfSZ6AOEw5puKIC9VQ6CU1qACZxJ4BOcVQRnfP9w++b5oNktFzqvHvhVkPHGfGyCEVnxiAlYM jVUStRl1N5XKn4En7OmGk17sgqcku+RhT0355W73wC+H5uUjfaWmcmdqi8dQTZGFvsC8xz8INqD/ cyIInSTY4HwQ1NZZ2N+oSMJGKoXnxV20CMyDay7zT4TpB/GdwVi64k4aKrpq9c1lH70q+dn+nRF6 HBksMInErnhW7WexnVHYZEs4GHY662gw7zxjM4H48c5kG5x/pUegpPaSpdP+gu4hm96OA01i2MiO 9NVStXkuC85qZeFvEX8Gh6NOpddzNuG4MSD4EGHud0UahkULKr1bBpWUsUtTBthuV/w+xEptfPTy qUZ8pybWZugBylD/51YD4JNMoIY26tj3/CezsVrYzEDtOP5EOGplE+QrR+xRt4Q6/1aMiW+yHTO/ GRompr1M8KKR9oMdDDq7eZ0JsQzMyv9hKsUqyAeuxAS9CAaaauk6GTsNVqBdOE7AjhIbtELPrXLZ Bb97DEknoqBAECkVUIVvpVTBzdYppfZqVEcmmcIUn+d+sJO3V22x7xlkTP6Wrz6u8LHyXcNobtXq qIfqquZNN9SUjO2n8OGSD2PJ8uYBwU9iieMnBgzy7mPCs0IU7wFyWhA0WRuXEEWLqz3/MVupb8NY VOV3mD5kxoKjC+CrWwyPQueQjOxHsraB7NkyI818SLLqYG6Nmnl5sNex+MsCrJ8fjGH1U1Tdp8lN Becrrw9xuWcLrKdRTXqLzmMlI1xnzPYh41E8fheg2xJ6z8VvSdfwF+tAUcjiQPwsjr2g4wP9CJ3d uNW+Bd+IMLHC+K1C2BuiU62bm/GmpeVBBZko93v9GQw82qmbG8VdhCG1IqhOGStDyzW5/n4e6MiO 9B5prbOHe89xEw+7NusCr2nFm0et/WsJ/eRBeyzRhcUWjauWCf2j7SUs+YHWyfDtApz6tzM4aaCP 8PAGaU5lEbmKr8VdNLwATDaXAuj536byre2EQ4oPaiQTFksvL7yO4XRrNDdz4aJ/cej8JVjxMKo3 03MRMCJi6H8p8bL/AAUfCo8OfAX/cMFV6Kwdiz4kmwcgpa+ZunHgs/77/JQtCdMNIvGaVCuruXGt nxZLYQJBjLLRnVnahYZNV8N6w8DBimF4pVvIBT6oLG5JBv5RRIGdSxaXeyYHDmLTLARYL5H9FDPd SO0SZPpg8gFeli4x1VCz6524R0yN2reFCYATdlykhej/ZF+FkrQFimKoU3yOGUcyqHYnCmSMINdQ lBJ16RYqXVc0gLh7xv13LEUT5ONNyU3M6/oYetaQ9TwVi1Z6GdNN0BzDYgdc9OVX3VUQFTQTreRm lOCVIppU6jSeDrx2d5tQWUnkZLfDE/FkgkN+xywa7Yv/xiJJ2wDBB792LPC20LxSVpB2rhPItN/p C1oah/XlDqDVCCxUMJB8nQFvi24G9OguAy4iwIYzGiRjGR3sgbI0SwiC9VBo9TzJI9feG09ULzaL QZ2oq0aSi8R4Z4k8/lGWHPqRBqvzyDaTy5fdFEzu0MEdEOJgxX8Gf42aksnxnIhmO3tPvMfWeVO9 T0dBRUaaOTS67dASf42oy2szL5dqVdKRosmMss4pHIPxToe1aaw69H6wiCO3mkee3mgJlXQna9Wa Eor7uH3QWbislcixsAsTS2C3eSZ89YkOcahz2W4HOo/9iHwbuNqaAICKh684Yuw7BxZ9j2vqZwsp 1okXng4LyZyuxtuNgmlXbw+82glarNBiBAS+qX3Nh6yEQSipxcix5/eoUnSJXshNgqYcnP6Uu1W4 cb0g7DTMlkPFMrwDH4pt3xUI+ZGIv8h+Zu2HG/jw7okR1zY/zjO/3qXdrzcaeLTjPKC0kLUqsdvp EQbtvqQlJw7lTwFJso6Hz362ElLrTbdy8mZtRUEKHlGDY/bHpxlr/R1sm1a+TY1JUn9PQ620lowg eYrzsfwuyEOZmntUppev1X02Ccl71x1X3W1o0QkjYEdcSC/nQ0eR+ADx6UmRGGWx9A9zd+VTG/II FGKL6xwH7lbflETNuwxoTHaANYJqPhBUcniekgg9tevweSHj1SB5FYpIuHmbN7yy8D4yNYbMLdCy dqd+959r+Ugetr5C1SHjcXc92RVZbQXgYH8o+qqyF214af81f5EQVunId98zmf2yWzIBlJAaX1pY E+At4C0PkyljwlkYMCeeQrZv04vHubaxYWo4HR0NJe6ZvVrO2SQYwoErCa/UUOi1GKYaKk8nQgz8 URY9oBAgZ5814GbMQMl+3MMZ8w/JBv9xu6/EN+Fxor8/0pgp4Xq/kNeas0uT8cBtRqXW6rIeMJkX SCmfeVJLqVjzNP1vLwGXRuGdPrQm2XgKKDmZfRTxH2v1nlA89Q7PO3LfRxX7XKwacRFVSr5uuxMj Xqwg361586FMrqC536fj5pBwhwf9p60BXFz23Uaf0ycmaeA6HO2O6p55rU+MfOQ4Tp6+2lLP6b1w zlvZm8xNEx1wZyTaQpm9k0Ejmm7c7vIwKuGzIeke1Jy3W7G9zH1iQuifK0K3COcaW4LM3kR2hsld Gtd5q7cxE265AasQ6/7nUgEmJzs4GgjXQVJ30iD69FkYk6QswBZHAyA3GCwAfMdoEwrM7hg2Vu3P UMPcm0/pzDnoP25bQ094fi70UZd4D05zossytTXcXCivWJQBsic+JuIZMhE5lmHkxQ5AtjjtwoY5 llEsCHsUzAnMn9KtrC7k4RPmfVJsz4DWsu//kiyf36c1S2tP6w9a1CQzmpxfmF4vdetIndeEmTc3 7j3xF2Jvx54+fRXbBJaUh2mYGylr0yqZApOg6lfBgJupEuPXYfrkwf/ZN/k0xelvzbTt3U0Q014v cTis20UsF3AiF0p1X3A6xIf/zRqIjosl7pHcK14JLr/RF1ToYp2l7Vv+xqW+0jJSlj3HAJ3ZkPvG B6sdiUuetTigziLx+bk+6yWxVe5nHR2Ne39q8L8NaW8wSiPI41f1RsRk+40JsItabaFguDFPZq5E Bu497xXMEi4orvXX+rx1BhcslQ72pk4dNq0iaNMYi97WGhdxMaLFd2mvOlOnfzcVBe8ptoNn2b3D 1c1DNOVlP9Uuusc3p9rmLz143GLN4Y5tR6TbdbK3RQtYb6tbVuNRauDiFO9kYpKrNWpf7Z5ABnMU 0OMDenwMchXxXfZMzg67Sp8TeLUN9yHom3fb+/2cwkuPy23VxZGV3tbBPREthjCuOGxRH/Eizdrq upN3Ut/tJ56MFHV60L8LyXVqtp6vX7TZ6XvUch+wdMWC+D+cr8YbZlKd/210Bf4DuU+xXikyLqNP Fss2n5IdVqDRa82CfbSfvH88ZE+sNPhvbaRUDhP5I0gqHTeXE8bC5aG/b3uBXE0vnu95aL+Whw7F czkjU8MvPBUGAHriaSe1Iv2dISUaqmR/WEqwWGlRrS9SIeq4d9eA2QMto0gsve4utb6Qo/iEWeLl PAz/Ftl+FDP+HzkQTjhbJTO3lCarXYF1sv44N7Kfnk7EnzHABc7xoSKRaTkvCyubJV/ERpXVamnH j0XLhmEW/AieMV3JkN0XH62McW39R2KPlq+poyBU6iHj+ueeZQfMELnCFcAphXm8UV7eBOjcniH/ 29I6gl/TNpJY9hUVwPsmsausO5dtDM0iTMJRgD/40TRoHEuOrYfP7CJVHrK3OvBZTzH7A/3k9+HB BCSCgURSNwF25UGkj8w8gBwS8+3KytYskqYbybr4Ap2d8fEgPr5fJE4JDg8ooGXeDvbCvUhhgmRO o9lb8g3IL31BykcgkZc/b0q5RWgpZNgpTGIfwJhww2KXzlxV+31zBFYaxOuc1a76LyIWVXVjbvQ6 B8yFVGUXyil3BtERM/7G5jdeZ0uLD/OMF2yL6gKd0Q5FeHckM5AjJiz+XO3ai/ExDheHU/aCoq74 HWJ4WVAGKwE4NJUkXXLJLlM2Ik7ChNYgxgyqiFYLvZDCs8HaeX6i5jRqIUzxQ1vrGuCP+ODXnfRJ I3i5B3u9o8TIsisYgRaDLXpmzYyblcE72gFRtHWD30ZMy+1NPYacXxf0OUEFXSzXa/mE0wd1ez1u upQ8NIJ9dzcU8XzAZ0PCW+t9QC27qtJRbmAaCt1Nd4nYSVWhSZwWnwBIW3TvwpvFpA7i6TEA8fwT AUkgmPuMNg+s+QzgDhehn5tR/6VXrwU/sPvwQhoRd3FX5SHwC766/YJw3kxYivLjITREAR/d8xMk Ov09NPcldd02kFCqL41SgLO8yUSHa4QI6BN0wljkXs16t2ztP3xGUnJZZW3LrbvpXffT93/F1a+B wofOCF7u87ZcEIkKo/j+VN9UAgD/pt3MyXCnXJ6UbWjFvXUBTxLosB+UotfvYQWJ4jC8JNKOW7Rd 844KTOda8aUJETZeJ2eI3/mNSXIXwcbvKyXL07tWcw+C1i7eXQywD8B39P/cVJVhWQQA2crOPl3j 70QtDfXLaisftjNGEjzjm+NsIP6P2r0ZGMAyNMe6s+akQ10jZcz8/GCvK2ok7MbchYGYjWKA+UJT TiMMgAFtCwbF91rRek3rhVIg//U+lfmQSK4T064GQLUgsvNaf9dURSo8qY2j0/htujGP2CvYQns7 372SLNpRqDZ1Od8oq1ttefp1OSVpQjvzyj114deYZTc6zcmJrNWLSPAWqrFFb4SJV8VzfK2l90NX YiZYEVEmjnJnmZ9pu3lePT532qft/FYqypRppRx0hGvdbq9LoQvLzuwR+QxhzV5d1putfZ/ieuKq 044ONc3T9wO76excME1G5imskDPPWR0s+Z7yfJ50FYn8amwgD9hhjRM5L0lspDVsNI4IzhpZtlRj oQRQwlvvVl6I2qGZcoi33x31RGlRacviUt0B5mvVVPWyJF105krhTELRKmsvQZUCEO7aOkUmBVFs nPB5kB99H7REQ24kyc2RsbGnUciFucHrDt88PTWaRxLQPPXyPuTbehEmYIKKwgmTxqxsULPR64MX cJQKfem7djzqFbUUsy5rz5m9+bCMYuxaa0YTQKvaHsNnCJViKIR8nPmLfzu+o+x+i+llFBVQRofp IbG/WM/CyC/EzPdFTEi3qVGTb0Lxfxa9aK+P7rspylwv8zLqC4Vr3m8E7K14F48liu9+CtvGJuEZ XjSZtFFgrX2sEMYPLnnDnCUL/+zdiFwMoFL3KWM3/EQMylYxJvUozx4aEiHh//ZhBvoA9PWnO1rw mubM3HwTnYhis1dhsmQ02nFXsgzDo5H1XiejNHwDqdUsWE7Gqk8kY1I/YycfoaHFlUPgxJKSbuG+ SDwwMDvlKZBh4O7bhIdLAPEbK8X+JtV0BMShtP+pmu8U1FaAXrSaqAa2fefqveO/WyBKEXAxKqIB khx/wvcArS3l2N2cnd5vR6mOz8bqJ/Sh1DgaxjkbPS99p6cOxCfbR1nGci04ZMhm9F9N3YdENSTI 4cVElc6Ss/cGJ8wYZ9Oili660fmwt3os8dgHzE0cnmz9LFDD7oG5nZThaDA/6EjFTFJxqskp4FaJ OYGEt4bavfVVFMgben5cJ54TZOSD6QEaXWmsTS049AGgrrkVoQFsRLiT8DMgIpaX4PV1EhQH509h Clt5nCE1QSWxHToppbPAL36+S9S7Hpkt1b0pEIJbXFbfVJfW9Au51/5Uq4xqucQ5NqTQC4EH3gnJ AQ5BlosluO1f2oPIfkE1IClUbMWjjrtQpk3HrjnMh91sRIqp5ivwMEeHgCpP1c9IM9CBZ4cjoAGu LPItvgoNE/eA9e5ISloHkjNLS6xVmby6uWEEm26dPqHmTb1/bCLLQpXWlZGce7ZS2vUych2CjWGC kbtONLFEdeZa/ke27e9bZKG9ZuD5zLrMc0+xEFHpVEE8l5Ms0NkOeovMmllTtBsgx7W2a4TEeoBA 3Xzi8rMzCAJdBeRrMPafkyebuAZeaCYpCsGQDhhEryxzqo+4v37FLTIbJXZA1mNBqbyLEtOW/w1c YLOCtlvRbmrl0f7YewSudAC/B7DDlecTIVZmqgIr7KAvgRR8RAXgBO+ewenkusYtZrKVJzemlwSn lDDqrGaAuGvEZKTZIAPeg2X7F+gc45B88GBsWsQ7dKoAV8I8ZO/Vucjj86UbBKxsFtC4rMH9a2kU Wo9wuzm8i0yYJBf4X7m7+8aGKTsO1NI+9/SZ+zgYhtLa4YiF2Eigetq93vi3WMgnNjtsy7T3AIYB +wWMvxj2TwJ6Qp2KQX7Jch4nL+4V91Mk7r6ekWBC987gWMc9nH8xQMstWL+dnZvVf7eHwmJn225S pXiUEE0KScN9NTgYOjaEmRuLnPpdF60d03+88eW/vEmXKPvw3cArgIhtXV30hDK81fSfRfb1p/h5 OPNW35XV9ZltPZHmei2q6p+suit9zmFhO3/yP4I3WGDyUuewHpw55i/s4p/rZ5CxlcfWfVXBn9eo SdzqekY7vJrHsmttGStW7P1GBq4OscwLvGzbxggoiOJD3Zv4xWcOtx2f/QGIyFpk2xfRtMX586c0 4S0X+7HgyhaB9NNV+35XFfL0jXDjqDCOUTmfWZpNG3mF3GT3y5RN+hJz824Y4EigZDNEKHv3cWe0 wbxSbHiXEiW5KKyjS4TbZfaV659DW5t8NLPMP7IFh0gBbmohc13jIH4LOZUss6XeYlWXxkR9nZ6Y myaM8es2/RSTSIHPvkrEhXNMhyV4QlAuRBS5cnTfasuymtsi/PgT6H3av/OKMmcNNABbVt4EKXMU sY2KVs6voXQ1h6pasNvsWuRlnAkB1D1qW3ladhjMSAr4qwTd32Pb4+yqUZNinJAJt8YMbuyRlsw2 mNYmD2c2IEarHiX9N8cqpnCHQwRHaV98s7Q4vjX7esLMxQY6oTZBfFkLaAJ7PVeXygGnQjsf97R+ o2shhAxB5eguQGPmcYb9FrCpD07CWIpa5CFqE5bNxe3yKQpX+WKpnKk16M71zLE2sjY/qMqmeuaV lI8HeH2dx+EjBeUnyI68kFAJtQ7ck5/YesujU24T1DoIsD0Y42Sd/wRkuqxf9ydVDJ3A7NpgdC6s CnJTddm6iIn7NO5OpAZo6JGFZNdsTY7SZoadIrsZjUqfZvIPY6pzqnMuBtJswIL35EuGX8qE6Bi+ l80yu23VY9y4Iu4BR1lvepnnc5HmfLrIMA3CvVbdI7fZcKSm6jQi9x8QnSTnooFbV7E4nYpbIZ9G if7qTOD8y/JJNYA3LLhD9G8jBNtBRKnue5kABx8AqVlw+RAS9YgglSni4A77qcyEv9SRD+p0AmsU HzCK8eTOzODgJHqG1Xy6Jzv3rPfL24p523hvIG1nj1LAVScVY4xG5orrJM4GhWgiSbFz+1CYXTm5 T9vsiYHJbWqpFdaX0ZHhw/+1YWcTxbP0UA9O9BSNpO6beyjTIgwGdTKO8MpOodeoi5Elzzt6hn6N BJDkVtS18jJ80nJn1tuuWCXV7r8EtrywgkkIA+aV6V2KYFqoLh+RBD3ukjbDQxTUKWT5rtRHqdE5 gWASh0gT56MmAYM8vYc5PFlW53bnPKIyWVTSxm5/0KoG/P/tK2YJXyllst8Ol9UsxPVe+op69TIn 7mE/dvBbfbeF6f2FI8TbsbCFFMdKv5QK6ZjZdYZ5hWdOGHovq3/69j782EWYhELD/3fhQG0T2FwK jjN44jgZBTtAjKwV5fxyOPi2w4LkJHj/HEgnxEOoaCx2rSe6802T5+lcXtJYCOiy0LLDm5ZJjp6r Z1WAGIF7LkM3SPiChZLuU7yu25GzG+AaoM6jgSoTqtB3e/m9ZNjlsVMs9HcGexiCVopi7V++EA/G VMBd7JXRk4NWgrnPzz7gWVYsTq8Io0smzx8Jd9W/DRzLX8s6MIgLXRsidX/l7R5/fu9TleG1/jGY 9oo6pt4vF4KKj01QAFwv6E9VhaCCX3jkK9z/omeomHIu2gTZJ2fLYN86cCRcJTdq+cVTLjrZ7sjX 3DC5A/ZGo1eP8W1H0MdAg7IZGdMjj5oOMXG1IXeaQ7eHxBudRCucHlf4FCtHYQlC1ebdZbMHGtil y8szgBhhs3fzS7tNIQ2Gc9M46yGM1yWwhGpyrCPoIt4669Up1I0A8KuseTE7SOKTk75DsXLWLWGC fW1/3/u6pddyXpCOzljsY/Kf3A07Bp0fqdiJvbdNPOkdL2r5/ffaI9N1FNyuuCpQbxStF0bz8xsX L0TLikHQde+mctAs1bTmPqpirfq4rhUFZRG7o2S6TFHJcXPGg7aIUK5Q+KCpW1EH1tEy/5sizp0s 2U/cQAvOzR0uRYVa/5sr+rRzUgER08tF/4jtgwOvLL11TaxaQdCgUo6QVTPC29Wvv/FvuX9o8qK4 TuzoSe9zxVUz0U82WDlk8hQhUOt4LSsh/mQvz3m/UVPyhOzuWB+6KgTa2Zkl1oIObw4lZ7jNbosf iUH8WzqpyqDJoF8A/1aCoSNghKTV9QLWoaZW6quzoQU8xReKO/W9MOCGeq79A28x/1fZCC+q+DSA eSw91qS8MGpa7nPcWPzEHqREqnkJyR7k+lxoO93vdv14qnMvIyBZX+xIJoPMK/eYbamzLD1BGodX ydCaMe2ib/p/KmT1XJyr58KQgYycxqAcdHif9+Cqnt031rX2LekKr3wsyLLZANxZ4sNbllRehLKj BL3sIHztBOetmW6U4akJ4y6RrWVLDBk/xiqt80P9jHw/fyx/YenOcZnyj1pjMG3hXkNUNWXuvtH+ pPcvV7kcRqh2xieX2P9T+cux7rBfOjQXCUCAK4fC4jLuJB2TEM3o4/aPVEDrHXpTyzwbxqsXob+c qki7bA/RGXFGA8F18v8kE7UjqjPutX6IfFzvSfZwfx2ZOVtf8+gqK/D1fO+4UKR87d2Fr1ajA1l9 f+qJo3z/ArPZsUTVYFfXUfAwMcEBIs6CI0sAaHyWjs9OGfVtOPCUfdjxvC+z5S+CX7N5Vra2BDUc hsHhMflThKdNu06dKTN/DG2A0H7DAaE1lkgfxvZzRahTICGfoKGOICqaa5h3d/TW4fHRnKYYTlWO WfbJmT1qC2q3Skz+7lRshmycXY7novOEOcAc/OyXIQx2gl50fSPswYds9vlxPxuus1paI5XDp57e HfIMwXgBddnuxJb6QOwbt8KmzDIeRm+Pr102NFs1rD89T9dvPjtxypN61raqa9+rfflGJYZqDOsf EDfnyiBGZEglSr6FSKpgJjVH+Ev7BbsHDYfqnOayNNshTQeisZ3/ssikEo7rsUBBn0LDhTJ5WFkM eXJ4Nd7QJcFMhXNUDqidhDbK+RlLzgg2D6mzdYiiRg5Vru4BDZTdGJbmVyDBQMcF1WL4Fm7JTQN7 sjzmMkCFwAnZKKaBagrlESrQKGAT5ztS2L7/p1EnEiWdshhdc1xqNr/6IaRzgk2bLr9scXluyZG+ YWisBsYMxal1FXabniLF087EGPcei7vKz+nBufdYPnwdXPoZSfo3kuSZmq4+GNBipiB757uhaDp8 fdxocso2yMA+bLUePTu+2thW0Mf0YZDkNJa2DOJfGufXSqbdwTjG5OmAILVTVJkhFHvXoQ/wvnRG e5hb/RHzpnVrs4PVrf6jLnvQ1hLy8MuZav57920qk47ZMw+p91mn1pZBJQmxU7trXjfV0tPaFOlx GqPnra/09mPK/y+3qfgkr4sOZzByUYMimMhGDhdKaoKiJjy4OggHDOTkgT9vavsEM9+GnX8ZZNiJ vOLAH9V/xkkvFP1CrUE7yWApqsUcFC4cS0hesNToG5/YyFNcEfw7+dMLeQQ/kxtWf93lDCu9w9KF L+1L0B7fuFPdX1kfPzbb8mVP+K/Bga3mKLnflsaJcvQv8T2WnfFex2UAWZOMGSDQpx7nlGmIC/VO 0NITq3rfJZIzifoyx8SfN+RqqRiC4HHI6vQb4pREPlymUhkDZaID/i8cXhkO50LYenxgNUeoGhpr 5Hvg6OhxjEGEWtsUZvQZo/yi7+XGaY/W+75aOv+SDfGB8WVdT76WPBmVi1nefAb3YZ+u2ZOtvzPy ZHKPZjLISZnWaUdFsc6QWhiQDIFgQcCI2ulxG+QbzEb0G90Bf7wWPI6RTzHm494J9PUz7ZNvj0Y9 ruliqg2gRvP0RXtu0piSk3Mlp6IGl+ZLZ0RNdrKidEcgwKLzqpr2+xlDrbKPMls8YQmIoa7e1T9h 5SJIC2TbTKhkkmzebTzJBrgt6jhJru450DwiiA3N7a0vOIi8aNSE3gVqV9FWYev7W6nFGRk2yU2I zvUFCF3lLog+/Uxc5iZLZyX70NsvrYaPnMaR7DvY3pdEhX6U73NHHvivL2Nxk/JDjgV2OqBStvgd rY6okNaQAoai4RZg13ncvGZxwz65+9n8TWS2DURvd2GBwxnx44R3vkLxcpeTYa5zp2Zsl37vaGvK eDl0nskAruyfaF58I/re3th5576zI5IVVwNBPN1mJceqlMMRxl0Xh/V1IrbYAiawqjGsb5zjkxsB tycVEvlyhGxlijJEGr9xpo7j0vLTQ/0n3HT4w4vVgnQuYBr0rdk7RfWd15Xtl1QijIXuKYJXqpNr 2QupWF2gCr+LKhVR7BzfDx3IGoWuELCRUVhViyVKWtVUhEHmTszj2HSJ6TZxOFOFHfHNYa2tJOAW xBhgvQW4zjoSXdYWDIx7a8MtZ9L2/9od51aI8GnBJq1jPQR0ZOviNcGkix449DcsogBpDNEtqFBw xY+Gu4+pJfu4aEmvbrS1yD53yjrjPVFv7M+pRi/Ed3PfuZFvc5VlGFl2HhZDBJh1Dpvltl45ul3l HYei3huMuBLXsmCcmlDE+5SqH4Xi6RI+4uW9Yabjo9wf+8LfW+4Wmnm1kXg9VEG8SHB5rwhfuHwl aaHpWNN2Xp9yFZztTGwPHam8lNct95vPyvkFG06tfZ46qVGXE9IUwa0y2eFSxWq04CkKVHaSXwEO pSOJyv69fNlE9fs/gingHGXTIzgflpl+VOl8jcNuHut2hqv6jGciCZilbs+1Z+bcdb6VwlLsr4wG C1XlCPTmTWtCbN/1TkZ4jyX4OapSoKdRwyl6OZJ24X3Ot3qEZrZC5wjnxB495eKN/iq2Ujkt5jex 5CTnWFpUTB2JKmxmLbocwIptx7TPs9qf/M/IBIMIHIfX+Mw4wTLZ0/9e+HLyQ5Rd88TjCtZr+noP nAc1lVS1j0oQK81btXSaiMR8kSosspvTM9sT7HYVntcpzg0I31BF9Wk8Y0O8KdjEazrRKaOC1Hye 5+lqFrXojNJMs2qCbCMZ0NrD7IXx0zAGGWVuPKQ2IXTSnXd6sEXXXEyJpMq5gdaRBUK/eFRISA/1 MTlp8d4oQzDlEX53yOgvCPpK/+jpz9mcAG+UEb/YiJuwJXnUU2kGScZorR3b9UFH9aL3Ms2mqqhW wCw1H2qY80DHtNuJTJxa6Vj2iWbGcPsYZP6Wq+2nAkPvmTZf4OWsm5OAX9Qa1xhV/B9AsIJVOOx7 fodfTCtn4Z3BLoVOa+cuWD+u9ZXkEwKMeDCNytyPV+SmlgK9z2ucfweNVD10eYdO8FmhRg+J3x/L RDMor+Pe01Jrq+vD95lnhS/rgNnoRwlvXEgOHx7EBnsZOuvKJq7pTB9ci/FFNCg4ow1xpzBBxY+U ofIokCyfn7q89LL2mcYdKcshthubMcTwKd1ptGmwPHX/qbmEnONW29c9HI90o4NkSrI+Bn7POvBJ DfcRxMR6XFYXtz2pGSOBq0s7srjnkZaS2wOMeeYmq1TBBa1H+QcyXReHqZjtRl+pAgWqpAAHNwLt 5atjuzrwm/1d/diOSwbscWQg3S87qgJgFVPgOX5RgF6+ec4I1JcaTHwfXhGGy7S1CWyrIeWV2Yk9 G98sarZEf4fqQPwa188NOYiFZNzJv3mjNF6Rh+g1uAwEd3EDcw2d2Jt7K2Fm3j9bbSD30k29nDHl czgrqAfEpC1lHcLVqMdClLFBYd6faMGmYUHyVH/IOpfx5mrGP7AhCMpTKpQ2iFicLBg8NJwmgOtw wyZ3N1m4kvZHlkfQ5HBG/uIb0LKKRKOxLlSM4X777rlTXz4mH7ZS/66JoibDGN5wOWqalDpvo6Qh YNco0/fEn06XdhPfJqQo6W6kcsMit1Q8ZMWv/30lJdjzrdJeVQqyBvbt8JpxtZnbrs1ojh1mYjbS IeYP/r4X8VBBogTWJS11kkhcOxDcr/9X+4fF1oi9erLfQuTvwmq+uv1QxyNfhQiAPSb1KXL/Bfbi xxCefO5Qi1Cojx5SFgg4beMPeFlluGZerhnAIjiW6etM7gNRex0T30TDUNyLsqta0ywCUidLU939 EqOLzvbtbcZ0wcmXZtRKYju1fTHZlVLUBrRUjdghJttFOc8tMuM529s8HlafPhpgXPaiZdSQlsZI PO9obyVvD8/i/QFN7GifEZ6IkR+47ESCTmFqKWOeIJr6JPVI8FWC6xZxZyzpK1K9EieqIUu3HpXG 9lPhKmy+ENbXWJYsFmbX8nfsO8Nit6yKoGzKoMF0ZyJkHGIh5Q6HiFMwOZlChJfzXgJ9Ivoh1rCr cXS/hR8f52p7djh2dWliM845TFJrxfRZsEhcvLobc93y+nDsA9pyqIaWSwP+klo8f2/SPIIVtI0C Gkgo8pgdaWffuTzu/6vfahzZR6QlManJyAEmPeiVA3goZxoXCW4Ptb+9cvQqiUeJ23yEaExAV5au 4uxxoSqKFMz4WPfoF/uLE04+zY0HgcnN9JAcZZ94QYeUzvkNxMnIYO2wvaSw2i4lfy6Z9zYVKPsJ wnIJAEVBoO6hFSe2qIv9QvHN+VgkImphKA70WIta9z9QxUf582lyz2UZxsW8DFvUKKp6wHR+YOdo jbUdPziiB+UXOWuZh+vXtUxqDcU1hFaEV/DalYJNKrmV8PxGzcxls+aVsie38WoSYeCglAUVp1rM NQaD3/7frn74ejPTZIbanDTTleAeG0JqlAu55Ty+LyeI1zMAfRd45DbtVFOWN9wpgB4cThXIUw6F xbny7mbf+XTfyuGpgwnmIBjyJcU9Bac9BuM7eO+pA98+h1iyiLvMNJQHg9xEO3+QDJdm5DCltYBm a4X+BeSl3WfSv1nouwFTA1EJLKQFR6McOk4rZRW2N14mBtv2qvdBCPx7VSuaF3XnBOcJrWsE1xIV vgUlan9spt/v9ymNxIqQF5b1pbtHRHtOyidSmEaeGXniImwFNGKUjzG4JeyxyPZVvJ9LmXE2WXRL 5FnOsi9K82v+zqEkhlC9MBcUSj5g2K7BSPXae4TZDjZ3rCRMRP828c/pjluOnX+F8pSfIH9j1tld CtzLcHaAd9ftMvwNTWEmr9SeqZ+03ZahfBpKRah4nLbXsJAG0yoILExevCsWMi9cxwJQLA7Skyh/ QkNj7IrfW0yD+AG8RxwQaDu9VYGiBE2WZITAudbSlz2eIruuAK83G5UfrMmqL4y8wLed1fpMjZWH latozqFQdokw8pX2NKu3cELYWnetWaQP9iqQUQMAAyiwK0wG4BqGgB5X6CVYKHZajFSzO0amBdbB MfpsiIgOjauHQaZZRcRBzeyLeh8AlPwfv+y/SBVAEO7BcKJXCytbla/0o7VSYyuw1NUkbe38gyU8 Uph1I9VUO/X4iHlG/hEqw3P7OQ3U9LasD5kzn+bULjv2UPmiYR2mfB51prrVB0fNcrMHPFnVzIqK E0TKX1Hi4kARc90u/xeO1Z9zx3tRbaftn6E5s6+BoIS5nVZ9XucDHE2znEoDtdam+iwJ6jjaN+k+ 7FURYWhzSrxtWiMMqdUNd+gsrVb02OAxLumI+br5oPXvWEFYQlXayF5FCfb9OLBTcvPJtzh/NJ3U nOQbuaUhYV8u9WVlZd7xcXXU5AMt7G/LAGRq0gG24pX0umY0vFUzw7XJ5sGCHN4u7il5931SoqqS z9XRh120foMeI3KleRoRUUwRR/OXFEOJXiryt18S2FzZjjLcjpJubCHccNdIbu+0qkM+QXOhF89o Vnzupc/iR7Lp/0RQw+hLFlsc84reW+IAlo6q6sZFWsVwO4kGpq+ULb6ULKZ5hF1Hxw7ZhYqTBe/Y OSbQFOzlEh0tO/7Tni2mRT9HkGCN8yCzFzNi7/gv6Q4kr1xZKAYgg0/E73eomS6jrWU35veO2mkv vprLNLDl//1T9G12msCk0On5SHByaC9z+mzaoYkkIyF4AwjuM/XaqMc8hrwdfm3ISgqPJkdLku/Y yyByJislkUopsfZVDRr6x8oQkjIk2z2KPVD3hUYrjQEEEpCNIx9drd4ZkBa2gMwp/8tsPciG8Wqz 9RJbbZv7vQYjE15KGqo9FT15kQ58bY9xZa4CbqmZx9IQTwOS/C98yxhwwFFHXEo09HuVjqGj6/Do lzRef0HEMwBZuHGXbTc6sejl5pd5s+5sb1eJTHscAHYj514tKdEpNUkL9T5q3gKrYWAfp8NdxXuP oex41bYynCWwr56YAJINHukOwwqdtBep84ndAjGNpzq6l8m3aHtjtNXQLd8G944LWx1Gzfq05wlO 3QybNo7v7kQ0aUqaj+r0zT0fwox0qEW/iJAj/SnGWEsbHBm3W1Tyghv3pIdiHq73NRm5EfMwwSsA QHwzQtsN0xPwc7RTcTO0XKqLARepVHPAUrDnITHmeF2njTbyRruOXo6eu2IWyiAbMn9+M7bLGz1N NIlUdvfbDFfh8E0ngZ+ZUAjeuSXx4/VMUwM+ZOaDKJssfdCT136d7ZHXentUBF9x8/ka+u2FROSR H2WZ9XTdKfreiKNRAlZjfHky/KFImg3oouhAJ5DD54NoyVxlduej9CZnza4ge3bpGSAM//6nvGzX HLJD75MBQeN53/cm5pge8v6iOvXAsNVdL195RTf1i4UNN6VHxuQhTZyNGuigrob/owjYECm7ZfRp cf9RJd+lpQauJR7ZyLrBXZXgvb5ki0c9j8ROOmK45LyWgYzkuIF+5WrO4xuAfP3/JKwP1KQgRmTd ttIDDcozIIZG8iARShlvqpKpWDy9N7b9nl+cg8e00jBsUDESXeHKorMc4C68N96ZmHBPn2pBxbo0 H11oQlqmV5kRXJh2ENb3stDmjO92j19IV4+9Ta7oYAP8F1pgdbxm66p73yVC+YOnGFbMBQ4VuM18 TeAXuQ9hdRGsfwWwzkFC/ZkS0TINHU4QTruaWsAdoGCUxsijSTiWZA1Z7aUcdwV77GI64inc3qpp Rav8kEKj2J0t/7CpOBYjbRfwM4qhaW24JNfr0g9WEbeRB8ujBx3Q8+mHRwBhc5DCH/Ie958p4aaw MJr5DEx3WcQERTWplk9XBhevEdJKNIg7964Rg986Ozoj29fdXtdXRJ+HDDtzKlTHMQ85BmlPNKkV WiolbozYJWSM09ryFTTMCh8c1WfD0HE/vn9T1R3E7noDPKruAHHoE0aRRYLZ+bPZr2G5c9VAlP0+ jCXnBgHBdEvpVKPoTqJ1cJ/bAWlX5wtRz2F3dWHsD19RJrumd10kEvlWa2XtkXD01Q+ZDaPdckOQ 2VlfgGAw0+5MdljPZw6+Y9Gi7uLo2ugSniL8It/3cPytPs9KsVhZ+GTdKR9O3NmyueRkpoeRBPJB MsEOXGGvKo8Hqi7Ywtg9T5SbhQlMFJIYIiV/+ehIYPtPvHU8v+cZ9up3eWvKZPdGEZQrhs+FRaPV DmRX1Gtdjvoyhf1m2c7jqvB8HDVS4TMetitWDo/GmklyboHnyOWG18eQ6+620pgUcWyOT0pS06+C GhlqYvwsRFSjITONBLlWAW18iFsKvMbq1p0W9j1IWlfHvQ5IcLNOe3kIO0ZSp9Nd6hNr28NjSbpw HMccsXDTomRxTyNdIZ8KYNDDjYlx95chcEmKMVfPeT4THrceWtHDvVX34lC0bbrbcawxCPfXI13s xo/awNjHIzFYjKmgrF6p/gJbax/9QbyrSsbObUw+vwUw8Yi5p+JB528NiNGg567fd1VGPuUW27eE InvNUw/ppPRLG2fZHqVk8BKpsk+4uN0lvhijNlgUfCjrTnjwLmAWE01p93+xdRjk3nKQj3NuFHUI hBLzjZ/QJC88YKuF+up588pEa3E4QJ+VMw5MLVkJl95YxQIXz7JIY9dbf360bXuLeP5MjbCV+xMY S+ZswK10MSSQihllBr/4XiUZ/hgjmrrmXzuOO3ydwfq60LCfJNf6PvcFO8xzSs6axMVWyOGXvr3i qYbkFCniMRo87bCu6+sstdWlxKmQqDXqp4KqUtXWbQAoYWi8cR3Zpc/6Uqq8oinbjyUDVcPSW0lv rK1iqu4PtwrMjDZKQ6yFjLD+OWVdRmVgc/i/W8sua1jDOumPP7a2xpaReZXnFzhSBiY4H1KtPaYp Z5CmlrIApQlmr04VUGcsH/UbFhjlM3Ew/SsqztXjYyRWYb7mx9D5wc4xUaysut0lI+44rLPU3xTG Y7SU4aTb1R+YO1ToaB5cbEL07K19jFDWj9xz/aXztJrQwTjyu9whce57SKcxpgSdlvOiTnhaaLx1 YXyOT+3W9ONDvfk2aJEFIEoSWKWWdjwewA8kQ2f9/7eZY+UNifPw213GnJIfJxovJOEVci/PDqe2 +XbsU68XekGBWmZv55c2Kh323g+WD1924HMDkyScJ+maeQdv5jAFLbTDqx6cKVdxaxCYkRp0JCX9 AeDz6hxlw3GHAzRD2+9wK2C+zsrNxqdj5SW2g4at/moypqFcZwEGgcunIGOWXb23+N6BHu0QvZdX EjfQW19cWfvMqlJzhBuy8XeSS5x0SU+2O0ED6N7K9Zo5q87l9Xpple/Wdf/ilLXfZXyrxSJl1rQ4 fKdsuMCXxpUJbywBidtMmp6C2fP356yXo5pQsPrcbIIywGT1V/84XBx+K7Ek/kjE4hprXDKkjG0B qF9cpwk1gFiag2p0ZPOxlnOT50ICI+8vGFXXUBcH8PAFbZ2ksAqtAAb94CAESlVu9qKLpTaCV9Xn qQvCJBIt79eXKpKfmYagZ/ujnG55yxCuLBcLATdEO5eNa6xluAr1VDgBSjOhapFJagzDrUFTOqnJ +oylc9s0qg5jCwt56YRNXeQRVgw3U+qkEClafwxTT9YxmdwDXlCL+dl/EAnp+wk9JzVEvoz3+K5A aaHwa6hXp65ukoY+ePgsSwYEN+Zo2YcOmhjdsxFECbQNTtFAuSAvcSJlNhoBfBYwU/rD++jg7mSP hTdPFv3MyVhLINtFfb3mrXOih+rFNf0OxVmw3rgKwiIgh4kRc/iRfSYfa9b8+u4bZj4k4EFo3DfF WRVlGY2t+Y1iSu2gfWdIyNbWkpclWrDWS6UV/Y7bHRbtiAJz5NZkIhIZ/ZvBT009DfVCv09jS/kS 7mUp4otsRWxA+HsavE01edsgegfIDz5pMCukX6DnAl2r/b0N5/+7UxQfXweIG/EzigGREOHy1DFM LVWiMv8ogiRm9FS5+fu5Um6hCIuTqMNnymAizeUtcCTOwpC2OFRRZwRv1OXk5tV5aaYPiQqMKfZU DjroKuQym9ssIq5tkbd3L0qVaEOmEUe0tgMhTKsdMgHgmWn2qi0Su+xLyL9e0xJ3WYtwYogh7u+P j/ORvskfqPrlbSOdGf1gwTVg/EaS9zZeKNoo8WRy5Otduy15lUgw4XE/uNTOz+KNPEFVVb+ciFWH kz/wIsGr3hTy0VrJFjAF2yhqXAYaGAI4NaM3WJieotYa6BShoz/Hi0BD00WYQTkmNsXOgQVtw3M2 tgJ7iKUYqME7/3B1Bk9UKL7ya/Mh+IijHlpD82Gq98kTY+wmIhH9fyHnitzOx02ZxVrJQLoh/T2r lgrcfBFpOJjIHuWNa/2jym5/TWyelm5CUtJx4qWGccVIM5fbEnpnJl3K4s5cdxuDH0+kKugL9TE5 e/WB/WZbME/aXz5n5ePsyxUMw8YSuykObd+ctKYxEPvwSOZezvgNzAF06KprCi8GpNbESdM2UcGO rSlqBN3+tRkmZeyJNe5ui2VpPt4/Bj30+9pR8o/fwrpotF9uowfMpggHMxjLTQFj3BLLZu2O9c/c pc0vjNHRmnj3UxoQblCRQc8MHPZYeeQ5VK5cqkcZqJztXPedOtzoG4bPa9R+pByyq7LGfCeqLScY iBebdq3bRYZXWHYaTG94mynKR6/a2czTrsndGvgD+OP/C3hNYF2yTvEdkJHV/YX+UDzwuAwrlB53 nnSXQYClEtxJva/4KAZuGVr7c+8J5I3IQHU3oUL5DFmKpqKYGpd1mYJvIFJvqYjY1YPU4JymN9Ak OMpxoDjU7cO0LPfb7K+xcjLg5M6yHM09Vaic85p8s219K3DPoIqw/dtwUZzSKlPMDKYP95j6FA9J X1IF6bZ45mrQngJ8NsJFOxhk0KNI4BfhfRrTCq25vVTDrmcuwl34nu1rrV+Pq/OhH/cZWu4r+XlN BBOmGymd8LkbIvWFAqXjTZILvefs3DYWRtOBjrIk2nqGi2Wwa6m8IQR73KOCCtlvWir7D3+RQoio RswTERgDAG/hnMZEH2wOKPIYfemKL0DpK9gOm7YQvjwzoMPCmhpDwkX4n3rBhiA4fkWj4YGoKbSX F2gsnHDZVsIwXp6NlElMz4gpWiHqYp7e10QGfun68tbQOWaooURf7fAliYRKp/QrCaTX7O5FkFxe XEswbSOBlrsIV2L6f5NgeUKXpXeIRtDGVsAGy/kARH1GElgQfKb5qMDafAJGW09zkoOMP/WFgwOW dBvmA+xWjzWiPuuHZ+XdLJM6kKJCeQQFvCS0Z5y2raIkFsT/s3NCCvoWYvkfU+vzopTGALmwhmYp WG964N1Zitni6WO8MRCE+PBPwieH8AY+CbUHrTt72hSyUe9NN4GWtOk0hyyH12avK+eKnsHmA+Nc ftAfZB27ZCqyUwIklHiCGd0k25QNFqf0X68wvJwM3b2DoHR7BU1Yff35QX3Qzyu7uDAkh7N04ad9 UBiaYhu9uzFP495lXhDNbUakybOPi+YXpbHZkAzYFvPhUanZvnFf+tQ3F/yuFpELOoUpJNqdi1c2 VX6Y/OrJuRHTJsZjaA6cMkvc7lgG2xzuaL8tl4GJHuy9nhZZSIi5QcP5lNi3wCbwko+ZgKraVpQV xP1jPGmeciwnHZw6qQuBI4Fejo7V5INf1yL0BlGzEC47JLsqSNNsrKcah2MHs9Xo8nMd5g9+O2uX xAYlvmlj3qnnMtbKZbxPNBpWYa4eLJzfFUR4ZP9hVtELn6vfuHnQ1e7h+zWuIVYhD364HT108edl Wi6gwldF7tSOcafi4vy7ZlaQt9XynM4Nmhkh1wtv6Dl2iKycRd0/lLxw8TwrNyGx77UaETAdhedP n2nkOf0Hh0u2h65otC0FoEyK3TDGQlMm+KEPhJk25WDD9F9s1Bib/PAPXvuTAYTwa5xhwRYjUbvs R6cQo/locMS57gxcBU3FbXoaUpfOAEisGM/mgsQvK0swt5oyvzsPaqbBjiM9RaYHREbto/9NtPVy pecKdcMAzue51rjAb3q2VvbM9jSmXSqsGMKzah5VIjvUZzW68a8rIY1+klIr+/p5NgZ/T4t9pQ4F EWoN6wKjmzThSfXop/t5qy3qdLIwRv945P+xyozCPCCKR8WowrzPF9/ePvdoNEcX2wxOhppX7+Tn 0BK1F2jBHASj8dNlRwWyApj3KjHbcflFIYUSy0FRvmpk74EEIttA4WcfQDAJMB95JTZmeIC2ztsr KK8dl6J7NRZ6hmytTqMRDTnNvzWdvZc2Y3uNnJjggTru2T7qk03zEBsBAT25CXpyb1RhJzp9AefK mvfkBmwQlnvHNObyzrvF+ZiRlqXIiJ9FhV9ikxODlEaKX8J1V8MKg47BTxIXCD/yuWrGRM78pby9 nxt1cUXNiL795vogm8b6dRxyvWwr+HLVBUc7pf3/A5aNEuclrh/v8t0egu8aGMSadIfCmeHLzJwT NRpQ5e1kheMHiUJwg40k+m1FpiJ0sYomU7eg2a6YZX4qhrgjiwcmx/l8E/7+bNE3poDXlYMqWbB7 5kYdwGKjeW6UXZv+mxmyi8QZrdlfbAPcAUJrts6CVHbw593m9fTvKKUGo78GUG+DAHXGR/nIbBJR aOEimTL98seeUYyknegXtZLJOg3ova29R1s2gngYhhJCiJ4dsZqMKRQF4Xk7hvbNmYeD0+keNsfJ BkPIxKgsxP8KkoQwV/j/+F3yE4dVKGM+0SpUNyICj3/LbB/aLG+GwCaSnIHhHKMM0VZrmqt34yQG yZ9PgiN/0zlTNLHNKw5V3WAOA/Sqvo/lpso9pqGE9247FgHidPjc1/orvCMtkjYP14DC7DW98W3C 2vIgRTGgTuVZ1Q/NEh5W8HcHc7ULpEi61gFXeVdj7StFYJxZXUmIRGTPKeD18EtB1dTP8aMaYWJi G+1trJHEjE8+OReZGQaaKJxUjLtRq/I/YGVkVdJLTvTT7KfoIo6GLvMapW2t1JlSuS+bPBpgpoqu WIhumA2iYpJNYq64DQMvwMBk6IvI34WvTA5SYYEeKWx/ryHGiCDZdhr232GUQOEWGJlkoAqTqpY1 YIVbsHsWLWSFsQxD+5UDdFOgUEfWkiYbeEBLv+MibN0EpKaQiw+oawAw2uwE4XHabDENGaAXaLy8 Hf7HrBjaWt/OhzCbHefnn2beutm7Tx0zcCEAYrxnYOqnY16F23LrJ6G7XXQ5IayGcDErwheSdecI SsibENlrWh9jPVc6lGK6g4D2KMjNVqxQAa+T0+xKU3M9k/96wn81rwzHT6j5jjUaqIXIdoOvF/44 fWXOlwE3TZETXD5cHqpLy6igMwv97mU6HLMvPhfkrvuWRCi7iwfOtKEiz0mVpggx6f9PbpCec/zw qDH9zlnmRHm2L0yiVGoJvrjTtH2AcPsKFrCZDMQDpJNRYehVBPLZjrYaW6VWfSzXXTAcBDNCuIRe Bj3FEQyTGDWQnF57yGbdik5W6xsXdp0gageZQ8lOQUeVYtUa+J0r7Unlj9Oq0BrkMEbTew+kTbgA 9HAYk1hsEbp+p291fhe1YSDnOGAP79wrehqCVWnC0E8/1F7LAIHwAQ1CBa4LBCpsYgUO+8dLA5cB GGGlFiEYDRl9+rv0h3U0zzk9Qj898MwRYe9C4n+nZYmqe2zC/ZVfwH0JEb0BV3J7H8ThMKYrI2/S NFA5nccauUqsAH9OQ3w6js2APbaLdH40PtO7KXCV8x5MFRIZ02iz1wjd6OqNws6gBKbzPhseAIm9 6tDgXQj/OvJE4xUxG8xGfPVzXlUQojirXkj9EbhR9oaJ+DCJhw3Nad50fT1lpnP2z403xYAQLfIr ssrqFnkRGlt48QGZqHjgPvx6LCio9sZ++04KGSPvVKasMoumdR7cEQIiL+zvsfMLQ5bsy7vVr2Op 8cOSB+IsUv3tky9tqdxNtdf2Kmwbczzg5xpqC7I1PZ+cZfkCvXTM4ad+jVk+bhtelwU1E6kB8i0L AQTC55ijL8gJYhoPlPnmmlEu3qL9rHaGDOXjUvlC0/TQN6QMHfRwnYMDnge8QuHhPdZ0yNDeFurx I4/SdGB5SMcfLWCHvTzxPF1cSJUd3C/iX0exsS42smBVMCKxJJuQQmVQCANoA/IqfADZ9QuIO6TE 5vgmh4p56cYxv7a3WbeX9tUr5NiMxBzT95OtAz7wwZl49xrptfDupEV8f0s7UA3Nvv68iP7XTN/p RNfLdvnhvrMyRA+avvRtp0G96tnOhUGox5AZRU3H8QvwjkvjhN5a1cTIKJXcB91bVD+kF6drXcLe R/ouwoDGowbXHlr1VogCs+qaMBmgq3R++aVYlYSxQKdTt/CjG1+STYHlANFC3pICCW+BjnQ5oMW3 qZHdesWOtHie6e2/9Z8Fikpssj5mQQNHnVLCWsR62K5K5SIFTmzELrsUX5OFzWZt19lwmCj8oKWm Nuhi9GMr0ng4TLirT114b22KTSfquAWnjZK7fKVbHazwShDKSDAvUYRj5nbYJ0DAX1f5Rs4D7Sez S5cGd0/UHYZ7J9Q7qX9CUDv8zflIsRNcSloY8xdOlumAgeDyKSGhTs4iRLgSvBlZ249qKcbrFIHR /d5pAKG1E71JUZFh0v+XO92fuFWPEp6FcrtfxPfgGP/A7a3kIujcZq/rq6Im6Ok/JUZYW33zhzDZ ULNrGg2eExU13HwnM7aLwsqlpxdshCoRRjTyLCj3vKWJ/17xri/29uUx/pAbVGfW67g4A4QvZEZR 6MNyuAmhSc+kPnNsVTBibGFnZw30KllsS8hWmRMt97ojS+a5n0imywSLIf/OzJfFc9eF46xgwuUJ 7YA+1AB1F0z6bQ4/G14W4qb338AhyQQgUVPsCOe9NHPEvMFrCW8tsu/fW14iyMU4vvwzhUnGoGy0 TSJ8NDbgZdKh3rBiLjWTgJKZvXlwNqPmJ/7Ty0kPb0CHuzMkXFiUkpaWkQ6GU2r85xXwAZwTetiI sn3mVkZBVMPVRRPzrM4Rx20GO4rwRPGNQs97Pg5vubMqJ514awqJyTO97Lmn+2Q7vAgpg4dAvXFj Xj11MwA6tpMEGAUnc4xU7xFiN1p11i32H6zEmr9WUxYMzpO5pG2eDwLI+zw0e2KOAkaCsxV/Y7Gr /z10qyM5MPTl5HEcd3rBFfH2AOyiS5U7VyUTGBz/FlHekUgJZZFvnusQLYWGerLgU53efpMnt/fu 1NSkvETvjqBsexygeYFCMJJsTgB1pCc/Mk1zyokEMaeog4ZdTahtZVMTIF9DtNWuCgTIYjq5VqoA dr8hzIuVpHUXpvoceP41Ms/J5c3NeQaUmfL9O5EKJyh+E2KgG6zOLk5YZbcKUcLF95Op886u4cpz o87zKsWNBWU7fBlyrBm6U9W7OE5Sl9g32Dv+85GAT2xj0NaSJLLRvFa1UiMhdFwsDZ1UEF/if2UA RcnUG0ExnMEC5BQ554qxJE9QBS91sfpmcFz3V3JUrh8CuuVpbkmO8O59wHtk+tBqVrIF4UHkOQV9 VBeIe7AQ9mG96z8EJ1qza2E18b8FUj9revKGcCvjCuljuciWtQQ78mOjPsu8rJ+Y6qKHOZKS5OHY zZLGJ0f6Cpx2iMEYNIHqxYdDOX8my4pnPhTgmuVXJVH4y5woxxsI7jAa4n/LqOVEIXgqkB/0wQ8D u7pDqB4HU6IRIUU04RAmuDz+pPqB6HdfiXBLoZc7TF6DcYI+3GkSd+gMV0U0f/7q7uZ/y2KsGuZ8 50rWU64AUsbAwTOzwY+0NO8CQrMDpCDUyP9rKyQmmDo0HMpt+OfSnQXUXYipMaMo00xxcc49NDNg XdDjFAZUbZ0FweWu5liyfcednaugbhgzUQDsQ9nJgtBskSbXiVwHX9YMCRLqArJ+9rfjBOehiBBW bhb6w5QWAlnslDXoWcbZhGpp6Pm41oBdjqHqE3pON3mIWhltJQxxAHZ/2H9UoGNhoAdNxJVJEv4T 3h3wtUdpPpshODBgRv9g3Ri9k5Ez5Nx/TziH44fuLNO7ToFYguV0I5CrgrMJ6u2rKPr4dUsNx+DP m65HH1JvtioEokv2XFkFcxW0cG3G1ScdWJoDt2PzqaJpYVNux0g37wVg27b1MKcAH4an4F3aZi9E Fhuvmyea+uwHbjGY4bGmYu/igQ/1TE1TIEOp4tpw0p2Kg7XVO7ZnSbDKsdCDC2/kpq5O5x3VjCBb SCev/nF1GrtAT8tJFEVCtJJoEBP7BTafMv9hku8gNglBADIdfX6TDz4F9rMpkJTF3muKxmDBJPJm /ByC9XwcYIOOXuO8JNfj8bd1PZsADBPkpBOHiAQe+kdMUrXOtDuOGYF1gk1c4IDeT0E3F5T9tb5L LLh/EMam1hhggSMWRX0lXg2S65t9DHxgSOLDBoRB9ecGWSWzzX8SdFbhnPEa7B6b5qqFkERvwLLf Kdr62kpkwS2YYGCOJZtTaTm4EuMpp8AP3DBoOD3n6T3OzJkqR4h3LeQnsYHt1y/mBeCAlLv4+VqB Jf63ZKBaGyJbpVkMU5RZmpU0353G8EIb+a7QU6FcUhFMizJ++71Bbh+r8I/w9MC1uZ/MDBwMCci1 MzTpL0WDKmW0k7iOfRIxZMFL6sMW/tckpzmhXeymjeRhP6wW/rLy9JcYyG06JfI1P+7p9sGyGCgW sbMY/TAlC2wiLa33RnuxeNM98keC0ZAud4f6eniagft8uds7uoMu2TyaX2yrerq/sjvrBVbzDlzb GqMM5tOh187WiXaheiAUM6BQ2m9ZqCoHqjAEMTARJ72RILWzYhx8NrBVhnI/Xd39BYfbnFR+yMG1 fyicOW0EJ71NYjNsukw/OxBCksmMJWOaHkVVjBWKbXnfSWXZ+WX3udUB3UhAP5iDSA03206urqGB UWIWvZ1+5RQ50Ujezc969tznwY1qyaWjra3gKZwbRYWHz+AOd+6DcSlIql/8V/82GleeGOyrmMjv 1ONZvr3tN1PN1HsUKm3dgocYbBNI65fLu0qc/CnZrmgSeNpts2G+duuGijyWsqah+pkClNzXR+Zh T5OjHQaNxXc+8JPDyAn7h70WwYHYqfIFOzlL2EkWjcW0J+0kQPcuNsy6oldrxu90MWEoau5BPjyj ZGqSk0weFGOlCP1xF2EZFXCAhSfK4/TOxiu0Dvn4jfb85MpoiN+hMz+GiZca24yAQAXumC+XqBSa Ed5w2O15w3mgEkSHbi6Z/OayEHcQ+Y1vl5h8xMQLMwfIAWtC83Eruad+kpxu/gtofxXqEuci13x4 A6O9/2r8DsOGWrvFLkOfJjPp7y+TGZ5sROCfZcICwn0PieFLmwla7UjM0aOkU4S99MgnD8W1T4Qr 19KdWpN3NRMenzD89cQ7i3yBhAqrFeAJ9NOQoHD0ovH/36Kt+28QTGs7qNR3ZVomtzgybnIJ1vW6 WJeLqYLcIsRs5r22nGS5mEZZG7jrsAN5P0ALb4uwb4s4dwZMLZb7ZVHUko0jktxm+yVIez2751E8 cnqv10pMwBEg9MFHVSJJJV+fxAVO0tWCsbH0rY0Hl5Z2vPt/3dg7IOk3MOVTxckczf2q0qQ/JfwW OxCJgOZyiB8u2eleY+p5dqkc8B7pZ8OkwpzciKg5DYnbLWPAFxAQIt14afQtpKKjnX1iBKoeB+sW SqZP/U3BGiLCGANgG/agqdfY6Qv06zWjFS/4remjtjp43I9YxtjaHoaGVGbXFr/hoxhuuitG8UUA IbB5YGWH0vNN6YOE59tpSN4+5qCuNvdYN6u6PJuEke9LwWtYRRr0RxjJwJxQLeXbca6fype/VHEI otLWdxOvqUct6/iwCUuFDGn8//85g8WUXZ5nqUMhWK9CqLcCGRoebJ/ylhCSPOsLbjhYj9ne+UXd 0fnSsTFh5HRkKL2LRW6LGKj3tIkv0k3z0hozfkZ2qpnqof5bPix60XLofh83YUyJKmnN9kP5477B CDV6NfYsw7KEyv/7qJTRkjvQ6d1j0DGffFVki8a/C08CSL6u8lT/JeKKfWcepVQdIPvn3XkBBd/t mzTxhhKbVjbBdOA9IszbLDAlWmZySYHipQRDHRbH23FILLkMk79a1JuJHhzi/Kf2LXXapetWKA8W 3lmyuQjVT0LusZYrbcHWaWO8AbtaAySlfluTXZtPo/QMR6pKoys9fyoO3bYHNmgByfP6ekXYAjh1 3lP3GU3GM5BvFJTW7qqYGRDmJwQH7r+o4karh4jHA6Dm8minx+hb5rbGnDv7j4Jptm5oEq1sWoVv /SLslLSuLH4o9ACf4mIzDlW7k0soXOPrQ1jfRSZdbe+jux+8d0yrCVojqjOkh7vw7Oyh9wWlL3nV Slu0eTTHRmQdiJVPcZyatmA1wvuSl7XK9H1XyUiCOsyQDF+FXejUrKmQdkXPd4EQVo/uxJfLERTN L+Q1IU659ycqC7XbvJFccRanS3/iwy3Cm/wUho2rqRX0NeO6nBz76kEGHHqbCcD5X708+2aInrgx 6vQfVYywQCQtCBHKTx2RVu6WA0d0+jKguEAsxqRQMvKmO34OmYWwTdq2KjNwQP8U04ttKnmshkE7 1zugGVxSQp0gLRpWOpzGltxVCjqmOdJosyiKofGwfBMb2JVk4g7UfJHuzE+xANNiD7Vl8uBIhbSA RSahgmSsp8IMWENeIMoWIB/tLxID8JSk1ojkn+Dkw9y0hlhXoMeY1Nbm/5JGPojODUAdrf7nmrMj +VmgluFEx2PqoNtJak3+8IjK/2Q5mgcCxtLuS+4rFoQBLKCAOWgMFWBBrqwuEpELETb6MhKH0ofW k320cQP09s3yShy1hluZbJuznYGUNJQB5+KB7xWl4hBElqJBskGok1Gjt9AOBYW66DVe192bZ0mR HkH/MBrzYuqMMccuTK/5Th6F7LWa0JHPzTBLdtqAJfj2B8ERB6tEt2ouzt7T/l/jnTVVEiLyl8Ps N7Y2AqW0kslgTQAYxasLzgPohNqtSF9w9PHl8tgkVcLG/ZTHLRvGZuA6ZvupjzRxu06tYab99rGz mPSPvm4SmzE7b8ElRy9Y+yEW/pMby227WAJI2cTgcfCO+L6Utyc8UPVzRniHJXiMtKmfneejw7S9 8SsliRyGY1GssB7+854/z4GyxCkF6b8DzYNYiD/GQCZy1hrUNaXkossKI+hliel49E7j0mbPIPCl QrUiCZqmxWjQdPNAz4CAKu099A8g7JWSw8JrXv0owhBtdBzj+gLjsI5GmwDk3jMhliBLVBNUMwA+ 2qeOPKa14opKODjeIgq+ROaikMfV/CjCVHvI+12cX0qX1Ata67ZM6cL4QyjvDH0+YLUQhmVjczr4 O+Qv3NLQEyOXafN8Epuh8jAGJ8W33JZMk1q9zO+9AuLOCwND7fi/O54EVkfmq9IfX1RC7svwaYRQ MYnNtLB4cHakja3F/eYrq6AxTMnKeIluoxQQzW4Z026mcW6Z9geiqgAY6/t4ZD5Q8UxbN4bJmsGI dByjkSbSn8cUCpeyargz7nIHbN/BPYMj0PVjhBdUS0rBz1w4urG+F6A4CfKjrS1QVNing9i3yhCe C/5AB1b7+p3zm2N9BC5fkiRlimmwcfUB6po8UNSgRP+7N9D/TBduZQYC3NNvsP4WscacEMzrV0xF 6jznnGuDlE/iA+TxdaUGY4BtZlLYP66WwjbMGkLNLTOk08nutcSuZR58KaRp0cxw85KqeyDz9e0C IxyRwwcwnN2TqkgbMDvsFN20woeNXA7SKhrzOLObrA5Vs5yVxZONPbDNFsm3lLRup7CzVsIkBPhI JwqGU8Oo3HgYsRwMX4wEdAi/EuvJ8+P4XulaeSA4OWFFWoxZJb7utRP+zHdbJRYO1hQjFjWnfGWL 4V/igeAL87PmeDkQDJHD2gZax2hMG8jAa2NwKtYeNWFOSmUeACtx2mWF3RWRmy/yDWF7xATN0jeE CqhfhhovSr8vs0wr34gtWsRzHgqnfRJiN22646cE1I9AEMRr7Qe5zlCS+pcIl1nDStO7mEsv+I8H 8rs5NKb2n1yBov4jjNTUTCsld3/JQnVxWYc1hz9YlT2L5rGm0g2bjw1GX4uP+47OxMj8K++V2vkY CvZbTJBvF61eyWgNm7HOB+nVySY+2YM6CF0aZgNSjiFjwDJsDl5Zj9Tll3ymNU12o6X6wDA8+nQk ldGUDJF5Swc7cBo2cpdrurpVvgHrSk9ACXKKLsIInhuiTji2xB0zTIHUZLd6w9ethZnx9/TUQVLt f8qHUbmYHVj6L600QuaVs0GFrG/aN561iRYFY98qZ8g5guaVq4GhYj8pLtyObRG/Ue+vW5obPeji OHgs/K97ULVRQnDDZzOOn3olDzd6JNEZjKrnyPmhVJ8ka5yugikD/FvRx1ToAXqjDCy0PqpfaVC9 RroE1x/UwKvc9OUisA3XLzn6oM+Mmjq5FGsNKZD6N8NRjkdTxgD0fyQ2/3mbNBZABdevJTEtdD+f z+qCujLYrqp5XmvGZViAPdQwvK9YWc4tbpm6Rt4LPd50obxLl7UWpEtk/CAOKcB/cZAT7njtl3QI oC86/9F6aRM1J17dvQCqXo++hMdDfBPVcJw2YSrxVUr1/9If3G8fn8ou09HDVa9gEUnVL/7bztNy dPIWeF1vzqPqqTL7dL3Htmn/d+8r8JKTQfIGJV+G27tQNcq88e6YUQd/NG50R9uxKYQ/eS/ypXY8 GmYhob2SHCNm/mn2ohnxWmyzoQoveBNVLkOk4NN058GdnyFw+SA6SqVlSg1eqJebEkIm5UIBsexG jwnJoO1Edm7NXF36a4QLdmsX8U3KQzwRQE2X+Rn4ySK1I50RIgOQ9PtSgEYeA6z6N8MI9KtXciyZ A/CqZmD+rqswsvyrc5RaQobN5+/7UZZclWQTPNRwgIWaI+qgOXbEpiRkaaWrHjIoKaqqeT+kvdre frUpvYPiwYGyKkh4kWkPwzJ4z2anhLirC9fgOZeRlCJ1GaD4PKD45zpqkx6alHGmT4Qo9T0LwI1P zkClDWDctkqKfIz5QHJuu6RJq0R+/Byb18FkkvX70N+dkP4JcwfeNMMqxnKkgfKm06YN/BAGwD1D CMhzWwaCc+SS1UdjhStTHIVtKqgql9uZGvzrjp3uH5Md1U+9qus9DjfheW3hyqzirk7fZDlxCDSc NLdna6VsCyfJm1/yGl0MCa6kQ4bNwy/+UEBvxxRmUVrEwTWsDPC4fyg9sgJx2l9HeruZ2/EegMQW +qWYt+/ixyY1ogOJQhdd1FU+xMkS9Yh31u8yKznBXr8iijw9f/I7EIOOhT9q+rcugCr691YQVDbw JP2Dati4pVkNNoJ2Z6oHvUgF8IMWnGW3Pyc2Zl7ek9RLMwpjdAUSbzj4UNV2COfDVpD+j8FvLOxu G0IrDDFUHT00FAxlkMqt/Z73aEuJazkLEDhezkDp6CpcOdGy5lEwCldg/PvVxfjoGWBSvgj40Vyv HRA3T6d2L+1WlytlfmBOa1jywjYUFRJz/dv05bHk3xh7/k4LFmfBIhgusVLxVHxkXh4dcChlBDLx qrTWHfGyuJad+cV+nfIEeo39NnDqKZJRtQuF1bqcS6m5eI++IlDhqtXbCG8ZZep/TZ8STvEshJ0k taWQPOASS59q/QYpUNLdFM5NSnEF0+6N2U3lsZJsj2ilztulViU4Nm9ZMc2DsiZsT92+ujgS6igc fEDW3T6FAkv4UX+ZOGJnEMrWRX+4C2e0DV4+kqVD9mTpJJgaBUoTGQrSjO6weJh0RCewcJJRcQGR UcLK6Msgp2KHMES7Dagm8P/CZRd4uN5a6bCTBF02NAfKc9f35jJzlh/De07J4K6QuxIozWIxMZ6W bpgD1xWKHnnLrraTv/+23lx1OjwLLFqa5LYIKfV5VlejYv/5z/VuFDfPK56MrBG3u7C68wnc2m4X QXAXTP4NbEbMiOBkE9FPu03fg8UfO76V5U+X36jItEgLJ0SuWvKtz17lkpX3GmiulaCD6YVxnAhb smPZvCMhMoiwZFiXc2ikz2vz7R+h8gGeyB0ujwsnv1hSbWpeSOWYkb4iUSJSsoNC0hCJyUshK1bP elgd1ByG1lxE/4cKQeg/rmQm6E+mA8imM3+0nsRdqJiarKELmJo60z6BlD4ETUMzRTJ0Jl8FzAwd wNqbF6bwV5smUtZCA5eb8rdjjF3yBb2bZ+EN+lrR0c7c36dcGkFaOe3qkbrYbps9PyEpwQKEixEg C1s/l9TOb+bUKmpYuVtZ+GwF6EkRj3wIVlQ6KVLIqgya/e8GUCyyh9fQMSGMr95M6OP+JngnDHMx hLglAd76NDusX2dgEoIqc75LELao8RinztSwqA8a+HaCf3duiVliKgqHJrwyB5ap6H8w2N59wL9D CZmqeilHUJlasj8u4ieHeVUNdfmI5GqqY61CBdvBQY7NX8Put3pjBPid2dlSbOZFB4K3J7sWLd06 IUgBmPIlW8x94wiw+n/46vJTr+es5NykF+ADxcbihQp6c3cptn3OL+4ahLVzXNkggmI/lL2CT8Co mg8e2WRdFmtDtLO/jU8HV9GO3Y+x8QnmDHCbObyRXenP4jPUjdhUKkm6K/MVyL2tHixxds5OZWka 3e3bOewscRT+XDublij5N1KnhT7ltVuGxC1flA1kzfqehv12INwDfpxAcNQ0QdZDqKLDsnbDr0uT YZf3xiVlwB9Izlk/CU/fucOE4mfzPZkc8KpOUmjMucqtdoIwdBRsVrSisPs0/DhzbULZfxEY7SzP x0XSAOYt4EjfzV0RFa8TBL8FTq0/QfZ/Izw2/qzpKpLIQlGXMm8NxifFhuVctTM5hWv4E66qutlZ Cecf+U8W1C4EfsOK2gstiTZLmT7TL2XtLuHKIAyp3xYL3gF2MFCgyDT9FHXAUScdj+uxlPkOnDW3 APB9leQViSs1Se5Hy0c8wA700K8b1zE53SPZ/yADFvcm9kCRfAzQATIgQ5FbCgURxEkc4A5u5Xmx Q91KyM8LmsrUzp7MSF31Nz+UinrJS1zsyvN7KAwKTZ3dxQL4JE9CA9BGZEnOJBKJjSa3Mq0/crP6 giHZzTe9R3HjeMMTgcOAXWVHHvhp1cvfFou4UGmWOlzUkzRg0QR8syllmXZ7uqngah16YtYmyu1J Q39a+plISOIolNkdW6GwAglUYHYImOS68Gd3WCvPvM7nweXdyTxIBo3bmZLo/JaoxjW1d9ziLs79 5UxJ2mQ9onZi6XNSVzmFzhwVy1he6gvQIMFDhh3D5geXcV3sxHE2I04A50AYx+Q0DR3E8PNt5nY1 s75MkR4uTSDQenyUhgWkvEcthEO7bjwPVc/kYHg1gUY++wpK4mt9aL+yQB3zDOcyc+DmARQkfdYk rRWj7oUSAjcIOp+p/iK5olxDdPX3pKC+cAvedgXnwnHuSaUsXPrMN3B0rUbYspEhr0gx+duCGS7w i5iHJfF4wgJw558XmOJvRzU3AnE5KRDNuBAnzazNH0Ean38r4EwDPvSUbaTkIc7+UHIg9L6uNEB8 3XYBfsLc/dw5H6Wi4Kxg7oY6Lvk/MOKgylfReMDwe4tX9pFXsn9OYz+GrGMpEyJJSxJBf2e9gOUf F9Dlm1vvv+w9u8R2OUnjtP60r8bt+g7OsNQQ40/v1t76odRUnlOTEAtl6CiOxxOVnQ5yvRV/yLBO KD73JqmRSBDwx4oLtNveCS08t3iDAJg2pN/JpP7yZgnrFpNKDLkQ7YqwQl/6QhsiLLBYaO+8ywGj P8Rnf15I9JVeIb3IR4MFDlVpBsjJsYQANBFupsG3DqvCmKDGMC6dLowu9fAvQNoCep4QcwlU5ltl ryr87s9fxtzF/ZAzeHH3p/54FYrVfgeGhxpzFm6Kv4oxi6UAgZqiwXMoCZHsalS137JkMcROdcHP WjJtC5/gjG85FSB34TJM1Y3bm3aiy1NHwZzDNlRTcl3pMNM9OYqQiDEAqmdC0mEz3+gUbvN3SPir tDO6Vq/xnK5rWxzkVTzHBcV6nU8kt6OqVvpJ1k48Gl0oiHMj24zGoW0652Q7f8R/cPm7paFSGb5b uy5KlydzgqdvBgw6XqgVOFFg5EvnUG+wAmRcWIghSYLsnBXML1JkyyyGIig5cbuHBVnqzPuJBbm/ BloKsuVRwrqyynJL0bEaNgoJun66lfxhRZIBa+2ordkPXxOkWhaqb9ywsaYI1oMExTTLmfWcWUIx ryW9o2+ml78s1qwYOeQlExgePyipySHflJa3TNiEVSNubwDT8dXXRiOyb+cwKzogHWQwVZoU1byr bxIOu0Ta9w2PpOHqq2a4CBrTmnX3oqBE6qacVy8394mED/tBq/JkstdpJyO1cmGAJZSLw15oji4Q NzDQ7ml257wJ5o2XlK9XyUTM99BA79uZeX9O0gofeAI9A/C3QG+j6P2B5Sl76eDZ0I9IcZD9QcCm cgK2x2VgETxzSRtOlP//JQS74w56+nvyubUmyCj3ca20BzONUP8ZElqlYbGvccNB3gvhyyV1E3eg RJiBrJq1bUcIqMZUN/iGDOz15UK2Bou0uDNQuzCn9NhTQmvWPo4cKDeoT5+BX/sn/H1NQdWIE3rF QYxd7vdEIJJ3DGIdskaMgomkm0cdIJIM9VPh/NgBb1b/EWaB0STa4svTkW3bV2+qYZ/G0450QchZ xKby692YImMxgFPGbNm8yPv2BknMGIA3q31gs/rdlF/qEZxNKcc23i5CS3gtxhZ8LV8W4BG1B6mE lpCO0mqUpXNktBHuu+NyubR2amQ2inw2gDZ0vhM57Puyjcw9QIByAEusSXB3lCERpzUWTE4D8Fwu sptpAoynAdnUR603o86qtA5GS0GSugP4ylWfjH+8sQXT6chPkNJRgPl+f4Kw3ej39CG3Ky1MCFSP +gp7WRbU/KqdKTQzMl1VDyVeOSTlqho8RW92FB1Eoch+wrHH7uiP8G60zWXKGllcxzw1NuFTTD3h ahyob/YM7wDlDhrQ51o3L5YS4bGZQjA6ozOGvtWv0n0nFSpyBcf2jmTycOMcHAk170Ym75IOt2QV yRjItdM/6YhxHZAgP2rpTmrR8Rhs5eX1p389opP/AROjJo1GqsQE8y6/9SZTOPw0qOdA1+HOP9Hs KhUwQKrI0QKIfdjs0jbAIGbYedsNA6pzWtMFbWdLNTqAUaDOtrCmvnjU6I1G7d13D18m3jOxrtN4 Uhl4Vr89zunF3RfLT5K7vCyFJPijLsttcnpcTNzt3f2IngPMz74ayANdAP5H5l3B/KTshQtZJyPh ChrwHBnqiMoj70Nh8hEjTeIgOxHbaP2ofRvQIJtgoZqNqxZv1z5N6V2yJQfDGx02H33lGqd1q6qi k9krUX7jFvHtdM+HGgKPlLt0fIBH3NLpsCz1J2IV6xHTzOOSzPS5p7UXfEioXJaFXISZBZoWnZxR wgr943SiSWVRvAsZIpylpkplageD5JCMXIT2m3/Rukslfld+bmH788LS/JVHYvbhP/RZ1iEcOpei /RJFaR9qQjj7Wx5NRtZOvMyg3JmshD7F1qkvEGDF4qGsC2GyLxQmaXMsrbdEDmEN1FyxLnZtaNJt Jr0U4YqCD6jFbLVGxpT4tPDp481fk0miQeIk1Xz4QkPc012QdJKgObdaCVGlgYVJ2E65pk39hEoQ UBVpeWJ2x4o8H/hv6nDMVFflpfrJTIw5cW1IsR1Lu+rlCxqzypoG8lh/qNOC9vBeazGimstMMgs9 690LqxJRGIxdtBrxT4mVGSo5cXNWkRtpq4rAGzUvaqDJxgoQJuZuq3TL5UAIAktk24q+otEiNBq9 GHhBgbkCNgTOgSsFwTKSFSVwPOMAYiUjGmCRukvwzrF2TIrWli8hirWvDMHkJSu/Ul2nif6c3enF YUdeuM/A/VPVBNHtApBNkm/lPXtthQtMLqlLFSDu2xnCM2O+ZUejj7k6jL8rVE98gAOq3LKyVvoM OQZ+RD/WYC5yhbpfaZMOYi9BOY+v15ILruX6ltZgqDD5BMaT8Qr+oFZ3nJR0Hs9X0wIs2kWgzaUe JOlqZ6jhfRtsOUf7BH96bIgpy1WQsEgUoRiuaa8GDCZS4XCcLILfyclUV3DQoo7EYY2K2HPLJI0+ edfk8OErjt2IcOkHO9q3LfB8kQ2Y/g+cLIvCFQl8snk5R4e48u7MTGEA+jpWIzpzm95t6AyO2T1z RsyqkyJBwbyqk8oxJtfYwmIrWmsY/50sm1X67N3eN1r2Tw0C4k3Z1yDJDjz5k03K+oK2CwMKdGz6 OYArjQcUbTZxZKd7pxlHaC98lbBRU42dsbA0q1Y5SQVPG+iWqDcSlTTh+SutDOSQGF87z42Q4QQl 7uFnJzn8jaDiZDHfCD3XVAVNX2RQWxbZQH6QVMmAvTD/m/dNRu2cSxlOI7NXh4zkqH5ebdhLr5xY IK/XcKLQd/oitxlSph+INENuYshDq9N5NOUqkPZNZ3iZazgH+86uVnkg/zCkx+eNFEOWEqbxrAH7 AMOmIwFzFwjvK/eCWPoB/bc4yeARCjaf5gy2aGa9GGp24zvkcnXw9YIA5wjKz7rTZ964nFWYTRbl GLscGX4ffDl3YHxO4cYcWBC+wn4vIeywRLJGxl5mbSdSwgFU4lgRs/AvfhqbypW6txrkhBox0gZ6 SAMLMAPnFf6Uf7eSaC4Fjd9n0oJt02kAdjcdZPHPTYGYeAR3aSJtBYBj9coyZDeFX3eWetoEshOJ QDafcDtCUJR+UcspgR6WGvCu6MnIlQY0oDSoSM92sETBGh5B+VEH15LglEDEqv+DbXkfdNnU8VsI UDbhL1CXYiXFhFEiLD1kZRPIElzBcMDbXx49dih68zjpvVIwQkWGCp61EJs9OEfwFVGSnzZHK/Lu oVMBQkkH8VkmXr4rm6b9iQs5KKO7kPW15UHCGoZkFvQJborbW3b1HT2sKt30Jx58Fy2NpCq9Quz4 OI7WK43L9/9UtojSu2tJQ7Ic9JzaNpRtx+45nz4vvA6aQC+XvWbmdr/TwJ2KUzjcD8Hbkw2VlmuZ idijFwlo5a62MIkOq5dxaRyJZ2t5ZRLPqIJksjet42RiomRXcAucyV7C9qFNQmcG95SkQI0YeKAL jXFX2v8VmuOyMDn1FNn1KQqQe2RFpAs80VyMCvayMcLLEMmbnqe0B/qCOtHq3YFky2uNZN3LPmgl VNL7WkI0KrfG9ExL3OdF98pqVWWHtBMRRprKkWQD2fI+2fgvfnowNPGi7F3OxTSJdt0fxWOqfstP FapQwUnBysZ2p91HpYJkRw1cSuufW3seT9lClRjIOK02l9qZ0wtKWlvBizqpF3ca+ZTkZ2ciQ0Hu qYfLhgD//T0SSg/R9tOG5ful6eBh2gCJCEiRHDn3g/pf1JsThtJZwCQN/2B5AQ1gfz5JIiaLLo8u TKeweEn3t54Evjj86Tf+OHWJJyTNc2MiTqGKzzCZe8jry199hSUro4Meeo7v20EGFb5VKWfZzYjT Xp+DK3beGaAVvny8PESTUDSRHF/JidJLcIy6AVdoKZgOl5K7yCNcGKgH/CyCdkgVHDH2wG40BPav GkzE2HtgxVVp7i2fgeKvtE6HmYInGfalSUbz0g7M5ITxbr1H28AI3y/Esfqq3FWWb+X+I2V9uGpV DweGlGGtlH/DYBzfN3UPgNWddslL6hK5LvMc7AHSZVQq6tHBLHZ8Wu9AtJWxE5Yt/c3vIx+ZtsXR hm5XwO3XGSgtoJ5h6r7rok0Cv2PEZ7FyDZsNfwdE65CNxTW72hYFDIVFMBBFRUGjQa09O207aFNx EvW7bP5CHhZr8czN2l/ZZbtkeYIDug2cr8ZMtmTFxnF1RLxBDhW5HE4VEPHp5sGH52V6ao+jR0bB tvXLUSiQ7+f2HZb2lHjngPyR6ZSjJ8OiLBvCjdiaah4bthaMGGd1xLupnvX25ZhkLrqUUbs9fTRk OAdtEE4aD1Uvnq1MUn0hpKmE+MaVGwzQ4d56PeejKpXjo42A4GOjvnU9RDkNvAoHkEUWfyBGB8k6 IJThluemQuLTJ8IgAz8v7RHxhtUOIgBkNMPxQgZTxijrHoas130axfxplk40aHhrtI2odM5BwpXb KH/sny4OlGYPSTMkQjRHA+fGSNvv4BivtuEDL1QvX6xnTT8Hui/jvCt+Aw218OPa5HB+pDjlE8tl WjlKWXTrUak22gGxza9D/AFkk4GvTAay3InOWTvrejt/OxlvIKYgjylx+asbwUGM+vG632KKuBqx pHJy1GJprZ+j9fe3AZK8/KWGG3n9TCNQx8WakLYIXCuQaYR+9YJINfmZzt4aP99nzVWYJwEr34pw y8TMRQdZYsx1wShAtvrfMQqEtZ9tPEthdgglPor/ucFB7Ef0DgDIFcJ7pj2mCxdfx5U/RCzS/BBM PJRCUQaEi1KA1H+yTxZqWlefs3Kg27/+TTMQAi8v3ZmP9k52HZWL5ixIs7bS1VbKyn3cPS0xlPVO trlCR+q2wU5PMDSph4jqsT8TLzQ0nYn3yvMurZgWfyXqsDrI9VESkaSGMNaLdyVRHt50SJ3ML65A paDM1VjkcS/lECNOhBJnmDUatRlA8Jh9jxHW4DVgR0EXl+YmhazVB3kSBGY5ksl/CS3gA9otAEwF 0/gS7L4PSlXbnNLfF04q3mgyECQQCb2RRYuoRGXVHDBVZYTK4y5zVB3/XYl+hUxSgPSjrr11Rnau v0MHMQBNiv2qRYkQzX8oWcdqO70pPZIG60IaasdcIduSG3ARPwsHH5ih+PCndSkPrfzPRQBGF2GH DZM1BMReW+rukXIQHRI7vG0Mxk35LDIslgDTUdzv6iFC0fAr+BhgPZKoJhVmZmspOVltyrbJ786t rA1kNnfa+hGIvPyzATIQru1Nu7QFXDY6BXJI0dULt/Ovds4sodfz8gtCsOyvsvoVIvOLw7+3me81 fIh4ywceS2BBcjEYA/9tQRl6Sa2em7bDz52eD86zUv6N6Bb7QAL2fhDC9NgYAEVlSkvAIzMbzd1Z sgCc923arCMwJX6SRpYc72VsgaLdsPtXfi8BEmC4/DUwL985plwV4YzB0JFO+6nIxrvXE00PJ41w F52z2iQUQId1Jd+PzVI7lmfPkEx/J6ok9QPY5tez8pzvzNHYodQCiKO1gpqOOUjVDu8qiucs1ejO L218VsMO4JodWkPycrPrLgzlzIDfyi58W6sLsjfDC/9hqIqCD2K5iD+MAue+Ht5N6NnRGN1oTDoo KRKJH6AztQty3mlvsho54GjkFNNNaH/Y2aZyc80JgihTVscTtEuqhh/IXQpbPFSqRbBN/hlZ6SKx ImhBci35pFvV9mwFLGkQj42FQQJtIKdnGF5y6KJ2rdWNOiCpvFIujFNcX8xvoZdM6zJKe9YNb1SE dLuCltW9ivn6n9pZdr+r3YNTUbGdIq2ZBlDtvSLUYBqGOGQEekeQjMKzU5br34t1Hh3TuMccg8Ja O2OzaLtF8Gn/L/xIR2vktr2Qx4UXmvgqBc9/gSQ6vn29pr87JTU6zLWSQjlg9PCUddS4ldjFDtsZ w1qR6F4Kjb+X93ASpdkOXVXIDweFm0OHH7qKJDX1yflFlQp/XSq/gqIVhD/k2baKZ1LI/5feJWf2 BvjPWoEOXC+Szdi6cDwvTaLVBYjSeQ19OBNrlMFh7EGTM8UAXgLu+x/m0YXsygoLHLu5XPHJUL5l wKzJM+uo87QajhhMgtH63ImA6R/I3tUby0LIh30NpW+67o2jn8XEBDR4zib75TV4UVahRGSeSX1g 3Y/dlH0W1B/PQoM3ZIcYecu6hjrxi1dI7YrLVRvtThepukCdEWM5WWfvh7mYNExsMQDvYwQkcLK5 M0eqQQD77rz74OpBSYMTLsFibstgA9AybE+Rm38Kg+51S5NGsByz2VahP2XQhhEDyZZfpuPCNh0+ bQenk8MlH4cKIVHK3P3UDyneTCK44gNpqPh6I5YcoUz15qPL6hsrijy4+TesinadGS/K5ImNFrao 3Y92vSBr2725d/UNHqrcHMx3ToMCr7pnMy/ZoPyp0p5j3KCtSV81RGA7nL/9pmWfsP/ZoHAJXwwS 77QqP4hVACZck+b++XHuqtdnBRxliZUh1dBxqkdt/NzcfywxGnRRp0oyGmgzyE4m+L486zJTwaOO w7QNjkjcitLSiTXGT2APaJSqR740qtupNnUc8n60CqL5pycCLF6UyAdITOMapxJ5BdmfeFBlfzcY 9/yS0SGd9AIhm+1U0P+fif/mPrF6kHPNy3cw61xHO2olkRY6U5A6TIQqMMA0ifEvpZmVKoA30CTW HkWIIIYoQxL7hiF/ScijGQqmsm4IJBDc08qxQkMLu2efYi0776MZ1akxMlJDj1403qFwkJQVHwR9 PptEgZtvRrsXLnJOIrSKW+MpQ9GZgLDesZ+mry9rT9d6291iJOGroellDvwug+1WEAJMxLYoDJmB y6TlX1uVY2vYcQ4A5dhvAlJxLSGI61cCqR/pt0YQr7PZgBre2NgY7BfX1Zw2ZDU4O30x2aAmqZOZ Jkgic2R8qXLUjwcQUSaFGknvSpfVOB1zj7Asc1fVJGQBWMauBldWQwvyXzX37rdTis9T11Srv255 /JgDVL9eMg+YMwvpueznZK0RcFC/6vf7+lCg7XgMzPJW+fb2ZMth5bSreNil+gbfB8q8ypicwCrT joBEp9wKv2g5IjbS6i4GbPwmm/Rf+cWX2fBJ1hL++231gMghtAclfunk1Ih4Vc0XRZzo7CBZp6Kj 4CX+rrRj1x/IwIOznPf4xktKcD24D/yKeOAmQEaLrlS4cmCXQ9Tf8EJx9HC/eXFZWgVsL6gWM89m nsarS5TsUlBqVsdtquYuoYhPYuvnF2QwwshYk5Jc4bhbuhPQ82jqw2Z5Yf8kzLj9gFk3Ox7KRCUb 7nkdEBhzzAopTgiebjp0JsN7NHjuOZSENACnVodV/cIlkp5mo7uTJsESzR1laqXSGY48HxkKKEus IipEnDCweQvB2ZCaDabrUIgYUC3HD840hv6sDNdStnIvbPKBEInONMUjgxAQegGsW5xo1qANWGIv s/C/zqHjwyvFsP24Mrg1ZHcLhWja6X5q1x2Xl9Pk26ZTjYe1gbVCqEukPk8AGeH6bZA5wVVUOvRn k9P56CQVkINlNxt5WqIgT05H+VDJd3D9E7wFob7V0mPXJn2PL+L9hCQhViB36PPI7yrIPb0uVh2+ 3EONLvA3Owtx7rcjz5rk//KCJC5kXOwEnSactMqFKNmAYpjC0qfyOP1aOgrXbtCNF0qn7odhayen SI2y24fIYfPnJNZ6LFAtx90Yofkz5/4t6RpmBkdG6yQFyk96BMKzYsqFme+VeAP+EUaqYCH9xjy1 xsDTbbFlfVZbjVVFtgNHk6AYfiSA2eHperkh61ZZcWod6hIUhKre7xIbm0eTm1HjroaTMIOS+2VF 171CnorQqWW/QaXI3WDCVMWJbQZKwJwUM0hK8Y7l5nwW4TYu1VTXInwMWfqmX0eMzoW2HglqpfmK LtsUqntR7nk/7P8Tjw4WmuEDAkCAhnI01efpNbALQWAQbQtuolXmdJvIhu4HuetibbyTXWEKuZ6r 4N85UTolqZgh1QJQLD1dhnD/7wtd+FHJ+3LfZbHehwTeHG0PuHaYNZFOOj/D04winiI1CBLKxClD qUFYjeggqMvDOXdoquyj14M5vOBMMyHrv5ZNkJSoYy/f+HmqhFVHoOFGzbJhDd4yXkLz++wkQhoT bK+eKWP22WUz9snw+GKxc0mUQe/snuq5LlmwI9A0//jY2WDDKmx9UZHQ/uqKyL7M8DTdtVL0kj05 MRLU22UVz6+xp+scKGX2yAQPrPaqr0phSC3CcgaAJ7zsdenoEhhq86n1p4G3Wp9LjYQ7nBtlfU7x qCowzm4hB5h6PuABYtH8oT6MROuwjGA6jzVsDtmh8z7cKw4ceJ5uHx9TPWTKDnNQKJYvMpur8PG4 6LuQIkmj73lbA3R/ROfcS/FdFQTODkkLg9vmjNHiBiD74qoKqUrlY7d01VLW9gqjEnsyA46v5xjC duEroIn94aerKUaCIVLxaYaUigNNkhZ3fuKcNq6ISqcP42pyWSq1pJdDy9H++PffraWaLfxI0h23 +3nZw/8a+FUqNeD0lGX+CleEwxPGdWrSuUrSpJII8otL8pVebaMvFIIo15m++I9eFEkojGPuJ7TR 9z8levS3N++PVuHdyC/SIUUDNWndaFTpToD4+WYXZTczvPBMDFkTnqlUKvreBPI/TqbedXH24wMr OGOx7nv1Z4ECKJbhpZ3WaoYFvaaAQien+8VoWmANH+1UIthD4ripV9vufFe0IKyP02hJUpf5SAYm 5IUwkIh1czGfPlsMYmvQoJzyc8u3ma9R/k8vWhuN7gjfXtlLGtn+2C3jKowSdWz2mMqFZ87iCM9q r01kj9sZvixua3QMDTio+nx4x1U0d//x0nzXVZjpLYqyRqX2lx9vCRUtLuGKwSFjtrdXEtLW4Ohs YjBCsF6MNExvyp5KaGFZieQIxr0eWzNtBVN7SOTUeNrgAl7yotD6FGxuECAGKiYNZtdOmGpQ/UF+ wD35AeYZ1A46/t+HkPXbeaMO+cWqv0EEX/YZdXNIeiVGLtYe+HPGiDBmxNms/O25mcKe6fByV7IA 2kkJRMxGkYhwnZ2r7B/S6lZqY02rubFra61+2AuNMuyDgul9VQX62I2QQasWx9UaJhCn4iIRt5yd JsUYVtW5lgPZQemKKknsBiD4sE/F4sje8nSARwxfbxq3azqyJVXCgBuz/O/ilocmByqYjShQAeCB tvSKlsh4uCYryR+LfzvX/axyal4H1e62T8RH0A6uA4iD3VI8SPYGjCcE0TCRQ6WlUkr0lhUTCHq5 A+RUsZ5f0qGY95onWG9L3f1wgOZ2dLk4Zm7CODNmCFFxFdS90KsatQy6IybrMCUkrboHr3WultP2 N+zX6CYWeSXvYEsd5+JcHZJuRY5r3a5IvpcMQYBqGFxdvG7usT25mYJ20Is0AViaOPTVCQ/PR+LA vmJpr48knhefRYc4JoQSiNhk79TCG8FGRfdzMa7uv8u6tK3EokbqwJ7wwBw53m8taYaQorDC5f8Z Q+qZi0k2c9A0c3RT6w032eC5YYFAhuFtnkT+lIuh42U4ZYDFh5RyBKTa9sQMJQwrMIgERJKm1j8s jyUkyolbscEiMiN3rktZVODNHxTXn1PZaYnfJTDC2pqekNVn4vR0qslbkeFaMfAu0ut21bet6rLU EzzZAixe03ciLX1kwDoNfgOqW35VbJYG8tqZgYnzJDF6GPGOP3rt+PIae64fd9Yw3pY+ihAW5WjB RKoYgq3338w8LRad1t8dxEtHeUkZeNCvQacS8AaOCRU7/RxN5qxm0hhM4gfRrqEjqgK25SWqY6dH W9JbC0gel8T6lD9xqdDyLOc/FdxgXDAe7byxc5OVQ88YoVmXiWZ+muBM08KVHVAl9x0FPVq2RlhT Yjz/nM5anovnxSQEduB1Jrfc/ewC/zGX3Q7fOs8eZpivle9fkDcflwxU4A347NfGVppWA+31uxe0 CcSdJVq/rjjPgopheT3vfbRd/R4EOpdJM0k/jx4O29F9dcI3JI+b7WC1Rw6mUrNhWA7u6+l9p+nQ eGgJITYD3tIWleEa2FQa7ygXtEhlVq9i+Vrjd7mB3gI9RUUlHjaPBnVOrcula7vnomev150WC25I 8CTPfOm8bNd9ZmHo3vW02WlGDKs/9LFrnr+4B4aYK5jUYmkxlh//wNYU/FM9xewF5B4+Mo3aGmko tLcYv1MTgi1cqFI7ffHhOkq+fhHBmYvGugo+SvfM1yRuS4F0dylBWlEhCNPXEAxTBajOK4Fu34NF XvflKN1TlNHKKe3XCoL0EZ8Nfv2guphxFLnMwEF08PTItGC3WFS/m3JpAWsAkIHRZjuyWmdzpBIQ CK1Exen9PWvNjnPxrLaI8mh/R3yw3uTy8MIRddcO7jvrciD9k8fCFLgo+qBluktx0+CxJV6U/jr/ kuHQ2bwGVBF7zv3xaQTJQ1g/d1rHuRc7zRaDR6n4E/G0XCnFi5Lyydu+SxB1s4KOVPquDTVjqIC7 iRuPrNihbAhtbVvf7vsd6U1BHRt56ymcNQrQj4etiJZNkVGlHehHAAAMuAKkMfdF4809CStrKbYF 0JjZlqLnC6GvxyGt/BJ2ooJYHViNjznX7rYQAMx1NJqoQZhApEp5ysgduL3IYcaalLhxu0ryDGIR 4K6vwmmLQ/xVaZjbOlNfUk6saHrioPo/AE2pxTw/M3ONed8hfcEZJzv6m/Bh0C3HIojb08VmxPiP hJeRnqzGNqzUnP+uP8RoAkHCvPij3NwO/9c2WQveOFPDkZE+AyhqKPJYCo9wILmy6cktLWzWK6tb wulFtQagku/Irlve76qWnwTomsL4O01JsKSxIMX9KwKZpXGXp/nh3PfLX1dGSTIMw80Ed6WbUQg6 yaFPhqLjTKPbTdZQH8IjzEkUN3Ftrx473fuIOGTO3QFo2WoyifReFKBpnmszOv0Q3S+rEfblsbkl 6eUyzHexi+IAh/M21wLT2UOA0MNewvuJU4Vk85XH9mHOg1/WNMIcww3EINRvacHoD/x9ZQXhlnIY ifZK1NCkd7JrR0BZFkAKPHK1/cdjpuMpyB+LqK6LQZ/6y0+C65Covu3fB8LngopgYDoFYXg24i08 +sPn/aMYur59KOLUJYTbuJbCpe6VcQd8mC/vI49pMpmh7j9y3IPnktBZE80HOaHk9D1m8BDfDOwf 4xqZuO7lRkNVkG+3Obp6xYgGTWtCWQ9uSbVRcmNtcJTtJOOCppxxMwvDXGeJ5N7Sgh4lDmJjQPSz gTrP8zHwiU8bMjtX/BH7lRNT0EIMhgmgot7XsaZ+XYOTIBOfZA8D8MLuY6dEuJYCF9N7vgl4sk+3 JaYjrXAIWokDH4gPn29i7y5srOAAakDYFmXJ/FGAV7ykhZci71yeIlZp1baXPx0waKJCJNK3BNHw JF+HbtlcWXc8JPYwzcTILB0xQLk1jMpi55RyLGJrhOqnuykg4rB36j/WFD6IfZaRyp32M0Hkghco ijoaSB25MHXB3+Mv1gcvUGToYwwI82k7wMZGV48UsBchHdb0J1T/ohUEBOCExm83PS7FRltHun6C Qq2urdm9SMye+VRLHifxDA+cfv8WiSkQKSCqYp6vj8u+gu1azZbZOOpqNGh1L5mfWFuJ7tkrx3QJ 5/sPZ198IJPa9KIr19QflMD8vmK9rL8u8bY3MvnW+xCI4RobE7GXnWsuEnfjDAucszNKKMsTpNgY +m6t5/RCDYvC482laV7bU/Q6Gj5iXcXa3D5N9uLHQrfgUO0vUpcu1vHKi2/3G9pX7c5B6Jj3xVjg NPd5N2S6Oq26UiAbYlYHM+P2n5oDIdS6th/JLQRgqJJRrKr0Mhm6Su4MWJJuzJHwU6p5VvMnjBaG T4SZDrDFbrCENVWa6VTcbI4Z8nFNx8GwZDDXOFx/yFXSekxPdv11xvlnCdt0GpE6KR7Qt6EgVH4a f+/e6CnRd0ixgAikMQ6eFjpLG4tp7P90OLpY/dFnCcJV8ewETqhEjFEofM1NFyaHY9RBOClReHXS pLbO3uJPBFxBqw4HN2SX29Ef6I9HOAym14ldxe4m9U16tFEfkZr2Zh0An/iy24DHaZPY/Y4ORRJO a/LadbZej9h3pYBTuiG2jyNz48v/Aj9JLrDjkMG2k4pQYbOEEqBC88u6TEkOugyzvmoqo3NnF+f5 qBG3fV4ijO73QCM2UUA/QlprXSO8AluKErkrkSggRSL9oYGOg62nMIlTkfWA5EQbOEhhQZLU/Ia5 vLMB7Um+P+IAaRIS7Ic4/pm+txQ92GoztrZgFnWjBRj7AnsEgfeiqOdpqA1zC1KK4MxKskbaSFxi lFqrKtjfRCf2/R+el6STIzcFurKkViLjq6AKa/iceNzHM048TG+dpV9/gdjihOLpYHehshK4LKp5 wwR3gx44Sd+MAGcBwJsLOA9YcAso3DIRXuKw91wgqcKwB0mG1OMjaw/tATwXPKSwWbeyb0TZq2xL JMAdiytC6yXoaxGtK2lWbZh+CIwsT9Bm/EENhZHlF7v6KxFnJz+bGU7gp9iafiux2KkrY4O2BlU4 Apcq8RQzBYa8am7V3hYtloOkDGxjWyKcv79TwApO/ETs6vGwj6jLd3LIa/mA1gyzoHyZgD1FBokH QS1H80SllGd3OoIxXPp+8Gn5qEs+Ust3/470h0XQKaLOc4X1D30v7PKiVnT3JjPVxCJShszZy4ZW cu3N/10t5/LY9+zWIDxIO4SprWFG790v5m0byOdfwqr7GGKeCzh7qMXWEQtmIFkwR/OMr9rY5d6f G7MmdspZ/ELkyeadms8mp4znvnhxGQmH9fw5uJFvAG1Wu4T1nZ8SS2Iz2VippRGZ33QbHu3B3BCW TGwExP4VlSfRw9psHr6VrDSCOb1cYaNbXxKlmLzIv0T7DLL05wyoSmJrJp5OfsCgvVxpYYoXmUwN k3ciQLoR+hvJ64bpyq4FZHmU39FCn2l6w8IAxA9OB5zXczusHqsUpR0FStTBcATL0ydyzDp69mzP jqZkc5IjHNyMzUUolE99kzpgkWx4nH+HzzL0MyM2nkniDhcrXeOi2vRIAc8ugFWWHja2WtZm3cVa N94Qd6AFNeAoVoPByenDA/JePl6WqSLc09QG3QVIZ4hh2Wao6rEjpkbZXPQNUyFzAP7I6n85uaJ6 NLCYzywaeBkqqGfTlWeXEJVMLXVZoun5FFtbwpY8C0JOy+cGsUINFYWjsf5RDIM/FmhFtlGOeZK0 1buXG64eDbafREY9zG5Xwu1yEmj5u+bVMar7piiLMoBkGnJwFT2pJ4pnEo0S3+igRysJw8RQbplK hoyQAUc4kuGup/ssUJ2CCIjMRK6kClYJUKLJrmOy6yobqUzVQz8P3+s6wfPLP2qbwtzdORGOZ7pH ZepwOuXMmfzDQWwmul2hSbV8uiCFyOcoei0XchjLzkdaBaRnYwOtTTPqzrfYAmpqInPplzkPgx6y Uvh2UxZVUAtvgMEXSM8CsrrW7zZ8YNJTnhWiCosgj8Xt30z6N7zb2yosrjrRqVUqJPplMP118ZRj fwdwRXhdPYAMPFa6DaL1i7HKSw/4n1UrgrC4KOn5OhryIu7QVtQyfUGRn9G4R+cfM9r40g7Rmu3k 7BG+UYb4bKCJFCT9sjGrTf1WsBzzjuI0xZnMu2Gm/qWNpKru4OYp1dLXoFEym8jrek9kDVujHiA4 zN8FI3Zb+XxjE0bE9Svv8WUXQdWIgkCKaivwW87OUMsbXVSBt207O6KYiERYznGTPQmFQ+t9QjMk NRHnRwEenEFAx8rJDxXN4S02VB9MDd57KtuynqWJZdZrJF+Huvdz2SqlKs0VLdt57pckJD7L0Uk7 An5HLciVGAeDulbH5tmTTSc9bNFQ+sC79b0Hw31r82xbLbQXoRTmaFC9dG47smJ+5nREYYaArC0m icZ8ZRtfYQVBmUEV/bVEAmnAPrvB/RgSXQ9tjiqEfFpv6f8pTqewNPi0yWcQkGuEvGSZGIEOMfz4 oup1HPI7tq8+00dO5oTvrjfdRx5aqg6gdQODMxUi7kJKe+GCFAhue521cW9UPBsjYLYPQ38Lv7/t D7wFaEYw91mjyyzLVGQm6E9qeGVzqfzH6T3xTGfbQ5QfqcuASOQ/IAlxdCrQtng7Bq4Szz25xf56 2sSRn33iI3zr3whoB5CtojywBN7umaw87XtZ31u2OKj1h6Bk6afu69d4OR5AKc5ulIAcOaJwyq2Q FMyf6Ntz1hpS65NxfhtXFxEvTwI5UyZoNhPoZI6z83En0ag6+LznQSO+rriZaS9MsOvMeBWCE+53 K+6jkgZsuYuTD2BCN97GOtimMX2LeZ2b2y1Za9tDM9xEhhJLvazoz/jOyN9GaTXdhpRzQHk7lg0h ZoeRGOF8M0+fS2buwaZpBueJvFlFjDvfbM1+mwfyvhvBqYuKYmADwJ2zRGiSEKjGj5IJ0illgRF7 AP8qY54m6GAUZ/DYDBFqnCj3w0/GDJsKdOm2zoj5fLVF3CI0mlOhvedvsi3i3mHmC3/Eu+3qoYRY l9r96Hlt9itxV40WirfiK2WY/c84qCwjmca+vbtCTWfCZFsmAPVxxj0zyH91x+vZUDb/+t87SE1t JZd7k/1V85K1xkwwqXsG8L36dZ9DNX1/TYzh8l1jKy+S3WLAMwJyFa6K8WJDCbnegRBlsDa6tLn9 pGh9hfTgpkVqg3Pa4YF68pKcEXOz9S8mh9ZzxOMIOLgJ9m6FA6kraSL6y5M7tLyOjlIr8sgaZJLI B5Hcc8/1pj1N6edEZKC0zqW8RfpTbJaa3WG9Bx/TdV+vjt5CwbNnpgCDeMFWHZM7K4ZaEErrww/Q YtlIQNAJPfihfrr3OGcJFut3pxhnohBiVe9BYBY5vft8deCLiKgpgBywD0kMCf0zJZkt+Z2RbqUg x08J8Ux5BJlOH3QXBzT7cvN5AkDBqDwcea4Ifpva9Bywi9bw1bc482OE2QtJ3PCao7k6U5XctJn2 dGIJ/kvaZDaVyfpx/HlUzFrjv2BpFlNrdFauyFQYxhd35yog8OrIfFO73CqB9fOYSDZQLSdTCiSO U6m9k5kAWA6admPo6djCEKwLgbBYxPFhwTVk2w01aYdvuif98xkFmZTkEdoUk9C6Xi2PiCwcmIrn B6Va2sZoAQY9FZQxFuUC9cfUwRoPMLfGXHbcFPa3PtIpMq713FEY3Z9NYh6P3b1soQQ5a6EnMNsQ THpN4tVWE+xt2KDYEjr2N8aDQWV0kJbq6R9llWBasMpkSHrjlD3Kig15/OgRPuvdaaee0pCMQ6p7 EvMAB0jaxFn5xoj3yLDB2w8VFzm0rNdZ38ULAM6NufZCIzqMC5DQ65ABedSJ+HpZPqRWvP9tybDT T+GxBtfiuAEb6x4wZ88pb4zQqkwNUA6j1XMV2839tK86CZ1ocKwQ+SOES227ZeLnlvVH19wZr+BU oROma7i6Q4y1c6fcJqGihFedR+AF2dhfVnWsMUnlpa5qWKHNNCmDOagn6pD+62njbxLntytyJI/x VOj+xuF+mxRz46pie+wrLQTPCvUPGGj46fjL0+sKK7rV/0p3+ujX8+auWgd1SSa9a+ipa6rHvqYE Z+gsMkiDEKCiMuCSJgqh3qqSWasog7J9eEy9YoEsfFntzvfH4TNeEAOLQ0f9tRAmskR5OsIEciiH qFFcKxAVNcl7xopv5WMfG/xAsbldDAmsgNlgIK1JELE4B+92nln9sliAwQRySB/JqHU4jPTKUpev 22eiaapy4rQUfBUej9+xCOJLVjc4uTfj8JRnkFN87ch+JY/rU29WfpD17y2o0y7IsIEljcIDhYTw AyRAsMmjC6y6IHzZDSdqXf1/xaHPU6mSZ0eAG8xc5H4Nn4VLY9mSVn/ukIqn3Pm20weqVoHjs4zz n07RINY6FoDNeRAaN1T+qAfaKBmQgiYS3ovvKuZ/ha1ZJ08Gw0erCPPhQky2J4Bye8rkweL71ifV MgNKG6xKoNgfRzj9kltXk0LxpuRG8fFbTVBo5j1cDK/cskWjv/llSvh89/B/soVlnvJ1Zx3DVkzR Z13pVlmAk4JjSPAQW04E3mxZdEW2EayFndS5vSChVjxKSWbPWofiBbFybQz96KBuvpMN7MvXZPNU Iva6MEfvf8Ex/Dpa64MJ8fTk13C3fZ8qfUQdSWJtb9C+LBAGxD4UHBsMrTWms40OveetsrchsqtZ 8S7CUpHYUyDZ2UaG/mqXapJX9qY6cecudkAFV83WvFdsuZPYXP8S9OPMAA3lxOMETbFVhMQHTD5d mCb43osX2Ss6bMOf7baBvRMALGIts3f9Acug9vu5FkDgQFAZr/Qz+IDApDZxBq9L5queUmmFORus XzEA97zORVV5APa4tVhaQZ063ntiQWtCNhggGuBBje4EhJhLmwUF6fBYuUKJ6zVcjKSL695zrl6v mpVWAvEmm3Y7jnEAMZu9GkPPdgmtWfy3hJRLeIT8SmtIl3wz9XfQKhjy7rZBEnSYJvH9cxM3LLC1 bj3Ch2xhoDfPGa8CgnyIJe94j0KLh/FoALxmEU/2nULkt6oT8XrI7ZmP8uBbQ5lqzzUCcJCP1mGt JxhPhRMae26Pb55UmmKKHaIP1qrMa/+B/Fklc+sPR9R1wltNOK7+akWwTw0hhYDNEWF8s623JMoX 0aZjIRIggqd34R0XbMyF1qpctHbhb0Pa+Kv8TSB/TOXh8C/IK2ymBsd/Elyuu3J28jYluTTVehht uHprkPDTtoLcDEynZEMcmWCQVKUyvvdZvQbiaxMjKA22SHoYXKtGnzlyAED621HsO3XB/t/9rjYv KsODRaYBiItNyEv9iUjWDpxgvctXl31z89DniOiZNE6GZUEFQi3N2j7MijW0urtMohfmooFLYC3e +iRhT4FWEJNLwFGJoaR8WFgsPTIQXUTV+hejkrUSqUP4gIjHdmkLrV93t5GDSw7mudkTOnS4e5HQ Dvg7cUz43cvqYxoS6Nw5t24qRbrNxGqubUX3tM1oY1mFYnX0j2GBR+7QidZmzBtOBkbJO7X+Tnuy QknBqCBR3oMRvME9b0D2yN+yKrxMXXLo0sP/KP6iBUuOW5eaZCtjTMlxH2Lkyup/bchMf5+7Lynu p498cUohQHsxNRAyuzZewsmlCgqu/t08uQxtuSO0ToNUoGy9f12c+0QUjmZrj36q6SvASQYUH+xh W1uTYtUbVvbN9FMbp3sdjJk/GQ+iLlVvjNmRD2v1EZwrwWt1o71CfihCjUJeUsrYK6tL3+nMJFrm +s4uFm+Gkbuxroe38GHU8FL//x6Ktg/nQDML/6FCc4gY6Ppw863o4aEVrCQOTuWEwkpqeg5CLBum OAEWsOte5HJreqTD7+D8XQFLtQEcgKqsFMAgh0ic9ErzlFkCYs80vqLLzv8whaxIOs0pM5oenofs 1QsPkleAdbSyH2EH3xr+qwhr7GdiE0cPEFGd+NUoWSdjq7dCJjfOeyHO3jWfQxr/JY09yOSSzvib jxCK6IkV6Zb3UHNYY6K/6A8yVCQhD/Gio6uHKENBBiKu2tfsteMX2pZgYxKZwL/8isi0g+QxycIO Ys3gjd+GQ3VbdIfYctO7hsQBzAXJQkHsAm3oyy69Kq1HFWI953M8G6WnJSPIH+zJm7H/8YghGL3z z9BBAHqKn2PrCS2NQuAcwQJA+T2ZVL7ph/8YVU89RfI9Agm3A5Sz8O8YWTBnfL/rYK3RgAoTepma vwUQN5nXETEyur39omBla8pCh0j4bQgp3OaW/kQA2FHEYXBY74V4O5Y6zuptoTJIlAsLct+S+edt xDVLGS4AuRQAeUlEQdvnwyydkR8X3zL30l6ytQ8rX3bd/og0w62wW5Vaa9l0fIWIUuSZgXSldA75 TF3CoTyRxvv/HlwqAKZTqp16DaVkzZ/wI6Pc/WfY3PvjfZJcfGkViZgPG5o9t+rqAHwJmV1ubDN6 qlN6ZsDuQqsfDHInWD38nMegi3a9pQpckAaN7cSt43evVeFGRjv00ZSCI2wwE4gG538eERFo2mpZ IJIaTgDxOi3V/uA3yf+H6Pxzft3MYhywUbY2mhSdCrG37nDgFHxRtp/LTYHKQrQwErOCdCd52Fz0 l7r+8GK8Gy8wqQun/qAGtAQr3XvM5CNn9Wor8KgkVh0leftxwUjfe4TtIQwDPcrgiGDE3hEWdiHx skhqBmYo1ArbMKKkZAnzt0f+M1CC8spVOY8sx4kCt+XOCQ+f+yYlJPLzobQ0ih5AXelyxlyYjDhB qDjiLi228vSM+2sUG6Sgmu4GqXRbP/9dh9Wo5aT7Tc4mbxJX8KOTabmW72AmfbA5O+U7zus5A4kt 4/xmLSQY+jB7K3NyZ+6qKS60IRJKsLUtYe3M5JAapLUQ2LUPcUvNrjrVrAVq18bU+n0rfA9DE6hY 6FdDtxm6wCl9PiYaMEBjFVYZjhR4jkmT1UpcuarSNRA+zbxdjAtrpa06qb6BwfX8qQF7qFVBcwVg ymERe/1GfBZ2OwoIeNPxQb11pz9ytrRtZ5Wc7EJQnoOST+l2AZsS43sLO03HmhU3lETjnflBLx+v tHpPppOaoYNY5j7bMTZM1o7ccas9ZvQafkLljPw4Pk+wihvv2aKCaF8mFo6CG2w9tX8qdQ3jvP3i x0/z7YdziWkIPzkMtUA2J+OPvrEp05e6zL6cuzWTNehqp27nYbmI3DXefD6kkyEyb4VooV7GF4nn otB79Zi4lstw0tKAjv0osoO/Y49mCzHvQsFKGZ9CxLMn4xtVJZOOxNZ3bqg+ghHxl4x3cpT//BXS foLCLe/FAtCw9nTkqn8BXjRZqY2EGWHI/8rmciwg/De968qA9VeG/VRTUWMFDYTUeGepFSgvF2WA N47DVSoJRqTMM0sZqtEJvauUaRKH7L5KMgP4FUkKjrT9qwYjfeIo2lp2e+ZzzpdfVco4Ez0dx0K/ QKcIezNeRFs9xtbuzubNz9rgyTYtPi3aj0cWPxgzWKm+6aIDhlJZHP8QNqPiBXDafVvRJRqPdLjl IrPHI0MqMmUAXi4z1T5OhcjTd30zybvbEQkebEl1YLd1R5hFYUGBz9g2xqIJOuZFHs3hco78oUYm aaXlAilJ/XJ4umpWY/B6dR25XrvOJ58V1lRuxKNt9lnjxDaL7xAs97ZldyNngyykfd8EhFOXmMFz waOsqXDhvGDTmVWaQgzDyZI6Ggd84j2UlfBBccGg1XOj7naCVLs9ShOqB1IU4xpqM314PKXJBZo5 oBWGoXTElGvgfJLOTumCcNfsNMvLMIHNw+/3vp0gYbgwMSozJVZNZmZ1414c0ymglCL2twIR5TFi po118Mr2W88R9tORHECjnIQesTsb7RNNWF5ZZdTaNHUUUPJYXjxbfQBuXg1rooRvfuPuqU9zqWzo BaY3jYyXk5c+opPTGgach/A87nP9MwdTQmAhIIFGVY6FbjubK5WN0mUDQ9kQkl3BJWNkBsfVN4o3 pZGkPYmtWtyBfcEcmv0bKpuczvHKPwiX1TWwVXQ0mBJ4oSxoUJOObH+3K4enhL6yPQB6dN1RA2+3 gyQBzJsfjnjL8DSI1uyKWWrkJP0HvBB45zxf+JLZoGQan8h2lda4tLfpDT+DW/z2RVPqKFPZnQa5 EMxvYpAzUssqOOry2IVEZ1ZrPUrxumHqMgoCqN8o4VzAtNmTeHKeCm7aLlLfZ3GQNlUAjiZP0r5o WmeMA4XQWUX02wzqXVqJEI+MuG4O7mDwGHjuJ4kdBlJKP7cMx8tAozC5edBS+R7n2UZVk7DhEhrf 0GDIqlUdY3zcZqV68gku9LyEsc8OkHBGUDHwg25cMMHkfxB7tq+vYrVUs1UGf2B1iV0Cevk20wMP qpAr4MIr/TuJQgJ5m4QGFtxNPZBFJqv7ER7tmlWo2x0h8KFEJrMdazAe1jnw4oZDf7SaUA5rpId9 8VSnR6ggIl9MFTfhZvFJun+0WXN1xKcLozDkookHYY16/xtirxr6BnJt3MUPbeXLOsuWDSHiLMjj SjjU5nvx7IvsN+bmYse+73UL/8CVEBjvPgFK5VGvLYm6inDvx6SmlPqRk6mgPY64nM1kJkNFVO2j 6C25LCrZvscm8wZUiV1EUW6061PcR21AXwL21RCElRuUcvwiy4+JqLAplZRY2g9dRh4dI/IpT62s xRGG0/hS+PdpBtFieNP39QS80ohOTOv+/xbpD5wAX8suxire3stFd1NtPmPlXTtHgFMEpl8ezV8F 6Dgzg4XDMb9yqDYK+QG+ZQQDenkJCBgERF9HJTR88EzXrqQLMkyCC4+iX/biMsnntxP9yezj2EZD zVdROE0yamnVJJbk7ishPumzjiuWgukQam1q5OnzKUugzUkSaBiB/zTfq9kAzLWZ70V3oFz8K8yx gdOQ3y+0olIskK/EE3QV1Hswx9KwVkTy1ruTYOysQBPBAS35CylcGfmSMzWOMES+odAw3roGsoEz P8wwTy3GfLvdufPUEFQw3TSkG05exBhra9RH3S3uAQbu5eW9yo0RLpc6IjW0Zkn5Gjhl19A/aGoL lImUR2aKi7OyiTVUvbScx1ZpNuf/vpyRxH7Ae1c+O+N/6w5i5eYbb0HN5seFD0Tf6CtjxveSqIp1 MLinfXQC/+5OU8LnYdFqEQytNK1zqGWzCxC2TaR0LnuheHGjS8n8oLCjqh5UIKPN/fcolrqx1mz7 a3XYEIJTD0INIy5JlwL3IDSIR1J9Cg980yLiWByGNIoRhZzrPgZeTghCdVm1pHpv8d2F8q8CwerQ DkcKJFAV5stnZoG5ESYmMwJTR0d5giKenOOPiys2yRD2gCHgj+9tn71AqD6reIXTXijkt3XmjXjF aMxfpCZo7VHjtHkHYazyhxwKnnHoe4fmI/02DnZI1357JWt5FdraQOwQrFk+uatcrRQ33YKATHj/ pMbneVbILftuU1E4xrbjLU6528WNimjiXxL5EoiPTS/1JcXDw7Lysi2fjOcSQ86PEjT1hzZdF5F1 Ir0VksygdhAiKoI/8whZ0Ri8RRhMmm291agMSWJHizBd1S0n43rbkM/je8S256INTsZrF9bmqfGI +dE/yIvQepExrlzh3GrK4AXoePezaVCuYaKHOMESW8ubUZTTNc1hkreXOJ8mUkt/MeImZXzBAIgs lxj8nRNT+6j2mp8dpO/IM3sxVgqFpHnUF5giL5ydvQj6MlgUHrFdKJqM4JIK+CoETbR8qSAYB5g5 ArTCW1UCCCax9HtqnP9h5VVsibEZv/j13s5cAQsHHlD5GH+/5+sADpHYcw9AmxpH/+QT3TYk+waU X84rg8tHe7PI5NLI8FkkHdgSb/HQA4abdDO1yXJwOCdpM+HL0NEPGS4gnD/b38dh2pFaitOndmdq 1UaTJvBIGKrEl7Byg/mGN8vnkuyQNQRpx8T3KRP8YnbMQhRzb/JRiFU0VS29aCUFoajpFhA+iZX9 dwT3lbrLsZHvovEVhoENH3xXX3QKS2Ldwo254lykXid70CF5EVpbKyNyvB/jkK9vdlvG/ZIGZcSE 4DJpw1gkqsxjPtm0CRoJ751NMRD6xSwDT1P8iKZQwy7H9/lpZQHtDZqSeQHGgqCJfZ3QPX91vYJ3 vAcvCvhYa3DIZGzNy7aPlkkniPvpqYGgIJQiPsidhKJ3v7LosxpDXJS9/CUMlmQoV+FzeDRsl/Uf sIvlaCxfgll8t2i86Kcn60ccIBx44x6POxzqkQ6ySW+l3iNRccL1sXlohQVCtdS1ZdaRMgvtjLA9 J2sed2X+uZOQDyGTv6+O4JrduGHF2O1Yf30oRzUfVYXkoV2GfwCFe7dSCBXdipcaYBgeyCnaQW5o UiK+BSoTrQM2vCdajVgS8ZGXAuJ5cBGUki2MEc8TSrRENrXg2hTAXbdWf+zcqnzzLfcQ2MwyCByo 22Zm8MRjfjPdKwpLRXJQePTzxrojKJi4E0eH5qI7USdnxI6YVYZcCoGK0ObIitQayr8gfCwIlXlM xnK34smM07Om6sVXg+xx0vflC1X/JWYNZiKkCpiCFhW8yu8DEE2KH0jIGC9XaCSXC1yvSN+PezpW NcmbzCoellhiL3xoX11DFn+Lc62AbnGMCkumUgkln4HP6++qjj7QbJkHKI9QI31hiBly4LL2EMhd RLSX3hlTsPFS1Dx7BTm/2yfPahCM2hIV+rRu1ZnHvCQ9S0s2F9olSv6gND+MRhdHIU99bdnJ/gO0 3u2Ce7LxSOv6fYz7hQf2nfl+XWMz166/vDF+XOgf/TziS8mtlwQJjzhdUGf+bS3wB9UZ+vEl41OR 4gwo4+dM9g7Xlv4/LQh7t1SPLqw6CtDEMlwNepvGqde9IN81ROFe4cE0vB3036DPYGQ8RLIg9ypA PzopoDxSXWm1tuly0Xa3d42rgWLu651AtWqzBhbEYCykbJ9dtHX/ihEl33wPNZfe11ZJGVaSyuS2 gY44AElarNz80tdwgjjE3KjvMGIIsIkMrFV9V+LMS+qaHqEhNjGICzXzpi7lW2HZLb7ywUaTwODX WluAID0c3lwlmTvn56Aymtq2p53ztUIYGo6OJ1v7r3pAQ8c4XXw+r46A+Tx0NN8jD+gSUQPOZmWr fqDH2k13sQMb386oLjxb3DVzf30X5Fa0J6LXuVudlUivJJQGSDjjzJcuEuEjB0VUTyEG8wZyqxbi rJDCPXGU+1iTLUSQOdf1jQHzElb/TC2rkP1qX1EtjnL/JDrUAdEw/E/r50TmQ+EaTUHN8+t1GLVV wCIunDVNP1rdLFs3lP2JRxRniECnxVNupnDbBlySAOoEeuPMdabdTCI4NgbjdAyv4DoCleDlUT+e D7NAHTWa6WEMqxS64BKMeyvNiv4TX0F8nYrxIV2g2IKGxaz7+yg1F+6uROcd4r65UT8H82tnSn29 QBKwLNDayZrF/SC9z3WS7DcYMfefg0ecMZg5GRkMF7t3XV+9Bw/RVdP35SkB59tNh+rmqdk3nYVQ iFz3dPFOm85IN5vVnDO36qx2NUj2fTwY8xBER/UMc8cIeHGNvi7Vh32rABFFAeSmhWVM7eOvkPl3 JT7OWh0/a/vqWivPuyoPtOpPSgLTfDqAHo2MfskmRtMfVrUG+uI9WoKjqo/3qIXcQgMX4ySdb1Qv D4CDuXqeKmjBUGPi6Vsy8srQHQnk/a0IEKBKGxs+o+wC0klOY2x9eJFND93vJ3EUmMZlt8eECW2b /a6pGXvVv70o1CpmFBZHoShXkcpqiQizu+I46QlMTm8CtrbVJVEcO2mAQq3B7x7Z766MTSB9Bcbe VZwVOL/cbc1S0ty8zKnRBOVlBGKs/KKOeWLlM2KnPwDxOqKJ8MM0yCzPuN8Ih6UnYMcG3HPeX7G1 BLoNOMzC2L1GUS7bY2IxjhzfVK6xJo11Ueei0D7kDVYK0VgLlIK1ComN4ay4AyUKniMHU+ikdah+ 6GmbKh5pFDGgV2/mPhV7yVmdZVZHXsqRqe7qdSVU9v7K9vCpwsIUHQHk6MsDbPtHGH+TqV97AKw4 hyMfBVNZsP/uT5PNDZ9zAt3k9W3tBHCmi0bX5SUnx9UZ5hsK4hrT5lOjFq44jOgHjyYCjy9XPIMQ Ex43jZkZH/KCweKsqWPF+PJ7kHfwNMsLZvAq6DZ5qVaprL8v6lKIu7pp3K2gUI4n/eYam1nuD3s5 N2CCZxlo9jWq46DnUORwViBCdA8BvA0dZNPr/AcQjBM6D9rhQu3dpMoWSkpqarLgCh34klqpZ4qr GoHBkAdAw66LbgLMYemtyjPyz9NJBgGhsbWvuTLsJlkg+O1vrwH5pVZ9jJs3eDTCt+nQc3jsTnD/ TKr1PijLrzqE7N5jnM7Xhirnb4MaFA/Y/S1mU0Bsyb1hKp8UJu5ckbwBhSFEb+B4i0xNav2hzZ0p A2g3jz9m5FCH0BhH3HWJQ5A4OEf59DJ7EofxkWOUOreEh1WA/LEqA/Djr4fcfhKyJPiCbhrXM5JG shSi4kWHw9nQcNlkiYC9RtjOSKPuu2sc1W0ZPZ5kqb887f4P+RpNqmthZdW26LNGrYXHFPbDBaUJ 0pfB9x2E3S/9a90uhcBiaByeXKCDnVFLU8O3dCSPb3VCC0mRAVkjGb9wnd2p2e98NKltc3Ed1iVV jueoUwq/SMOQykOmjZwTvNBvxLpm9DWJlWKFKE9hgUv+SnP+VX5zzDmVhBrT4jliXHWgUF6JFX/A 4tRq+gshwULULqIncXU9p4E4Q4d12CB7zJkST5mIydzU9Ulyz4HGhyL80swnDAuNlcRf6/TcPpNM Cy+pYFy6XTnOEDLU0A87sY5EFSH3WxHyW8RMW2BJw/xkNFq8KxUCScbL7BSFHW2cgPApdqYdH8CQ tpHeAtYAJKg7W1fBhmfZLC6H1FgpbF3vGCGfNxYtd6SVnPwzo9M8uW2kE+kQXG1wWRf7SgAXXSu0 zc/ZccI5rSElQc6/SmOJE9/x0OQ2YV+sT6YgB3BThSYbABjLl91Dkh3MtAZ4l/Sucm766sA83fo/ CFujIaqbNBsIcW2AQnSRYIqxZDjh1vsmoms2j9kSpISnXeR0KRP//rSA1u+u8nUl8FMAdOjSOxuY fRMjhzIvT7BFrWLP4aQqFzVV5pUGNFidnd/ELDbI20aBUQrPMJ/db+baljFDqnccmI2TbKGsjeyr HLv7QwyEcmfBkAZVXPOiA7GPKw00etM0IgagdMrg3N/cAgQKN4Y4CNEP6y8RYrrMtUX/XmgkSNZA TOrl821p6ipdQhY5t2xEuHw10qfLoj/p71HxjocENW7NMtKBrFL4+a+yisVh76lZg5v+dezPc5Zf HEorel0AScoCDDK6mVak5LIklyM2diYJ+wBu8za1gP9N+elmN3Q0+Lrsd8BuVIP5fHKxKQ39IPiq uaTTGqNrlE/ze0z7SaxjHbGF3Bqg8VjHpc182kclMueSjFz65/caF47WY8PJTKQEbqGAkMKHzn/D 9PF3B6zVFn0bB56QlFykk+3s8PuBpeREbF+XxSSoT5H4oTHmiUYmv11CXSyZ3KS6og3/b6rxJLBA H/KjWy5nPeOlGvBL9D3acwb5WFrpFHEcSOweBYYapQOHMY1kFpUfj/LsOjLvU6bcxvJFRCXIiUZS DoPmZLHcmCFMZQU1fESRgmD+auqBStavV423lmzL1h/Pqbz17cxxjtyyzNpPErd4JJk/YtLzU5vZ Vus03Xruq67thtnKTSOWS4j5tyVG8yTkDio8tdRXp7Ibqn0IiLY73jCoJ+EImFwZE6BiumM6runW eyv+LjS38gsBlxheu29/EEy5KUYYHFmFwy4c3wNHju4LxTbtHFAGQ0Q0urcfWxFbAztdCL1y0OfV sqJJPRsMxsitVHYUjZkBCOaJOoqMUxH5856VFOwgAR+NeDPRVu9w6wsFqo9UtegGo88UNJg+fFne ISxZEhkp+akj0uHZ2k9JW0RFJp8JoGMGclvJ/1+jCjXm4BP9SzBY3V0mMSSid8ZrZi6PCxNPtu08 lAbs42m1+Q7NV8uSfg/x68Iznqcy3zJCVJbcjG3397yJzxvF3QQ3gqMejYsYcdphWYReTkgBPAgy 6aVuWhCtydoUvXMMxTjTt1aX9zZzs6Teqpul+phxh8auo7sEQR0SXj9NAJMxG4i8ByIxfpTc1UdF 1op6n7KZUlOSYaWrN1aWJyGrn6FZiIwgYnGsulTtKqtBVGgi1toGh1zAJ64epXWmRm/ikSy3BM+V LwbKExGKcdVegrfTSNCZpmZfqwhSDdHk4gkLH8kA+PaMljbM8Dzl4rukxs/Qoz4ENDWbeSyIcV1H X8r5CFTH0ZMr5AETrtXGrgpOmIoz0aWbjeL08nhIVDPXaAhLOFN/cuJOfbepUCvuLbogXntGRuDY 3QVu0gJyGb5L/l8uwu2h2EaJqox+ELgCJ1lyIQ4DFfO+Wi08u0xRE6VqObVmmAaFw+3qcJ/UTe5Q 7Grfa6vSunVahdV+sBm04JcCNwaKcwiGFR3exZ628DS7GxMi14p0s93wDUOagIblbFws72qeQcp+ StyZ5ym1+r6iSIM847x9lgXN/ks6JOg82DsLntH9+R9qmz5qWRMmcri3aS3tkiUtxVHCYyDwuO8A 5eVA8B6kBtvyjlez7AuVxIZebBAa9KJFlAMN1sWVP8mV8UhUYF1kojRQJhFzKu3oX8woIjkWTvwH BztchgWSFgcP69lzAAZS1dIrbddUsEs04cV7l+o93V9TQWCctv+Khb8TRs6hqje3Oh5dxapnUGsr rIy1IadxGibHJF3WGOF7SGDQSItsAqfRY2pfR0kylDWUrCq1ct5Ms4iKEt1kcntOrPoMtcnvdyEE t0w1eFvJ+CA7VYchQvBzmDD/t5xw8TAWGWY5/gipzbDALIjymLibjqLPoQbfUZVfykYk93ZCKs86 vUD8AZqau4XmW3finMV7sgzA/2JiLFMVo08xgGkEUey0VoH4fkyGAD2iE72nm6Fb7t24M1q8uS9t K/JF+WBMrFiVE9I5/O14aOho6XDBjP9xbfjmZC5m2LbolfXZ3QDI9i9e9ilSXFmKNWUYDLyND73n mdWWCi9x2NzkX2yx4qLn9EYq8s2cnCJU1w8W8eDHPEJnIqMaTAJCfhJYTLEMgMQSdjsdDBKnDDiM R85aTpXAuKvuYzXmC+4zHFwt0dqRdnwpFEpIbNVVzrpTTSY4DtExj29zwajJt96o2doBzaQbSuIc mcVlcvCpvl1Dp+UllHS1jD5tuhctg8byMOyU6Tz06XKtM+2vYgTrH0oavvjyTX78cWXDqU+frWnP +nmH9RlfuZd7tOlWBgVONrZu2NBvCLmteowGxu9Jc0Pxn4uVShFMiB+GT6XB7JIEAgyyyDHDoqWV JqcGiBSPAHOGRcLleZBLgozsMJELcHr95MJ0th0OxFz+aei+j73dUJL1/cf1xkBA6D+70z64V+ic Y8sNVBTVuMdpYgMlGrFXcvHr/VWEkpxp8oMK8SJDn63t+926oYS/PQBOy+A4BAn4GEsZSVa7ak+a 43bg71H14CRe1+xUHZATIzoT6n3/WOSPNjEHK1Fb00DNM0DTh8h0gMw3qA+HTR+fLhh0SWgfAnf4 Bdn51bvH9O4SJws90cdp6Biz4CrpuoAJsvGsb0uAPhC6I3Lme6+n0D4M2pSkYxzIc4EwM55VwaBU QOdquZ/zTbub4QTF3l5BPh2QnRT/XMv5Yc1fAQLB7cw2ugCUjfPEHlIyRzxOe6OJN5XVyCoIyVc0 pPOtBR4uwVVvKZckFtGHZmTcj4P0aQN5/UutJhzXk6pOFFiCh11YH7jk8dXLLgWQ7J1xtb8kqDNp IKFcZ4DbrLjFB+EdWQqQFFOBjNEJ+fmHc9bAEztr27kXTbDu6+oyvNg/Puz20nSqVAP0RtU+mU7p CoYurxDPj4ZNWmNM2rVFfbH65L9HXRxqV3neskOaJXq+mQq81Qkk4uvecRTJChg9D6rL+eL3+gDK dGugnrEgYTS8kMytx3qYl8kBRoAfSahQF7UBg8pLkybNySr6l1rst+opZ1BINqgRqS6YcvML8q5u eYiVkd3jHdG1CKSngd2kJePWOQN3/1H93BaMdVdG52Ha0+b2LNQiQcbFnzU2iylCVTwifXrAKo65 OWatl5GlfbkgpO9Kv3Ecr6TjnBQdoGHYUPbqX5Vx3/h/jLuS8ikG9mkKyPGwCqdCj9thgCbr7Rnc Hzkiyq8spi/jg3PYoaNVDzS0x7lEMefSXLj+yccDlPXU3dhLfQZUFnsE8Py4jih/Eo+JBHtKhrAN yoezzaP3ei87Qjk6yHGHjaD9fp8D2j5OEmnC2e2Ub6aAp7UhB1b86sZAwC621ZYrMwSFT3h773qS Kl9z9YyMawu/b/pTnVPENDVatOgHCG++WlALA6czoPB0gPXGnBVpeL+yLNEcgnuDb5FUL2om0r9G j0n8fxauVPGZSIKs5tCXN7/Hi1zv05jYztR2iYQ2rJGBeVjYFqkj53inWLTZQdY6bBInVGeEEp1m hus7adYZiZZNTpWp53vqTHKaCZg0zb1bb2twgFjkcBYIbi7BHqSDjU3S8GptE7c+fpEvBrNyR67a Zl5N6ZkNXNDwh0ZwcXYuOW1j8S7Aut/rfwSxGmzmWdjD4bo7uHjnfUAGL8zIbp/tjyjJnRIBLdIy mo5sJ6GB52WF1dGkhySQWW9byiV/3MGE8cnsOjNYVOQOLHkj7H6CVdRDZquT0aHu20WfaakG2xoy cBjmYx4EOZnf7R3Ar6n3MIZ9D6Jv0hOkWN/1grApxL91WuzTsfApyBTrPNzYsoXyYgnK9AE1rpk5 /cJs9l8VZLZKzc+VlRYWFh8cAH/klIQGtUvid2AqqM5f5rMxf59BjpgwtIX68w8yBr/eQPSV5wjg 1n29EURdfojI2DxIvg+28+E1qANSNOeqnmnfKwXYZilIkAprp1o+eaIK0snSsRZO46xk0hZPz/0s FB13ybV08i54PJTqryU5caFESyyu90SEXNiaSIfzruQz0FsDaDCJtobixo1cQK70WsfJx8ZELSpv GlhCNL1yWcjB1y085Tq2M6PRygVARVQrRLIUxQl1Kb7y6qRIFK8ToL6YRR542w813eRygfVJPlhW jbA/gn9CBBUZLe5XEmCXl5yXSQnA9T+LOBcz4WSweST7AtWkLSNKGcSiahjKobHEd2p0OjozL/y0 /u2nvQcu6cyAnIW4LXit8WQ0BbqDwGjOd5OYTrS21hWvsKSefy0npR9j4j8DP6WBwGHESlM1mb18 gjgtx0ZI1rg9fUQeOkqVxwr2wH97pi3Icmn6GFGouwjjO99cU4aNaE3GDjein/D13fbLaJ6UiRf3 NDa3S5/7mYmqA1dotZNDXQzYQgRQ8J+SiVzHocdbSubGfwr70BH2Xc8XYkKgt03Ud6bJIxNyvcuo 4kaM0k/s6M0tVRhBXnIxLxbETeWtcKo5FiEQ6tK9A7zN7FdXAK+E6VpAHB5B52gKHSfwNEiVw3WI ua90OQuUcWPyGxfSZC3kaP7Cr0cM+IaiPnurFxtGq8wlVTQF/qmTigWHs+u1/07eNX4G+ntomieH bhGXK4pUBtc7wrZwg8RtVOjHsFN5cQunLeL/C/+hj9fsCV8RLqhMpWzmRE6Es2fYoqi6fVQ425oW NDpRnrV9ER+o++q+JCL/qkvWAgh6ZW8QjTL+9sRnAzG10nfYXRPtb+x+gaRZT5s6dSIg9SQxfoiK gEkOwlDB+27pvdkA/XlPcART1REoX9y9/lN8dFmDeMhJpWGwYCh/sKyP/OLXDGyziikd4qyjUo2G Mk9MG6Ut1Tvvo5EgTSp8KVvo4GhbPcf9zLkw5KM0GY2ttWii/8WPr1rLSupGUv1cbrD8ojnFY5cj JIxi4pimhmFEMPj7VsImUsxdFPXKgByJBjFqZxyfZwUAmywJZOQIowWV9QnbLa2euEo6hps/GJ+g NENPmUh4EK4BMwozu/kcnvgwqSYBsj1YGNjlZLIV+1bMttfh3XehIhgTJAFAQNMtfbuvIuw4rk/Y SRqn2py9stC6sHGzDGP12hOgd72ZiFnA3iPmXwRm6pso4Id132DG6HB3UI/mgypsKcCVZfvUEiQd QUa7Kpk+YIh1sigivKT34C6ZBpI861/XxQ7pbgB4ETKUude2AQAV4hU3xTHM0EKvZmlNAy7PBUeI g9r8OAXL7Jy04LuLd2WKRJeFMxyaLLFF8AZfRsaZq95wDfz5PfuRXu6OPUyTyUAngpiDcsREUdQb pMIw5CREpz89IHpl5Ru3pNl7djiqR6aN5/gTm59wZqGGTzFpPhEbEyQLMFnZ4Z5nNLJpT4QnwBx+ EBYaigIcj4s1NYiecq68RmNVZG634j4i5BgzOetkGd684m2IYn2RSyDLQkcCyBG9eugUswzPsvIo PvIK8RIpuyRzIS+be7P88MG0BYtFhyxSKYtr97DAZNgH2I2lI2tinEw7np6LI1ias/EkfzV7T4Y0 zJuKJOpZqd6E0SVCAbKyH97lT4MuKhPDfPCEXgCTfl9l5rm/cnEbjYzAtoYGH7rC79H9xC5qjFWc 5nRko0MzgymVHgtMD628YF+EedQ4G3sHFitGq/bMvavBj6eTI6zX7yvIPVTQuCpDOR+NGKJbqpqA phYSq4X5MQcabe/gwBb2lLGVZ1to2/W7eDxbZe6yoIDa9k1ON6hlpKf59YYmCowaxVVnRFwF+Ken VNgNljmhKyelsGrUUbKt5T+zAkiop44q9511NAX4m+ZTRITNP2qJYH85dYLZoaW7bt+NcWlBr7HF E4hIFMr1yX+4phgvbjOfeMjX/gjLSQ5x93YRky1EQROf/2GNSdyQNsJfByQgo68UoMPu17rUed3L h04D5Puq6vnLU7StnjEVCGYg6nUijEWCuPAiTALY5TRNfVDg3keb7Cb9yyVDmnwLnQv/qURTCyz4 t1yJVA+xHGDNXK9I9yaPuHgsY9YOPZxiSF1blCG+E6r/sLxpcwK8POUDvsE6EB/nJpzk+rQsy48E AOqgP0UR9qCjbZpvcLIux9MSoxnW3XgTbaGD0zZjuYicz1Ougx592IbCJCVTlgvMOhc5szmJJuFo g/0eYSHdb7/qKtfwupt+KRSYHacRedGXd2QodPB1810wqy2Z3TCBy+QMRdVmJjfUiL+ZFqJPkQaJ vMKw3KtFD9Wt/lKU++om5dAy8+RUR8R0eGRO6Lq95ac+UXlGTpae0AcneQ8yB+/1kZm4ICA1/H82 AFpmyXQ6oCDZtn8qbhpy0QYOu01M4oq/vRKreXuW+mttsc8oPOq7R8NEyaph5t0d9ehQ2lG8+h1X mFl1aW/cXgQgxPSIqkquwoSYOytjIPTrC7j7Dex39KHotUEd/AdAar8FUztySQOJs1oN7MEgQlUG J1QWGi4r1bGwU1l6U38wRhNoDxI27A1/25IVtbBp6nNjwcEhP8UTMW4erconqcF9/9zyBHA9xolg 0jeLQUxXHoVvfUG2xzr6seGIC5mdhLkTemkT2Ib8k+TQ/aPdS4AwCy0WtKaS/P/ADM8t7TBC3BAH H01C963lM7QfUlai2XrA5O6XBEzYfejUgNkhFj0rqvvKi7vbDqJk9VEzMoA5GxoAop4Pi6x6kjXc En+Ini54vAx9KcFPqQ68/6a+x4SQunVl8qX5AcejfkDHXSZYi23HcrR1dOtsROzEexIQJlWrQqNx s81eYMA/uzvv+hBXufXdhWceXwYu3tssjkpd6m01lft0Tnxc1EPWRh6z7b1lEfT7AAvhz5kcxjx9 xpVpq/vWRuK6tYHBTzYtijto8jkKiMLKwWwV5dTid/IeuaLVhU3ziB5Ho5y3qHFc/l5DmurRY7P+ SWeFjYnEZoGtDUlj2wzLSWeCDZMd2SZrOyJleAI1Mtn063F8QhyO7Q/s97dp/8ur3rMsUIYGEJaA TsOMEHW3cxuVTokEot2ZfAWrCenf7I/yWyRhm9Pa8n957wPZKDbE5ENMHBFB0i/cbBgV/d+qZCUK lTVGz6V5fGBQUWypj2a5m5gHY7C30izolR/8/m3QIaXmdvZvBMe8UldOeio6bm9uZqMrGzKMZcfv OP4zttod+OxEza7Yp7mmvRJAXp3pansVs+ir/8i3cdm3CXAvhKiT3X+9T1P8do+4SAIdxKTb92yO NbEGNxoWWMgDk49iBfY7YK/5rt51h1b1wlKaWTn3amG4wLxZlrOG4g7i5IYyLYPn6ElzEuXTJKUm GEokrSleRCECYFqWtnhkCqiuP8brjEzckd679JYlRwivR+ueZg/qH/+NoyjG2p1H9S/X35f8U/DS cc19jUL+C1gHW0chE0GKfjH7RePM8upqmGVLSn6iNjZp4THCh8XR2nFy1ADwiDt0bj9NyT9mPd3D GCC9Mu4y+BWE6YjsjhcwJgi4MAQr7HM2vMpCpk0CII2depDtm+SgA4H9C8OzR4OLQpBB7EGu3quK nXGeqNF4kIXtft3tJIm69re/hLWOB7pLCb05r82v7AJr9Y43ol4DqO2t7Mf9d5hARSOa2x3AcQiZ hA8Zx2HgnwbEZeZEgiQTP0r1EuxC+2RKEP+YxMRBrrTG6zw/YNHYAYQzpS+4KLi9+Fxmxl1hzb4w 22tJJiBm57I9IZgzMv/SHMTkyfX/0jl/BqUoA7r96NZReqfP2xPa08jDGPDEQ7Ehw3F3xvcP8r7L EBc75GB1pDIEEEwxRuLMSkmaD5dfjeoLVuSL2A/w2YxAtQz12sE4jgNZbQblsGwMdrZUuzq8CcDq gxT+CeQBvViA7ZEk2IPpRS2NAFwYfdNKqJTo0WX4z5xuf9lRA+24nEvbvJsvL2SSC6/1A7K2o39/ syMt3zecd1+i1yG9TKZ6DGNkmMgndPAhMz88/3f1p+kQF1wn/NUuGCGwmzYgm9se9ZV4wq874AtL CDZN6hPmIWNzyezvQT230CJ5Qlo4GnUwzF9oHFEFmk0F0qHVtugDCxMG61x5E8ui5cIoaTwjFOBd 8qR5u0WF9zBXWf9jh5NtmQyHtAE52UPxgMs24IvWASEY0Xl/2h/YJlFs5Hl+8vcvzcJk9LVr6R+q F5DNxsYI+olAub0pWo3AYAxUC9RTSbhVOVg7clQB+HZvsxiYI/V0tqa6aQCGnrJXua9W8SR07VfZ AloPO0/D4mVY2HPTGF7jfRI0sCAO/A2CrrtPRpoZPCFhgqNOddVPWUrYtBp0pBXCgepotzQ78Xj6 6nCmy2ZCxZQc6ghFZPp/2/Re3c+30cCN6Ga5U+juWoHwMSXCyssHsIvTeen9gPMntGPoHz4GDMB9 +gDzwh4+bJJCmsQBiOvrLcOWse/OTGGhkc7o/cAHjnFENSHB3Tl5DhXoLnhgyQlFF3yxFmncPm++ eu/g8HzS36R1fEaBjBZtJDR1VbbXFejPyxJSdKxhsP+hD6zy5waSWSNktGZkD/dfXy1CYMS7x8HQ IK9NhHp9ZPiWZVDKdDECLYtmCyf/cdwSMut15msBCcx/abAK+TEhh9xuhPqTq9xqBo/2wgx13pPw 8WcNZgpeiFqSZgBJ5b0qpoC3S9kqM+BYHmh2jxJT0nrfaHwsE8/8u7YWp5tZXtb6okWmlHj3qDS1 gXNtytBUGuOoz9ZXOd+8KL5+CG+el2YMfoTpt8SM1oApmtd8Y8qkAtjO+M7CkBUlKle+04QuahOk 7DuwTTG0jbNfdaa6YoKzKds7ZKCW77JhsQ2DfWO/K0uru3v3pJQP5VuargDBlos7UM+RAZ7qPmMM sBLYt61bt7z1dKIa64kvDLx8B22mRimIw4QzMjGocWVK8aIWOCCl+e61HiVHFC9xhHFWsdszIzUN I8Ex42F6maVgNxElhMxRG2XETYyje0zrC/QfwqVzOarpOQvbPEG0lVb6+7g72RmMoJNlPjlVG6xn ip8Sf0O0iZwh0OxYNHpWK+gFQa9xZESF6on9kKYSUrVa1GhtIp911tXZ2YxDpzc7Zw4Z/fVW9Xlz Wbf5Wq6bf19rqPE7UBYpYuMZOFLaUi1F4ErOjnt2J0QOLjaODMhRo/MobC7uIx/Sh5UoLvxRISyD rxtZfV8mo0XDzKal2yZqzSTOfFoD+OQBdMCKzJcZsT4OmR/PtRlcQGCJmv00yrDkYCVcgimVXnvr 0J6RMn2m/lKG9o6yFL4MdUGJQhThKmOyybmESizqzJinVl59ZyerasL+VpbH/RZF5ukpkf0SzfSa iFRSswki+cLwf+u9LCPTuFvi6BykVC+AwoQ2KesePm1FUKeKvkKL1JN248tqcOnYk5ZOnFDvKoVz B0A6VuycHVj9yFoAhQD2RlRt3ILOh1scIXoKxFq4nmN4/No6ZuNGvXPEXLTjy8hZil75exFrcejs 7kh54mXnV4gfHI2OGDBAz4dqsQ1+KbchROhareA6w2Q5RWreh7uT5BacmWzXl66OveXUgkAh9PPB GQ2/5g7H/Y95Jke0cv6aQPYQyDjyT5UHUrLJJFFnidbhBx6UKIg0kHbV7USwvJo78URXlIVVjyYY JEV6uFaPq+H8JzFKN9A4lQOqrHaSMUvnQ2icjB9OJeFEe4ueHAoW8c924L3qWXrygJpArLqT7jbo 80DLo9eHCuy4vAPci7Ku2VD1bLdaY4Ob/Ipxu9PezNvrjmKK0F9V8FLKQm3u6xHTXd/LkSlv7TUT HVVX4gXbRQP9DvFQ5HlpOHh8ORvnt+8fXJXVKS0aC9VK4CD1nUB9OFByIUKthWEDNIP6SR+sUZam mtg6e6BZ37YPi+z52WwwIWXeCliaIFktEo5SsT0R2d95XaXRMNKgEUtkV+97O8uY6Zfo48d1UN5t L1uPUjUvOMkDc+H3vq6EbN7s1sfnJVbz23C9ncaJQ6q/YebJOQhxfPgV/GrSc6/aoRZh9USW3dgw NlgV7znVSOkxWCXvnOS3gZSZo4ILd6tpUcigBzvN4frG6yYbaAkEfoFmZHATnh/ca/QCMkhvJGLc uh3MlsTELWLi6UWZzJE5Vwsl69+f8aqg6AdtUR43PtvumwWhHLGU9jYZLty9pP8JMVhBn4JFplqx E23MOFN8dDRWvoyzt+9cQSJ4eai+zLPCva8pyRCuPuictZxmd7vhIqqH4Gpr837fDJif8594fIyE ezHpxsRpX1IrdWnO5MO2Q8ByiP18lyLRNDLIwc6c8AejM966WbVdgd7jhqE4old+qXu/e14O4Qtq VwRENNXj7u05HaSpl1+FlRefQ/vD+3WPYY0YorCHxCGK3eI01HEr4gJX7mqUTwslA426Bpvs5hV5 bAvQGlE9HBF/Jk3vZbr/MpVBhLHEQ5+jJvaQKUgv3EFotT6irj0GwYfrbDHhHIvqq4GtVtxITyUN QZGcM1H2DsK5qLOwBVSBKyongNMtQIPE4fXWUt0PLMyueulD3DhAAf13LqzEKZAfJDVk8p1G1XaS b2/3EtGDjQq532WyvBjRbXmFancsgk/Rq5qXrrNDSzDrGmc5JfMhl+ctD/AOmVSdYPKUQsEkzOgm tGmMjKgHWyzDn2kVnpEVW3h2ULgM8crF7EHt5Tnam5mqazo3BMC6P5W1Zffq9ZLbF6DL9HmXm+aW 4pEO1olVWPgjgGgA2PlD5KGDJpHRoviktuRu3/wF8jijNwQzW6sBgA1wqC1Q7OxMf4EdJBZvkj77 bhrroUWwwVTAlWySb7JQE87waPY7gikltVBoaPjhR5Zt134Yz4iCZLCYbCVBewsPWHKaenyWHx4E ScsyDdRLaeCKG8LBb+qUPORUXgVowfPulgOZ0JHr18Ar6kIdg+8HFln0u8f8ZgloInvDiPpeUP1d oNUwsim20O1EpRRIMKVQhOpDP7w2zoykZG7ZGhZ7fMsu8MLDzS0YvekwAWMsvMiAPteAmvQ6rDhY ilyukcgNqCXBgE5g1N7yxE9EmhMkYlH1dRs7+HfDNMCs9FF0cMQCKVeLnpcgXx7VGimbzVG0PnfJ YEuqHCEblsQWs6h/ffbdk8HDVa4B7lgWqqT/+iUIwe9uZ8oGBeSTuytYMazN9O6UNgz2bkmp2hXY qVDNnfTMDoA1chZKJ4yHatINg+sLPKPlT+tgrokV9JaYVNU8d+amS7/ClH7QZ2DzkpaqJbKRhX6m 2myZ9+eZkrVTFfwKNoVZcDLdpUFjDawmbvwIV5LTCScUfF71DndKK0McrWHQsBQqiczzw/lgi/mK qf+LDfpPdDj4Ecr0GvsAGQqDvertSYVdwVFtLOHxVMxDhmNOR5Hnv1sxsHK0GfNf+EwkckWaZ4QS taJZTlFexl+b1kHEaj0TQfTauGlO0MpXOLgXJyEFOoeS9AhVpkrmsPpMOmci0CerIFDcoXh9dnTb DLV9v9buR+Re/dVc6268oz1VdCGScXwu8D1gvkGmasiNpSQn6tcnUtFoz5a5u9wO5zCyL4Or8eQy hfB7EENMntTN2macI2JgXhk4/kiGx7lw2QrwYdvDpc+LovgLKi7hcwqAWEJWHoXxEc1fLKE5f0Qs jdc+I7+A2B5r1pT2JBAGkTRt8VX74OcpIIfZPiUInLudAzZb72NLvjsCM9dgJV1KoOYwa3PEyqKm Ik+b0eqV/MgWCXd5TuuQIaqscqvf5MyEekuDDe37j2YaakAWYAltqR7+BgSHhAxj+Hp171uaWEal NP+n/iH9wSgruXIiNzoI2jF5yprxNmzVrk6PlcfZix752y2GxHF2B5n9/G7NztnKqeG0eO492Mua GK65noNwvSRjQ3ePlCdbqVgekfNKPgFt72aUu+03nlPtlo5xcdWvfX8a5aObk3+L3erGdteUxNQ0 Wudo/VX8xsXLhwnHnL4p0xeMHXY2USmgKQ2Kgb6BhbCAFjdy95naUfR3FbmNvwAPJeXq5jK/0JHB A4ikGf6F9EUC9XojImJ5p53CV2mjS4SxmlCqBPmZyqo9SogwwNL2emZBncKjB0QjmalJemxX3QP6 YTja9MJ8SOD6lHUikP9k4o9KH+j29ReIR6Gd9T5gg5wLhPCMNjVQc8aJqQJ6iJ2o5vC+c8GfIgrc igiZ4NnuwtJNBoUxeq/RjSpQaHalyiwUOd2ZArQFrE92ylPw3SIc42Vf0lc1X1YrzNbK92QN7PN+ kTi7y4Y9UTUeqqggqUTTQtsWOahWl0Rr7920HTW8Gjupypp0kde9/yj4fh43BnuBOyRjphQrgSN4 gTVD/n/PtntmCGH3dar9nVpI4vaLcDjaegqkCRDa1L/AFz1zrQllchZAEAKrv6K8+9n8o4vU8vlD HISaclzqxE4i27cbQoLsRqUtDoj6nIG6CwM2rs6k9AcPA/2fOs24qGpiVKNjaWePXm/dUeZoeyZC J0mdhsO6kG1fFseWGp42GRNPfU7yVpES0/i/aSYY9VFG8CSSU719VSxw+Vnerveyf/Jcl9gfreBg 8xEHAc4EHBtYnuO9ylNNxI+YJmbGSFeTtVP6MMYTjnIx83MrS8yDM3wfjf0xCXzgXsk+MUSgwi/L eiKv+nDhysEZ8sOoGZ2u4j4SrAYdrUDPoKSyd/6BR7nowzNuhOLsb+i9HZKtrAEn4SdKXQsMND8A o0i4sA+vDitlMFVCLitaEGDDCNkwEiqjRkpE7l0EL5ebuPluGqLo/zLbgE7gOqewxNtXRYdHHkCg RYNdvjban99MUfpUImqIsPe63sNjEvqRV8nmo6yRy7BAfTKu94nHyfdPJGmzypM/OEwUpWn+JxUR 4I2NI9AfdGf5NTgciilfsU2GOp62JhO/2jHBG79QLauUj1Rwtf+Nfzt+C0z6RmFxIUTPg9qoBU+h kJnlmhVsZYJfbPTRutA/u5EocMx1IsYhbsT2sdVQ25T1gzn+pJWd5I0x1Xyz6J2iz12neoJyGRaB DuALvukivNkKRie0pZ0yi7hpQKUd9/hV+HsHzHZz7XlmzDZQREE+Dyl7io5911Ch6Jh8EXIekRMd d2kmqgDI8xZuuTFo0mkWkn1uR4xhIOa1uJAnEf9BgnXkHhUxWYLj2UW9M6o77lyQc36oUHW6flAe 5rbG0rjsA371m39Fa1bxXygmdvmeMceubYRbi4NywIyPgis7J61Bp/Gjdts5P+VDfR4j+ldHytsk YBbjTvJktDiJcEkWWomVJt99DLMS6w3dl8FIDt/WoS/YUPkK261gdv1DjgvEAGEuMzqn91K1cHwy 8yCXMtQIdE6tGd0P7wb6P8GqrUB6nKGJxIKHrMH4Qgx8+sHzhN+FDfNA5LXuh2FkbuHUzhg5yWUn OzwZXx26fASyZ6+2tfO81Bey/h9D7DlOymgAUu8LV/7IQJvW8rKusQi8fANFyMuH8FX4mTdB19BU VMsm01T8NgipaKFYi6E2EBP3iKVi1Eaw5xxmQNieTHjXacGj/Gl5cxA/eAW0eEL1OPL5CamvzNCz Eb73vgBHXApRST21KzcgDJpalNyBjwZglIrBlpdg8VPPw3/cHde305+AVBNXuhGltJPQgraJz2S+ QIaYSIBAh9Q0O/+rOgXAqLk2vyvTlBH+193zi4iAM77wUplDAOEi2Ekk3YiInS9jJ7lZ8CEMKE4a L9Xk7DqTj2vrx870cxV+zbaheyw0hRut4ev0O5lgH+E/WFbDjDUPu8PACadIqQZK4Fv1WK5I9CIf W6Yo/LuX/OsZy8OMXGfoCNdRV15O3Z9u1Ww3UaI4dWopH80cJJS959FCZNhA5Li3d/1+GAIHhklv aO6wFWD0vcoGlrW3Gqe+OmFLbT1YIiCw93kaJ3RBFGXLJL6kVLhDU7o5gF72pTid+XGA6cO4CwQN WAowceNpTtyFYnGY0z/DkCqPEYRnvG2AC8EFm3qLJItHzrPmT7JofKdHEcSs1yrrY8V/quqZmAQN CwN0RKcKmfAE2KB37Q66/zn9W4ch7o5aXbHPUugcneIbVNqwAm1pvyLP9P2ZfaOBTBuNHHKeb3oK X/b3utc2ZCRHfpn64g/71NQsRqcLdhM+/oZLHnopyFjt4YdwMJV6Mc3Yvpw7BgnNaDs47sa0DfJQ 7vgNB1vHOsFSjV9MEGpJ+l7N+sLR42gJPJPmn+RdOZY9AWA+xRGuKNsA3ccDBOpv8cn82Wa2e+OR GmFTBMKR9+OWEqsp2e3gLbYsUd2ZEVnZ9rxTkIbZUQtTa90defohg/eSGHQy7NLHTARIYsd/3Jw1 NCAXUAPgBYv8l6LBp8hFTwxOo5XUTk2UAPfWtVwzh4ZANz5BZExXlYZGNHUUwrO1Ygb9uBqBjPE2 BPUn0u3U1QS3njGdZW+x306vGsQhFwmycYm3JT77ZBl/ivy6s14phyV8ccJyGdmOsXQitaL2Lesf O1X2Q4z32fVEoEfiQtEAuzz0Ed7sDX3/Rm+/tBSm3qyPY3EhMN//XrGh0NXH3doqHEVV53MEsTr6 Vi0l/U4jL4UxU0Y9zINw3m0Z3Y+EWGeCoqbiDksrSZU5Hz/XT8kVU21zWqGxRVOPXQudLdmUJseQ Y43A5vsgZhlewbrSlmD3nIjsaqlDkCD3KUNONzOot6wI8FpC4QFFfgcE8UGH20Wz665YIf0VXA06 PXi0h3MlySmY4lc3B3KPNazVOCLDqxnleCGJH4zEWx0G7npiktQr0+AmuwDm1Ex089IOmS1nVU7M PMd6+gqWdKRCynrmi90CZO9tky1IVl9bvhGMSIcDjFDlhbKDDL7KVhOPlqxll8p0JlyRwDtuxNWI 4+aPz2b+t9GX4dvnT2lifHDrJ0Y3fabY4xsylwBVL9jrfoj5R2nPuAQWVQiGIy+oSblXyLo5vhzm bnG28IUnm597tOR8GlOnsinuvThDutZP89rMLwBqp/dmsnfku36hD+alFd1h0RteyaAhyVPrGQQ0 53kME+TMkn70F/TPv6BPb51IHNXlXv9DNo6v3X91zLFviy65Ona17hxjX0acUwGrO5BftAnlG9rk vrSFXe7lNzCdPyMUY6vcNjgqZEV9/LeWGF63S3eNggi6ahu4RFe2CbKx+MeNyj8ZNqVF2v+nklEq ADU3xpzoTr9YEDOApfm/zGy7tdvE5kH3bF6n9gnb1dKtaLoQig1l3pUuK1We6e08YTHw17zAoMWm TfOXAe/aDwWucRGxbgaG+ESpl30nUo9nTstphbeuy79vqf4EW0IrEZZ4AKD/F+IyEVw16IBDkNFr 7vUaqzF44ePj1qLyxyMN9fJiIEffDRBrGkNhTy1XK36o4NYDXMow7GVGr1q9R/BzAp5HoLifNdlz UzCfVU/oJQR7zWu9Ajw8BMpECGgN9+wnEgijX6MZalZTfvtFflSLgXP4reh/H73Ng3u0hdvH4Bhx +HC4IGFhdgDLOXUHifBiwF+oCAhDUmN5I2Rg3mkZ7Dt770kcetXha3wA1BNQclcFVIftHccEYUus 9o7srzkryrcE5W/bh10U+rnisGlJt+5AbG2TDxnqDT1NYVN84VFPMU0RDU5MzeWsXXR9pp7VVToh KBIbFme2qLWsRJ2fI5XF5VjcfIDMUbuCOdWWfcAOgaJIhDS888NSGjr+MvoLYQjypG+v9a/meaG5 THBV/Q9OL2OmJ2rFtShHG3+tl/i/l4/Cs5YoPkBAFi8d/WCTCJapCzgj66xuXU2UG3UplN5Ab/JB uTaxc0+pqTkWD8i4p/JIbCtJSPfgMFNVzrZ0tqpeetiB7UBWH2C28i+wgIQW6ozDaY+wjMb2vBrz pFpYn0Z8Sty2hoSHAw4J4WaOAImUKsFfBfVtXAV24nm6VaBNCdBsVFTzHft8ufv6N8WkcTBwYAnc 7s0CmOeknPn6pEa9vWE7K/pRp82hoFD6sijGYImje6D/uJCz+oq5quMstQR4htAmjgEmHRpbw9My oL03t/JGIDtkEk4rwb8pPs4gVsLZygS0WbDFD1GK1o5oKHZ2zyiw0vgBOJkM7xQYr/hd7oE1qjhV ZdB3LDGgzkLAbi8yoCQvmFwsfuyosO2L49DmhTzP1FJUHrT7cmPo8jYCHGpmSnFow40CNlZh5J81 sBQe/9tMZH906axJIde18FdprDEzRWa/fEM+Nc/klN0RNNxzd+YsuR3aDzVYKhbe+ytzWbdL+fm6 L8eIoSxK147c5/e4I9ofVbenndpFndWYtRUFGCdyZRyITZruJA/KhxxtwT8/8I/7kwQFktfZQcDh Si1PMFmlb1D/eyzXw9k39j6icJwwCVmrab7GBMUy692LWyQp/L36QjDx8b+Ln87LXwYhD0En1Xmn ov8975eBxewCmL3w5JG34/vpXXnJWprjUyx8HUDjuL38yFZDh5Jb4zS9XhhinuCdzy6JIYJ9oozB Cw+e44dbBTrymobtmjlPF6zbbK9ye5dCSORbE/ljzFIZQKVii+cllDbn/jYpaE0OjVKkDwBgDQ05 sIxjgugl++6jyWnhVF7R4A44Tvp7M4/TUXkcOObUTOoVDBxm/rvnG53aSGjgcWukEq3ZzLdsI/67 rlOa78f32d0Yb6v//Hc9+2aO0A1QjngiX8IVXt5TDEhLoWctFtB0WiUvClmT2men6EdHipTZaP0V l0RPdn1ogNa5Vz+JyWOi7OOViLX7FYDaasJzjAGaZMTGK7D4VFCLD0h9fD92eS9n6PN2B2R4zBaV y3/aqPcLdD845/0yXfmAeL6vo2kmDSNGdDlabkhVb29y8lVaXsd/tf49I2REt8HqGXkwB6vEG8bg BRpPE5uUyoDypS3Kep9AR6LsvkNYSsHalIL206kLNnOJOGzD+lDsJxg4KIH46i3GkMMx1NPujAjr OItt2TwcMsHtdZFCkiCheNq4bn9xpDpp526BX59f0njDNvl7XSm7dY4VcFcpETN2nHvZiltuhmxX RinbjFoh8UA8gylT5GUZhsRVYvvOwFYKQRlRGi+69HW5X58HKdafbaC7psKq8fRjnvev06O6l8CM F4Nu+g7qm5OgKALjCtBwGFe0JYnPVb+m0Hsjmr8ZMApOcOj8ZspmDYebEQJyBIKfoLZhkAYz5iMX x4HZBiB6tDS/S2XdZ0D14YvkCBGv5GueONGBrH30wVNKh0Yk5ZTf8f7IbxPvwippzXlZnSgWo4CE E4Xxu6tlowaib2VR6+HAU0VBUfCGA0OFjBVvckUayeKkOVOKiI3yASHSNWmVb/daSpai18ARu2cj UkT/BwIxcsf4ViOz//1NszQOvFSHLdJ3lwSufHaJsGKC3mbE7yeE0e2SRsXYnDQ1E2wfwNMYIKNQ quzdy6dVBkmpyzOCtyrXO/mySSxH/sx5leeVClBNrh8ROCaZqAKLD9peON6Y/2JX0DFAvtWcpP49 RcL283m0SyI5e9F99spoiBSVT2TQqjt0g4EORsvlHSKo9nrucNlZS8dbGOsm9sO7eAbpbAWlPXbB eKxGqvECwMmvRDn1lcK/K5yrP1DUAO59qgtO512tgRmJwLNgjMOBnPY4QVMpZoj5KLV10Kbm9/sk YB/39x+u49qATIvRhiwY+wtSWP8G02LCs9Eo0s6E3wWEB/Df4YTusWGLpw3GenIzd2m8dArZNqCV 1SKqV4xA2cEd1wHibIOBt7968roazKEZwhAAiRfw55svAA8GxF/mC1bEzSXsVu/ZnhdMabMa1wR0 NnDKvOHqmVenxL07C2EYammlza4R+y8C5peZA5d87Wxk3nMbHnB3pCvNYyd41TJy8EEKKM5H0bph AUA77feyrTH5a78mbGmbXMZDa+whgds6dHeZCxRmSjL6U9oyQF7SJnmP4iW6/CITU8EBf4txc8uN vsN35l51SAWZWzhDkidmS/guV5/e4nXh8Zccw0N8A0OM1gGKuTgQJKD/+RDCYR4UQmn6rBlPT1Qs EzCw21AmYWHeLoIpWhMUPWggoAZlsYfJxKMh8DojVliMsi/o5vo7Bd9lnyvRaj6VDz3dhBz2CE6V oZI0VGpHHk77r2tZD2u9/PwKZkE0EUNQbuPHIo/lKhlMG2XPGm/6reSQarMwLwQl4rAxDkFs4geD uDF3bRnI6HnmRUj9E3bY4BmKnUgR0FpOEFpekyYoyTHNYg8BNwWtvMnLNv1JTQ28tLuyqNiqo4KT YTs21cWFEvaNXQzW4GzLDCR4L9LXyLs3xNSiTYzDcq3ZF7lqkYj7n6nxTkxzSRLRvR2Jymz4/Thx UQ/vKelm2sQ8Tsjh1WpjFrA2kDnQrzkbix7P52TcRgucPG7iwOwXEuME+G3aU/DirVm12EwS063N ya9w/NSxKMmJIvcpYm4T2c9x2TvhN5itlKb63w9QIkBx8hJluUawqvj4JGSUAhiPFF1JOZ3eySJQ Njp8KMdz/R1y1rmUB9d1NNRB5it/56a6kkpFLJrPKnPh72X1Yx6iiK6lWjK/LjVYDBRqC0zzSy/m rbL9DecX8hVvEggD+rzQpQZg4KPjjsknS8gETiof8Gz1zTUAqfEa2WZU7k+yPhAlsZRj52gViLD/ eY+RLp2izSZxCakwQX8gBNa+ZwHfVUw3aouaxdi4pxLjM+SbzmkDQjnWuvFL9gcqUAoGlwtVRkxJ RlFUI22E7zNcKLzUYpek0qbKNN8hSJ89lsUCPOj1nrayXvNdx1BGu90GoBfag/gkzc0+ODqmOuO4 1Ot2Xt2GXC6u6qSGR0wECsMRyxAM5BD6CUEzQGWmPzU90P4DeIWfPdxF7brdUZINrI3LV8IP7OZN AMVgw65bftpT74rIDHp7iTLxg183O2qauQiXxoOJ6nFv6QtrSp4d2aVqARcblLqlESgq+9BaRI0F CK6TvS36Sm1/hbVVPXkdfRvjwWH5nNup3KGeQEMK2YCf/UCpJ1FB1jF45I2Gui1vFFCzgNZzuvwU nlbGdM3x+dWPhoJOTF7cBl9AyGGiB++MZ9EBa80/uSmUbyjHQ1hvHdMK8j14SgAyZv8rcck/6C6G tQpNZVsHgiTWq2MdfZOhm4X+h6EvyJ25xs/yYh9gWJ+LZXPoHT0drbl1N3Sc8hEtis8/WZE96Bl6 oOB5mqq+lI1TUjw7+2vMejDFXKOe/YWuh+AjUgLPRlN7afxKgw7gh3JlELRgiM3+GzGdK2o0k3i8 6dS4zc3ztuGHUKUFTI0SEfhTE8Cw9Vdc/tzkM1HdNUvm1/jAKOajg3ZPBMYgrlDX97vd4PzzcS6l Bln6kr0J5SbWz2m2P86r201eeGV4AxzTylkJQ116Z9sknGTaeXGo/f0DLDTYqBONBl5uZ4xXpebf MGQ+dmIfWUFen85pHr/kwms1e5UjX1eF4PaPs3AwV2vCNs0iPTZxOSgi3VaUkTJopSwSyrJNehV5 fKoFsWGtioeyOtF5GT0BV4m+gtxqdtMVcEH18sL74lO5uru/JVW6FLTy06q9QPcm7oot7Vf1Y0pn gKQgvIROnmW2bF2b478zzHHFftxyQhgix9bnUatYhlYfTg77gGfxkeq61hRDGqsQ7+JccsTnGss9 AkqcToThBhOqJD8WFZSNSSWxsdJJq/vSrJJvsxo8Yg1zP8TkLCP3us1Uk5jnLl3GPvdEyxkRtp3p ickBvT/QUmazUJmPXwNq49+QWWq6Cz9lcPf++p/9NcwPMNge0EEuW/yf7WF5n9JqzIt/sMvNf+Wr yBF2BGSFym9zsW2w7sIBINwh6TjDoJAughdtfoFr69n/fWoS32+jOHE7OP1HH9+OVxh0YHwBcPFX 7L6aaEZmyDmqPbqfvJU5VDAfTjH7VNRs7XxD1CT8J15d6yOtEGoxjswpbBK6CbSe9zAIsEGnPXC3 KcAi1KFu/dAEQOzj3az7YN5k4iqewmQU/YLtLji3TwIP4JUFzxYcBaoIFya9hLNJHl6+mk/gzmG/ TJnos5Pn5314Ag94uVHTVLDfcV7oK1a83P6RBiC4TrNIvAtVrdxt92vHusb9AR9k4LEb3pM3YqXf Q2fZfmFFsaKvH0EK+gC4bDj8zVsR19QJdYzGnoCafukUWNc7Q7jO479lAM+XcQUWXWG2HltDw36X Fr+bVMfjMdiHiumqeUt7aYT8TW6bpfdkyx4QmadS2er66X6j1OH4iS+jfITrryxlk7HkFIC5MLjm bIMSFEKbYJKAecuXmIuaPqBfa1bMTR6WaxBGp5vloRv9OHrO4UIjDwpJWdX6WnDZDS5LUDc9TMPQ QcFUQYVXTIHRr0WxzmGwr4+FCX6UvWXoPyADh85eu5EEOHrt4Ve4qTqOiyrZiAK9YDJ1MmBs6Yf7 4DOkb/3vAbEyASHBshfjiL3FszZWfi5iXoPLYSCYbWmuHhhQNVTqRsyoIyhNVXChsDg5uk0TDb5f fjLt7x74qEuV1LfI04Ziw4J3zZhPm0hfw4K/9sUUlEYVWPkrQ+O4kEMJ2ZaXJv3+qegZGRWL7c2f eQp4eu5K/yZRVAbK2EmUTlDiEThYPIEQ286V5x8zqFA3bb5lxqyebZD6kIcV/MUT9BiR9HVQkTod K0QLm8VddEtER8g3g8GT/sYaNdDDi0xi9ARJuOwBOCcZmVKvoj/QcBBGMMiUDBRm4rUL8FLc7kBf iiPQVpdd0rTbx8+mSnYiFYCPrTsUwPSl+3uj4foPZ5XmeNvxtEesnhoEVrB5QKjFfRBvZ8nHJg/i WhxnZlA93Mld0qZxGfwUK9dU/KS0M99kerQR36t3EugB1AShI+aTO2WktHEiNSRKCxg3xhDGhGYm EuhM6yu374POUmXy/qt1tt7hgl3Q8kWxIvxm++o4X1tkDNYIryyQwTdV8RjsSYMXcDF/9degim5z 9ZAAtO9EdWu5+81q005T1pbFQT6rRCrTRqfr8cwT/iTu7hFQWpG+5UsTG8kylOVJOEWkwthk3due oTgXeLKF+JnY+joBzxNWOjMRaR9ADpWFpZmkhk1A/7ZgXfsqYN9Bzi/JI/1Z4II0OjSiQam19jTG TAYXU63dYgEJhI9F6pims1FEyddX9W7D4oFP8rlx7dIH+jpJ94vcIYPdqklG0s2200t27P1/bT7T adcd8dkR33ap3OUsOW6PjXm7ZUNtVKnTxoYF0Cb7nUuxHYrXwyD/549If2tytN1TDFnYyLLyrVDP QE48SR7klbe4AIZpjS0q/uf9dU7KqfUX47qPQMV+IlFER6zEbL4HtPnu3isr3u1B6e7rOSI1mx/X QEJ0Qf45mvtDcOdMxhMd+Qmgkbp8lAPxpk3QoOIdph2d06Bc+H2xJqYq+oQZatmhLU2626AMBlW4 jxMg/dYfHky/RrHEE2BsR898veXzWGiNIaKs8gbiIiEXtN0L8iu9KD0qmMnPjrOqfC1P0WDTCVjf vhd99dFPpoKla/EZHYBfsR0Ild1DipORK8ZnbAdvpQd4papvacGWnQiG6g4pukfX1Nm28M6uVR57 pidVl1ZDy8HlrT9VKcC/NgHFzb90nlshItzCQdH7Hbcal4QEZcd9z61MKUsnFSgcSp666l8O8fV8 6xETBPOeBELbyt+IikGd1yTM18yNUbpE8zKJXyaD+yaSx4C7pa1NMdKrzZEfT3bmYtq73OCS/aNa Tk7eHvxE2MAIQYmfz5MXqKnHrjFUOkazBKywCFUkfJ/5n5P3GqfYfXZTowPV8daIBxzbRCTGcxHk rQGSOGn8IqwIlGaZJYnJuajp87Js0wP6Eo0mZIrZWExkxmmGVh+Tb0qwpGMDNZAwITKRIRl5Z3Ka wVgPY1+kUjcog7lOm2VUmAZQ50KKNaPgx7YX/GylLgs988JMeT7mk2/NjGdAqg4aSQJ3XtGFBIfm zusshoFaCQyt9SQf3+PIwGzWhnAsOul+/ih1gwhRPewMb+HDuDklH7z/RCi0987dGRu1LB93el5k zpEgKOAHV3HkkyITqGmjOB3LD12yfXhqlMALLAFkmt1kgriCP1f7wO5xpErEbJ3Km/FWlcYFvRrf VOli9qvi7fZbMp+oagGomba+Am0WFHgUxa1xm6dpmSFYzN19dRZJ2XAMGpFgYOWP5m0G/TcC4X5M FkQamlITSvQO4SEcrSJiWQS5fnf2HZRoosdKN4ChF+jNS5KGnaSD8MBenN2h6c8fVQgdYFqZeZrD mtseezh7e8amOiVdP3EmgwRW4E1xsfOZ1kEig5MjxFz745PQU/p+Ls2Gb0xc2+Z/08yei2iYS9iQ 5jY4IYqBE6mfYvtaOKzIMEvE0j/7jSvnN/5AF741PQ4ay6DNniobuf6DOwu6Kw5pOrlDm+zvSZ6M efs/CK3nVqh0R6+anXr578YZvf2RpyHkH949XEJGyMQo/l9d6blfopjOY9PZqw4+OvktoLxWX4tY mvjR/6T3I3FP5UfvAXjSs/HwneGGtXOxwir0SamJ3vsy6xMERhzCvMmX6Rsge0ZgTRDR8/xq3Vma QWMtFZA+mUEkUWRZN3ECC+j9mwElPz+u8MUFxMKBk7nzP1baQ3NWjbWGJcgHhcDcmmUfrNecZbrE p/AfJYg561Lbjy424X5OeP8pAcGMj0onlATNl8oaewqmnMltuFJ665Kj2VMtm/ljxQt5q/YqdoFG KoJZwck1NFpyxGndEco50kgYcroUl0Y/pdL9S5S4bOdZ4LzP5Y94kbqBg7kpFdtceXteIkxRNh3F J1McmgEyW4Ye+5q+/LideKFlIlJxVsSVQpZ8g1mWjdule94Rmbjf6WSAVvpRgS5z9NMyvB/8H35Z Bfa8gzU5mtdQmnJmWDEllhWzxzdbcmXHTsGZblBAs/C/oLjCsk0WyA+9bIvERWWmzmNcgCtEirRQ Zcmk/FIrb5IgXIlgJHNzh+LYIT/tkJ7DV8RlahZ6dlZpFKRrrkZMTWR4xnt3MvDnvrnTzdCZ1rR0 oeETabgXrV6cJuGIMO8+kmyBkQ67hKCePgV8kvk285ue1WHMehVE6YCf02923tzys9dHHwTY3Yw/ zNBOVg0gcLSa/dy64Gnq9w0RDWufXierfdJtvBXmZX5PVtTZmONQvWWfOo1vWR5A0lzOsoPf6+zl CxSlulr2q8RMB9d9DIbBEAaCNaWqoyIMFayHtytbX5Yz2udXI04N2bHJbGxwevQznxDgsDVpGRwz 6xZnxJWmD3zM50NC/c81JG6DiRobcrWdtGx7a2FhRNRp7gqSoi3yiH6jxluJ/3Dp96Yx0KcuFc+W nqqij7+fN50MRSPxS6MopfWfnyTfNek6PGftZiOjmDjNjXmB//U8vB1weasyB/8YNm7A9DPaKWbh iflD7uJmfjPIa/S1yGAnJKuTnmhkJnmGbgUwRI2GPfZSUTZ/MNJ9YWWrvUUF4fmzyCn72WUytsZf 2W82TSGgw/yrQKms26x3sJUNEBKe/N9nFwzl2wT0Z3WSU9SzkEbTbR8hD+zUGY1zzSA0el94wqC9 oAWd2dm2w8sNo+O9ERscO+udMoFkbIfSGlFBlCSzlDDkvW3UWwEvqB8vEvsIl0wBQfOpyaasffqm Pt5aOPaZPqfCR222/zTGlDf2MV5+Hyj9T/yZ5qJjmRCiU6/qFjI4E3u+glCa6BAQSKJUQDvt2KKM qcfqefpBL23LPkjkoLrfIAnOb66TL8p4+VvokdyWNs67i4H5roFwXcSn8+KBv2oMGUgV5jo5lMSo EoeJIk4f1OroxXqhvT3WROyOhQn0v1l2VtM7hLIRoI4upiqBmpBqz9ePNysn+yt4nACRgyKiHcSo /frZIHWy4jZm6+TLvMmRdHWT55gZ5e4Xq/xYc+SiUujMAsES5yGQsWUc00lvt1urlN6x2KLjksJp 2gxwCGg0iahB9kPqPyOxQfc25v+8gFxvY8eFXpXkLx3L9bSgcdqO5+DOB0CSW/1p19mv1dkRD9gb HHzg7n8JsbeIrp623vrEmwAFiRzt0DkzqBXRcT10PaeV4tV/fsZuW6o1gCR3bJDNOBQxZSkCeYCT Ied9GkfQqroN2qvx9nZadgkFM/id7EndSXgxkHX0VCj4qWXuwbEJepqUvmkYuSnn89+MoH60KuP+ VMWaSykNYAIBAoEbxEmnUyeHvXBMMW+rEF3dNbT0eh/Pt4iuE7lgN9csyiJ8/zTIHs3GruspOTo3 uRBWE1vY7jYM/5NOoVxXeYLgWNaZ/WpVf/6/YVGkkOwO6CLGFjjpDDDYSKNHwJdwBk2PotLM78Sg oV/BwXkRQeguGKdRvaXAU731tyavUQje9U3uGLvxNaFy/LOKk27Zb/Sg0yUVz96hKUyAZska4Zcn cduB5A6M9QbnScKojnklew+1/QGi70aczydra0ZA+UIPtPJIP5IfjenM5tuVa2dZzxkX506qhhvj Hh9oreAckIiF4zyUQ0TMviZ2WS997TWReS/Z293tsQ4loKsEZyYkY6LDvSb65pzdbVbGN6DxlGSA AbPSM7An1jsa0WMS41Z6EKXCoIwUPU5kuOs8HtQLX+jrhkDnkA0YzsLLJ3m4jW4CkvmUTSkxHzJz qDHygKz1RoADM6gMF7WFRQvE+ZYEgjEPA3+gCGlvpZKQOX2LhmoD1a5axC2X0+hadlhkOfmCnxLn P16SdDTBd1Q5/SyP6PLFf5u8uW5S+V5HLPzFJ0v7fjEuSXfKtt4Qj8suuEdMVHxhvdMlpaw1y4U7 OY5L2UVZ/HLBOrb9SfWzei4R9SES3afMbVLzjS2u80o9JRuoK9UDd5kyfar3LndJnSjHkm0iTHrt wY6zCkLU0iXU+YDG5wL/p6h0yIrftSQSn4LCUveYY1aQ31fhJZI87Jvzdzb8JYfC27OCw93pwN+n azaFbatffal1bUrT/GpbWFFMMjzkso2+lbQdVWE39VsLqWM+fm660LxcFH9whkmku+1z/VYKXEoo 39uq9EIVmwNWDMcHJtTWHEu4dZbbjOW1F1WFnQe8TjQB3s7OwnZsMRut0MYdsJceSaVHRroq4Omz P2Hu1G+D9MMiHTyl6sP6mZvIofJXT5XROzWc9Na7Odc6Ry1ohF9b1/n73GobY1tMURDBJPxKMv5C GX//KTxweneShj0L1Cz0D3FeqLU1d3HGv63o6THZqQEFlXCdRcliwG22XpuM4krCu43sIwIulXMN XuMvYLqmHj6VbmpNXyUd+XlUwrliMzY4mRNR63WDvN2VCwjlXf2MFL5edhPmN5jMoYm4TglltaKm +fEXwehZlvHrOvZ4iqO9s+W6LK1AWo1JdVe4tPM926Mtg89Tj/f/UnhIMaf/8REiYm6bw4LpsOYX EjaPyFZyZEOLvqWPYD4pKkcB5o7B7nZ2M0tK7S2Yt4mBemJS6jqd8tsTWUdRORfQMEfCh4wQfe4F SK4mae9vTNWyWROz3jz8xBOvpKrs5uojUooQvRN6usp7sTBdt2O2tUgh3WfnryC/ekqEbfd9dhXb Qs3M0GYuoFMIxL3tDV+5fCXnDcjCfROe4+qeKCo+IcvnWe35MEFicxHPPo/bXhKheaICvAB1vK8l ii8/sR+mGptpRQZegTT28ak34o4tCse3SIla750kSkMQfrQch8wBwmox2Nht7bGfIybexbGBvJkb TXYMPiL0opsa299VkntfcR0Wm5VGIEzQEM7/8R2O7PbDvlRx37w0TxEnUn66F8+rJL+s6usGY7cD QaHy6OAob6xKXYCjUGxOcmSQ/ksAKBkSTTWpLL/hH+iOTtLfl1yundUb5eAl3Immre7moOEAkqWL JheL8ZHBpKXF7rPFs5Kw967vC+xvKSbwUcjEPFwL/Qv6tnrYBnD+9DXGA1QzC9nENyMKcOWRzxbb xtR6MkZ2fDqTyE8AcWHmHycMccTBZkMZTFkjJ2OaJuWZYudHZtbbFp2HcyLSXb/0o0wm1HtmJJ3S jFj06ZuW6i2ugH63qoOCZRpK1OPKKFO3cryxi18fgulFKOpisMh1VMp6wJ8tM+IQedewbOTXqtnv ufZWaXQ9zXJjGRhczvMQY5CdL021+yF7GFj9ho2SCs4oJqMnMItJHWUPXItjiz6bXocSU/mHi9Js a7Gacg4Wyoxeci4xbahxBvwT6gQrqKXdCS1dOuxpW8tsPexE8AUd09v8CeK+v74h18KuLNlZMwJ+ KZ5n4KrhBOP/7ZprTeHV9grdFD4gq3x5/m+Eb5in+eDNQUYPEdn9E8TAdiyzt8dBHBTqdFxCvDu9 zNCk7QEdoog8N/9VzIZ4IAKbj1bEJOVFURJ6AMTkMBiq79pIfoeEcmKFY7HhxveRsuedqEfgc6GU 1Oaf0DbkfHQ32Bkl/n29Xp1dATiAOb+ORAtpbdAT7Q4GqbAot9xdUb4bciDKAjDjIrzWsFEAKpGp G1mZwARE4abkLSZhkmhcjXCPAaIlMXuoqLqklWMy8ivgt2wtHBP6NHjpPLnMXcRd7+Lyg2akvgcN kbNIFqBffTCt1/svjkHERDjO9h8sdlxCzCWzhoxgK0JS8NLr1Kj3vZvmr/yKAFiV+/tZeRMPhWfQ xaL9To/Nhm2iyftdAQ9ablyKtk1syus9fu22iBywRtXzJ5gu43iIJ3Upy0/imn60D0kKU7e1TY8k yvuTHMutFhR3GqlYHxvN0jlz00FktBVSzs4jp9x9b3/wvqYoO0pJUvPTCMw/3I16X2y6N/qjRM5O 1UKjI4d+WhRPKlp7I7HzmIBQp5I87ZF5BFz4CiuB6Y03r+sOJBGSJOzhi8uY6xdRcMdBzUwbYjdc vVfK4WTH9kETBs7hT07sbSt0AhUtakoZyTarJnKiOnw9mLxfLVdBBA38zE2VusV1ZRg3MwsMpH0s Uln9WdntVE/6e4XkDRW4gmW9OIneiNFVEcBVVCMzegT+7BXC94ldB7gKmcaEV8WYgkaT/Q5vJNbx li1qSj9AYVNm4aqrt15s10CfsMbSBn/MvFJUTBBpBXVz+tSCjLWFvqdVFdz5KjU+bW95PNe9h5rX yjQxfng35ixFfXGr6SX1zY2zHEDdZrXV6S3Wn4XVQN8PAfa8S25JQfyHEZzjs4Z4UEmQy4TiQ5xA z2Z+ibbayR++KluSYc+4SUUg2fVM9EXt1N4vYd2zuocPrhjfHXo9ZRJ/2dyv5CzLMn/MV4+WbrtE fGM8IyvuTcfgBKsMRSbHiAqFuAc1I2QoIeb8aT0puKYm7NJLIv3g+/T8i36NLORP9JskvHiDGzkF G4Q+vCm+um2IwQbsiwJ/J9EApHS96UJQXh1AzkvyW4QE7VRwLZmxHThfKRRAORQ7+XauwNl3MpsD JiskGjVjOpNqvIZiGkk9teOo6cjq54xRAlxj0OsoOEoQYRxy//7dn1yOupWqaFBub3wG/TSn7ZNs 61V0zMi5GOMZGe1I25pqsR7qfqXe/kyrHvqd3l9Iacjc0g7e8hryUUt+Mt9amwqUEp51NPVV7sku MjDhzlmrXb9gng/IBqjGtXinAeaq2l2k8NBezHweipGnoG5qpjlCtylFpZvWuvMuophpIOxoGdXN JdqFOcO0T/SPnY10TAF1gO6CpGpXFMyK707vy3vg2plzauciLI3s5PReRxJ3akJf5mGYvU83H3cM n5ZLryy6uqwhyfVoDPX1Wddq53baDr1T6weWKCuMPhG+JZs6WlLSUNlVNL+e/3Rijdq4kq9yIOED VPh8ufTAQXZJzg0YLoJlfWLrU1fkVS7n8j2ACiyV+MxeTfGh8+VAQxaUaBamGXTvS/1hnszF/X0M Xn7iMjR7r+vpdxWu3zPwnGx8uAI0djs6oRCLyemKvWqzTjTpE6MzYxYA4aej1xvtpOFx+Ft1gey7 61GA7UrRI5J/MHQusZUrqGKDjCWBOM2psyDh8yZZwLHuLLgsfjBWoAnFzk9MuwuXXxKZHUspi44o XTqzV4SCXTw41GmNfv5ZZS7jQ5KWAnihtpzyf7am8s+jtkz3GHobId/B/6A6uDdwT6iZPsQC6zzC 86s8YqxJAj+RPD7gI5cXmTJJcmeoYsZDhhLb3E1uvVM/uMghxqAbsS5ZlHRAgcnUd9XliZ9pbVcu Yrww/nZyN6TOlvaP9fjBJFn6Lkgpy5vABB+BtCqSbW6eIdz4bWz8+mV8T7YDYpJ3MgfTcSrBGcAC bF8/yrJy/5M5+lb8p73IEWFc0PQqxjhSCnSWWeg1YNo7pJ+q7xW9Y1PzM/TFwgW3p0PFQUlsXwH2 tRMcx25egK2yaXFzFKVKYvjqIKXHtxFUUifUrt62HvUyhxEqJ5D5/HxMeH05gxG1uGKXBpUd8S5A 3/PNc9YOKWqxj+3gOBh4dD4c0Ft6nFA3lA4TsHqTdDpjDYcEllGd3Qml9Kg612rkxjbqxGp86V87 MATMb3STpeVN1vq2n5pgHwEzzkBS2NWqxNq9vhThXlh7sLLZuaS6h6/M6sNXbqvZCj5z9ICBG7Wb ja7jv7HsSyeIa3rUkThPNXmbBTXuXwLTSKHwWD73euvVUzHhq9udGNH5JuILkv+4f5RzaeP619NQ 0Kp7FqWrsvYA6I3LgVW8qjJAkZ0tKhFdvJfXOUnWfY41RVWljyAeXw2vHYpwjjjJk6ldFSbiuvku 7FYZlIIZ+/TlZ5vPr/37jV3mQYqjCr8ZivEYG0g9NhcgH06zoxn9SA2vG7QzqWDTPEKV/30t4f79 y2jUNZiOwwn0Xq1/4AyYo5WoQmTp3/UmK2jHcpVAUM+of6xwjbJF1af/WyxUevijIrAiX/3gLFlm DvbdsEou2plldNUiP59smXPzPq92JcCQtFhUeC+MU2BqaYFLsJ2sH2LNSuCEdBmex+U5Jn5PEvCF Ve5UNb6zb8lGlyrw0lBlTnIlSr5F+Oz4Bk2djdTLMb25jVmnIrrQScxDS/84cUURq8e9aCauTY1Q rtdgADFeiOUPlDbbGwXsnKap/TAmsYG7sOVNBuZfvyfhCJgnZMeOYn3m9xaTSV7tp0Y+P4rmFENB EHVST2YYatO/kczWOQdvARgrPY4h/M2c415pOkcRhO1gcfAyv0NF8ENML7Fvy4Aiay34RnvK2RWz IWtozKiRHh60lRZJYKeqqUyth+EPwwhLQfTV/nyKXRuV3+ZYJloe5bctXiL2aZ3B9fE4xD2umpoH updgsTbbzLApb2s4zC50Zhx3QNnG5kM/ClCWfdUi48vcErTnCUCRMzcsA/YChn5SwQHIfvw6toba kF+b9dD/r1fPGijjHjwB5PMG2kw9OCEWSO615qjbIKCcgA0tY1ILwKfakpCbhVccTPJIdHHu/wp0 itvP233e11lCP3L1BMQhm9dqZMXxj/MaG9d+QTaWGsoG1RADFERGRRyxVCNnKzNVXy22w7kvYjxg qLcbMj9ndOAu3FoIxrQ7tScgIM5iRN7NtsxYW5tngyXnU93M4cD3++QnyUWAp3L3i45DJgyyZFgX VLXf1yITbRlXmvOGyDYHKFUGndg5uYWMyn+8BGXAAElJc8dtgSuojXlpDQG6Iv/stI8/JBbuOUpB nVxDVBqV8S/xZkEoRuSTOkKCis3fJia7JgvUyag4RUNfc4MmzlP4jshiAoo64JXbuyv5IZxXuxYq jMw6edeV8UDbUwXeRuIh/kHHtPQfD1NTbTX2omA62QWWp9HDp46pqp4X919VD69+fOk8sYBM5lUG /cPUV+9J06/O5GCcz0eNdc6TeW73MCO52cbnrkne8X3nFkfv2kOsi0F96gX2nAx+zYzcNjBiYGCm ifdahqqOmpUyLfSIIDRlm5+2Y+hw4hv9+r054BwI3fFD8OhfYDzpajiVsUW9rlkj+09Ymz8WhB61 pRU3bWZu1mubw2eFrU4FMpLweRSlciS9vECXnspjqUoajG+ogqtSlu6UsWRk3Fbh2zt9D2Tice8r jV6LqND+0VEnc0A+IQzx3Q6HExNvJm6R0w+mHs7oAl04+BTR+Z87IvgvaakEo3WJ+COJYOq0cMy+ HofIjk13FV3hVt9IyA+IVpWfkNPloEyEPxxWiDVnf2S6WIvCqWCUd+jnrY3GUib2FYY7vjNO+hyu qAWO8logxLAwynCC2bE3ZraI6qx99P2OxPY229TiJicQtPxs8DbBm9+MXw+ugfqxOOChi4HNo5Ri iPKDQ2Q/QPk+EtGQC9hm+orjoMgvBJ3pppjU5N8W6zlts7pli+7bki94iZ5ouCPcdgg361776VAY lmTf884dlIXmEsiv6e4QU565jW25NG85ipsjLZUYy9c8gRZq4XkbQPUMLFPnGBQoPhZ4gBa2LcDT xkM+D+i4SsiTwSyLSOLzNo1KI1tlOk/O8XG05d9yhQ8Fm9Tc1/qogTaDUv0EkWQXXeDaBl3o1UoP 0/v+vE2rd/8nf3wmy9MOgfN9hElpbWdWt7HkTVlXMxlSO2pwnlotSpCsHpZ4afNF0w2ebnzTgCSO 3z5+Ah7bdcXX73pWWFBZDpFl2RB3PYOXBPxWIYLQ9U25l3uyXhsXTyyZEj8ne07EvKuJZEdDDBKl bMzMh5d15u9f8jhwuQvbp2k7pt+t5pAUxhfSlS7EmnA5uo043XtZONV3K8hxgPqeKLEUAyPMiK19 PIdCdLumjq6Cil2QWTEuVD5nUFHkxJaHgxk/SI7493gKbAIDbCiNdXKZHYEq68/i601+qYWvQENx CEMuKF6QAOpwwHNK+u8Gj25ahI6tdjGz3LlCXVBfPMeA9vn8CFDtwFuqIiXARxZx0N5S+4zSr6kS fFovelblmY4dYP+86caXAxWA1JnjPR4thjadc/N5/B81MOS7YEUwoO1Ug1rZto4VZv0eamPwm2Wb GEJgk6GyXpvwcsV3/KKw6iwrkXeOPbWhRPz43apKjxT2qp1Duv0JqgY3sQGDzafdekRFgKKAU0b/ x67BsFgLn2rn6P/I63W3A3oADcYMu5XSsPP6CokTrKUC5IQr1CdkbIngwTbKmfggvJcaYTiMSaoE q4vGwmIOhgLW/ho0jeO2GSpeX1eh3Fp129+BXFQOuN+T10c5dX1v0WYjMQqYBA+FKJz8Q9CkXVhL Ranv9vPY5UiqnAkCCakBagUd6qtFMIFfQ3u6Sy2/JFDLGIkWRjbpdAjVi/iduFrUmW8w7P8QuThq 3g6iVlX4gH111pNW8in94uCE3oKMX9hzWiS5O3M/lA2x7fK81Cfsb2mmwbQAQ++CVTqcBW84R0dw 3VbKE+J9sxwHZDL5AQNrB0P9l1LzQJ6+1GKhALYFZyZ170pagA0dIO1fyF0o2LREnVFWxADcf/jJ t7+eWyhHd0m+YllPowkyv9LDsE1UKsRO7n5XIUSM/frtsqJW8iOkPIrLgZAPB7ZcAZb2NcsSXzLF d6/mnTf58gXXGqfBfMObtuHtc9+/CJjOhb8v4jAYuFB24EkmVvUbM3VcUrJKc6lR2WiVJpw+Ejzq 3r7TgfpofrgEbZwVVzAAXGuQ2HxGyOMr0caMQafZ7h3O4MNQONBLUlpRCJ+FS3KYfqFaM+r96PZH e0nNMsKDQGbTdYk84KtGozrCMBJFgRk/5x+jTyYj3BdesNjEjVivjdZT6WgruP9Q1LCqjyZMxKQz ETzHygFKds/0sVWjW0m7ZrSrBMrxabeFiTJMryURoigcQkkSKm1RxB2Y4MTc71BaTNblibgrWQYk 99ZEjyI2FzAAFlscNzTkrkvXmBDScMC4irEQfCQ5pjb5R8vtzfFpFxSLKDneGg/AAuBEyf+FHU8r gFhl3fnP6BBPlanVb1w1uFd2W4Y6pOTPb9ZPDhh2sf5al5xRViyErLCtr5uR7osH1rbQVAv0K/su jduPh6ffN01Nv3FVCpk+at8piWQOkz016ZGCV81SCf0ujlyXaLuXQ4sscccJH0CZNZeHku61J27b 8yyJB8RM7v9kqtkJfrc8B64WiRJmJThP56eBONJmEU/l485T+MZysmcY+Ekfxp++bvkFFHtpO3LT iS+1Tp7zEUSgIeXWD8n271D6lo9jhIJPwxQvPJoGT7qvYJsM4S2iCGy7dKMxVvn8a3jy+VyXwQQP SfaV3ARf69NzRWgEJn9YGQIKF0yh73VCKTkYC/EgOGjz8vsWGnqkVNliOzwtSJmpB1mK2iOg+ye7 KeTpbxX0qXf92Uar6/vglyMAFH366954+TpIbjooRhCTVYWb7n/qklkdrqfPi7boT36pBl+b1EkY ZmGgM3H1PlMf+zQB/s0rmfUJ266lAMYXfx1fngKBMZbsyWIH+gx0p1591mreWbKyKxCdOk5nXdxw OIUrM0myaMzfg/GBHEeOkOAaSI3Av/yIRXSjGxYsrkuKcmagCxTbe7D6yUYKp5stJh+6uKqzF/hx hTLQ5KwKITql8tFGuVdfearBIkkKw4upecofSI2cpX9eCMCdxtlK6GrbCQb5rgr2TKRTfcZC9h2A vJZPw8KgrJHN+aKTdkEoeCt8FA/xrYAO0XPd0dPY/EuaZclkQr4j1o1yKO3QImr+kReH+kVGNq6g hpYMYtYZR7NWer1zUYizgz5PAwlyL3qH/7U/jN7tnu2sZx46ePwGBKi85WpfD5mJotynCYsFIdXH Tri0IltVj5Nx7vNcjbpqtwoVDEfTY/+O/jRxPEMEcrZpRee+B3bjmSrdWqYFNeJqDDNE1Aw9Ye2V StH8xlpH2mWme8GykR0M4heoHDBPCaSl6yo8kcY2G0hXsOxVYvGpTRa1JFJm6q0XbOrHBYZ0fVe5 6rwmK2H7+w4tt2OryA12eWVEmkMDb3OopQZsKrTA/ZQhm5A9ItjdLUhBR4Dq9InFAH8pZIzX/IbX Bq1uAWHR/qIxOprP1+b1JWVEj35Wv47MFVh0f9tq04OB40m72Ski6ej5h4DaMdPtIq5KhBSc5bxJ ZOYPjFFtkIuinuZyUy4HUi6SZ5sIOYlYhL5RGgFCgZAVYJNcocTyXfnz0JljhO+tQ+h3yY2m3lfx lOuwlBRnfSwS9aid+ufTG5TeCfu313vRmW+++mb8skKaAJUTgMb55cJyyjcksG7kaazcb8gm7qJ9 ImjClENRnavBYBEywmSmP3FKYwVttNUubUy2LN7NUeUD7MNKgpaGsspVDF9QCLk270lQv89AXels wpkVv5yqd2aOYQRcrvhLJvk8Oo69gNNsvBLmVXOYSP4eZnRTG1kNpsYgnp4AVDwTLsRZsU71mOO5 w8StSY57mwtb1lHFg03jR7vr7yEjeqIeOmv78dFvkkuLnUiDCzE7NVVej/VJi+bJvj4FppQHLB2+ s2d9sjmS4s2C+zTp3P3myz1TuA8rW7XZgUNcFZrj1c0BB4LHKldISPT4uiqd8tOMKk9sGF1Scy8v Uz8ooLFhSTUm1JjrSF1AqX97RXZuE1RTfG8TnRBpmfUncMxdnvgvcgWZwwtx+ko5ksSclSc/PbJo aUxaTLOVlkIiZnqEQNwMq4EgcY5bVUjS4C0zNUAREui8jdD/TimTf/YS6D+VxTkCxp5wHXz+X6qj FemeYdGgVoQ5Gcma6JX7xqMFGvoYBBiNDp/RMUZOL2t/n51adWLJeBtl8dSo5OF57FkuHjA24kcE TNSHQsSZODJlUVPl7Q98Qyyop7gGFo88jdsWZRdWDeU5DPIbF53CB1pgmJMqHjcBB5kUFsoL5i21 2oVRFmLtJY0ID6h3PTQSbuBBZ4zMtZYkkMT+pp+hCxeohuxLFhhHoOzXX8lPtzOTGfrafK/NgZvW 4hUWrYJo0/tFSGYfZwm7zoMKioo0Y0qT/ZAdEeaSO8/E1UMXc19GcPqEXuDrVUERfOZJ3wQytFp3 ELjvN3cikJPhGBwkMQzurCpmtKHLJnV7tZJKz+AhdvPRYPuZZeAtZ3ofiAkPbgD9yhtQZjf4BrMT tkSLxDFzM10kJmTTpcNWLPduzG6aU/359ksPyLZr5w1ROHFjrz8xXPlwZfiMVimBW7Th0A/j6YaM i3eItMUIfJi/pXsu5FoUaOtS2tXCPpj7Jvta5MBHAUP7sCSw1gUlI+dbPtfOuA9He8KWSN5l489m telCVUy+65JyzR7UeCZM8k31XuXaebsyS2oJH1zZhWM07RhehYaRYwV7w1gjzE6jrnqdI9b0omeZ W5sxmejq7mq575UWLq22M47MOyBVZMLsagt91+UiRnfhJPfW56Opie6xVcdJ7Se29k7hWi8LtNXG Mb+aVDij3lxOMkxWDRKt9RsQrkGSM110QwOdAx0LXtb92H8VRvbVaojTqh8sA+B/dWe1UUSDjXu9 dnH/DchEqV+IYeXe1vYsRexjvQVihB2i/H/prLZTxH4zG0m94R0wP7ffrR724XBh0jmRaxSHehfy BHVu3J/D7pgG8pILvT1Ogd8+tH0A2V0SrBjSasD3Qpd/baGt94sG1MPY3jr+fIOhLWbKG75Kd5L7 OOCcJfO/Iym3rtY0gm3xo8PSuGFCPtgk43C01xMpEuqD4XzAubH9UYWDoLxQc6T94Y4quHAbPKTm yvkz5txkCukQTHuBqBk8fvnZW5TH6xvFoCLxvooKbmMFtqc0e2sO87NGbeQryB+xLV8eSf4neK+9 8MEzCbuzXPp7gp/UFtBsblAs0OlY4X2/D8/pPzePvKzBM7XYgzgHX8Zg0fpuQwh72wAiuTwVtUrL XCr3oei+m8EB+UYxWFHP6tHcKpsU36Eksdc8wii/pvEI6kWrk6vEj/fP90rV4IqMm8yxo5o36l9b Fh5xnjKrioDUV/PLOKTdnJFlsbBNm8DhO/lsSlVMac2wyZjRk2hTEf+A+DB+MgvOGzLV3Zsvi6rz fovcyuPXKkhFfOLSZj/11nc09LDvykGIYj0nr6FhH/pCGjdljkiekJqNRD3vsH3hjC3A1X5S5SwQ lnJ8M9F+V8hcv6ypei5jJBultZLkNRfL4lz7MP9JpIh0ytaidAZ+NxnHM4qCWZXATG0Ap/z6mVDI VNpr+YPwqL4MTa5jeWi9slEF8u9Ry+pC6d4mNCDTdYSZIuO/wiMv2HJjGQRPv1Zc7F5CwF2pAx2c z0Oi7M6QXf5ONxfJJx05rKPKNJbla7sYX3/Jz3OfFF6qDCaJXZUzmSIsdUwLAJR9wHTxzMJjWucY /AFlB0iovWlC2XDLVpPFE0aVZtxbpxjPlCuuUg3pa5P7MINpyavKz6s9ZVjNiXHZn8y1DT7C6PMp 3O3HyVzsFC1P1ZU5JpaXtZB9mNceZS0rv/fAxyxE1biYXHkBpe2SqGAskP74+jlmvgu0ZK+7t27h 4nyWMO+daqZptsYH2kLY2kt20VsDWNDLjajAf84w032Tm2O2I2ltVUVIaTdCdvK4fL5z65TZuH4E COfDs5ao38Gt2EJ1YosoLNaer687FUsbY23Yx8xzX6QzLjnwTQXb0UkV3QqZake7AFKcF7DPthNR 4m+o/T3E88axrlrsZVxyD1NjMH8ITiqTYSyMGhSw/C4lYpYNaaNHWsKwAz+Cc0xcMUTGKXfDsXQK DmgI4qotulf1AvgJXIDiMTmUBBavGltesZYJD1qfCFML8X5s/4n0PGv7qlEVf5/a5ipzPDd7o2lL VuHYxDGzdPlHfJqn+K0OnUP0LoAGe3zVPTzyA+r8tUw65O+dAjKGuhYZ0sNNUjeNdnNTMwEF53xw 3DbMGRLQoxdF4/VEvNVBvCHlwtzS9kHrLl2aHtueN4OA4bcxEgg15OKox1rv/PghKPG/4JkvpOzw As8Y7Df/g+uhel3jMZvBgGW/dQsAAwqSv4pYWIUT0eIAxzBq3aYe8xdCq9UMuPYWPlpajnuPHT3L uXyY6//wPhavML5qGaDx7xn0yxuQyiaWszlpsgx6dp3QAiYgVcAEw7eAhD1ple9NmvWTijTPeMWj IkpUIhlpd5P34uVjUyRRXcjGYuBpAvWMAQUT/OR2ojD1DiQbYG6eayyKWu9b2qLyFOZ19AoxxCn7 TEhyY7tRh7Krmp3Pb1GU0SghSSdIWrpxEZZO9sv4E5KUCjKggrDiQCEOGiu9EK14FhVp4S9k3vl2 TpBBsiAI43d+vAEAY0bEbNn7nkp6tUu0QCvxhQFWfDaSn/Eo17CFVwJNHIyarWROcpnbwENaHGHk 9kNN4hZmzaLs4hoccQZwBYIYPqXCWu1BGKk9otXvaW4WSwKVCOzXac/I8ngWQvfI5hV2AVrkI76b QMO5u7WdOz3fmSw4CgKU/doDbcniSRN63YxcO45EOCevRJeVGJJAYfDWX8OL/gIVKW51pnsSweYi S1e62Kr7vfVqfV5LMrM79nQuqoVXGlg+odxRd7tk///s2toWLsWWDZEi5koPAPXs8VTsflCd9uZp AjJMULlUdpFeaX4JNY2ORWWL8f6qzWEGiCxCnyDbj7C9R0mMvqY+i7EqT7p0MRWr4LIdHxM+ySPL +yHcOmJiPb+dx/BWtSBX8kIWj+JURFgNkOpmKbpUwaEXDR25bCIufXIJ4OFrEQDuTXYwtThR0GEr 0QrK4U99bDw6vVQ0PaAvvsUj/0BJutquEcWyFc4qDI80KyuIHZwUnbI73lx9VsfjkNPtjCHtRpgK p5X5fr5X6F2+FSJvc91CYLi7/HGwA/GJcsNgjnpVOPC257PKq5xG2SUqyupOgr0Sg5V12nqeAnv8 xvJdd2N/y226xprFMH8I3Q5/Pp2rSeBDbyIAINmmtUxOJXEWFIGFFXwnNho3kpBJGF9n/4Mt/iKs WSsoF1OUF/Evg1hO/2cBGoi4hkGlRLEtiRXxNWOse1S2pzbKyILkAkfr9UnS3XE4f7Xs2iNDqgry QFBk9pR2jGSazcTX/o0FkPG5guxPVqsQi7XY3Bg0qEgwgEgjS0o8TS8ksgTMDxAVAWxfFm4oxGHK UiDWP8cgnqtbQQSntKa7+JBVKtQeIC8alcndOuCCsLZNjZaSxsHTG/6ZOQUz06WmdzbmZMcjto+Q LZbDKSEiZHcKdjs1AJP8zzvR8jJBSKMkuHKsJ48v4AeYhASVTSgyMCQbvbc8cO2H1jCAMMzV9wtC L5VenPkHqpRBDlP6Jx3qvKzvWCsqZyuc2mqjKeMFSCleXfpp7sakSy86fOpLjUVzGDeTJfPrMwnE t3h53+h+9GrIg9hS9sfFF6hRikLSxE58/WtJ9m7DwHahgaw/vtnFnCrrcXzsiFt5IQR+eMhkxup3 cD7kQS0v6KrNyAj2mJwlpmzxkMNXQgEKcl2XPHVeMDFD+vKkamjpap6ju/V9/k1azfCsiUOmxOAb wS38U9RTgQyIZiiH5queY0GyULpNf2g6PueimmQbl3SjjkKh7qK40ukLUguIotS7q+ZN7bFZNqvw ZF4MQZ7D8RpqOU06zSTO7dkREJFqATua7ZtxQcdV1rC+OrO8otm2m6GN3QW7AuX+4zpvAnh5fU6W pkHr+pcpPZ2YbKnqqbGP5qQsTJ2G3xEvCZ0kokPqtuxkMMAQc6XXAHS1vSp7c4l7jdiXbWSvdzjJ Z0iTGgRnaDkC0Zfo7eHAIZDUbBaRor1INrtyTTnzF6SfILnxFUHtl+knzLW5/8i9liM3+vXCSNIu toDjOcYEZmhtokYpWynV197e3dJLDw346MmAI2gVrhPeTUSG4QVTNe/KhHO139Qbffvnb1OMy3JF tgaCCftAdMJrb0SQYvyeXbFdHRggx7Uc1DI1aEJUaJONMAv9LXRDGZBMmg4FbXeBW1iEP31RDB/x bO2elTjqLC6Ca8R+H9o0Xd7TAABeZRWR6QcUdejLRKtd+4lgD6i4FEkWePCisFeIdw838pRr5nA1 eNEV4qUryiw53u6CQaapKVjbaSRxRgGMligdwG2vfJra1kiBNuRzXo/+YxSSSZz7Z52C63N1I9r4 Z18HiQP4alpaElaOB9ci/p5VyQjLW+7ADcyimtBS28Be8vECipI/VeriRbhHbnAlS+J5V0MvhZbT XbPb/MEESqgofBAmp8xuwpzbwWR12UKAcnrCR3hvEA0XUzWkEJ//oZ4D1xVayqVbjc1w3MMzjzfc S5gl9saWvhhMsiHYKzI2TAyMA7JC1l9Zz7i/7TOdjSAKo6gtqg8H4uJstjqsCkD+h9Hcnf/2zPsN 9EpNiT8McaTT1gpFz37ZdJnDdtJ0YZHnj0aj8ciIGLxPCN84k53bBIk4m20iLCZ3ZPiStoWI+FU6 pd+ARLFTqSUmD7PF1uLvTRpsYYDRSYg3/KjU2HUqQ5Imq4uWorcilx2CFORXGvj4a0jUpTerjP3W s5uLE23uOIA42oeeoWstoIj4ja+HTMJUB5uxwyKbeUTgIc+hBPKOsZPit2P9WBFlXjKGTSBRQTD5 fW4STcpyr3OVR03MValSQ6g+NHq38RVhpVmDBSRiuAAknQxV14QOpERZZbUWM7/PNuwTpEpt5A3y cUHAteUCfKOVQcimDj6uR+Jt07PzNm36AUwLW1rPgL316g04pP9jw6n5LD73/Whb6f0b7zO5vjK4 f3QqKu80e1UwtWvyzWIe1hbl1taHRYSWsPY+596K7i72Qcl9a3/wFaAWMATY0cZjgGrZEf1g+IjU I8SxwtYJiDaJvBhasPN05L2/hRB695B9t6OXsZJHkdvtKwjbQFK3zXNZkSdOPic+1cFWhue7rYi6 gNGwR6U0sZof/BmS+GlRRkCAgEoZyPHS7FvYJ89nRSsls0ncMOdHPEC9OYn5nHXckDer/e5hWkeh +iedj3g+pO5YUzLqhFUgS9tkXEI+frI9VEcVPtBMs32/pHHsDKPNBy308U7fUMV/0swegkW4n3Xc GB90s/o6p+3MYy//yK1GAmDrFfpwdx7e9r+QMspUIYNZLEZBz7eaz96Fju33ampWTfrE1jX+4/+Z R0MZSoOTvC3H1RHYWJ0NEBVrMXWrwYTEzVnSn5LjOCut1LlZdzsebhDIFILscoEZ2iIxrb1+Rno/ Qd4mxSGRrtXO1cugQlP2HgJFWkOh9or7J1T7S51J6O5NQJgFqBeFsZbSqdwRb5K0lij7dwef4nC3 zU3fUI8rl0Ca6QyetXJ01VYoyV650pqN/HsaFxVFlbm0fyBL3a9nSpiMyfMWqOhizEJDgYpkGuQY ZXN4j0L6mt8OIyyl2OLfbU9V5LIE+oEyhpIABDMpbK9X9W4riOVgj4iBhTL+jir1QKz/sS3a5BPm 1aKB9OqkZYLrD3SxFGl9BqIR6oHbEirbYKAMV+Q43KbWgNYDXHHvltbwPhheBEhjxDUSnBsDXf7E jk/0epevArcV9pxA7K9QEKL5IMOms1ttKzOGm/b+NLsSTCNqgS084ZbU92O2JAvfe8bNSHYg1GvI feTXwsrhV8rUvWV4MDuwlwiFKLCvukcK60dbQRt4QRPqToTJHqXlSUAbe5LPGbKv8PnvWDf/fbYX MB420uyBjHktinhRAEvCBPDjvDRm24xYCxG5JWTfdCBv5YG2Zp44xeYid3SMx2DMzJ7ADgPdmAt9 8wmlxzJmj+QfzUdydGRkdM6Jjj41QLAPRgwpQTc8h+Hh3L4QsI4x0U6XgVgXlQ1nkiPZdT0a4sM+ AlLzGevQEw0hEYl50LcxPtNFI2YgJHCg28wiaIugjnx7Qi/d87NCv6bl1pBChPEyYQ7eBpwd2f1T 5lg4tVOpeH7zevfdIka3NRmAXHB6ZcY7UvLXSD9EctHeK3xv8qqNdsewazyY5nb1wn0ppPNuPaE5 bxbGLbvTeT/oeKCWVDrMDCEs9oZ9bsuMGtkMmXmAmD72J5Qi6Mx3ZbuH5Cv4uyTBNXWn6HiGBvCH 1jsU3dGI8VruWEbklSrxSadxpiGqfa6QMk8nN/ETfnksoeurTfQferu7go6VvF0DOyHRC5+WcOgs v09tSVjnXpcXc61dgZ3MiTgFJdfnT4iMwI+CNNK7rxDfMO8AeXraymU7ndpwFbV3wOhH2gCy1o7D W6hbxceLSWRnPGXHJQbEpBTzxwwZqz26mb2K0RW3PxLjWXQkh6XD/hETV02trLo3v38zo6n44Lkn 90HrvBBSdNNaMn2qP0roDtiF5s0yS+G0AT7VZpX1pYiNczbnXOudJ58n7nB5i4NXqzcPHVWmqh7p Odalh+wmmKIaNnfJSK2/Fg5kll4IA+3RW2Vsx1Ns4DeO5zg9BtstGdRUk50coXvFyNwTfTORkwRs v4MPaegcY24GP3Pfb9EN6gwoCoCHe/3AkUjudSjrtB7B/9Ux+7A2PPmOUcPmn0MBbEraJzZcKJFc 9Rx1NKePVlhZwDu0hjtMEFXQleW16Yqjg4omS2qjag183cNtzFyilMlJxr8AEnEh72UuBjSHZCWG uV7xQ8f5/JKBcFm7iz9mMU/eyKtwDdQws06Rff/Ru6J0TxJHSA+GQVVoIQVQBBzA7BFphm07uofV s2Q+mXYuEoH7ulMnbORUNWidNY0RIXdpWHP5PWGKv/0xamYZEi26Cizi/+TFPx/m8z11GKxq+FGq MYgHrkwN/T9AuYuWvcDKo0tzuPYLaZlhXidfFlkCH+dWgnk5hXLUwV4QGmJF17MxiCgFV/ZLcB7X A7bg1EoB36R9tj7Vn8rXRQuzwrz1FR8C61TS4CR+OUg+M0UZHOP1Ajn6Tvbku05anE/rtuZflI0E mdgUmtAeF6oH4So9XCSR4CeIw1srkK7ylxrQmnPxawe2jnJmOIoFeHEaUNvomS3l2+5wwtuS2tEm BMsRlWrsa1iNYFmZFPEhbh+ev+w8M4llWMDGALqiL6hsiQ3B9BcPKhp8Rg/XIHgB/dZPeQMwZpAj Sw3gZ//9flNWgImKBXTy3AYcMG+yQLUihNYUbSzESFVYMY+U4io2b2gLbogQu8Vw6crP+tsGD+y/ 4gf9UJ15GCYmhT0+Xgwfv0rQnmZAeAgVoibj+0PMgV6qCzxFWiEtnxBVjtC/Fe8LO+dM0TUblEM7 TJgKDNJgz1f8Yo9kgrheyLmIIQQ74AoY8BRyXUqnd6ZhaGrq/1C9g33ZhZmZiQ/88ggQMHH3r0/0 kw/Ovu84lVLL7za0VcdinPtZwwCS39acMGGBVr9OmtqWlr5Xrpd0ItLQu6z4Vsa3jzSncLh9IbNd pI9BfRpIo100riXpfYTYvi92MG/neOwOwE4rbouLpNzw2bUkaQVG+WthhWfK4JDdQ81hcp+kGYN6 Mb1DkR/xP4r2Eq2B8BEBfIlonjGu9rYf5LcuLm8SBLSu6dBuXUq0UXrRSjami0qiPV8xtg+Ey3Lz SsDbFiL/YZpdUWKVdYWTgkcazaHEa+5buc8GUugKjN3ZcXo03DgOoURxBfffKAaz8Uo7raLNIvcK Cta//cdlTmYhjHCJoM6R5pk1yAGgD+DKj82PDbL71sXBsjET4cKZgskq3yUgXE9mESBejXN52Z3J 2JYxQVlxeq8pUS5oUeAKY+ReDGOsPICw4mfbm9HQHxaaTF1YqxZKZI5ucNMSwz/2riakvsT3PBkl NWLsh5uksuT7UmDXsVufaN+UM9Qb5KbdtuCewAevd6NZzWwORCWZ0XLeW2q9kz9NHWEaBvuZCnoT wtYuR3FJbh9MUcRN0qaeFXIXL/g2wa9gcT5V3HMoolTEzf9MaLxBeHNPCTmXrGxzAdFXZAE2PxX2 vs6EkRTTtJTFVGYwhipwdO1EtvTBCDDnb0jk9KUrKgQaLqlE0/hmccrSwB6si75vxn82K6J3HVLC npJO4ZUaCQaD0nXgNsq5tzWkVAMzoJiF/XP7/P91GcUK+aW05PxNdeD/juF/0RFrskHUm5TO9nzd TAfO4wAPrMC4MOahfeUmoOBZkY+IhKV+4uA0Lo7Kjey+PoPGwCJJxiztfGKMxNDdnrPdh75F5v1e wCPGXEe0E42TB+kGBl0/6D+OKu36TP60TT1ZVv8Io+mz4GEUX0L/McDBnkYtAVhys2dSSOCH5VhI kL4e1pHL+1X46CTXrMFREh9UUh36TDigUE/3YjJYQ981nhwgPyJi5xPlXlJ842PFb0vEWWgSSSYj UtHLcg2GmZNMSy3xAd5zcVugJdIUtkqjaj2HNQ+oXvx8YuAx+8dqNQTDjRPnV0UEej1pKAhd0ETH ywD93PLWQxiyEYFT8w7bpFJL1Wb3l4nw18IAk6FxmbVBp8qWDFXHcs0TuUVWbaVkntBurHhUzNMX ed6R2GqpO+YAzWTEi3Dw0MtZYDcTSxiS1rIRnCaVXkXY3+Bkm6fp7gqDUEE0BsQFZg+A45lBhEzL mMhysXD01X+oxCy2wU1PcSGJSdpXJN/eNXy52sE/hjv1/8VFYZQH/eVVtpfD9W5rN3AkHM6t2KGR dYKMf6zycbbU+AQrOhgfIrHJg5jrTQsOpb82Mq939h8GzcA2k7QZQiqFUbSplOZJ9f78ahRkGFsA uQJjjpZMIPBC6a3Mqr3jtOFYFtnL9I2a/xkVSeo6isDfhReK0XPl0o6jTk11v0RNmpssiWt0pGzL f+x+O72oFyUOKKF5eSTW5Q0RUTyKAsdPD7UyXjeHpHe6luz/OLPGlsozN5QAFkHfgazXvMFWKGve 96SqVRL0pQW1sQ74LwpEMxvy0sjz6DHrP83Ul3LJk6D5970b/HY70ZqRmVbdyTi/WXHPL2LXo5RZ OOpRFHdlhUtRptXe/nShkZcNvx0jat96pCM9zQ38ihFKLFOFpWd218buEqJ5iU+S0mVHN8ytdwOh NAuyXm/o9Jx5CiNMVd3vhSqSmbE9UsKLugofVtaEGABQRzB4bn6TGwOo9YYNetudKmNUAXzZ4wfy F+/sXWZJRPB9bF3Dd/CNPXJyYGdsdktzc1fWd5Mfy4aekWGdSuUuzNhEPLrDJW/AMIDvcJ5nRweN cfwUYJohOAEgTxRjHxMECOniv7s0rcl3LLv9fYhGUpgAHayh35BsBg/H2IITTWSio05jUt7Fnd/t QfExgmeIXc9DrcmE3LnpWZfeAHJHSbPRG/LgWY4IeKT81F7bWWd7AAneBkhSeSWCUZX/t983G+o1 UBZ0BWTezYqLtwQHKRvGWCHuqZP9JG8ZmRSs0LGtE1isZrNxzXXF/0jPi1JNDEl35cZwiTZjG6N5 MwKZDYZGDOCBo3+k0HaMj7VsozmotPhGceOpRyrDpJ9SLjfT7kif2pFdZepY3r1DY3xMY8ltPsNG iFaHsAMeYENq5C6HWq4C4GzrVBKqct+swTTWjN05mssgQCnJ4U7+7uzB3iWKLTDS0P0ZAofdeV7u 8xUos+710WHqxlT3OFBSAtL/tWHygg+pyPkn41Bne7m0Vb0PlOhLQIlkZdQs8qNfCltdUP2mK4DD citZcN5qlJfPvX2YVThTM3fn9wuDksIGvBXVthkL2VhVjHBTxlnyBfb+SoyaCd3wyhiTFhKIB7of 75yoDEdqn2bBwrszYXBo8iHmoLOfc4W9Z4PAaks94CK7dNdxo0sI3JZILcyTzKDPvrOso3PcpnH/ W8Lr0IepUtldyyAX7zD2ccq1G3ZMSx5j6hVw64dHrEkO19MMtsb+TOgnOI2ERLLP1MlQ0cZAtdoF kSGIivqrem0iLEBkZ5mH7bWQOvZHxsiisaxH78JBkbNjVzCZBD4dsBGneO8lR54NOjDCV5uSxlcJ oqbHbOHoUNcENHjvwkQ6Qfe6nMEpj4gzvmvDjAWfUfdciGaWE21b+9CNUvek0wKEathSLXm6L1rk HzOV13U03Y/vUAsb3vO57mEH3d/EuL12TgW1/vB42P0yBPnWGHB44ibMI3hcVUEAfqhFdBauW6yb QXEhoFHEVsxaJ72NHmtxo4x3zZoinVchiaeIWWge6XTcLeIFcThF29EBIPEdhKNwAHTY3ShhZkU8 VIlgHJDPNg3TyOeVdRpF9e7n+t4hUtw8cEsg0SYqWgyym3M4KGdNmN4y7SC2s6c3yLgXGUtut+3C +TrLO651h2XI3FoZOsPHCPQZ/iIHHmvMWEfDYAiZxBI27Z8H9DIYwnztf2ouSDVlgkCIf/vb3Auk VmiKvR+wYXviuT54y0w7QAkGaXpf6oxcRqkkX9e6JLO7tLsCYJXiHUVEAGqUiLxyGgUrpdYQRmCm rPc9EebiWjryaFLlj6KXZUR6JCPKBh0vYTX3XGL3BXnqEMzhyugmZCXCK8mS80svhpm+o4waxQ7p f044eBRS1f4EyqrPNsq45YR5BC38F2efm7/WMF1oo7uphLNAA7pIIkiy1IrH04C7q5jP0i/dRdfr 7KEdtegQ9oyjwHH7id4m7giXerbxpw1aIHXUafnRlbLaWm+j983kiogRW7DMz4zrcWOmFSNMhuIh G/XZYzvv5XaPOEPmsbWJfHf78IGH3LkZVL3K+0l1Iom1vDHZHiv7Bl9X7UDSBa3bH1xsFAMKXUJ7 op/s6Aqk1lfXtsjB5AR86qpY5p3PfU/hrdG1oRXqCZshsrIfByJhFtLzCrCnZ15odVF1USa9q7Uq MsKTHl31ioA5jGg0Wdx6OR4KKcbZDvSxw1sz6x+qxAN/ucqgQM9oQZRNBOa4YPyb0yD9lQGdRcbJ BPnycIx00jY+hcgA4VbQnMhUZ0SlaidDrQIQ08gBpZ6hWJLjcqxIo6Yb5SQe4/MERu8fJB07dCIx TwrLoAGxaJFPjFFWcBPYE6nhh9VF0uGBEijB6perTADCiZ/drDVXdvvIO+cMcvPP2uyFXEiUSWPb 49sGRvBobC5MjwcKLuC8yhl0O/66S9orlvueH99YedMmaPftqVkbxxYBGhcmf78ffIALEUydXTNk m0W2F1k7ljj7zNk9JtdyHZ8b+QzLbQtGwFvQJqaxsV54tI0zLtrQhWJT88Al3viB8LIUOhlUmMoO CbSJsPjRzRzcE0r58QfhsJhhpGXS8qEx8pArVgilTtoCMoudeEMtcERbc48bFSVKMzfSHUKou0RD 2Ey0u7dc6yPnCfep5VLM//ZYznhvgKvrFJirADhSHlA3RbIBsfxrr69fNR+r1jsSDhJE+X5Q42yK lYa/jxF4QDMIPcvDRqxU56Qtgc4oNJgvfOSzlUV/jFxB4+oCMqLkAc9tHYdL+BXUfSAJz3KrNhcW vLpY9rUWbJ7PM5kKhyynoJngx7uLO0LroK3yogLMYogqbmuV9+0Bm/MYTl3uIAJcdxr5kgydhjrQ k1rjkZFmyvhLSQZeVNDTDsO1lhMyLSAhihPhlL6uKw93dAn0w3tgR9hUj/lWl/TC7XpJTCaS8KSy KTn1tiU19SIBDB0Uh8NR8L1Ps3AFXeHYqwKYqLjv6JRRw/qBEqnRINQlQCfVs9jccrIun55BRuT8 UQkdfsVhU2ny278HzT08x2Ye48dGgdUZKboOYCpGwYmxmvoO+4cTtJfPvCItjHJ1RjI9hk2Ijj4T DUfx9k+M1ISvNfBWsmKBK3RxyPvu8MWv3vQErG1QIk3+xMErl2BfLlAK4jcpfS7OtRl5f488//7q T13xiIqDG5pNVpX4c/8es9x5/BOd5QHD5e6l/vrIc1IPwVoOr2unJi9caR3EGZ/9q5AM+5EhfbHa Wpmoom2IGL5RxqQgqD98/AFGAfnxXAsAwUcIk4hQx5matlLvoHeEGecR1WTlEbC6pmY+nyLuY5xH K4N1Vx2Ex0sej+Rjx5+D/f+Co80uVGXlOPd6N/29uUJsYvTjVAUmD1Fdkin02BghLg015IcYe3Qg XDJ07ytjmocay8yWs82kaPxcbz6vfLhVOiwzRTyx7tu8Gq8xsXSuyjLqGRFEuRC5wTHDbAB9tJdO TUBclzvN9pG89rfCC7+nxo7EHCg/jsb4r6ueM9dMvhnTUJ7WuA2j3lmgEWlRF/blUMd7ly2T3YAu uuQV1sRUiTKeksC/olg/Bk2QukuM6YftKL9jqaZ2P8mkkZP+CE7Q+ybXSXA3azY5jqU2WN2VphgK MhUDzzpddO1+Din1nIq9daUAXB/hO96laRSRsKB9drwMXigcSiU9y43zMPynn48SIvfGEZY38eLY fRwe9008TXTarRbrQvac1mn119fqcFVNOIg3+YuKjV5kPAcMaV5UtrO1KTf9jtr8LgLi33kttxBZ cIwUi9CJI8mRXicXcmOZoFWHjiN6Z4geFV82tA97vYkYM9xg8l9aXrj9x8ulA8fNarZ5Hpiu2oz8 zTMRbdgFtkN3UDo19/yqpXVfYqENN/5EM5evWLYnSe6o6iH63jJfMlqcLFG2yVslFMLgnUrL8g22 JLL3SPxynUxycYGNlB0aYDHOp0X5sUAm20ueTU0CiMI5uZbMUgQxJ/cAdi/W+LjmMGzD2SGToT24 76E5SBP0pj/KOINpUj1jQfrQGrRu+WYusF34m5TNOSNyW7At5Jcfoloizepm28iIceBfU3J6YvCZ gZbtvndHFwyQiHcCNzljzdfmS/r647QCJS7uxZ8NRb5rlS+WWax1XilX5cGsfgALj8wln37u+X4d vvLOzyEImiCJRWcuSJJg9vkpwuPxu3qYp4OHSZwFJdllSao/nIQxAJGM8mzIq0hB8kltXGAXxBUj vkI9qJ2oUauTS+E4E2VhnHFwYyohFDAlz0e3NAhsumFeQNpu9YFsoX3RAeONHM6ajn+bCopon4Bw F4VUrs4PxWTHJsJD8Z+/Bryc/iSuDP01ryq9Yi+uF/SUbq4/K8iHphcwQPZ+drxBhkdDNSwZDIsY FPdX2bi1IKgueq9Xj+7oItz1w+XrafVeuRsSf62WqTswWAxDMiXw5f34Snh+BvbL8i89s1VcQmX5 CPaJCpYSrAaSze2Rsrs0pzsvw74Tj2YWaBHESrogDP5y02nskfyn7ykqPuccnwBZP/RlooIkkn7T iX52mYzDTN1gNQgtDAJtGaC3aUc40Rmi0g845i34PjoVQZOQ5md8vH78l+wbtEBdFAze/MrQl4F+ w2TJ2EBkcFi5NkKWayVnWVlvSFb8facXoTFKSNtslaKY1WZPm1wkTRtnAuO5xCi+Trlaj6D1mcCV yEclFxl35BVro1XKooAyZUHJsjDq88FAJuGYOf6edxT5q51EHeg4UUawq0VyVPSQbdW0fR/MgTs0 Dtr1GW6ms/1JgVqf8GwW3imrBw8TrEf9YkiK8AI54r3qrNNhCQydRC3GjoB5CCHGv6boGZScrcSa yGHJqy10iAiGYzDZzgKIBADUE61DwwbzoGxYTJPQuoQ4t9VFEwUgNVNirwmyJMMJ2eGIdYXOQgEB /VqfI2+3h6mBsh1cRe5ogO1F1eO6WaFkrNd71eKHTiL9Dv3YT+NJn0Vwb2c1TaXMObo9qkJt3Ric 0swbeHyH2tIbrpu55Ovi1L3IAMW4IZHa/FHh6rCwoIbg6HpaCS4Lm/yrQ/BOi38s441UCAB5DoM7 x0QBqwRX23z9rUAvJCMjRBoCuz1BnQ1SOofAQ1MwVIkg4CE+x0wCfRE2cMTF4i/KgtLn+vY8473S QYsSFGKbXysCGBis+d9BgUOTtQkFoGsPWQNPRJyHuLqN3ur3CKvnaWH921sxkDjFEcHI4gFAjmmn kHb9gnopMyeVBidk3c4rSTrdn355K8g7SnGKLLNO7BB5qTidao35VskotZp7mwhKL0DzliFdPKkS tFp7PZeMrw7GG2BeFm/OK/eTrxutebpyAaXD3rhnXRbGuPxszelMaMc27jeFcqiJYQxFxw5fanDy 2/pvViH7Q2AKivRxISUmBeyNQ/qZ62lHdVj8GZOY+gpkiAHxIaJhIQC4QQFkoWb/thh9P/H8Jooy clKBah9eQWsVFpljDYtFMTPzB7vuG7Eh5F9U/rlqcEwHtX8XB005kY2XHObPWMUQXKA4KLsVQ51L IwAAqp0nh/xtijxvOieN/mfJaBbChQTuwCuM5HVU/jP9LUSHGt981U5xGZAiJsOI2+mAQEqwyER4 G1aFYHCuHx5crV306+gBUpBvZ5/LkUljkAcWXexfL/rji81RFCeBlAqSJHTdYIJhnY0ACLR32dlX cdZkSqXM3KFo+Apw8bgJlrqAWGyOOFKrFYzIQLNeHCUAsVcijTm6CJt5Swd6eQgfnCptBcBwgeWD WKA6WzU8S09uMyMOy8ZZnVrVldzW3WiSsGY+R8D9HEqeZ8ilcx2vg90fJNCypvdrzIUvBCAEt4+p 3rnskucDqqPfM72B2/uJXcZfPf093hAmXDmgcyVQ+X4SWERlYBPmGdLA/7Rfd6XNOcDgpPPw7wnb WwEu2y6sKZtiqP/dGwRL3EvWIvW0dt7+719qBPTspTNkdkBD/CJ8tSNYdTM/WLed/Oa4hdk3ptle mIeOxiQ7fdO/oIMKOTnDC6H/ikV/vFr7saS8MU6OGS2GkSWGKSusuygAbqYXpZnkCUIEj2sdurvx Gy7WyjyCIjR8q7naDZKr1RcYSzvgIxfX1LJs03mr8yWgaNOOeNtmj8ogMRx3mtWFbk802QsVvzyC tkqUn59LuiloD7xnoH4cH82AaoOuifmtyFzPgxII7DNELV/ilX4YZIpnUAbLW8Esm2QljbkTuw2U Qn9WD4bX7mFFfIhM/QzC6SCYn39R0Y1o2PjqC9HxAOBjHNFFPhe/205b/48ZgDNbVnV1UwU0vVg/ GwUnYWeap7s2xe9MP2Q7znpVe5lCg1TEJ2/WaqrooWecK4PfuvtW4l256xRRRVCM1E8+BcK0irQH UcYjgibL4fSQmwu+AfNhcfmrYikT2jJNUS2Q+RstNhxnPO6qjEF3vylccZxISqGXM990HC8UnuUA cqzU3s6I/6m3ESLTl/jVyC5+7hiw/QkbCcDkYVOaz5z5eSyCb0YeYiqplohmpAk9yZpeHk2BDWZK 1O5WDLTZIXeJ5OKRtQtDQQRMor8IBqsYSwxDm3umrCtsKiChVQmvO1ZW6FIWuoTm3Am30LsPTDiC lqa/X+8Ka9oi4xBF9atXC7OZDPG18rVmC+6iSh8ZKAvUoIuuGFiOgc5TKSkcR9z24yRkvbP1VWqc UYcXVaZbxIbdMxOCujJNySnhP0KX7STBpccnsKqcj6tJu+yjqQZFVx6kXSXt0LicD3amGHmHKxm7 6j/01Uzt7RpYG8u69vezgQFoamr2lMmSXsRkFoQbCoTWHNGRqekErBsAp2qp1DDtvJtSCqXkLDLM PsuDbnj85Gbme3KSf03sa6xG8JjOT9ykW1/p1smBMzhVSvSfvGVHC7fzDebJbRIyQQpntRVqrjEb quSVTLFFhwYx3i7eEJCMPMqdZLIG6a2+qhj7UlTkP4SbdF6e+nyt98QDg8XWurlgKd0AyiWlrUnR +LrvbtGdXIKmj0CZvl9oVm2H3h8eTkdImjlng6sFJflOAPvuukS+YHCq2MMHKLNW9t/1SxhSsVGx 0mC/MOnpReMWS9tdYVWuURAD40aHLJ5QDsqBN9EbZp8SgQnaPBqBbFBXuYa/DS138S8P8WYuzyS2 piwSlmz/UjCFNgVySZ36TGKlLPdvu/P1JOLt8uONiPycmNls1nMzMYYsbywh/IfcugfmbKQ7C2u8 3Ss06/nuHBUogENnV/ad6k4c4yX7gDWtZquiGhQhYCg4JLyPxZrxwKdllxTSn2+93PAsGFaUvCcG e4ALYADppN9k6ZNAN6IaZCpPhHYd4qozqJGcDi1JzeiI4ntnxLCqrFlQx8A5hZx2v3efJYHkgrZf ZPvjhErdXQEDL4WyZW7AbXmEdfvyAiucnKZhOmT6oIT2JC/VH3GU3bJ8W6tSfaKllsw3G1BAZvdt FsuzGkIb2ijaZHVpcJGo9plbxnXQnfyiIA5upX5SGLKosNAsQhhewMbG/wOIBNg1kH/ryoRBOZ4d ENjDe1fCVcLgtj6kNvQbYlrV13fRP1DfEBFV8Fjs/tKpDcZgjUFET6JW0t4b8DzLasw24fplQbzu t8XxFcGb1P9w7avouNucHwTCiE4b0rRWVeJkTPRtV/uJ8B87KJj6xjmV71id8AgawPKHRc+nsmTL zdRqq/pJ7KKd+bnCdyIIOp8I5BUifg+7XukvUkUXoPoJM6XkBrT2SeVAhB4dlmroXEptODPYhAOn HylL3kRM+u+FaJ0xUAtvObNBoK0f1GcIrCMoYCiXsf/73nK80Ve+/IKNt7Q7kgHXn1SYuiFcJNEp o3O4HzNKHxZTP1Y2yFrGQMa4gCyfZ8BZtHOY4iUhFBNR5zu9/SY1JLSO6mqkKgCZwieU8i2hmIxW 0wsoo+iuCRDo+me2U9OxwJX4jeljkewoSoYqhu/A7jG1xlZy0MwFDMXMF+tsTBx/hDOP8GoS9WYP cbdDMiKOYIfegNVNT9f8sthrgX22zipZV8INRuDxWz+AbwvzEKu39Mc/Y5hANozzSOf8sYoZypvv Z3ub+pUev0P7iJKs7seujlxBYlKR0pvesuG7J/6mrq0b0JRTJ428OB+5Kr12rbV3E105SG3rXugM Ei/IWNfE8pDeygfMEmvVnw6hBsrMLTU9rtrLIQrT1+mn03j+GA9bxeyBlh79VxS4wk0rWe/5/5nj Wvw5gLpH1ttKZ9pHMatd+xbTxvDsGLrkHvExWYXoBxbTdQ2eDBP4AUYD5f7JmUPI0RKjbGtm5nH7 3Bljv02qlsFGwXaixFX9E7EYkmvgP8sGWPOvdE9DGjRkfFjPkrHBVvqJnTHbAq3FpP2wel5ziFd5 rU48sprCdXKvZs6tc9hSVatswn7JJGonpO1NNRrEYPy9pCGRSw7+NTXmmwawgpbiVf0zf7Cu8mue 5yQAdrzkGHiZmsTXjduKT7cxcjYdMryjCYROb4b2M5sAWZwPL5unHGkPX4Qqxq3R2gFvEHNi2/cw wj07EmZyVV+pHt1QsNH2yeZ9sCizfGctrHh6E6znapQZfqLdR+HXLYqait6oK2IivIGl1d0RqW7V LirLeU1Fa28YpIibaxN19EihmJfjtoonsDxsP+Re8P+pZ8dv46Q5jdh86zDpeXN29W4EFwAigTlY XL6f5X0MfXaBZeelzDmB+SfeTY+AGf0NjdAUSPXg1JqlhMusIhkLRyA3a3U3ymlTQtPpswRGFspG +Upn2Xg17vONJ1P068KglPOsvHtkvJx2SvBb7ID/ZCiQ2kwGtATcKpOVV22CIfFXR3rlT5JVj+oq pYrICZm4DQvk2BI1Jhl0FVjNMFGreRbMXg2p05WuUwZHdEw48SCgBxpAS5i9e42Kvl02HB9Z1cmo NtmtwC+MiqfwXvlOPV0EiFdCsQGqicr6gNxNI7J+mlPhAJ6CEu9peAKQVEn8L7mBvxngwXvIOPpl xxaO2Y9DIbRh9aRAzQikqDeRpPYwhI4qICdAiKkeVMrrLMeE3Px0FWZC3HfTiy3aaLbmWqIC55RQ skBIMgd6q5qd2HRD9NZtwGKg2vorcF3TzLcIikwwIS72/k+vvFzu0HV/L45iw3MJhWDjqdWap2Ib VOA6u5mKe95xuOY4QjKOd/PYV6ghjTLJ8vnspoThUBPRXTUiB+0WfeRy5zUK/vPBD58Rsx+Vlz7n rvLKj0w8XyQZ2Qp4rfRZs+I6yFIiS+i2peN30ie1xPXJndxsS/C6Wu4rVeTiF89YUggIwK6Wh3RD TjSE5d0MGNIPfYg5XYlM60fU8WQF1YTMLp903wsyCF30H5WQawi1sDrSks2LpikIU/EOR6Kfj4lP zUytB8RZShx68N+UqYWDpAJadp03nCsAi1hc1Z9HTNbbrb+otX4prrzyk0d/hIDincO7qpnQh75P D+iLGnsNLdBCx5RxIj/VSSEzLniOyQWeZlQWqcg5hBD7tQZ/vs35J62zMhKkF7AJMjE31hpeP9N8 c+qyJAxfQxbnDFSOeuHo4aR7KJPNAhqWMvqMS8N8tnlcc/i7XdtSlDJANiBfb7/VsDqsbpi9gwcv i5iLPv163fwYve5BYbGfaOomr6cYDhtLxmmCcznO7zN4GHn7ONqxrQu77NDupiC1sEVDyeJbigdu kxoAV0siF2w375NhkHptaP0YeHUa/qgtjGT2v46wMgGYqkVoQh75a47XY4CEYcO5yyOToiQLgDLc KKsgd30KtDnzsI4dSTqPoWpQNEjXbiBUm/LdyYPwiKq8Y+f1veIpDC/GEp10Zv9qKe6Musrn5lyv SEb++SQeuVv7zwuj7RpU/L4+jTvWWXbsYEl9ppS1i2OBfzgTyN6if+hVDfnFOltHtoELHpP4/HIP u+VJfbTp56Z5tPS3z1DogaGVN6PTuQVRxWPW7hIy312CgfgdiohnBUwajyc7swN7cEGKMivzsUfn 1J7pfiDXJ6hLK9tqFvK53XzdqV+RB380/1tpFa3XBhhHpYoQT85C2adIysDHe7Lu8k+YmoHuixuJ OAXs4gOav0YxC3rQKq6uHfSm50fBllCxjAbVecDlSF/Zps9j7XIIbQ54Wr2ePOFyJ18IPJGxJIW4 7M1CUrEAPAnlCudahC+EXqtLxnomIbH5QOyiBA/gNIBTFxRhu3zXkI2R9M8upcWYNn2HiNIkaMIq M8K11s27G4TIHeOZANokzk++RvEWyfzYIfaCAZcHc87G/YpesYl5viIFXL08FDwhbhMzqVEE0/iu FPKM+Rl7JEEtjRi49kVyNEjDQxis9ZeIyNdCT+52RTuOyqe/GhAObuKNmTvvppcWEd2li55VOVO0 szbSYXW9w+jiTI25gKpjd4ZuA6BhBcIARv3dN1Y2f70eSsL9VaqCgzUZNo9s8VT4Wr08Gbw9hTqx HdlWnbYDrQGr7AEtdm7s61Utm+zFf8THrBV38N5qSGr0jdtW5VScaei4aojn0P+bJS8Pz7spSbp9 TSZMmQ3HbsBwaedtbK++wkGQMwYNyEaMuL+LToubXyLsS5qEcQujBsDCEt53VwQX8jRSCgi98MIk DMUOAE/ykj94aOqCsVe13ei1zAx4IZBU9rqYR9FvEyXzOA7/I8hwEn8Oq0wYDttdNHx9kz0M6BbF VSu96h7m5E/fJG3v2xkknO1KsInDjLMwqZlMNg/v/lsTHXSVZZv7DOgrhGg+j5+tHaGKVWGivG6I I412gaw/QL7rl4N+Y9zFRBS3dQp6WcWfgBG6/7lZ7r2sGm1fSqtnAJUTRcxeB1xhvUURfKSLPTgY L1AmoclFRBfEk6SGYppuYwhRaggQcd78pxNiQhi1nT5orqPeTjbhT7UvOCMl98PfVBYy5BvaDZtv WrXVTnmUA5GWPf/CaVEXjCe8HtRdeI/8sG6xIpS6uOQ8eSnTo12gDsOgwHb7O5hhforw9ZisR4J3 mKqwrdSJlMwEY3lmwQkzWa7odQ6wIaU5hy27unvgwKCcEn9riPic0lY0LjJ9rBHhXxZlLpHFc9qu KhhiUj+/rbWo/hFYbvzrkLJ441I+U+nR920ULoFi3u3WMHUTAk9p7QMr10sAqlAGfAjDj2Oi0FGN vJq11Lc9zr2gX1vvcdPnm30hIHtFhoZBQk1yoaYRA2XJ2iXtGKwpjY0w42kKzNkbjnNF4BSDiDBy y6rdhxlXO0IXXlYPzYw83DH/BhnfxLxRI1D/5e6NrTwcFPDs3sFKHmp8PxMn+Z9B5AupUHtkMNjg 2ZcUpUreCCshVWo5qpi/ICOuEgQxnjM+xTrZwu0Fqx1hm94jhgf4JHjZgk/KT1qjcTIDMRMuThNR zNM0PaW0CnR0loUih72mHcXdvnUbw5CTkeD7Nt+BV99qO/RqSXYgIJYBfPtGvdtLLlchHzLG2yoo TxY6rIw5SdnZ/rZjLpubQWkFUEm4fy0yFpoWGNT8+WnTmWJGIRzQcPbDCQ9UVKFPXo5Di981BeuT axOa8xzp43r24FdC361jPgq0N+szz0Ip61fSPfxQlE2YYbCNMd+nATJ9PjWB/APW33pgPE/H99Sw yEcKuW8fx/qW2VEERSq7GUk7BI2bpIATAsdvzZjVlL7YFJJNk8gr1ntHi4OSLGN6BhjpIJwdQlXv LyVhzW97PY+m3sPg8/j+hUTqcw27ad1GPUGle0crE3aCfj1j6AenfKp9G6Ngg8LGMEB13KtZssuM 1yQukI5KFaVi7VLARXHBFsw0DjgBYwWFsWNgbjAknu5K+fUjm3yRM6PAApx/fv7w+n5kuWjZ0zto UzPKpdOy7rYxEmsv+J9WkmOo6MPHB26CtRSeZCsI0ZeiaeBhBhk/8wj4gq7wdd2Acdsw8um3AwCY RaocFex/jXn4N92TZjt31LX+C6sw4R8SJouuW7q4aSzjIpwPBkwIpdMEkCKf3pRI94Y/MBnW4Sv8 2ZQZ+CWZ9iQMSiKb4BezpGbs88kBBnCCaKg2/pqLOiCN98GmLTjvkIYbBBER/iz52Xy7+TCdwa3A t4bwqKWskzJusKHizlyF3nrtRykninUyuUI1aBOJxuQdrYAGX+iVc2hsl2SPFTrognFemGvDiIe7 Qq4BSkoBMoTb0vJ88Eb/XwioBsaKQQiNsGFvSidkOGPosRkchc4VUrbFE629fLy4g3W5R4OB5ml6 hLoybhngbZd2SsRZpnErqfPK+g7FA/3HgU0bagFx7U52l4pupN6yub9xjpuCw2eQ3sysO650eP4X zVuDgcch3uZx0iNpPkB+FovK6DmqfuRMh0tyKl7E1O1pyZxdU15UkIQdO1csxbv8AmklrKuxH1Rf WpOx/3Yd10XUFWYuQPlqDmRxtLRH1W14MxrGTpX3xH9lQSCNHnjoI4bhkzXrTZ/u/ZTM5myyHlDl +ibwv4voNtez27po23YW64tRis9i3dRREk15l2Hp8RnssD2lEMFJnzJIz4fNp5uUD8LfRMqmCWLt AappJbFLer/FHCMdIrSMMFKlNa42YwswHr6cxns03tUfNbSLg2N9/qbUrLkVvq3ijrVnvFkaF2yu 0+eWgpIGuAMydf42xTgRuWZ/FpWjcLYk2ND1OO54F1uCmI/XHLKiOJhWaeZRWCWZLDsmwz+24b+B CvuVFytFBXez3kuvKV3sM0onhIQ3cDiUy9kyU6yWhnJqTc7VToc23PFqt2WsRi4enxTWYPKJ3oD/ QjgD4wsIzbmu5FtPxEG0TnTRkaJUQyEAq7vesveWMlYTuUrAE7F9uig4l4Y/MGkNwUIEvN8CLeZV qPL0e6fzT0dhzYNMlBz5oN3kILcKvT6zsykHXuHmFVHHP2DSPjj6/yqM01aPijVPJpt52nAugmFQ u5FzWColabD8Vh7AWHv/tJWC/U+x0cjiz4jAkVreHJIduMlmN2HboZaMBLLC7EGC5BYplKt6WdRi t01ULU4Q9WzmOJg9aQtoX8oaCQ3oam8OZZAcS0IA8TcZCbxPZ/lbxu80j1Fq3d1/43SpIgZz5H1b dsSH4OEuHvXo24MS3n+wmAdM+TZRPQvoohz0ZJY0kxmcjS7vNu7v7ZbC/LH9um1QHONlYpB1iMCV HcTc1MPrwykaDPeTmhMb1wgQ7oxakufa/6naQd8bte0BX1p28Ugt6+DrRKe8gha7ZJ/J1KNZGpMx gjp9y78oWd6iv7SQlMHi14REtV4CIQYYFUlpKsIHfYade2VaUJKYou1Qf7oULviZFWnFFO6A2Xaa GuRKvei3TCNR12+DMimZFQnYakvPq2ShTa4CMqXCiG99FPGWLOQnTP4O15CVNSXhttv/6M2Sx5Tu EiltE4/+VcnrmnpTuBsrjjXD7zSlMownWDN5q1/+b1cSfUPMkLXu25Nlq2LlJtONpDYNf/yJNZD1 qsHepQonOQZaVBgZSjdo7zKHNlr042632m/jx5R5pk3v6RfWVpYej9Sju9BdCT2cwCnVcVIXI/bR GDL1tyIauOt+qJK8OLtTX93+DwgbYA4YmtZA6oCqDUPNXwWMmgWDdNFldsLZODW95bAidiSZcUl6 3uMzNSCywEZzm1ghelYyO8JLpRtKadQCzd2Y1aAuRVbWPEOu2w11qKR0/c52mIflCiurJXSCWY0a bd1NHJs1ghHYaT5OkeUVqOjqNNnt/cvb4FYAWO1vuBQEwvSOQTrFtrOEZa2cJhZ/XTAlXwwkISnL 634R8U5tbE6V2iMlNmbRk2SvNLfYtGnzDuVCLpsWPzR3LGoVoYzvm0sXOODwT455YAMr9OUj/3Hh vmyr/eWEPactA/JMK7prvrJtnD8UclfOQGi7om443GvFlAs1MYIy3MeJ2GZfzn88oPfb/YsfdQ8q 5rdcsCfRzSeB3Y9OrfEvmhMkEo+ZHmCJPc9AFuTdcbRKh5z0cvB1y5kgYtRxVbOPn2BlndditioR vMN8hInRhZk+Wz3eIz3s1+4ZLFzbgC6qKZ3AKdMODhljDszbsk0fTIBrUkr+tki2qQsD9I8zNlnj SVmhWweQdbMdT/ZXsPFkOTlnEBtX7DUfbSATBAM04+rGiAXzYJxYpQqRJCsZKdMbil1RmwlT02dO fmkthHaqua+dbCUa9+gOsYnOIYeYAQgkEejYkLUwvebGn6lKuUbQP4ulHB+8HVjWYjR+xWugqIBw DLGDlZHbDdRxmMHWJqrXSroP7QpSNgmL5w7aqcymCLzdcQrucx4LqibSLMvJDMr4oStkLe8IDkbz aEuyinOVZNr63deULBYGHJbpItpay9Jb/6UyKaYmnd2McBc2RYz5M5iNafkVxfrq9X7trp57DXhd qDQdzt+X2+XUKMdZdfV6uwl2Gt37tmjEClQTt8evAHE2KyLYDArTp5IMh+0CdH9gxdQ2iFGdku+P n55UN0ZpHvLgdf+QwY+DupcmOmL24pn/Oxi9KMlXevHEyupelglCguPctqi/0l9R3c1bnvvhUc/x JvQ8/vt14E241v7snDc2D9et9DTcrKGJ0LYCM60rMChDlW5UQ//R30lhWewBrQydM/PxljZLsEy/ u3YYWFSC+AuGgdkbfC7XxtT7ejNZgYszWEirlcQq+C7Bjzk7Yrrhwz+LB92SJkgT+t/WC9UmVE/A lZdlXEXdMJ5IfEWEjfdVjZwvy04vyGqUmCRws0HJWhDvXFpnMw/s1fnT3FNSuxJoBzsFemRkkOPP LtQGWu07f+iF4DfLLAllrfWNvm2k11jV5UGuSTirLuTd9htDuOTkQ2fWbjs3cdbQNQWxuITjMaMW xbrjQzojT53uA6UWYkSUtIqpo0YGpw6Bn7ucjurO9j/PpcEljXRQI3tagKEewjsr2dyd33HRubYH FzuH+Xz+CMBMDFR/wsIae7yLt8vPjy3La/0zjqBQTGFybwQC7nfOrTIklH//j7/9K/1+eU3FxzaJ lC5zYSlgdSH24P9z4faajSMpN4r8QQ4OzhvHUUR2PgQAcVBa8v1aqJxuDRB0FUyoVFOMKQ+WGQpm iWKZxefIAIpiUTvGdX9MxHgosm5hJ109PU+ciaZdPOhSUtcd8TnkZDO+42A3ebu3Hif8B8XcIiZZ LqsQI22MPLSQDN2G1wnvOTh5YfrT/8OL90pg5gtevvQ6E473ZpGpottG6Aw7lp2O0EYcZuvXIvvO 3ISJZyudy21+6btPAp8Cd5RQG4iFf3gFxs/gYrqmXKr7QrrGyY92HGg47VstX7dOvWDNzFD+hChb +PNVRN74gNAVPjBDnvl/vXq14zrrUbONlL2Rh/xH25LHpUqzhTIkzoXbVAQ5cAGUTMbMWanXKKRe cJH/4vnNG7ByIxFs75D7l60lmZEh7hQL9QosnLwzduHj8YmCXe/WDW8Z7T93/kq/A/n275BEb69B mWLTIi6CBBAAf4HsqziXTeU1+LoV2aKrNmKzBNOZ4W8kExABLOEgu480sXfOdcEE0Pg7ExuVSotU 99/tWmt92aJqBSYUP/QemALrfeVh+EyD6K3FjvJrqG6GJfp8mtbnJHe/mFaI22vGjDm7uVEvtDHA 2uANfzxZUCiYnybgw519j4AJDcCseZSQgED8rfFkif278EJ9dztwJJSpCJSAsPL4iZUhuG7H7PhG k7QZsiwj4F+oFYHc2aIJzX2qMOhj+8Eoio+vkUldOX80RBbnSLeiFevKQAka/M9GUOjYArq842u5 FxCcmJMIFlyWr/ivItLmw4Lpo445jgfRYYJo5vRhyjsxnzCZ4yIbXcoZIz1JIg3+ODrFXWWGuO6t N48iRdopel/jLrkdtIx48w4l82MPF3+n2TNcTNBOn6iso8mKkchS+LlWBj03j6+TXsIuPs1TtgAy baFDE+50jw0Sv0yEm57rVXcipHESLuZYzuDI6eTW0SdBpbflATXRPSXZi7u3Dsh7yf7/1iRxpYyy RoqPDqAsCuRH0iu+cSeaID/fs5MzTwClZ/j4gyM3FQPPNYwikbs2VORur2H81/Y6PiXb1SmfCxyy bU5eC/xIaFfhEDH+ALaLMU1AodkCaqtHgommwtuShP645UoumHFUJTqsnpBdqTc4nLdb1gou5oeb a0sTof/b9SAF0CagvcB80qeuOZZTs+fjy8xFjx7mBvIdN+M3blWt0UUnfaVh13/8D/YbND9USVIw 97/qUKB1Y66+5yRNgEFpV5+UH9AT1vvq4QTKsWlgaMBs9ayoPHOpsRkwoeNK6bnB8DaSzUO4+9uZ ojaj41/c/XmfLavq9q2PRmBRjnv6/MwKff7LnltHYxkXVwzWmLHfP9gpTmz4BTNvXxfn/9VTfo5u nSyrzMA3POiPx8lQ5LDx013jqjq7Of1sGiayqQKFvhSKMQ7wqXMwDT16YdXRpHqM6vtcF30shXOn QkfsWZ+SOmddAntDtDQuQQbyb0+e14iX7yN869ak/P+JYrDVbl9uWVwAkwMRQ4sH6fXKjVBs/3KY ftCGuM9a39bYljgltRk0vS8BKFGNWKVz1ku20FMzllQGl0QMxrtYWdypjS6rMWHnfWKM5ZiMUdZf V0fr8D+lw549ydFhkiCQRDQNv/Y1mxrj+GHubOPIcu3b2r1KzlTyZljEoIjAGzeF5jVAZjBbbEj9 j1AHCdrucsWwGJg7nsmi7dNcKiW17pBKvm/br+YyE6OYFH5/Czqg1zoGzPtKVWOccbuxHZwca0ka LD8SAlfxO6OSDSFKfxpnIKh0KaTW+O8yfCSB8zNCF2qJqzn+97asoEM8G6KJso3RtjwqUmsKRYRm i53++INk82X9cSIaI9gSUuMLMl8UH34zOaw+UW3Bdlzrj1dnR/ezIfJnQ4+fiVC+AKLAjCu+nmhl zLlvGgFlcMJLBJPBSQa2IFy5Chaq0ajWqD+QMzdYr93KSPKdvxxFI6BBY9FxRw1oMAUpncsInQF6 QQBQjNCxlnwW/z5nz0VE5jvTHGnz4MTavJzipq05cb0D4/GGNRsCRlPGP9QHIHq+r+4baBdZcrBo /fhTd/6icybjJtxVG+PhirlgdPn83UyKtfmV8EUTu6eoaV807QyBL4mp7UyKSURyWeTwG0dqdSwP 8pBwtCD7CX9948TS3BzwtA9nO/JLzG+jUpXjHOQNGtegXYBXh/nm+Feavbml+DUhN7IekZ/AUmtQ Y3OWzicIYjwv5cayKPVPf8Ly1t0FuqMb4Zzm7+M9gbLIEEVxP0YhX3cs2HW6k8/s9omoLuIotP5o 6EwmdboKmy2sdWQCTMKgHK0TG/l27kMJ2739/q5Ke94QOvnE0uYKGqJZq9af0d5bkl6TSJxdxS04 3t/o3k4k9VcHPyd3U5LMU9upC2/3IbaO+AgDcsx7cSetChmOa285CTdlUm6Ee3Z6jgPJvfeVrjLW mI7xd7olJxlC0ZZjowdl+YFzC+irddlgurPaQvcucHaKRY1KLvq+bVD2QLK6EtESSNXfil3oXs8S N/5etow605nK59tyY4h1tIYlMsmldxusKwxrEqDCtOtfI9EjSeo6OGFJSs45uwZwdI/tYwSEzGRp OX5vkeIpN1FucX5iA7WkeJOyTZ/5qWgnCjJuIWkd4GXqDro0Q60ICly6K25uJVN/ZdG1mhLN8ue8 Z3d5dhqBcVZPld88ik2tuqfl5+W+Pek4ZAh+sWJJ114XPx7wB2a+WWqn1Gc4+sIVL2RTNMjPpZtb F4/1gtw3xQSr9fDNy2g5eYyLMQAy3wroFrrabvcOF0Cy6tpK6kmmRUmVzq0qFLSizEMTLqi3BQPc s7WDGImGRWD5A8oQVDbaLXW5h0BlFo06RWgYCCbb6xluwjJLvx43aoGWsrXbqJxXtFQGSfMh5PCk 6qiDEZHlZ91vtgNOtThnbXZ7dEH1+amDjIYfODQtreorcWAEvWlFj2+s4A8kr/p52EnM1GMXfzNU lVS0UShwqBVWBIPeNhrdbd2v6G9kAjGrY1y2ZKlqZFdCYqgdaEkHegtmbFIljiU31sYsiUD8mL0A 681RK0MPxtWhC/KlhNJrs9LcB5uB9ng8JXDXxykMwtGPe3nH7c/N4kAUj6FnITjfp9Q00faG4CQ2 UjvB0GEgK9cHyfHHbleiWOnuvAHEUdUXiecLxahbdMj9Btq4yVWihA9hZdApbg4rBuM/51y/9B/H Lgw0t6KJm7j4OV3Q2b4o5njeFz4nc/ZHGHzotrvsecidFqLWxeU82yRTiEhPyPZcGzol5wu86VV4 3AifhDEgPf+IOFJGvlA/2ysehXWgxHC8yQrSUp9Il227sZdrYocNGgQ4M/IrBtSPZwSgJ0ClDV5R a7+bhchgSwLCvPea40qmJaUD7DfUBEG1Ci64qDxZyw/IVX/30sjAfQuiTpV5JpE/m171gKAwCMu+ JqeE1fHtNA3MIhHOrb3lpnfbOudoEbh/EAkPFv8NN1upCw1a7m0ajM6GEdPBNTMX5nZSKpf9gZTH IZNRfBu9qXaKBYMwMc3j7qNi1Y+4pVPkZ7Mpzgkns+ACnLbvcgtyB9CBUqUPim9bw7Ix4SncIwp2 bh5LdFfC0/cjfB5O0SWlCvCDHkVIqgfGpgFAOnowBwxrLAa/nPnvB4qbyKGpLDqqN1Ov7PCx/sj3 wIMw+pPIGaeHeoR4hsUI790fsLijOtSjcca7lZwj5hHGVaZ8wJjSYc5vvVO/7IsdHvGBHQOt6u5W RN3F215aWhm3bh2aE9RSa0vHz69lZ+bTtfIdF35qSkz340lszBbkI7FTfG63nkXHsOfom4dE+zGT o/GTnOEAgIJEgoKCiPfRhMHa9cRheOaQHXRdujoHZDc3344Zo0q5G2QmG3u9OG36WFx6c76htxqn kT7aIjBsQU1YqArxM5a/PxxpXZCc0W7Pvj0shqW5P7/aN43eTR35TI3QRyEiixJwjGxAT5idOhr1 ghOnJJnVJVvQ9/1TxONcaM8SHoc8WuSxZ4wrbz1wa1dKXia5SSxs6Fl9HBG2eugOAg6kPxedhVHW O+44HpKyKXuTuQoVNtp9OUscJc7zYBTKrhSPtu42vueprY950ZoLR+QBsh6bUH8RrGK4/92ME9Xv LbN7f1OfczWS19yPZwey5li5GS5X0ddj4GIWCqxUO3rZ4weTeyP6mr4oR169adaliPaKvAI5rOyN FrtJneqqfe/qudjJ/QSZVUhWmYzgjdljU7fZz39NtQ+xrTfPFj+NyuDXHAtB4ZhSUPJStC62/PYC jEhMoP7+mzWWuAPGmnnRoHoobsceL/D5jRuz3b5WYOdoMgmkiJlKCOtQazW8I0ORTcM59ixWBX/O gy+8DyFG3g57UZooM3EfMlJmux9Zl3jR/icBKchBoabPcw50ctHOk6BI3bkZ4va2gIQxBag9fyAA sGudLna7go5zTXOSAGcx7PnMrFezx0ypav1xWj2l4ngsFiBJrenRD3QT7vY9/BQ4T6+X7fIHNHOC WMUzjc3lP0mQi1GZwDMC4eQ1MQ42n7IliXM9xG9wuAQv3L4OylgObRlohzB0w2ZlmYf7PmlN1AZR Qa2UrlsaauWSyYJxkEI41r8O2t1Lrs0qvRHfDqadn0PADtpmxCNdD0/cnl5jRajGL/rc/nlTSYzz TlATzkEBBCCA5he+NgXV/HNOP4T1yn1c5KXiVigHZftdxhVPWM42Fih2tl7x0SmFVJnTgH57PH8Y W89KdAyCpqaXJwmGKz4maGrwkrh++By5GBQScnttsX1pBJVr4C+IuJREUQMF5glhcHds4OtXxCUD KzOCb3bRJv/DNKNUxj9WQh6g2+QgERCkGPKIA82TS8YOmT9Ex5j792nAHB4vsOftXRV81d+dOz/h 1Pr6ChqzmbCfHUSTBoYIH8ZYJs/zkSPz3nwFTdnDa6rcx0CiKStY5ol40DT83rAjj0j5fyk52az6 M94PUegsasNBA56UskXs1lI+ZjrvoP6PkO3SvBoLupFOejzoETLREtQUJDyXrlUpo6Y66vwJ0ebW 3RblC9JwYSZuJcV93j48gmtu4Qa9Pudmjjl01PIXcfdjttezEv5s9+wJnBR+CV0iTSB3OhSNwZVR 1EBvz/00iq/ciOpzVUzxvr1K6xIfy71WG5SNb6AEhT+3twE30pCtNYSMIEpwmlsrUZWJ+o2vPLLv +NZRzIbxOWyS5jgYzAEANi5G0AX4wpmZL2jb6tRhnA8F9uvp1WqAfC2FzpqEJv7fZisSlUZad5Ev qX6GZ/tZSqtlfwnX4SB29yqZpvpmQ+Zu6lZndX0za7zbI2T3yUOohTYRPilN9o73fMFPWrWollks vLhSuA9QWsUtpUwpI8qa89t/n3nZUqVh9DvhbnaVs1cQw+Kq6xlXPhpV3kfNqdlct+ziktQQUgqA 0mwXJLnfWSTw1ct83jvXWYzsT9CkRoH6rCS/Gx3FoRCSO4kqBJOe7cXVWcgFgh9OgP/nabiOHCTI qrticn4bg8D43aTMLgpY8fVc7KT6NoGJl6oKqPfDMFlqOFONFDwz3LroNiB8V270mojSCAQ80xnZ mtYwnrSFueHQjS+laf3JRQKzcKxg5FgokJuIBJwu6MZF24allAAcqAzc3pHFetNsEobFcy1OrRQ9 wYVzSRXwE9HxEnz+HZdP5FDq7FdZtVK5DNpJgjIkT78iItbgWbTZlgB8nzWIYfnsrCVIARMB6baa eXMCfzeN0kZ5JORBenzxA6Ub5rkDwg9refMtu4/dBbD/lYzSwdWSTyVoTmjKpGb/S2gvPp0uqZrp zfWXKncfiDgg8/kFroFl422F/4Iaj2Kspn2cxjSvS7aLqhEgZvGp/MFIzW4ppXQLiBufpTXQ2yCY mZxGlbTQ73i8X2r0wZdZSwvtZtQhTZXpYSdkRMQYm+VcO8PKWwnLsKXfSXHzs/uEGDsV3dL+CLYc CZSQn9Tcjvs3s3ALg3DbInAILq5eM4/e+S9nOQq4PSOgs7WNUN0LOnjQeUOjPBha+ZtmiJyEVBBa Cow7JLQ8AT3jKXcs5sVnc8GpTesd4FwulVb/1WxmJnzf/y4lxxN3b6hhwtUIVew3tAg8RgSeXDoi 9f8/zOTLOdVCEC1k/YgbeN96jwR5PDSwa1+UIFct5/ZGvEL8u4cDb4y7NiGAG79QIzNCKDDsJZxX e/55uN0LSDXLVvXA0LvY4glqqVaFim9VxCvAFnM4x0mPLkiXwRA1uPprxBPSTgIACZYYTEMdDWTg YlkntYqMkZAUiEC1sQLT9CzcE1CPtx7vqgqG2uPRiUmC99F6/uy3fDUpJNnW+1WjVkgVKD3QHxG7 UIOXcPn7ODRlb63lfLxlPm1PovG1P47cPZIbasvOUWyD675jjKryFW7aspzIKEHPdlVCiihlYUGs QIT2CNE5UoSr0xmA1upAxJY2LJ3A22RJWHTpeMk26TlQs5392VE3i4uYIprN5tyzuJOxsUGlFMll uC5QvelJJ2nFBjGYQ4YpfsQQLmLjFehw0DR0X9i5K+gyyMJ5I4aBQPGyWjcStvewjzTcLBpCdyI1 1bo9CTR/+AzElDhJiJziHD7xHWFowJWPZWnhRObzX8O51MiqjpQJ9axA9OVITxXEMmTajhLa8gCy dbM6g/JTI1xmkJUopFC7LK/lHsuIIzxz07slk9zfCf3pUQe7vFQTyZWCh3xjTaelUZNiJBRM9bDe cHpd1C3G6PNoUvulPSV8E4cY6DpXIrcLoXhZRXk0G0tJkrgoscCvE8eLoSBt+y+2LYG5HL9hbeSQ DbLaPJqDs5EcJ8/Lliq2h6TaQYW3BO6LGq0Zk7ctJUeBd1GotIHoS2VkGDJ/CD6BtK/G4KnbufSO jzRRk8n1CQfRsm4F518RvjLkcnLQH9oC5z0l5qcLm0cnmByhc8h5GfSXQRqfmkrfVcjLy5M9MDxX J00ik2yr9hO6F4dSGtAQKENhV+OSjig2MhY/IcKtV+vLmfC+sFxz/28U2eWyUawel8laI81KgC0T Mtq41D7lm8PoLQptBipoAKJ5nj9qkXee4xjHPgQA/jXfzcfXF+a9wUMFl29vUzFBrndTsJ/Ti1Ce QfN15BtHEigjSuFLOmgPOev26Tw0pSlJkNFJc8CXTXVCBb9+LyQxK/KlmH7bb36I3I5mMwe+ZQVp KXgt+1XyLXNL/B1oDcy/0A4YPhyI+Mj8EpBYhbUPGyLQ6vNhdSbCHm6Uk0r7xon5C55G8zXJ2wXL AVHY3rJ4EUwf2aICnRV6Icc2ss0S8TINWOw1FdqgAZD0xSv5uPYRD+EUatfr49tRM1g9Exr+vuiT wIU3/FYsbvgtN7vONyIKMS62uTmgQIhI7zoqcL9QzucV6q+a1syf/uOLmPYesoEYjRdSkv0WD7hS 0TVnlLpdxMTFKCNsv2wktrypKhlq7j0nohGbARZJH/5L0C1VkLyeJW+sHNoHbmQ7OBxXmb5+blNi IbSkqwWqT1LtGFhyhlPhAQip35TpKH1wPt5/BhClOprgzi0VaTQ7uiQll0xhOIUNSxU2KBESJCOB S5y4oyQJ6EPtXW+Aa+DjDhE5gAAjPkF6KVt6cgS2ujchZP/VVBOKG0fOqTssgAd42kI1ayB7ATPy 8d86sxPGtnil5Zh8qkYZzkaSrE0VkqMBwSB437wf6hZNnCh9hq7Q/0OH1oWfVclGN1RILVGSp/0w I3Ln6azvisYLWFBZUQOZIRY+E9RD+ueYDrYaLTBt43KGRVbhgStTUjJb6fDrY8tJdvzv0VapUZ2m tjILl3pfBd7MJ+lbA2xZS5pAU8Cct/1d7K585RPNz1Fs5Vzce0hGMZUDx1RnvF4i34ufEd4skRiD H0AbWn3XNfZS1NTdOh5m5Y1Ao6y0ZIT6+KfUV4Rt48f/9baD3YEZ+Ll1J7iU7O2hXzgkf7iaZFBz H5yfWJ2O6uZbmxGziV5I+Ptc+x6dvSI/EssAuE78w5cbKUVJI4GMSnG0Eojly7e83utY8E6B0zWr KF1WEtGLh8wcd5MzmyB45L3DTjiDAZN8pXbXLYM0P1MZtkdnvOi3i/XKE7064K8eBBHG4ax3gYr6 0t46Rpl3ilBDCm0pO2I+uS6tusiAkAlenOZDI88PtiA7s9rAYbhIVsdDz3YN1rVQqd4yRCH84H53 7y1H8VR0PXOo/UreSRSGeQfULdYEEamvwV30W0PWZt+bYYw/WMLYgDl0PoyCfcvGhbv3yxBX9DSI BDwjWiYMWFNMjg0bSQ3X0EXTLHAwnJcfKii88eqRmKE0i4tcBwcHIIvETTJVFIGN4dIi/5nmU5uT hgyg5lrhoRZK3Ujr+c1hPpeG7PKgjo+jHC6yckqLXJDqiH+8Gg00d1ap71eoJ99cRzCI+GF5WSCI yQUYpe5n6uvAFMfmQ9DvB8KgTrnAydSZuTRrCyGfdLorLO9vPbb+0nXjkyuwEx7npUE/bVOJQQi8 /8ELsh38jQ+HQWjZ41YNS3wqx9wDTPhkQ0/94XpfFZfWm7UMq/jJBgSxpRCYcEgGATSfuCbjav+9 mU2gnPxi4iG3fmeLbqSCT+mzsNRcFrDZZMs5uxEoe0rPVo4vFG+7xdLiAU3xKqMOq8p0lJehXdM1 oTnq8S8/aGkTBBr8orx+DzuA8VhvG7Bnd15BBney+31inilKhOOg176SEk6ae6/Btaaa6WXGMbPr A+RqvItM0EACBNt6UOeRlBNbIBSzXn86PAfR/SBC2o3qtn5kjl5F04K8Nq4NpJ6wAWmWIqPfgadt Rtr88voNLGttb1DGbMhLX9Wo8/F4KgcofQ5HfKtxdVzcj2CrjpjWBeMeqkCUlcJrXmuF6Z/yShY/ r7+FnubZJ+kZkpIlyviqAIPrA/4G8EzU6D5LYGl1ziGEoRUeAyC6ntgEof3R8AEl8zBXvfHlVllb jCC+eZdbm4skZR58laeIbDoiOo7HQoiY1Tt0h8f3AyY6Rhybk+smXStz28GXYkqLnTohEqm4ageR 2pJvnXJJmLVv7ck5oHAiFb7EXoAoqwCWwT4EawnqPEf5QF4/IH5vgFLxnD9ccmbtGXQWD0VvjBA0 AMWrMsRGfW0QuyFozK6aYA/sJIFsrc39erKwRDbsuGYilT8Jt6LYVuyIdhFTi4ocC0xPWQz/CnNI jto62ybFEYeQX+yBwcF9JEvLmSuv3I5SxU/FNGKuNAiZkA5vqtr4MktHSjWzGxqy4Al+ARffT28W 1rIUgvhjDIYqAmfJPpgMhBwJl8/j2LkzpvHn102bDBWmhYeIcLiV+mUmfVYX3buHlJOPFpuHvHhN HAfOYaAKXDhQDVWamgzryxmbpygp97Derdyq32m2cdE2yUVavuamcEPlO+ikwKrCZbYpstWqNZLR geqaaLK7vY7w8O58+GDc+pJ5TsXm4PyD1RCfuUHU/R1rMTp5ALwytRz00Qlt7dFY8lDGSRPZDhNH 6yzTFiqHFBS7ol7fKZhAhuRb7CXJciPyqHggVvcXeTzg0QRLgPSVCsUo8YfmjWGxY6eDOqzfmApg o9PZUQ7yqoBq1IWzWAIzWmVFC3z9SgX0qn8EWcRVXNb+euj1f9PTaOJqD2cQnh8OYvmxyg4/29gV d2mnTJ+OvlkELFiObd88Dj3iQ9bI0+u6kt3ISLNf4YOoUXTJ2S1vFETCYimSTSPv4kvXTdmRw3/9 oLUK98GtDkuJk8iSmOc7VJ1zVxf3ttD7Foc5xP3lBD5XQu2+kwDc3XZHvgB2/19uvNtr4CsEVZOS cOiqr/h5BVUq/WAS/4/aUuGmBJV1S9QuLY1L4Co3i2Hq7VNiBN2pUSs8zzoWcZT/j6tovHV4s0z9 jgPhklt7RzDbbYiUrs2OZlQYLfrjuGNn7++orXLbgJg66n+FZ6xwRNlD8bs46gnFhPPSQRZop0w0 QUy9If9sHUcMaTkENx8vFIpaSg7TD/+sUFwCZ3+gAI0GpA2qTVykKFnC7jdWYpj9SbbQBkHO55w6 PYoP6ul5hNmo2YhRDmI3FBqGACSUBopcHfhrz1IaBQqLlzg7d974xeo7HT/HolYozSUBJSWPYLKY aqNnZ/ChNBDP11XHwXi5MGeWtnPXQKSOy2XMkNE0nwaZFrg8p2ICutpJw6MP9u4eHexNM6CDgi1C Vo4CkqwHcoVuTIPWsNZLflq8dhX3OYBr5DYx4Zpbe5Izx8e0169d0k1KOlpGQQ1XlORVQnoVB2+H wK9x9y6v2r4v9CTMwBevETpEIThi+aAiXUeuEC455zxDwm2OY8WyoX7/8u1IN7fD1HclS95DUNH+ rhu8LReVoip1NIMwm4XK/CG4/A5ZY1m30nKC6wFpc3Fipq/P7Pxzj4ygdYjvt0hkujB1n9NRrnmb aKcLFt2yFLDg8g69tuACj5Cx0U5zdvV3DNI0aYqbC003LCYIB4f7hxcS3tlm/273EMUMk/nr+iiR VsRgq+ssfXpeHDdsV40qm83k3FjsnKG+Z/diSnoGBjDEbedYD0b64vWQv6jWBOjir25bsMPWjqXl fnJOokITTf98CXevSnDK/N3RRA+mYi4xfz/WjDt3r7A2JNqPBA+VCnHmGSUFQebPWEsqDPMTX/D0 eZTlQNfX2MxdcmnO7eWbw5JL5/FciiqMV96nVgjEWbzf1+G+ir9H4Z1W6mwI1ag2SSfeKybxNq9w fF//2TZvdj1iRJhq67K81Aq+s2nlrCFuYGJJLEFiXC+nRFNAdgsWQqt0GE+xa4LhRSsoGhfdVwno gUE01ddae9iKHOctQuxzE8l1nPlUfjik/ed/8DWQPRyCpVJ0GKm2enUNXJm+Fg8IjAol+nUgupCY 1ePafl2pNYwF32yaTzKsGJegIXkZD7ksJ/LP3A7dx7Y8TMDVPBPVMRai/+MpYUZFwiPFx6cNhNK4 YD3gqGH0XY59q29UKlrB8AGsjfPHZULx5nVAIIYDS06t4itLMbXhrDJrDHv429o2Cpr6Bi2JfSOP oAJrFS0KSF2/brwC0Ccim9xuCV+9TGyePKdAIECmoWrt77RJFQHbqN7BfX+8P2qT2mTzrlWfUhc5 xApDg44oJyVfQ05KoUBoJUTBFO1MZM+Rp0YIi/t1zN52xTkzPnbrxD/RpgoysxZBh4oNmtQ3IFeN RrjQE6FgwA/OO9/zPtbtbU2uXsKV9ROFd1z2X7sJOTHj0s4GCZjy5KC/+yeH6ekOkMH+nz29fjY0 H83XwhjDtRjCjUEoL9QnhSOurF8wYO+JHFf7xkjmZPq5dikk9+jR3AVBRTjUjZuama8ouLjR4BIn 40l60XxL7PYenZ3C2OdrbPjqoouVufdBLkWlVVj7C+L9gSdVN/DxcIkKWmFQt6dN47gD6/Dhmp60 tI+QpzK/X4tKsYnoa/aJZEfM21NEDKD1UyJH+98ovz4BLWG9qHKC2KGZcq9mpqnLgk26QClFbhoo EJQEhxOhMrm+K849KcaKbpPJ8NAbvUK//bi6ijIaWbCaelFZgX8pLmSuYaAAcuriLVMbSJ0iD0nm mDOOAsO80E4BxCXQijoJqIBS2KT+2PbbFOk3U29pAqFHi/WjAaEYRQU/khG5tW0v4WuXo8DDUQhO uhsLZoQra+nPgQBoNMJ+IdSdP2pkB9x2pGr/rbSX/QpXetlrA1qwBi9gZLBYTos/daM30yN0Y6v4 pTqGygNd6M2j16R7tPYfyW+OV1tzZNMGKuftOEq0IDUbCX5U0DYNoU3OQBLSLT0tB87KbcVA7GG6 TxhEHgRpSoe30TUz0lgbG7TESR9Hh/DGADvP8WVVJ1MDyJfrck329HJGFxQDW56MMv0NrUwhC34x xT+4GrCrr7/g6fLLFI1rc+hyp8P5ScCWZAPmLHo1Z18Qs288LYv1uoXA9Ao9V9ioAWSEqrwI8Xz7 rgR1mpHOMj8PDqVzL1FC2UI2ZnasB/z/o7VqMyQzPqxtdFV6f91jvmCHG7ZAdqZrt/zyk29paLEV GwjMK04CC4b9928aAVMAm/+WJ4Y2D2CeiCiQmI950EEt+YvVcLmJ8nU/bdjm0H6cQ3l6TPvL1m8Y PGAmsZxeiI63ml8uC6ks0WfScuro+z/RKhyoMjtlN07apX0d8gZhGNHfRKTJelolD5Rrdcu7AxSI gNK0gsS/H6qSqnYC/Izpo2UT3kKoFRodprS5D27DEmjnjUyDEoxniZJKzCXUMxEO08+H0Tz0/Zug wf4FPnu2feejJ0NLvOAOo/bLdIpbPkD4/iFlghec5hhtT2iNDArOc396wYXwYhn/yrDuh47UhDwN /RrCNWdMgSu20qBhSaY9LBd7p3tfm2FrTjiIcED2UF0R7Kj3tbEm9Y0j2VGkji6SurHa4Gf4ju2E kivGQOCpFDaqyU3IGUyxTIq3aBGp9TZGpTsdsSltKZ/0VvW9h6BjeS1NqGo+owygjI3xBsf5Ew0J WiAMqfCn1QullNXMt3c7esRW8hU2gy3Xi+TZVSAke6cOtlKEzLzDYz42PF8y15kw0LecdlNYb8ft Fh7/wZ72e0D0wb0eaDE+8756gtbBAcf9jv0nxTVeVSsJgyMX6nQgNeJ0zN9EEnVpmctr66qgigXG Jw0TaTLfo0K2qeqC4NCPvYCPEcSzQpK01TFp813ToZDBrw25kKJDbp0EkjJV2wfuf3R3GEPEJS9q CIcE9bcmKU6+Vih4a3LKhNhVqbh0UUmxj2xhfuqYQO+tMQ+6tspg6C/vprwW3r7d/8Fbg6rDns9N IT5ZzbhX+JTONflNTX9Zy0Mt+poPL0/yY8hRnZKMq2Ggp8+dVWMD/L927e9QEQ1EdptM+ZKkSly2 evJVy8l9WohL7FiWOMTgcy9iAHtyjZN3dnYBMYtOP+pOaOsefGusiTzsIqzycVJ52PMACRSI7a7m qAjIURjrsvNAaENVlzvWZkeKLi+s1a5nV7r/IJsbCDq9WuHC/oQh4ygZ3iu1Iwlhd4UrWRpJXN1c MF13LvSNkR7FOeme+Z52os4x+N+4kfYr5myHm6bVEA9R9ZW1Fo0Y7UaeuCNr5kZURRVKoMeKaWzx clYHeoLUgX6/XhIZVZibh5SQUlL3K1egmuv0O5fKX4Ttnbwt/bEg1PFXDTb/XkOz8PX/OyjhIrrb aNYwHKBauA4mHah7DGNXopsOvQVvipwcTaRfh7aNxQ1z0ZcUlEph76NFTI6VqNZFw/2dkIDcLR7E EgCFJ+H4AjMlqZ1gY+q4h8Xt+p+iOt6uPm2OgvTovJN8jjyP3U/W48XrbBvf6euBhlam/nLyThNk TwCIMnlNFOUt7OjYB7Q27a8O8GleRWQQgGA78VHOfyriONc6dGD4pJiOL8KNsf743AzyUMocWdNw lOYFlsmzpAxoLH0SrCPYChqI8W8LZVDRZcmT1wzzp2ulcEKKvyBlIjBw9QY6oRG78B/koqkXtxHL i9/K8mnFyVhnwSI4G2kjRhRG3NXeYEBXejbly4p9RV9075HEZhj6s/MRo4jKpunGlNk9KZsxmw8d 3GiSbr80Uy1/absJ4aCi184z/sL6R4VCpoWI1OINsNeBF1Kfxp57+tJSzC9i1j16F1OgnRXngO0q TKp3mDUH9qwLOSsYQqyesoPdcyfYg3dyaFexUBzAVJ8+hPtYnKbEnTP6ecHxltLoQqI6e2+xvmpE JRLRG0G2ztv2xtStoqrKlxocG29ib+1BENsloAlYW8Yzde9Q8O9x6FWZ9fmCY2nEdNFRCS+ZXW8L gKLr+QOwUyHa4rb5P2Q0HHrMQ0rdvjjz6TqBpV2scYJITI4s9YXB2AWW9fDY41lfrd8pJ52IZNRH Zzs3TTo8HQG6uNx2Xx/AJhH9iQ1PvE1TSebdG4rXdNLky0X3Mid2KR/uUho6wdrDdZthidIDoKRa IOKKNhdBjDeZMWL/Dat4ysTS7RYHWMH5sXgdoux0JoZFobffw6mJNdiS8K7RpM52sWnxEBvXDfDA q0OMTSI0xizkwokF3PqqpZ6ERlMrsURUYFZebYqh8ZANpEfuEryaXNXRfU2WXSXReR97YpIxaPSZ xvhT5pB+Lxs8tmM2ADcnZ6RIDv9HMju3d5eKyTKZSyulGR155xg+RZFSvZs0oH8oCgVoNQtaHX3m 843m2OvqyWnEMjOfMqyEWh3oKAjm356+bTN3NA5G0LPXbVn5CuUfM77bZg+XVpCUQfYdKVnF580m /zM3rlmvStElVTVFIxPDgUa0RZ/SrOSGyzY+0XJVtCNIMJdCoLjwrHGKuzAbNFZEZCZvKZfbDSp8 cRNXaasFJ5YqhN87CScR7/9fOY9MxlnxJqaU5hgPPCQrqJybgVNHGOgyCZY0kboOANc91949f8At eNPuRRx5fZNma4a1bE7gNRH5s4ZYQ+ayouiNJJdELWkbMKDWFEF+PbZB/+bWzL+r3GaSI9fhYMk5 rhwCsNaJs8aX64zkdl/cM269cdqgshCQ7d0C9NJcxcSwVLEB/VFcqm0Kk1VTii0ozVydvX4UHjU2 XdItyzM5bCYPGYmwoVfOOI7QXsRey6zB3ZIUFCAAmWEeVLNCX8XUwBtZn+6HRaBDXa+nObbhNtz2 yeS7ntc00mi3e7NWJ78iYpE/OBhE3Lds5sNUEoTbDDV7CC5Apv2A4I++mhnrA4nJopuwj/0sBiK5 8zS7wdDXMJkcp3OybqvQxdsYz9IEf+6/+RR/h5JGDYDKjD4svEPwj2aAMXtmuh6UChjcsU+UkBP4 dV+GwUnSgMxkQVpIOVi264N6PKHo2SEby79TsXzSodBdGO4fyRVccXgemef+hqDWL4UWPirq+vPO C2UMCqWw6/sJfpYsWKncIwt0PRZbtdDWUKitcnNgdAml2aUV2AcuDKktAM7qMduuwiuO6sgdtY13 ipcdw86zb6DzHbO7n/6GxbzptnoGU/hmN2e59iSZSRcaIdo9jFhUfNhZ41Kioeeukzwg84pLN7JW xYVHWIWP7ni2/ijZUxVk2tCdu3Op8fJtUpOe6pHNv6vz8+UcDAUD3IFZ6t4ADmJPOvM5RiP4B69I xSACsCweFG5RBmidWipVMdXrUEFIe4Rkq629t9m0XU6iEEhb4WZrod5jtB3h15sBCnCkO+1unbEJ WnBtiQa0w3QbbLx6nIK5pUN0zOg1u4kj5YjAf/D/nKfzWyTqDSblabZ0W4ViAaL2RvXgNoXb17gm EGDHbiRGrMinxskeS3GQV+9KzRy6orC86pS1J+JgvhigKtTSRdKReMEsqlwysC3xVkbSY+PvWukX 6+yBgY7G8zsPNpXQbJyyxIMnkI4jqzbxZOsNbq9aQjazirGEJSnQI0iroKq08JiO1HZZkduEaQeP +RpLhSZaia0Cleo3gKVGHwfHORx2FahJuSwIuNmOGI0vxYIsf9DUAyaLUCY0VUTGJyufN+HivDd5 GwHOjtLS9+QlDHDkDGDZbY5jlVD1cmcsrTWN+5nOa5xofTE4yrFpTXLlcU2XDNZncXmbhkKPROAj vMtnDlmMNVdNy3tMLsHmvnGm2a5qwJg5MbeBsCUkz12UPoHaXA/uaf1KvJQxGNw+2EGywr3VXwMm ssZcJt9jq0fvkFZ7Dw+8V3BLO8HK1swiwK/xDX9Oj4crmk7dw15VQqGyKlCm1k7++oiZp9qbYHVe h6l4cEDFowB2+eEq5giUmNcCF4Jw5lPTdZ6t0hDADHzk9vp3aQ6BqTvTywlUINdyU4HgpmYTRkrH Ee7VhYUpnG74C4PTgDuYKzjqGFIKmc+gtdBWwBUQu1SEqfeDBRnE6q6UU7S1PQK692BZtBp9WuVg Cqs8138YVQU2vP0fRie9qL1u5gy3HrxwNvcL/67w2JA+R5BUAaPUPLQoPwGiOhHBLAgNZ6QU3u7f 0cZGhGQJDw4hHyDabajeYbZCEYzmEGpMQXJey354Q61BFbw27X3ZyYZMzQxBQUuoFKUy0UHMxg+g jD2T0LVqD4B+0rBn+U+BgJEaiHUV8LPn38jD0oFPIx/QFe7qrtzKuxQ/W8cZ8WY6tfPycJqUHHX5 kbUNDqH1Iroq2zswOUsvdgfrm7jd0Mz9fv/9kJ9ZxdZ5sPeMtFwOUtiVgtgtAByzaPFyJd8fkEAG xqwzN4vmzg0eH1H+96rE886GpNe1oMyRbRwcus60MZTSJf3QWWWCysfMpGR2+hG6R1XEzqFXEOHB Y89FAI2pd5tk81nXdYdHbmw+jChOn8D5oJrbQWChm5+BKIv1644EwC/hVaniqH7PWEWfYaZzYtTF LfOBScw9PIhwGD1jPfZleCRrF2wsTNF48TfsjORLc52cCpofze7EIuelcA3mqDt391YEwGw9JQRC wz3pKB3u5xwdUXdjBG62jHhYaiCP8YYWYR3PURI4ZN777xXNxPpNs5EqUSavMcXjuJLH6Ox9vkp6 ChprLaM4cPpH30FOO3BzFIeAeO/2udbGgbgr2A7UhQE2gWpQiBQKq90ZytTu4DNQPpS2hRmiMssa YrrpiSADtZ42f8urDBgQgVVnXh/69MlaMCTx7ArGittVVkVo+7x4hUQ3lC7Icgz126lojTBUx2Y9 +DQswGfrJb2kjv418l0BSTrnKxMG2B2Ol3GoSwM+ogHHv6bcGcTMga14JS+Ydhi56oHRRwsPQBkp 7UQ+9YC+g+w2XKMZrtwbcN2pWs7VNIZiZBC7GKXztjziFoaA31Xv8tgyL4w/LnsNhCoxLRbuRWLw ScnoV+DQIwfCqjcbpNetxEwvRNfA8X+O/XNIYGPYr2SIuPYCd/fWukonRPCOnaJuNfX0vi8K+Kxr dT/ftjR2B4BLvhz7rgkVmoATAvlU+AQE9j7wsG4Eg8PZ9Eb8yy0vchoJ6eQ39/BSrFdzeXkHnloC BdYCSShexft6UGVL+GykV1xtidJHPPn+zp52htAK8XII61g3YH9je9XaHTW74SYPRa46LEUbu5b/ FZ2SwtQL7h3U49AetRxQVHuRAdsSu48q7RUCA+x+1aL7M3BZXnnbyVYOcZTx35T6PuYrVcXFvEhD GQzzyev7yoaGfP42wagGV6BWyYwB8vA/D/qgXWHjmpvIVcfMwl5EkzIzBbnTfFGeOAp6z4bSGkPJ P0/Td2wmkrpTkKxkVn8OWbmkQXAGkW7UeBYWIdRldhZTn3B3lqv8nhgnBL1SrmS10aA8jqnDDUWo XzgmbHs8mYWW+1HIbu0FkSnHYoANQsOiNOL0JGTEFz78Mo+D4nyvxVBAiecK/OMH7ya+n29D9zg/ tb2KtWlaHFJKng1JNbIMq5kLb7l/Yd/APu+aeI7ZarVWj4t4CCUdM0P1dXrALiVZhLl5t4JxMUxa 8a7/+pMh1vlH8WfAmRePuE+h8mxteByl/0vA7bNXd3FYzWwjOoA9xKxP06DmDHUExKTV+r1Io1uO ZQIIe39mbXZdq1yQYuGZva9rIrmojgWzPuRxBNMQ+EoailUQUx6mgZPH4Gs1lV7LFmC3Cgw2sxdZ egXDE4V3VoY7sjJLwWKttZxIN5wJGF8V9SpdMwGi4h6lbdI6vtCMdrnZXQqLxXNjgfg6x2LNnLQb NVAMr5W89uyan7HhQ4Uywkc2QxO8V/g2xx1HNurAnw5AKJRqQ7eJRbQz0dzElv6LaZZjzI1GVO1l HZypImXdOfLwnZmTtjT7+MihZOCjuwCfL0CBgEpKlEZimyx6feoydK/vLH/f5fCyUcnDu9NRD/ub ITceZAvYtJumaq1mkk4D2WXd+efvJ2RdeTkicj3jB7OiMmT6N5LWqG65o0GyyGL0LxsVtAIGPjPr nDgWKTeettIt8rzZ3IkRYh3GOrpeNcJn5bZn+6OINdyQMKlAEWNWwxMX0v0ZDJe+EXJLlym9hXqO UQN1jahlzY12VN65THnnuXHFKf3ZCjEDS7LtK11HqyCgU6i2PjkVjdJZbhBvilv2dQZwPN/x0X1n ueDSm4dq4h7f1Y4Vi7AzZg0xfRyhd9HD1UFQtqvDovs55nBfrcUQwjrCMOSpuQEIZ+f8SL1LH4fE E0un9a9YbdmiCnameNHIRqmbjmelyTOvauXJaqWJtUq6vWt/YTh9wiZrNijMti2fZWVvDh4vp3WF xrS2+OHZsm2teWOPOvOFmqa6vmWoolXLDWvsetmTNr1OOL/dNF6JUr4F6nKa2PckZMqvuZEBuja3 88wPG/8wWhdoftgQLIwanIjG10mVrbQswZpDNO4QkWmxXLM5NkXDsivj7mvQRh68zqEzqG+uAU76 GFpaQkVFum7bEGZ6X3RhXNTUJgvl1e75rOiZWo73n/1WYBeU7BGR0wCcdrMz3Wj/JgkMLzvn1rxJ VE/FqeST9BrQ5HZnv1AP3UnGPbGuC5sJ7vNxVX2/k0wHGMoktMtaXNpYV7jYOQIp5+6PGIy4KjiI 8Tof4WFZiZwrKNsACYfj5RqPjq3oHV+HKaLH4WgK3j2ULotNxRrcEn7UfdGfUrfuC8tMEHrna4IJ Y+a1jbjqojqyd+NIGe4NRAMVxaDM0ZQ4zIesiSMgpwRrtrFw+TXcSpiVoI+8Mw+f9a9+ABqIZbad Yzxgr00xOl4cEvdDfRabVbE7t+mFumc70l/NKreXIJjhzDUySNanhZ+2WLtXMoTOfJ4EuYmWbZcb qXWyy7aOUU9Snn6YXRz2vieFW0iSoW4HRLj2vrzO8bDwYyKHs7M0DciYQ17Gb6tMBTwU5n8Qw9y6 ukipT84871UjlpRX2dbDcdZvPXV9eiIHUlsI4ICBmfs2vZfkDrxrcaVZaYYIz3B+Rw5w+kJL4HNm Q8d5eB2B9AeTcxgnf0SYCOHFiIyOX2jDZLlgtcZzkTmN8kq95TSMdjPK5rVL2pch2NfzerOnT1+t LX2B+p7L4qnUdMaadhk5bqfMyKvOwzGcWrDsgOfbhSv4u30cOfDuiEiTT74fB0PPkNEHK3WVndnd 0BjAMJzwC1kMp2Qcs2tGsEn2WObr+2tqO61SdSjOAMU15S7uAIMX6kBw0niIw35gcRU62lIWlyTP 6Ri8L88kShsfml6TFZnQIiom7kCp95g/P+KAGJ6gr+KC95aKxTNvuKCuoXPpMHPLRd0kVE0k2UyY W8mwJvyMPjsLGx4egqFHEqdJPBtY3kH3Hh2m822+uOuYoFjWJLyjBpcdUvmENRuKsx7V3ySVk6c9 0qYas8ygtcY8B+/9Ih2TSL+u7ercC2i2Sa5Ethyi3gdMQqCq3+aFwAtdVcT7dG4UzL5BnsQTddCB PdcUFUGlqqccQkckFnJwPrziBoHfWVVsoI/Aj1pFqik7u47Xm1pP+w5oGWdbozgTJj9dsQb8YEIc A6ZvEv/2qt7fuOIlngfnPx3Wv8gQJN1rBxEfaNwusd/tHgyMe+mYTXtRpuICQjvIX5NgJSW/PWw6 B/7IikVqqzlnOglMvqkpXhIm+B8k77SYug1/TeFoGzB04BCxQBHVu4nhTbvEQHKQ232VR+pEoXHv obXFohZHL192WzeHDlYsCBqq8wLc9FwExwSlSTCboYKUOs7Gn0y/R1+uGSN8P4wq6SbsThwBJYYh pyuearT2ZpC/fQHuoEukQkTIgoZPy7Jm89WkG3r0H2/N2pzo7//0EkjcI8B0nRdusb540IflH8P0 STESvqJmriyiGYaixma5edsl6e55z3cNhj2+FyxxymQNojTF7asd3xwgpUpJL1QG1tBNy71GJOGC 7v2xLIwM8fvjOE6Ow+nwYYXoYBTcF5v38A8LSwzI9s6dkuCibUnAj2UO5tRKmFlqlVIWuCzbMqEx roCeRZnItdh2DiNXR2s2NUTiucs3yxgmzUxE/4sBy2EZft3yzP0SkcVi56r06P9UBxQKldRectWl F9itFc9hyyQtJVvEAL2mvvig0ikpxuEWGTK4h0Bu0bD0Mr1jmy1QPY+V8KToExyXAh4Jl3/wgvD+ u/ApFXP1wjImKw4uPB5vbgen1qXSbAUCnxFdZxLkmGgav4IDwZG4bm1LypVSjxURnGfnqO1PeMrx 07BzbmiGhLVRwUndm+vG91RjNMBgXS6C2qyQfER7TRG9OW6MjnciDwcNU6HKgWxQlaCI/+Xc6lYa DpmoIX4shR+3nI3aA9KeXh+oaSiY74nqRojrwWCkpf77bKk8FpIVFemWpkcLfe2R6Y2nly6jDg1t /GDW0xg8SrAPd9TgjrxCI2s2CJwBVth9XiylsxqCC0vCoe1dEpNBlJULvCjEaQd7xjrhGXnMBj8C 6NKnW+g/c9wWy/DiBXLVvzWbv3p96tx/PdFQ5D68jrZI616XSexeP8695AGw/6YSW5Nhv01UQ6/W YLjF+9yMoOELFj164OC6GE29ZjCbdt5vN+2uWdchsk6lZ/suAG7IXXeBNKtoFuORrtTRK7EnkAaO R+ECLUGPWsU2OxeMPUr0/8dNMSXNt2mA33U8nYxC7yN9Mmg6sgw99H5Ibv6zBBIbedEsveI9z5SV oUUyxwNSeu/a537N3MMrwYfyLeYM9u8IlAKD/3LpLmhB9HEd7TnYUY/+JTVs8GGuB9osdHsSP16u B/1ekHCWDbXALS6Cq5jyibrbfL7QFwLle25FzQN9ngMwzVEhT/2JYfgKhoNdlGcEMorEQk0awVpq L4fj7RsX7V9SMHxrENmaQ+iNrcFfvKhUbWwxd5SLD26CmG0szAhG+h76xb2pKvtCcZAsR5w0Uobm jfgHuK0e+Ptqg98nDs0+ZxvLR5cVdovmAKVq9GjCv2/wNUYOgW8XCQw0qDjblvxQBPWp6XRwUbBU YRbp+AZVsUkK3s11of3mrtnbcz/uxVvrAvarvvgj1wb8PXzvg9AeaRfl69Fqcz89IImR+2FVd60a zyYrslZWVBLCKvdbENo+O4fDMzpqnguOb+fy4/cnSzdJbqQweUTCsC6V0ByzGxjbzHgYQm+RBQel Hz8Dbd/m25cm/KuTWwLTIsi7EQByl0WDTqPqmqf1iUamzyAKkKyyWGcVBfydLVN32Y7Mjt6Purm6 qm+G2hwWTvyD+ITBHLlOW8f53AnB1qW1DihCC8I6/O9NgBz8G7WIGhxtUmcQoeSAQQ624oM0q5e3 p+jbennMSrc2VFuC+7v7eNIBc5VMISqVMzgMsKep+d/oOyJ6l/1XoDo97Z3U6rw8Pc/1kAO5oTTT mPceXzdRRuhQx+HqXcNVqgce3Hkb2MogPnjZD5J9iMSquiU3pgTVia7W6hny5elj13GZrq9zjp24 g8hjTZB/ofHOiA9LLaNHUEIUUP6TuwBcaRyy0lzi8xjTgEcjywz+iLa8KhoqOIBMmzs4l1O8ExGK XWdg8lKI2sh9OEnvq3Pp6k4TonEj+g+p4OyvMdj5woGdOC+TiT9ScguZShJ2Tp4vgJo6g0J0UzFt Mce2kOpRCajanqUwEhp34Q1Gy4oPjLQYS0/H1Xjm9I7iBvdEr6FCdXvtEKlEvfoqJdw28Ma0SNCX F2KsGXIJX5VHAtwF3mVs8gt7gHfjtIo69/dtfQkbrft49VKcwK65Sz6kjLXNE4GjShghgPtkUxBD qSE5kfyk7WV1QHBlkyHDGgz8DqUSRQ/UDZAKls1qLtOz/Dwmaurs+9LJQ4CP/y9bD7pSCTwNpxvq agBAYrk2f/ZFVUmImg2r8e4/TBOWPGgiRqtU8BJuKvPE9sf0/HDXjZDdzxzQvg0sq1Rc6djeX6P7 KTuTU0JODhuRUwN4Ktku+uygRPiHIrZufL3Yw5vSOOksZGM7u6h2v3aQownNf8PBVNSFqvUJy0/9 arChz912EQt1VTKri41IhhTgTtHABtHM3/4IsAEVOwYOwS9a3bOMKxAHdpbDizB8tKgTw/oAeRHu Tf8kIslrfscQGJZMVYAzC9Z90S9tliEQsrho80Uvdua4wIalqDuUj4iMu4n4/T76N9Ou2rHdV8EC eK9L8joOa51f8nsWOM+VUu69BrCRXNHKWvhJdek1xY/iPn0XCkFiJfSKWp2WHpVeatTlpPKymuqI 7vjPQGUchIdt4UbzE6w/tBxI+590LmcA44DSz/fJfckHVtAATbVyfDWGDj3eYdCPQmJT5YfX7h+c NB8y72oCP5em+tu3557WHa5KKK6DDO+mSDwdmYA9MDwHo6Oe4iqh/Iy3j41YvXgJzeI5mtr69ECJ k91aBLE+rjOzX101J/ZbRDc+u+Gsl3RvWadA5Ks75PRdZ9EJWxGlwTCck244MRbxOWkaVzwZDhXY zMtq1/z9Yfp0BPwADUaMUfugUReSqRK71ssECqACqCY1+kjpUqrZpkdJde7jk50skHe2gD8/H56y wuG9FZrVl6D7s4FCg5BvmI9MuS1uzRlCAq5sfNGhbpf2smyCDJdogXC7WnC23UkddkkZSI9QRH9Y wuU2c6MnOAH9mIS7S5yrJkglyfF4g+8qYL0Yi+YeGcmrgs07YhmrrlpGMojYuDF5f17jyJ1Od5ke +e3NjuBDkweGrQSudvFBL4LIV9ARYlNmvhlaxXSz+NfFGiJbnyrBsihcAVe9fIE62AD9rOXToFr9 wPIjb1fzRuqEjvaglxJA9SXSKGWPGArGA7G12xxtn4iAbYyAMpmd9BYyUybEM6fPs3hFsT4p60zy FTTceFRfMltE0mAK7X+Ku+17Bw0Z2oYgkG7+mIvtjNCyiV/XNeUYJo3Z/Ei/jRIj293QZNtNdRcj ItxfKDVOjvmr3MwnwVR/vbBT3vxwMquo7CjIP3eSDFBehgYajsVW/G2s+02qeEx7a28QnKsIuhOj b9hXz27+FOiSrU+MbStsm4fIxVfyOQVkBNPUs+fvFm6B5hb+N3ZV49s/RrspSiOlT4ljHXZvcOXu skvdOq81ZiSCStl+r+BbGmTryvPK+xLQA+dVxb2mv5Kl6Yjf9TqevHW5aIyJAIZwWeajdkm8sUc3 Byhz7DiwVner01iicd2XzAFelX6C3Qz3Bo1UTRgwBpwUebHxVZPRpveW9pa19YA1EyfyLn906Iln cZzFuQEDP+kOfDZVVJZtcs6SQ2MQGAsxi8UYon+zES1UYaaJ670xpT/VGk2I2aVvOTm5Kox54zO2 PMzqSvNbGHyyxV+XHa73PAJCUTvsNh/wBn4Q0rP9jgSaM6CJle0omK28mM5PG80MU0GZVcvaep0r GdNvKefLIxT5EPp800SoziIO+gdZ7eGuQxl84RnerP5YF/p9Ds5GQJUpu+qnX6QEiiSzzg9kwNNB X1OnXwlsWyOs1EFmQp2lpNBXpROAXa5hmKDwOP2Jnahp6tbiwwDHmixBBwru6dYstcugMD/A7k2H gXARK28Bw7l7ZtVIOiYR3j027OMKwu9XDbwKl8OU2+W+M0W7/+jbjvRB9n4mypFFTNDQXbrccM7W o5ExP9z5WMvZlfJaJ1fB27g5DIHxTana/4vYX64gfELPak66GxLpqX3I1IQK3wWasTdh2FDPaQj1 QIElExncVQZ13Dp6F0QRPqs9tJC3JhubFmjnWSdvVeOHAI7mqtWzkRAZXgL6oi3wPWY8x24phnlS BiH5EjvmLO/X5FzIwnleweUD1xvbD0kk59sdkGDPCvIER+Z4ET/NLyj3lYWl1zivUNdNo9St5eLe gA/v3Tg/1DPuEo92BzpUsxfz7GcmroZi8cedwtITdPNsZ/aLF9HmgN1TEjKv5mwtFuruBMmD+Weo mqaJdidGOQ+3P5HcdTjhA3H0HLpKiH7AphZ9wPFyMJsPh12dXYGuEkjK8Gj3vW/k0c3UQux47BwE 7lZeG8dQTpCgMgAfR9hwEpcDqShZ6ZFgRA96b06op0f+B7FwioD/CerUl34XKJ45P9QyOEf8g8aU czbI3Uj/nqQoyali16W7innrDp7fXbP54zUAHmzg4/RYyUY/+xY8zfxA1+/Jatp7bQGZlPEdhZAB 2lOlxA0rvRbDPEETbk6lkY5+Y5SW2Y8diOFIeQHfHg1yvWluuUD4pd9OFWL+xhLNA0XrxtQKNjWx 0UbIkEatBg6TTM0YitiOnp5a1SQp8FYQXYCy3sp7h3omXSZxp6J1sQY2UGh9w5vxPxCaidVu1Ybx gzebj2IZxSa5y7nM4TndwFINkMrdR5Eu4l7JUxCo5MaGKMIspKvsIUTf8k/mLWO4GEvsEo/FvZLj EdcZZIiL/XUV0EUvU5L+wtTDxr7FpTSt+TYR6GQ0Dv00XFvpS0/QDbIrsweiLf5JFfX5DSAA4n8P n9EV+AgoVBAjV9vVK8Z829imIT5ZogBrSvcJjt+yUMDc/Mkfudt2FFx9jY7BrTyBb7A9QiHhrOuI 5K62GD3rXZQrjWD6bISpFz5nZw6yBosrepr2yRS+jLGOsTNc7dPCIjb0fgqwyjzIVeeFqWCsn3yf h47srtrhGQHYgrgsjgBixlw39+CJYvAAZMMW2sKE4fWmWYTpL7AFBG+dDIJ2RTM2BJ4jPdcNP9CY XxECITJO2jVdVQ1Q9vYPeF8oKhS/zakybRyz40/zpZVfNcPJCePjuPMzzASVPJeS1vINsmq1d2zk c6kXT8/dHriq+wMgxqR3ncOJ9dH/0HD4D/VWacTN/ALgRCNUrisFHS1Z/uELBtZ9+B8I0V5jsV6a Bon1ACazxK4toYreDfWrRml6fCBYRlZDzRedQULRAUjCdnZou459eHecKlFmZul3VrgIHanVA+S2 4M0+yN2A9amcF5xA8eQuTeN9YBI2XZ/HSI+DymJ1xIPvE45hPZnCjNZClYVlAHzXxAau8MSvX2Uw o7Rvj5hUU6QquHHWNqPVqY+pXhxJUUFIcSScXAcXlieGInWrYAi0e8e857UaTkcJo0A7Zzeh1WAD JMN9KNc8XDfWaUX31e+3MHMCufs4xoUp47WNR+RW/RSpu8pme1RbqQKTW/ysmcaGRCWtQcnpc5fa nw4ISJ4fQyUNZEmPJLuOaktjez0QtlhTyUy3ESumkDT17cpkSr/LuqAzhmuORaxM7ZD9roG++s2H 7wf1w38zY3cXuoRsyTjUEqH+6X+c2ODw/Rl0cm59uoGG2nWuczfbFRuNIHG2a684zvaChIk4gMJv nUy9HBgznw/5QqqvKTBD+IsG5mnVMLVJ6HSWmalDMFmIIX8J2HxfNObT5zwNME3MqM8xdTLb4jSC YrjZucf7eNIMjptMzfKd18YSLEu65fwkTlwZAM/9ztOjyCTNPhF1XN29sSRSJSRtjnEVLyF+WI8J bG1ml5IMYyR6tj3z/5Zk4mHhCfnnEiWFRIywIhKJS+y/SY3aEkV/U+XH/J078w9dihh63l5ZuWiW RbwhpDCiNHca0glc7aIp748s5GrQh5SwY1lqf4m/0+PKrnt7FaODACaCMZXtbq4A6VGefiaUBFeQ S61Lzf8Z41xDUkaq1ltaDWk/h0av1eVrtRS90fLcd9bksgXNQ71VbnkvFhOICQkG4C4kz3Iw4gqe NWYAxLNdKaVj0cXtvq8x3p7wNDW5OmM/AgqBSJUeO0pWeVRDroLT5APvVGULhT3QyRjiENMCDPLu AHmE2NS/Xo80d7c221qlyc/IDuTIx2W9nFrWdEbvhQaE9k5hiDKnjmljw4QgfLnQV4EYWbpT78Re Iem00Trb9AkW4KAVZsBzbbYp+oUn2gurVrL/4WkeGLjdIzxYjiAPCkN/vWy5Lq/tzwhtuV6GvNj6 kPole0Ke+zJtshUDQ655aHjY2xtCliSxrOhzsA5h8yafAYB9Y1wDul9+dto9Rdgi86y9uWwVRJ8w Or/HFp9VoNSvN9QW1aYulJEFxLqz89yHE/6S91wbBMbZr+BgYm4fTZWaAeHm9h2KaZxFuJxiAd44 UQOok55to30l/oR5e68UDcjaLY96ScS96dbBce9k/6oRG+oOEf591GWRSXcV20o01stVt9l/G6Mw i/9bbSFQp5102180T/QIpRLCkt39O51kOqYDmJ/VjyOJhWDZ9GJuHZZx/+0kMYewSLrI3WgaeclW HK0ZGdtuKoc5QYIQ26b3LZLnWJ9eh5MV6d/VkFY4aBQ58Ha8cI9CYxugyIhUlfMBoY+1TrrWKgJq 3j7f9qkTDCWQW0g+4HKSZBS2n9J541TJTvqI/dnP783ARSY0NOthR+Mvix3TlaWprjRqhFxM4OUe JOcwXf5OhmbkFMg8IZZdINRmBEyWpWDp4uTjcheNC23/OaYchdDKrJzgn2BerF2QOTxcj53pUkSW +9GTbjqAYf6CRFz8s2/YELBV796NoBD4fRiUCvNlRwDzX5dRgqtZ9bFVvnhp5KiY1omidzNylkFO Lju2EnVkWwRPFWo8OGP3hStis+ZlDdvIUijFw0K/S1qZOrLZQN8TJYr+u+Cferw0ncyIwj2G9/oK jar527x9Ctn6oDBIUxjVgx8+yImwUiMmRSmmuhCqIG1pgyPFGWpGgGMZdEdlKV3JzkdLL8sT6Fem KcIs+p+XlusDxhRLYd64tfiYoJ/TDlUVg9jAiaFAMfxTRSGCz+HOXf1CCVDe0yFXJMZ8A9WucG4r tjXoIP3xAAyHtnLgu/dvf1xplPYaRjt6v1BYGHXVWU1cHwvdFYdqR9Zczxy9aO1guoDl6iMW/Qtr m607JM0OSbPiiwZODwhKBaTJrKFSJoas/+uWb7F8mIFg7NNgh0dJ3toNWAtXkjnU61oV0hea9C8K dibv9EwEuyixHqrTUA/7P0sogFDDdSMyFp2Ny6zwnB2Xq6yigJxmmQ5Z3W8/YlD1JUzWq57BErr4 TZsXSHkT3W1OfsXP7jYGDY+IXxyS9tgBZv9ihA+NTC/jHsy1hmi3VHs2ZFEbzxfd16O73B/fzQES ti2NmO/sOo8melGER6IfsLJS2Qo7iXKtoejWmQLjqgn6F2U56O1anSwj6OxA+AO7zkuGeJlAL7ng /aLu1UaprBiwnOOUUwDjuYoKUnjc6Y7Rc9cIr7dltnYeMBfjhMITmWQjg/u/tFPTa/Dl1IUN7Vax qWltu+aAiGd3Dr+9Ecc0FQreAKkekJFpmhw45Td/Ap/hrH437atqiK/4sE8MotMfSGtY8VixLANN tYWAZQA9QNGVRlmd5ivuz80Z28UhW7FgdbDTsINOPxYh6VcSdXdQWGEIWfXdMI/mO7oD3yHSAwf1 sM6ebYk/yrrvME9ljActIUr/1U9QleDsh/PSZOqAZ8l23cpbR0ADceOgRaZSPmlcVVXDDLv5WBJy d2OY4eceI8IPIakis8yxzOcPZtGgAoKCLiU5STZadGgUzTvxwUsB2gc/vsSVz7ysXf4+Hx9N349N DusTHe9aH78kSjU2ysfNqfsNszk43mGYbobMm+t46ffJZ3q4FhFmEvGgCKwcGiy3JC8guS3UHYOy xYHw73eq83fVtnc1rNGujexOO/dvy5KuJvU+D5Ep65U7sgdlE7KWfq3/jXUlj/TtwT6ZsysON8zU UKiajirTTACxWsFlKYtwzno3NKkNjNCML5vYNFIbvkLbMgnUFBbe4+ahB3tFmbTlvzX0ru2n2fHy De24Wc72CKRtEOpSEtq8+RY3PPgrD/6St27wihCUlpXv99RQOvRZJ5YfYZP0IiU+IWHTi/tb1RVe AYF0/tPjM42o/xBttv+zq4JGvZpoyZTKTjeKEyaVm6eXjhwqHnvGZ4eRuJ1sk6DMcTMyMByJ1yxl U/sVaZJ+y0RFpGQVDvvSd5ZCHZkLSzkuj6yRNbNMxIlLEvdVauch/OvS3q8ZQfuydhn7B4Zr5+Ch 7GOcmm8C/YzYsdyXcUDebk5EHrB0YsMD+MEgwjmvUszQ60B3vq92exeyi27QkdI5nx7EtpHI2bB8 4wBNZX1WmQ7c75dHg1ITGza940Gj0tYbj2h3xOmjMyesSWIKqmpqYlgzn3UnqL9u5O1iMOmYOMxq qid1C6y7cnuWEGSG1o1mdeT2VBd2q7453jaHjhmNLiYTAqucPru1BDwJLQYcCEOpNO/ckVSLRF2Y vcwKzdmwiPzx/34BuCkebawFej+g66VMf20PRtI/qQWFTnvGHqCicUtnsesD1xJgwv8iMcR538iY Yhp06e8K5DvwcUtcywMZpTE4gaJd4MHsaABgzxgc9J+MA7QGROU+an3Dt4nWPASlxREFmYBT8ZHA Czgy8QjD+ZT8u04yMvMGuYf9B8Z/BP+/YgHIrT5xgnNEJgCcdodVL30IOfoQt+zJyjkEV8XEVIhN mdROO6LzNx4LIB+kcvTysGEFAlYgS9e5fuuPsBx+Ar56o2+9MZALTT0luP/PTwEipH91aAUzys9S ALRK9YngUdXDVC94fsklRoZZUpImoWbx7MZ2EXR7ixizSE2gDYWhxrdhNHjUrn5+uxlfT2UfWj9k pI2fE3weFkdho/QyzJ2IHdegumsOsNnV36LBBr9SnmL+CgmeCfGJyUU0p0Xh7kI8i9lRyTKP4VfY GNQ7uPaNfVpaty0hcdII7/khzUQXXVi/1Wyj5ZHODU74SIHgH2eJXpCXxobk60LPuaAsdguxUUlz dya4nBPdA9V1X6gwjYVHoBbn7uIwBSoON9sAv+LtnxV61hgBtkPIhgidmqUXISaQKop8EHSzDYRa QQ03wiiy/bSM5+y7A33bKx91EhgOz2iV56cZQIWpwV/tV3u+xdITzAL/ngaN8vu8XBVZnNbJDZ52 nP4JuhfgIULeZSpBF0j00maV1SHsaCMHfdkKU7kBJrfxtoZ86KkGNnZ/5V69veySNUwu2Ho4OTdz 6VPvGgtL7Yp0LDtsxUxuDIM0Xx4BcfKoHyuIsIWQHGLZbUpqDs0EBe+qgG0wsQqehiwMquivySHr 4fF3z1e/mh4ONVAQqAS8xU22IYTrfop01KNea9X7tkG390hHDfJ047rSO+jteajC8sA4M0UMzDYm cU+cRdrH6DgkqzF5GCGYKCpFss671Qj6LLVVKX0zeeb29lK6cv/82mlVgWTQY0zA/vFO63Gx9ImD 0RDJy89iJ0thn9xv2iuPtJ5GdrtmZv2FAbcYBG0XuaDGx37hCNLTvlqS7wSJc0gV2KFSuzJg7X8M JYHDb15pvrr2ysV1QTHVfbkGt+QnwbLoB40wgSqcqyQAnk/stG6+Aj8oaLfDVpp2p43t1hckklDN ISHsL0hGl+6sFOlcuD/avK04QWoWky2PUptnN1Z2L0KUBs2o+EgjQzoTIIDKqAxu8DbE5mLjdC5h 5Rr9wIDW+joEkp5QaZ0RVblKLZCbaNaSK4R2PREGOekulQ9sU0VfaZBzAIOIOdPNfJq6gXZfTuy4 JzTSFHec4J6PN91ZOnueHSPF+ohwctg3AX5EPzX+3HiLs8mjkbzFWRnfpgtehdRI2QGKB9+pj1SI IebuT/iQoMPY2cf9hsQkTo2XG9u53n6LGpnf1XdIivVlUu3s14QC8ZX9hhH0ysvdHQsQLlK1ZFLk D1x2dNpFdkE/zR/YMlccadex114TQZgJzYvlQRsOnN077bs2kCTXDhP2zwFywWdhwaf3MdmAfrix zt+Q6rJ3GUFo/aZsIKB6LdbTXXMFzfVKyyDU625Yfq4JEHx3Zj9Zp2ew1iFQLUagwObYOHsCu7hz lpVnkazjAVg58GPILElkMprqFP37M8FKhCJ/MJTtp7tNA7434L4I+gZmdM49uZ52K5hJyupkW/yb HDdgERfNU3hm4gZ8wrMHAyzOjxwWLgdpUkF0WUIWK+UGEi+ci+aZle+7O3BCy8XmHgUehZtpcGQp u8R6cF4wHCVF0S/o+EmsjOQClZIOBXaZtQU1Sn2Flvgm6G8DLjueGG0WLl1RL4xxJjqDym371Czp Dic5KPhwlmuzUdBLwz1oNF2zYL3m5fBX/3AmbkqNzMpB7XgIPYY1xk9qUxSRcl32qTaXZuRVa9pl j95LorQ9ExM/89M8/fn5YwYqlQs+hDeTUJGB8zbdiQaBaAgTN2xU65T+bW6rRiRGlBNkNh7VgQRH V2mYDbTCFB9mxWWbz6fKRYs73xsITHfFTZ390ptllRF3GMbAsZA1lnKlbdGGR5Xfn9wX4wm3XD7/ pSZID9Gdezx1wH+i4H32uMZ2mOsHVz47ft3VaKa+wy9oU3rSRz0j9A20pk+DVldFTEYhV0Wg7BY0 TqiNt5ld2xFhVBVhqT+rEUhJWL/bR1c0BgCDkvV43BXCSs5b+h3eANFEebhyJVXYpr0/32uA1BO6 5ldcvIiKuon7TgRCyUcn/2/okdv/M/3gnx/yDd5XmXzo8gHFs2mgboqhzZhG++OQmkFBkxWsAM2G VPoLc+7VT4KITtZeGF8WJsBe3tOL8P3Hlwpu8G+PQ407LqFMLwnK3Mo2gcLZFvSTrYXNFR9z/qrT gsXR45Tn8MVHfPCHTrEnrfyyFCeTYkAnRKFtz+/Iu+fbTg7SyHwLGbKEBsEerqxD0dvQ+dhLVkdR Qe6NyXGUOsjc3hGafmN39kb0/MkJN25vC4ZPBPM9VRA4W7nYYiM5dFxLSMUxQDYvsrCcMX61g5yQ bXYS+iEd1Z9ZYlu1jq++kPzapHbQZ5IsyM3sVxJtyV/5r7udL65j8MmGg/4D/5bSC3CZ3TrI7UMx zamzzg2hgLzXG3225kWXPSOYZhDY6u7BI4JC6euQgDeZ2vDz/WQ1+XWIQ2vBdBCalLAP3anSynij vEmzQN/bZYzIP1AJE7ERsOtIbm5fV7rxRfdlBkek1+TkmUBEtu2/3G7tn+y3KywdWNf5J7ySm28c pUDabxPQNxf/csgzyr2lf4yJETo4KAQfcWFOtSNmb1GweOeplTovS31MlmPTyVQIlIdRZXar1PZu QIfRs8bXMMGg/mw0RwmPKUMgNVDxOHWCQU3nSuDjvpFxmld2mKXDGx3LIsp+RgQ4wG7aA1KsmRbK g8c1q4IBphNUpn/PAWoRiZEtXbvACjk53FY7tGHHqV4pyok6f3ROxghERvWc3HbvvX5laP9Zq3PJ U4eZAM4B2xDIu7QN/+NSns2Y3S004aI3E7giDgd1xJkZ01JkWzPpDycQJe5aGSgDYfqNDNQUUh7p EGXtULkMNKLp/FYuIJrfQzlgyYq0xbA2cA+yLed8SwO8PfHBemyvMr/Qd/TgiGqHWUqwCdvBK9Lz jedKbTo5uNXGCDB6hTenT5DW7XWr/gPg4XRCAUsA2FRv+8LYxqYc77qmxmhyAo1Pn7gvtEr52LMa AquBRI6DgRphrr5bS/cklY9eVIENVRPSr2cQHR78homNolxok4FTBWuWsvM7nSECHTQKADOk5mFE 0qBcUGiFD5q9BSMn8NCZ7bc8GyWa6oq4/dd9/ZZh2uaD9dZQPMEHywSQuaocrUf3eaQM97SylNch zTgHrKmgeOxfzQGZueFNd2P6TALqmnNw3ZbTIfwn6o06l/MDqRqYESxDEzMdW71/9Twkcs81n0op 0PV4l92tvSvd855+mO99rFsOSlF6tsZm21XblMwFSalBHUlV8UVcVYw7s+w0Cz0mb8pJ/oc6TsX6 DHVEsalmpxSqCLUzuJlRaCIjghqzY1vWOG8W5sMkxyX4g32LLkqvBkHR0hJLJTiDmpzCrZgfzXlu sEaAHot/DGMY94TqkbZgjPwmyUaSWkWVBt1G+isGLjMyhrGPsfJpHLo0+hUtL7EiTYjuzSHOQnjl WDoTbJKIW+ubqjKnCFXqdsq3Sb+3f+XJ0EvanAatZQvgS26ueDxS5/HRxwLaaUlYfQ30cWO0RU+7 lpr6mVM0E2iJ9uFCFeDmSJVIvKnNbSKdFFZWPwYWMBM9z0a9Kh1pOsQORUTTDLPVl1hc2njyNpvG tGIFvrCBCVQCx0Bb5jUO7MuL5YBPKDMwMXHO/ZDQeD30IUJ4lUPuMpRpxQPBjOekYsnt5AXVR9Io +XaKX/qdAOLTltnIp0r8IOzdFhFV22ZQQe/nLjUUT7Wwy4qwxz1MOaFJF3OGY07MHbxMLKa3egM9 cdjP/2Cur26WjsPbKwvlN3QBjV7FuaYLq/2385UM4HWoD7EpnGeBFjEPu2QNhjCtw2HUVcR2N2iG rEGOCd0vFmUSYzNCYrjfHbLCz2dmwAjvybg7Q8XciPGmvOS7wEZXnCukO5nz4O9Gl4ZmnfxW1b1S YqDUcitA10LrXxUzVmMCgafnP7pdvt+GhSXQn9PRlVWr2KqZHAeOKrEO4bWufqP4YbiGqzIOtAV1 BlX+wHZvRoaBQJbz92g5SH8UP9nJTqFspTVQW9MjjMrl4pkPcUwgJ6Pbida6QNQjre0eeLkFmVnN WRhxAcVShM6/vPru5u4X/T3FJDlJRQmI6rxydL6HY3iwdMxsR73sMHGauL715M3HgZmtz4XUFd9r z8zdsuGCZTrYWWgb7ky+nUik8n/SvacGhr9PTIYshpZlFP7ePIlLDR/RRrkit1F/JWXzVoW4rjBb jGGt4+uVoLLXif1quyqKJwofB2EVG6W4fB4uO+ODbzO0lh63XJ9gtxvaiE0FmNLyK2WNVmVmRkOV J8t3EJT1xhOjWapgCTs9NaJBbYRPApmuxwjj5EYBttCIivcsP/Z+gS5KpPJpPvRbc+o6XZ+317J8 smmseRefHUv/7GLVCXbkJDGfnKiDxnC+bE6OfOSvvP8nYKMJ/xQxO1GUK+lkxl7yP3zAe1+TOEvh mmhAFEu0++W2c1lhZ4eqWp4VRktLXWf0MsWUBBi8HRWZ5SMoJVSXREM1N4slqWLb4KCB1YZIMY6+ pw3KlQJC+y85mXUfSta0bZj+uq5ChqebW0xVnttUn71dVOFM9S3EuGX2A3Zzzf/Ut6mxPJsv0Inb 4Ae5bYdyksvQ4xz5zfsfhz7M3HPFrfU2FkT/v+L7L4f85mU22Uz0LwdDKpNN6RiGCaRDnANjNyRu jxuKUef1DyXvpUTynOkOb0/aNgIIek8+JwzbGiv95WlrkvPsFPD5cYPk6FQU8Jl8pgn5AlwtNHZT yoTIP9aCFU9FsuoQJHgvGJj4v4OsgKtC/XEz0Y38JyihzsIhJqu4XXYEJlSkx1mT2pF0QXpmS/9E 5j2bC52WKn4tjQ8xaAzVpQigLrbTg2596sO5qE6sfeQ8wRgeEpVzVHNG4qmOExtTTP3vFdPmOP5K IfQnnS7b7Fa5124SrmCLTlqcAY/EwPGvKrOaXnufLqDVA0NKy7SrxQ0Ql6llMX5YcW7TMOWiO8KK tFtFuMCX/z2COxki+k0rHqWhzwxb7CIYcZAwh4UbDTVnY6No2uI1TMo5nP8RiPJthUSBy5uBLDpj rSZUJziZvCpiyKfsHz8gnoSBzxpCog+MxxUTqGt4aUo7bIwI07LU0pI5LE8XBNvJElXsgfodc026 umCPyTdiPTY4C1QnUy0dos0OXCup8pLowaoEbOHGviucuoeuPv7iqx+gAZfjPHdIP5wp8hXIcgbh vAAoIw6kK3MPK6MCLfPJ4uSiNOVKq6OygNKA4JIBv5U/4nKqh4LwdNhoth4vF+IE7XBVKaBZitXb ADSS4acBYW9pMb6LDNu231qy5nvD9j2ywUHsY6/GJZzZLAWAI1+hwuk9mVtF4S8IncrvrCGQKslv 0zaiY/iBEIbEjWOJuTB/Zt/gn/Ei4aJGJ7BnPJI/uy4BYGtnQjW/BKHlweyMJ3dHGLTbv7BrSb1O CQTZ/jku6BJyo78Vl6+Bnin1bauz6nl5gb7gXUHe/JeqZR+4HPEI8JZvog0v2wv7GSIFRmznGTzu qNILIqDD4/xbY8CkrO5kYPbV88npr0FQPQfaHEFCOkOR5yHcHHsbjhn0VNwBgXNXLWjJs2Ptb06b aNmH5ltIoFc0AmZ3ZFk4D2yRmMbNEprj3w+Men/7qzcR2H5QsEmAA5vDzJLDIyzGxcKckS+YgIJ4 o7QyaZVUXh3XVjegYMn9HsWzi5IunQOYaGx4Hitn2DLVCW43ESYv42KL/mYgmYU9gTCyEakgRCHu Nft398J3VEZasIgnp//wHU/IlyFK75EbsE/rlfqi562HtZwUKHH1qpTCxvgpAQDRlQA/v4oVlVyt PIObDllt5/P/M7K4tNgVmMC2Yppzfd/ubuOd83+uyPx6b+QYjqQwP+zj8Qn9s6BU9Pk8ttW80qam d+FlKqAvuyzT4SjqoFbmlM1i+lZPOOcWE3dNVUrM0JBbjWRgoEn7E+Swu845StTluFQ296a2j3e9 C4Pmgh6eSjaYlNNNY1Jzmu8DEyhOR6WpFpG6hdfPPHC6BlPMAdJs6zGWt+L8rvLbzmKZDnC/Vez1 R/Q6cEEeafdTk1fKBS22hUlZT/CTTjbEBHS/myC8DhXSq/sengnIv3ORSaAkh5PPJuatrJMo8jI0 AkHNJJt7jEZiOGYMnZIZpCR/aw+crj19vWLQyMj4AdeOFPZMC+EJYXJQJ5AH37EQ1VBx13sHepkU d/I4EbxRb5C79rKaLnH2uUk93OMyd4vWgB6pGlV7K39y8PK8qlk1k5dOGV1X0+LSpZd2plz2l0E7 +Q27TnhkCZbVzFVm8E89sIBXopEuMzMeUv+RX1Prbb0RulcydqOL8imcSbnZmAen27PbqcHFWAuM 5o/EP+F9CmezgpdDADJWTeSeR7uRveOplkl+00ZYL56D3tG17jc3dybYWTVcGVc7AZoxq3exttsf 1tpvMquKExCo2tNIFpXzcCsB3S7Dq9hzaRZZ794KzanpKWb4Z03fXkdkNF84OiEijeh+/LigS60V YP3A6kuTqZSyf4EpCGnEfY+fMJ358JCH5ZVfS5xwvQewhzwijo0ttPPqWzBZvJsXhQ2x6LcbCVZ4 xbZ+OwKyTvYMUnV4ejueHYz0xuitCLZF71ythsy8YWpTlS023y06mFRcIrTjnf7odUQe8k6jEtaS XoXyufCqJ6FmSLSBfxbYtxD+KdTYYBRayDtt32eriF09CKiuzEPoMZKCURJ6IFH78qUDYix2Pjd4 sMTDOxQ+T02vK+zIzBRvAAlqcbkEZ+2iVDEIaqhA0T9MjCuFLhHBChfgvYPxOsitVghRktYD7hpf yZ6BLQbKTdvstyObb5giMRt4efvjL8mlJj0ROV8ma83sT3YcMzYUMD8Kd4FRWhAGGjUvSsp9M1ys 5AGP4p0bvLcrauVkorSSxgmBXXFrpfT8K/G4tr6rtkJDkDvOkgPelQx7HVYfUs6Ew1FKzxFNI4fZ 325KwRfPQPUyF53Udphf32TrYv4XoFTkS3MsTP/IYKrYBjn6iNckemC74AnP9i5ZOz67ve3l6pU9 VjMKgmgYHqqiYqJjG6eRBYaPpK5PwimEk0gPV+Tpauarok0c12hpfqdF+d+VXT77/5oTSO84xXvL qPylgYJugdN8AFE0I6qSADo8erLVTKvjU69N3e3wsE4DRvTgmDR5i+Pubhe6Npfw35NKuHTatFB8 zN0Z72Dhi1OO74fyvlGRvCA7Xj6wHdpyc4j2Zd8NXUgllCGp3IQVW47iYFUU2fBn3dKwkm3T+ICP L6P2wZscbEXJoTYvRZx/rBZVioR+JSmqtIkREk0MHMFJHEk2hg1r5NPV5S85VG5lXtZmtGmrIffr By9VutlphJ9j1B/P2Q9FuRwgHvL5PJoM7xLwGdz6TYg6LiIIReL4aOsSqMULRHJKQ7RfhEOPwCKJ wbAKbSMcGf6+DRabKwgpMbeckOFcN4HWqXLk6TJk1PK/M1TbR/4KxuUTPQdvlURna90T64AOyWTV 2awe3k5HGwP01HA5lpt3DuwhUszuErQMw8r5kY/1AWzX3NVD58nlHTufpx3rv9arUldo/P4bbgYU KSnVkbZ2DN9hlZUVjbhAWJsUYwB5ZcqzJ6fEB69hQmq1dfxlRwRIj6btsrqxNJSrZ2Fh0vzuE0fM fJ+h6PJZaRn6zHZgyqPIzjZET6QPunvDyLiwxWi7oWkzbaCqvP4ew1ghWurBQQpdNXQPy7g8LFWy mtd0hF/tgGwByvoYFErWAbiboKi2GZ7pBdIj+25xXjU5dwrp3kkLA3Pef7CPq3nhijKGZGEZRpUo PWvp1WKv4NENGAoQRVls5DClivtxfjTYV+lNAC7l4DVw6GgU7o1CDJsqWKgjicnXIvjzLRXocOnX PcZGmI2x4c9OiL1G9GgIlMX4GRZoy+4RRWbmu6Sj4JmP2LYcUlM8q1+tKgREEA/VcfutqevHieVn TGaxJBW60ml5S396crNVceFeAE5M4Q4+qgNIvYwNt/jAzC/L61xn7KiKkK+94aeGruoMwqvBYv2l PcaljkE+xfQVBfRPSCyFKYMD5VrZoLHM78p7vTap+WlK1IDQZ6JM88/RuBMZ1m7uG6GjebdxxjGy rlgOO3i36SwiHpnX/jjMZjhAfZn3d/N8qA50SQbFhbltwpYqfTzeZNtkjWTa57PBRW3J/CtMWhbT oQh6XtqBCQYGp49ynHEMj8YC2iaBEnLoBsKnVSEp/6j51TE0XmW/zfYxMIMxLBH2aENnb/PYEWv8 9GLf9mO+zOsflON1yf1/Ul5gwVm7qgFjqxTM8VwxJiESqk7979rfx05O5f86IHL7rSAo8onvqaVz hQwmMZQWX/Uh61Iq7DE/P3u+IdzXnRE7veUjngq+IlQoSH6FPPNuQ0BruUVoHHYDIDzQcOFs9S/c MrK46/c92N7Mx4p8e8sshwjGXd6KSd3Uqdicwp5oqeLFVkOVg9vFSxVu10efBFrNsK8Z6nPjXaxe SUb8kgEjKEduXW+aCexjDj3jQqMSn9eu0Nh4ELetLrElEHgN+dSKGltGqoScqx23RLZUBKcP0GKw HUu43YXFclhpP/qsZcU4BlcvNQiGAoTZMhGcABebA/75u0QKUWmj30cB28x6dBcmxmYuOVNN2Nag qcKrWI4+cZbdh94sKpg9nblDQPEdRa8w9KrfJDdTtyPK58kcjtlOir2ENGaf5rmyREqEgiLQ/8Gm l2WSpyiOZNPDGIXPxglvjH6AbYApKAfQ/FRG6ntOwIwnslL0FTbPzBYxU9YyH3k10LZNMQQNnv6l Vb46wtB/t7G5nLdvtd7TfaB9RTeij0lGYCaLtGXTye0eHjgLyHtG/3sR+CHdos6kTD7YSwyjhHJ+ 4vZhzm8R4AM3OogRLzEkrRB7VfCyiwo90NMX9Obk2Mq7UiM22XeP8zTFJ9FskKEnJzo+kK7lH8of afL1XIfsLZadPV1b+c0UveKWa3tHg431cwP5lqqMw2X7Rr0abXmD1jN57u4cpem4kPVWLkcBVmju eTqgFJDzYerMZswGaeNm+E01xNarweG+UFXYniz6n4qVIL3A775LK7ipi9UCcgNcwj8l22919efk PQ5gAbCJgIfcXm4yPX8rOQH4DZRQHNswU331LXzmmEpyttBAnfIGl7FUukEsvjZuKcM9vPhoEGta EbO2fouEORRb7rTrFmwUK/SlC21EhIhmdft4sRlI6Ynn0gc1rmM7Y33cio++2EXf5r9x9Mx4THzA LMTcdvDazRwTlHw0yWXl8/87nfITfNrPSlv0QyqXsyxwLy9Va0jWh1T7KwS/mVUYE6bj7potAtWb IGaEvtFdOinfGH1d3AY1Ao+4lGXNtjSyJ6ZIi+gKckt4Mq4JLrpiJVWGjLluPZk4oCYytTTWHarQ R5jhjnu5KYOPYZKpYk9kaDx8K5nVKONzOf4+9+rsltD58vURkOfvZGjcfAbDGIsLMtOtPOSDYkAc 4Qb0J+7RDb8us3u3utdYTcOb7QxKeUTbj4nIQhVV6hQqTMKvKIvU7y6dDwc3JYrc3SgxyRASohsh 5x6Ot17DO4DRnhmpghqtmrSt7AxLCpekiMAto5DMAe7cDpKsxVSwVojLsg6rRa+Ki19urgJnhS34 teVObDwxs9FBe5bnTiRXKh2kkKkBqnDzbDxUyqtasMbXPIWSeNY6Sl2KoYOIKgvrVSlhSKEVGaJa FrksT/XQHqAriwvEKrfL/TdJWRcQpv0GSd/dNUi3RZnYx45FRLQqrcUp4V2bMWrltxzwBG79ETrS GJjQtkN3xSpp1UkNbqmuIdUgf31eEE+Vc7PHOqd6Tg/cYQeJctNxoUDj7U9/bugq9xFhfxzcFMKd UYfFvMq/4qcAyLpaBBR4jsCZf+RoTm2qAg3COQKgT9ZU+R9RwNE+rBMkUA20IsAa3lSylFYft6Wl hTjK89xQIMwF0NkyjX3AfvGTGryuU3sSI+fncHZzsYb10AxNBFUkHYVqijpFUNVa6l5KYBTZkc5D 07vjVG1v2troS7RfayLefkf6iJkjDeA5eJsQMUDUOqzvFFYNhzOTBgtxbk7Ao4wDo3zFAcfDZcPo GG1WITUqDvTvJw1kNWSKTjs4C2WlVN2iyw1y94OSfPqt1b5E5aglURl/efVxa7WljGV/73mWzekY baiFCRYOrEX2INaQycDaEak0GxeNiBSAe/FlEvzgMYu2X0wVusOx7QKfPcL8IJqG98onBi3tijM4 +gfdQ86IIeNaMFMsqtHDiWhTjB4gHocJnSuFetAvC0F8zffOJJln/OcmONgRcDdSZM/o7AcNWnio HTNPvqnQo6oeDQXJC5VS/FmlQxVuPL4rXaOA4qDw3VB5UBjfONVVPV4ifkALnw2wXWkyq1K6b6jC KK9LlGS9Tm+RdtSnmiRJQeUV10W/MK11HVTHa+UpDHyN1c8gsmd64CODL8SpnvByDylCJYPTIjSr o4651ePMiXWMZKeKJpQittDxRMh5TwAOXdsiHrK2/fGkTG9b72fKm3gUbi5zAE59MLgez9ZB2DX9 6WxsUEQQzUFmgCVKPcNX8fLXJqr/kXa4xJ4AS1fnhPkFwRC0J2xT3UPr6znuohXT79MBaKlIU2kK quigC0wJnLCK7DlmerrZKCO9N5ZS/bJ/aDtQGR0IOz9EpXeYqsZOcCen1CWX03YxCjPTKe5upUep tBPVFqT3um8z4/RzjR1AhKJbCCvEWdyIWA961zWyE//i2k8XHABaM+5nwPDcf2150trik06GKL7r zPDP5Pq+SosACG2w0r/Lmy5D05hjnTECAUX+3oFz053Yjg6DpnJqahv/jy9paGz0Skbeaw2xsSWK gm7Jk/eE9AbZgMb2320x74Q5WMh/D5RmPu8Ga7pPeLRlQ+cCe2LSCh3fZgi5LIAQvLN0+MzXfNl8 uq5sYO9JRWor1FciH9ST5WMuz4I+OClLmoudw+cfrraRmDf7fv3oIRmnEkXrlVWcCiaiFXLsjuV9 JPcH7Fan4lukZ+OKS85GQFqmRPOqJemQ9e7nVsSavpOod5yZBGYGFK+vI8leBwJRRQ7iLwUFe/SG PQuPqqzSNt7sNjldOAYPPrxn5hrSjgrgJaPIzbJgWDDcABGGmOV4QeYjJAw2X1hCRCjO0/gtxW4z JVSDnVVP0UN3NNQa+VbfXAffXzWTWGfY5X8i/BFZnfs9B2f0m8Jhq+Be7NTEgURorgFGb45ZT1z0 Lrwe/tc8YbgY0Ly9hSTKqfugczlVQgAnyIDgGdKA05Ac1mIHf241NeD4qHX9Ss2ybs1Fc9iFHITT iHtPXTaweUQeTc6TrheZMSoBVgHqipd3G9CCxg+juIajNmtMcSiDQ/5+Xdg7GhH03H9CVitfBZ8C kzFMdjVIqoyQU1ZBMtapL612KAvr2eov8cBt2691X6KY+WBhugiY4rGkz0AaaV2DZlJxybRrZYp5 im+tP1Ei2klNYY/UFBxXzsQ75E3C92Z9wzt/OClv5q0gOk21LAQJF6w5JWdJGd4djV7OrruAV1so k8b5Mqhem+lYo6Zqv5NlpoCU/JO8L/wqK7fU7vVHlM62x2vUiRblvABAlA/DWSIm8VB7aketVfaT u1xzkT7YzZuZVD5FKm6HpH4U++TF0tjUFNnPcoFSo45OgGMoUCiETZ5GfArWJYZ6DoGeLacHfChO nqSuWZXoCTfUOO4zfgiA1Da6zxrzo2JRwDGv+jAbywI7+18oqCXM1IOM5go5jOZudMzSRnTbuTG9 NdEvrupoh9zjCNWanJXLN1A/MamuxdxX41JEI2eRqmE/hwHIFXKzFpWhhN14pqljvQztbdVUgcaN JXx4c1YOyI0fz+oHpIzdn9Z5L46nmOQeH5KkVUvjDhlTt4ICyQI7E+fWrR5kRU3V6C4yumPBvl5t 8IARsYm95sb00riQ/Ig7uJqlf6Dn0L7l0uzH2yn3Ixe9e+P7EXfGjnXsJy6kEkopdv4efDKbkrZw 0k9t0GcAoSSpwDhcmQNjRrkqOjji3QxsRYpXLD4uPPOnGIdEhnKf+aYNs6GT1dNvI+rnlFFIwvEN CQlvViavZUKTcHQCEBt9jC1CiliiqZyCrLIHzhT6EPE0pijJSC7Nn3cER/uRBwHU1xVwH8JNTeyI KjIRLT4QcAB6KtRww/Z8k5FXkmGw9vuLrqDwXpA2UHcNMUHCH5WRh8MbEfGBWCdd2U9TGsf3XPv6 40wyreKc706EHMTp8R3NEjUqFLrn3rm7c00XKb+JLTxA2PUXPyptbmc51Um8xDb+WFJbrvkTGFke zcRJqafK9FQniD6QJXGYPFMcXOZ71Zn2P3uDOKwo9ft+dUFxC1jEn6UVT2r+RNcv7xs4qFa81LJp Z5di78oGkJ61QLMaYl0YsIEwj1jsK8ttE8norlbFcgpuoytYNR7V9M+0A3O+Bd6RCNGL2THg+eIC gp0Nc5KlXYIWpJ6vLyH+MuIEjXsaqCp4C9IFxvU2fSjy3850S9NepKU6liR3Bu194P/8tWmU+U4W XIZpC32RNfcoc1UtitjVkQcFeP+cPaB8h9mjbR3bTIElgJ/mNQ0raVosm4XvcFmiVM/pEJ0oCRPY ezeBACjNTb4KuFVMxUZjYa0gFYiZDPbOT8FXRom7aZDsqtW/PjsDAXxXRhbyiKoFGCjD81sEY0QC yn8czgD1b+YXUvpb0OdHIEeNimAi69zP9BLuXt2y/jS4CgPiCHePCTMSgtQK/sP4+CyIHivcO7eR cFITMOop4cnQaU3S70sudl1f+0y5dedaQTpQXBPoCmusFnxhBD+nUpwBSgQTn3zI33box8p55OrK +rsppvhchGzHAkJn3C09oBWCrxbvfTZ1HNqV5/MWe/Nwft7dMrhI0Ta2e0FgvcPlI7StmjSoehG0 yWk3FHH5B6vnEYxwrAd6CNSU7P7nwqyqMSrufHx5+HPU3ZDHU22Us7b10J39EDoFUdMgyDFFitNE Jp/cd2D40yUNucYHKk2I/XDkurFhJxAn+fpEE85l5CaZrqsLqSJmevp6/8QH+VC3JxZcYzqJPega cA7lU2ASLDzSa6P33dd6HXs0qJmWfHiJjvpcgOjZAMZcICKn7Tm+pifM9kpgyTFLJRLEM6m4shBD u8rXGbqKerHjUzBo2SsS4euySzb+Xv8Ir1Ju1qHwj+x/8wjnVIyVBefGRktnOKIyaCJ9HG+iVFWw fLbvNUbV/OZw6I8sfHX8/reIlzmxUTcj7pMxzJt2luuTO3Oskyec8toVm6C1/o7464nGF5NSQuSd 24Vyx636X20HwpqXg9CCC5knB7nX+yrMXE5vzZFVn+OXXKNVT1N8ttdLOD+A9Qk4lgtcpptRJOn9 mCJsNsZLOeiBqYlk6zJlb3YcdsENI64zPZ/pnrXUBg9krHh12XzR9e1Q5gXXu9iIBpB9qaa4kbsc j4yC5y2dPtjnyupjYOB8nAZsKjd7QB6I91iGf/4Pt8okbctbcl8jA6ST6SjuJzKkiUv1+0dncBQg Etr5DFYU9yJeftpHsHe7nBFM42hnk6twmdMV2kW9i0VYAP6vlU3h4IfgWfBVYupTdyJQLqnqF+Ct ySHVG6oxzEwMLQdUfZd864KaOjnUX06HyzwsuIoSpqMVnbDDGGe4CdOpgnpEfFf87mjGZ5tTECx+ 9u8d/dSa8+gpz/k23gtvrsPf5vqylSt4eOJytBlPnVnttSIwcuXU3mYQZtcvxcMHFqine2o0YEFj aWNwugO8GeaJ/oiu+3OlJVcBYzOuympQbSPoux1ge4dIikPtWj1qXe+r35opjcoxqvJR6sNnf/aJ l1sdIUk4NJJOVlkyJXkY79KILHKmIpF8vqXyyp8cdUTPuIF0X9DR82jCxU6J8jgCZzHmNhJ3SaQv SK2+4ltwIDZvaGFNatunOMGgBSJOVCbqQh4GyF7Mjt+9kqqwb9OGlflQbPfMfDoa6Bd7QXY3jtWu +DVWzsRWqQYTF53X3AeCUpBcs2nMg8Y6QALo/PUjYxIYCw6sw08y3kON++IW3tr3zwe/TVsv7FeT tcmZnonMAFoKwJY1iRyM74szot1M6M9BIbtjPL7+ymfCahhrrubi+Hq8taTVJbRbecqVBQGA1Wl5 Zig1KpK4Z5H3Kaygyq3auTz3Og/JBfrPTftobhz6CbLvykvKEFXzjF5s7dxlyCrak9jZxPDFq3qN GTEwMryi5Igc/PkCkfcfL0L1mvyis0AE2Huyreedg5HDLS/JxtRO1eFHe2QJrHczwQhyg/sEU6fn Gh8pSgtTk3ljmeCgd7R1xQ5TjulaTQnOIoRn8yxMdbBuK5DP0X/Nr6mDcKM4nJJnweH2BToHD1hr wsLazDi2xgHFrSeBaJ7XV5gj3OZwlzpmrR0O6JPIcMFDii7aGRiDW/ABBly06Pv/bgzqwn0T0g+R MX1yBzfobEE6HpHR0MBdmO+PfZIuMxFdd6s1+DQulW5pQXajgVwvFA7p9HYtOlSOZgfTAww2pQFH E52N3XGhj9EZUvSjSjsk3p+ny2DilTtWIRXJgPxXZYpgw4B+3ZPqq6zq/whnxIulK09ekT4EIaYW KIZZqyfnxZa4Uv9MX4vSP0NyETJJVJh9LxiYyjATaLA7m8iR4dhOivKxn10rqB63bJmzIvX55HNK H2oajw9M24s/98gbGEJV0LmEcAOtO9fwmIeXrZWC7qhdufxIQ7wC00OhMS0H7D7yRtmIfbN/9o/4 3vpV+mhjxCXYhhm/RIH+QPPAlwDMGj3pCYiIYqUV7LZooJ0ZekUWaLuyvpNMDbg0R9AenpXHHU0L eYBItcjlkrx+6b0bLU0KM0spDWyPqS4EUSHs15Z5r5jFHdta+mBSmJeIgZMw3Bgtp+1h7U+vFRWg C0GmAvUCOKGSkns65hiUrpxNgdLv1YMLlSC0ga1DGUNMSRcKCCVJD5jVfKhKtQy1g3sxsjAidgdQ FgcP+bxJwRdiKtcGzitrqPuZFKoBiqecfbHybpDd440ZYpCnlwmO5smD6twGncwKnFjDhY1R+fav fXRbEr2P6sOWyaOLbYrSej9OOLp64hAo8RN6n6K2YTykmHYAi9niJhrkj1HrTo0jWfu86FzD8fGj L2fkTM4Vc9Gh8a9plcwubzv9sYZRFdNwlePoRA1WqrsqXQm1xAQ4VwTgNz4XarVgjqC9Z/TYeejv e9/oo9B1LOav49tcsYb2DcHHVSUu8Z4cnCfZZXf+AXJO9+Lb7YOsz7nocuyr56y6A6ElzdZMq/Ls qvCaJNsYWVS+kKAHkXm1pXtbi8hV3tOSdsW9mUXizOW5ziy+NjYeYxXJoSV5dGZ8nIUbCXTWT6nz DoeAKud7WWTvuAuR04OPpgULb+nLljL10pria+79svmdAVcl2fW0vKVhLOASzGFTT0hynQtKkDyo ubaGuAGcHPBt2SA7GZWo5H7zctzAxSs3UsZ3b1cSqPqdbwqhJQUT0oEu3ZbW0d2TCcjA8FXfgC1S uih/qc7unYuku2ET61oQzI9Amt3MEVVCEG64gCjrfhP229NU52Z46jgLiz0GMXRzrhXDbHGlLOPh g8VE0s1uktxW3WE+LHkil7mwRl3bhhfccFh0fxnQNLaCvDH0Rx73BGumA9IKZkD2O1TsgSEqIp6r i2B5mcGSaQSMwGkg88ZFD/nqVsjkp8gJyZ82pU5Dapp5S9Er/ZNPSDnrXIBIV3QSCOMqO+vE6oQg WHzuRnEHKwvLq6yEgecuAfC+YFBMwpY4M33yQwThipU362VZ3a5b03iMod0PXsvffehg3uz33TgH DrmCque39AysnQz1WbOZpPXTGARqM1NWhfV24YNHgauIbr/ILU0dMwPb2AajN2qIps5Qvv0PHjCM 4XxkGLAEpx5XzrWHhuAu6uauknxUt3T9mT0VdpP5x6JsF8yCb1jXmZgUwHBevEfFAiY8kQB+Gfqf EwsGsGfSH4wU26s5+yIaieV+qFfzIjCmy0z/G6irOol8B5azzn8SdYYP7reIdYzE1Ocn/DSxdZNQ k446Loy94KO72W2ST2FCBLTbX7Qf4jHCMX7ZIXsKjcrJMNSt/pAtdGnT6K3n76BwElkLp6BQ9m+N VDFIC+bitBBEeW3S7Pnh0vDnqmDlGcCoXQ/ysJ46ylo9fwq4NRsDowPoZC8pzCntr1u4Nmt2rMaT HtqVkbqAiLDFncjZQh6vzwJmZTb7PLC05yCN5wzESF2dKqI+Y015K/H3ObpxDKWDWMuem6T3M/AV 5kOSIjRAa+YSj2nWtWQ6DJCAvFeu2ojBxQ/Q+CEg+id/xONcHgusx/oKXbb5Kf6aFuaxwWn6Xg5f IdrU+IDa6F0DYTf9sxrF6QUXE0vu/WiWCdOzz2DaZyZApE39c/ty3G+A9wfzT6QHahbDSK5/S8JQ 64br8TX8OaOL23tPZbjf68Jt/3A4nrYiwU9COL1NDOQ5ShlKZIcv9LCuCARwseWzh0fd8VMXdZvZ pXTLwwMX+WvT9bRNney7Nba7o1LmPQmgGhPuYZiElT6yB/ycHYNIRrvyJS+52uIdhgCghRYqhUjW qCnP5b6O9O/EZPicPI2s8Uh7HxAnO9Q5Z9XOF8HLsmf6DNzMvG/Odnu2BjIJ+snSP7NNJUaPNihy Yz9l91sRce+W6pcM7r4E1XXuAwTytX/g8mMkKaC3ZkkZ46EJCskEbKc5ODhuLMZ8ByI0VpgJV6Bc tuTYwtccn/l/9Wv7KhNuKUcSING1er8M5/VD1efMH5PG1dUTsEPC0cgdSY4PfQDbGzF/EaLamJh4 NlDpXoSesnR1GwyfHZW156jexgamBk0qRZpMdvdCu/cHkilz8ERPpgOTjHvfnZ+PLV+XQkBXV0tP G7K4d6A6/+RBqX2/QnYrHejkGH789lBR6KTyz/tdHobH+XRR3sDtYDJZwCAZU5DA70UHL3od03Qd nxR5gtsW9VdwmY0cwZqKTcZ8JRnzC8ucy3ay2bP7YcPVEwVeIf9g5oVRXZp5cON3chRJQ4ijplpO c5liFpulSw3X02aG1R2RSUxsPEGptH3pPn1vPLRpavN+Os19XzM+nZE0J86xoHfRdWcgjQ0ZX5Uj WBu3V/WutWoLso6IqLMoF+hdlxknfY1o9NYievDdP7WCLdUqScjpdOfNikRn6FkWtFEXBvti/OBq eaJicJsXct3gQOcSxvScwkKOCTgjuR2fTGmymZxngMSzQmwsRexEscg/bgr6EbPpF2JughVRJukD cB3F26dPsk/mg63jh/29gT18aXaTknNOUFVuSnx6AsuGlm4SOL9ea98rx63zXYtWxT2fQQhcyT1w THRVENSL6rD27Ya0Kp6ehRe9b+Nl2TwM6XQo1TeKdSOTes31FEUVuWPQ2YzQSjpHxsceguCpZsSG j/E8GAVyRa+VkgJt57XkfHdqPNLvdgd4HzU5PnOiAPTDwH9zLxWEM9gjL+X8ohICXjNY+Dv6CoI3 nYObpqSLd1NhNeI98b/Ne8cYvnol0NAUp3EnnfyQlR2XkXD9iWmRasbM1oL1+BYrK5CkaTm9F1R+ jgntHC+iShuUhKpRwylS3YCkPAksabpUc/DHGxE5s37O8HIgz9IwzG/HFEI3psTbUSJzcvhHOv9I BkW1ORT4/4S9Rm+KZlQ+aECB1kuG328B7Dt5F8JK6STJtfKjiKB9hwlq2QXr0hyrqkgfzSOFiRmG FD7HxNWyzulKorBp4uuQr1vm3/IiWNrA5C2IB9fhPn/4Sjp+xSZ0t3pPG5OW2/v5iAvc0ITkbqPI KLs/w2++AGp6jT/0XHJj4YYsm34wNNVtAu0DBPLPOc6npIlVmw6hPzeXdNXG7jZQTQzkHINJhIeQ zXwE6Fo5DT7qlQZs4J/z2UiZ2W8KMlnwNULA0X68S8tPyUQ9VDnpPl+3YZ70JUNVZBJjPLahfZyP xcfs+iyhGRS3dNYzpLb1JytvSaDlibOenwucAtqeBfFxEIwvOtzJKSH4u/H8GbQY/U64rJNhHLNK ZInlyFRB0VH6tw1Gjju6OkkjYIe/iw9kq2FV5VdTPE5aJ2VR5B09pc1Poo+gzldQtKn91+EmAbDn 6uj45WWExOkB0lrKd5zh1bspCPB7/odvLDNlRNEjsqBi29ms1Sgdu8nIn6MYE+cKWgp72Tc2Ywz6 wZ6FL1RuYl3/xL3tg/e5GfwkAV7ITefY2sLIMwkY65zHAy+cRDEzl35PQyB+BblcyUj5dGzKHV3c 7sqjOAhtzhXTeuJpitNd7v4h5rl+W4P8bSt51K6sfedKUFCgIxafzIGTpcE1IjzD9roV4Uto8RHF pWWTa7Xmxv63HMeMKrAQjt5+n/PTGYebJem0FSWAxZnEzrtSlFtxXkJZuj/pLtwHnNRWrHDrV48r gxHkgzrXhukr/MZqy5gcJz/qlQwbqQAo8TTtlHKZFTzY8nZgkCzRkeFXORIXA/wVvIZ3ZTlKkLrm Lugjh1aSSqlA1sZ52Hk0/XVW2NPHzZvIKXbJNsh1yBAYQxIOdzQCB1h9jbiFH0rvWdzpJCwKCjyD 2cNizqtqzi7ebankSaVixJIaEwY7FL/aBP48ovEXYSw8lowTxJn7yI+uTMzcnd7csmwo1LtlyD7+ TLLzKstwRL6VXdgLNn1rmeaFOsJa+JdbfxoSGSsVYbV1GogPowRvPPwe+X5WGava4csGdE4RMmVA grUxyaKuW80RtyOqkhvOFshxtBU5vGU3/nH4lM1S/wHpTZtOmVNlK5k+DXah+RpwaQ5eU9BUFWd4 GuuZM1Q8I1DmvVJ16gi0GZ7zTKvga/63EgkT0ISylQ+1ymaByEmVRS5zMPIkCjGeQ1Hjruly3uod 4+nB+Ofn4OFAURm6DN7PEj+KJjE9MxFQkjnf2fphlwjSa68cXFc+uNAfxeFn5wZAZ+4i1ddNcHwV 1/XXzLFqT2Uhg1C6eFL89gGbihlAS4KQTrB/5+J9WRMh9vwdq7nEYZQgFyzEiFbYDMz39AMuQYkX sZ29RDDQGgNsUQHDxaiM1q8fJODdOi5oi6JpzX+19J/lpINzN62vza6E0CMv/b15i15p2mQyt6aQ HR81kTi6zen4fzbZsYCrRFcJiChaofuOlnu0tls84SuaLsz1aYH0yzd+GDZyNMeCXE7qQc+sX0r1 inZqt0/W2Wcj8pK6HYaVIc5YPXOZh1tWRCiPb6Tw5nNk+1+jX+D+nfuYI7ZO8ixpF+95DvehTNRn /Gex7G90ejG5N3/YjOoIp6nSGLBwB6Gi9b5thy84P1ZVfpqCD5BTZko0Mm8QoSZWnM7QWwYpymVC 454spTjPTB1ymJyaaimWZWVmiGJbUwvPBtLhWDwRYsI54c0hCW2iNZWJnzxgQGSqSjvXMw3939Rj MZ9BFqAXuydbOcsgVCd920dAgPjye5WOzsZJHawVxhW3AwxpJTOzZ4dmfmOMQuQST89kKhCQT3Ez PWE8CxfyN2XmxYPBARcA2qdkrzF7aed3a3lJEVW4XebEI2BugFJtWS7P9Oo+xsZinZ4Ch+kXaygC pGCS7cCdxnoihNKnjmQnS+XVU7NEtHhXzfBYqIDIy1H/llk7/L4hzUJxzJtsExf1nOESPruaWuxK uGrWQz4G73kpfQb38PNbcipe0vBDz7qDTmkQXTH1EIetI64s4whoWmxO00GybSzSX8gxRAsjnPVu 2RyQSKOEF9xQpwEom5gnL2dLTZLW07l+sjhqP9pwcSALLYlLx6Cp5AvCJ2rREfBCqqSr8zSlp0CV Hz3G8lvYD1wASALykqiDVcBhiW8tFza0Cw51X8Rl69ul7H6++YRvWAdQF8i0y+qPgIxFK+93zaXt bYV0S5Oag6YUCBHcjx1/HX2BZ872z7sKb+9W5NTyZEKweprHmO2Hld0Zsr+JgR3xksBYAzuzd9z2 sP0+zgTILFDnCshxCSprPxBdqMzfN2+CaZRo2v8WEvBhZ3iZ5oPD4NSNn/C/X+cxdp/2fbyy2mjp KQ1iBZfV9GlrjgUsbCHRZ4Drft/Lo1uw2Cqh67TD1mQvbQEWRAJgU+Ab1oZuevYsa636qOYcudPU imrskCZHhLBwCak34sjbATlHZo5alYeODa8kgcCrlmPL7HBk1qtL5ruCWvKcWDPpnB5neKqoFq20 mi+p0nKdWQ+Tof3GrZeWVp4QMT2smDnX2cQ2ih5mur/+xIvwVjR4rI7aYdvjhTnQOmh5Z0F6CQWW +tM8ykBuYFo4E6Lu77ViUMxl4Gt8g6hvi1r+DMLA65ZELdnLoX+FJo6lI3EXaHRrl02o8Qj5OcUY eK1WUOuEd+EQMBpzTbL/dS7kKC/fut7FCqZotMaA2sVD6aeTXf6ewji0ueiWDABG5IFDS0DlqUEG ZSsFSNCNz7AB8IK8Idkd418DiDw8hlWTjDAu4mrn6/WM59VzP7V66afaBBZy+1KeHTJxnSzPNzlJ Y3/8CbiRYHBu2kGLDc8RwxjAz0XTu1Bygkgh2FZn/Yom7Acvbh2iUekrz0cHaNNiHUBfSW3bckaD wJzvadlJOBHgOwI1aVTH9wnuJKR0Id9KCVg9o/i54kqE60HBRRWL+MvLNNkVBlWSVEijiLDac0Jo OJq9LjrEsD5EAmPTSZdGJUcCIt59vCyM4KSNvxsGnLo5FV8iF6b9iQQth7lprCDWPixjYD1vTooG t4Z+yHa5Did5a3QqJu+CWxNiNwt5lbhr1Ars7bT6BuYG2Jw5y7eYSJdZy+/CITSqAhuuRgcq+i9r yPzEH9zvo69pb/Ws8svDOTM3/38rIzaSSDUEo75PsE+OomM+jYcTd6u+Rld72C1qlKzrWaAWzkeL 0axuxa8FEboyJ6BEhh1lPb9bs6KfbKEVSqA79/XwWf1Od8obcvmgmca+yFM9R7i3HnkKP+C4Eekj TQExesBh3bjDfRwwJEihtdXhvaw3GpaY2rh8CxBDcNBf4piKFPwoJZ0xGCo5h8bq93sVrUvlIuy6 gQOdbUKsbOdWc4j6T6RvUVT6/cBYxmhOY00qh8j1VsXOhpi9A4CBYwvdbmg2dSslR+IxZGIaTAng lCvvkLwUkrz8WK73sg1mimsz5pcdWSXNi1NImIQ15khUcN8S7/yB7nJiNZyuVfPthTCeICGWgG3t iiU+kZvOJq4dZT3Xtz+wbIVwOm/h4xttkk53dLNMfuJydSEJOmVIG2rh3LrQeT8gI9MowbSACt3+ d6+FYmP7bJy8mIrdDxDnRwNe9dMmoqbcRUFNJGVJirSDGNFDZNNTLiDMpaWee4vxfJSo/nymflRe JoOZ7fKqT0AbHII6S9XRTPfcxV+14EFnhN6xZpsIGj6ONc9B6qlg0ukdR5uFLibfAGI0IP4/XK+z hUSqlUd69v6rPGP/KdGdiaUi6wZROnaXZ7eZxZIeGR66VEkIQUAADJqoRxViDM5uDND/R5V41n9G ZPxKFfAlv8HBeJgvQQ9Wq+fJEs5EZB8qe5CeKLdL85PhK8VxFoE/ie0DYzDvBASmFZN5iNH5s+Dr jWApj+ft8l/JJEpV9opbpM1ctDfBQf6IJPOpb7sU1bF04Fc3W9e5LV4nfqg6kDp+hXQBzluvVCeV 5NYA/ct9uAMB7O/09EkM+vS1Sbhe5nd+ytTroH73so7MDUGoKKKksp6nx+/sze/ubBp8WVe3gBE/ I/JbcQvFHF+Pe5tAfME/KADx/FTckK5DH11r8dXklwTqqvMshJH8J44O5TmIuLfnNyRikGebc0a2 WiL7OxraywJTk5sHwRMLpLrEjNJFvHeTGleaHhTZ5dq1L3HPFMO4rDKY8bI6MjupVQq29FcaD6p5 aZXDzRX5uf+rCfilXJv0/F3idKaXdPp11+LSwq4C65L4hIij0fp0sbkD53N6737KFxNDuLQfwLaY DcrzkFsVDuNhBiyNDLpsSdcqkKxuELg8Vn/83AgTqpKnbTc5qNPGfN8ceWqNqdi4dR3km9vco9tV hW3IZiZhtS+jc/ZZ+V5UHRJbfhihoJh5/rIEOqOldvd4xphNOUv0AuqT4vzJh4d+lLJ3ZuiBeFxL SbOlLWeXgSqHH790/NMJjtQVvSnqAWsj9j4yRA8WLLbQ06s08leNCQ+YM+4Af6qWrjnWu5BfGBPt YX+IOqR7X5fXrGyXIzRBq2ANOdtRn7aD7TITznsY6/ILdPaxpWUteyN6HFApu1A5FJMcj64dn52T CeusnjsIKolv878pmLcb1FE7JTFRxuqHI3YMyi73r2kVKTwyUOKxqPUR4l1jgWi5aLGglRpfmyrA /te+ImPRm+msuHN2LPqN0yCIdgbTtva3jeU36tbbIgSsBMCCuyKNgf2uS+fGxEhVjNmcGph05cqp VBG2p56s+n31DVcu9riaAcSub/KPk6kDD8eJlhf66cgiUt6DXBi2Mg38g4BrDrKXibWffK9g+MUP UYDhZIcUwtGYJLu9IqCkoMdXkz89g2EbWsXt8jdCagB3LZ/Lh8kFZXJ7TdQTou5Htzg2jyGbM6H6 S1UQ55vKhyr834QvQQFmp9rqtmv4rAp+04sopaUmqbae3ERpSgVJuDnuxseEsmzJb4+9l5LdTc5D U8/kh5B/BhjJ4Z2m6I4aU5uCGTDGBOab2foE5j2x0sg8JHWR8KOFHh5g4YB+ahuw+MQgDuciqwhq ft2W5WwsaOuZPUvO2/D51l4wqeWOKcNxPwaaD1T6wgNIBVE6J7RZUtQnTSeC+WZ8Z22N8IV52pGo bfuTn+NXTLv+gKGDx90rPBVfQrmYe7IboVgJVtcLr8iS3k+2Y1uiyeG/yf+US6jmFar4dliyZsa0 Y1INiiLLAoz/rr2EFxXVPgMzJ1y8kVebVSdH4m7slzbEJlBqJRjWhdPWFtolv9Gcf6DsfZqv9Hom 8Skflpj5Np9cF+j2p66KkOHFculj8V20gbol5jCgKRjZMx2l5ONDFD0RlZm8mWPvL6kfooEe9/a/ oETJNJ1RUL+xSDypu43iZFU88ZY5cZTmdXUCCPmnrlepTuwP/MJ/mp9lcJWelW/TPHlj4UyeZYMi x2+Si3pDjKjjSK47Z5gQ1Zd06WrMeC4NpIEPSUOzj36CA3k1Za1CdQlEm32pZ/GZHOiBjfZPtK2R iPXYOdphKlOY0Rz5mOLrElP6Xuybv6Hj24usKRx/kgrs1LK8fFGUGF1GgS2D2CNV4/VkRSRmvnIn 4tNUZPw2d1FpSdoL9biWWpZuPz6Dsb+unNZbZM/MJuQIykuATgeqDTjb9SSYW1gZgQgaPwMywxRZ zmdOQnSmNHhM+uYfmTc400rqIhMoS4GG9O6rQ08ZyI0YlrGdfPmyG6QOay7j83WvM0+NT7tn8Wn4 iuql9+86wuxuCe53xp7JuR32iR9jO0LBR0Eu0U8qZOjHvk3Dwa/hFDn3zo8O4VtuaV+CsC/+wlaK KZiKFdOog836XxnwrLvGa1/CvXcBJX2E9ixwU6KzjtEG05yHXbxN8SGmp4e4auT0A9CFffgZABUi N9k3Gn02ETKkOHqetA8K3mBr+M5OfQGWfuWEFBqMMv1YMuugegcwLFlb0yezeYOlOtvUX/qJw8yI 5KnAM2mURUp25uZLftZwTEJQoLi+qSZFNInP/QlYDQhC2i71O2EnIHKbm9pPyH+RXVI1Hj16BNIW EfA3OZGk3QU3+CcUEkAVyq1h5EoOHl2PU3JvWRxkP7R5tETrglxbukb1cfGx+Mtm5K6NUAmqXxx3 ST24UkSLA6Ge4esw8wg90Z5oxqokbrf5jHMgdCqNtG+lKiMqiDXf5vR2iWEfrHRLWoQV5JQSflAA EBOwEMv8QEjWfuLAONt/oO+zvALPcdeZh38dGSlLSo1NIB33px54FMWs5lcCuJfgPxHkVVba/T/a NSUS/GF8V0IH6y4R0ANW0Ovhdgr2f9kO+yktgqO+hnXwp6PQS5Q0MwnG0g6GX7OZF19lT0xpBI+C +c49L2oZRf8NDQHMXIRxebqovfI+8Ag+KnRP4yE5QbEoJda9+Coz+hZDyuJTh1QNWDRHRFjOuAuD V/vjlzh0+h/MXxccbsoc5vT5fDu3/krPcKshU1xiTz400pi8b2uxO6dRBZDeoutE7gi0fVUELHO7 zNek0R5GoMDl4eOCftydgJn4Gu8Scb86cnR9O4pwh4+TkINrJa/0N83PVf2UpHqS5WXgVM9Wm0ub IS1o4oByf/NXIgJx13JcIxa1Yusbr4tfjYw9pEEGXuWRW7R27rtCcOsRfymHqbLWOuNo6brFQWZr ZFXi+vi4408/qykNwTIc1Ln2tkQRiMy9x0OT9yvoYiET3mKV54k0abvbfiO2hPuR8f5l7A1GJ4tA rrJtkPGV0/PpCqKlOVHssyECBkmPvNul/e1eEDBcXUw3eEXMwKRFYN6c0cV5we+ZhtoNAkeKVZWu ZN6XF9TfcxWYiXnev4VHQ5snKs2cdBfAFVaXJoWFDtzcCzSFxn1ym+vt97OGY2c+9GXHcIyy/Qdi tf1x+6niS6mEcdNnHkGwJYGvxiyorqvSR0+jF5o5UK/6M2ksUSspYz062xdxO8+PUoit0TiKNZQk aU1gmZ2qMOMdq1qce+Z+5oiSg/fFCl4xCwkcjm24tvLLSoFe+g74SNTWsPs8txPhHBcqMY0KGZj1 BNONXOeIkeK6cOLEW2yakbD1YNJeuDBI+IryPN/2DML8D/6m/jCyBfQTo0imFjqVTgDxg6E8KR3x peUNaSTMcIpxETTaqGDsi7bGnEstSyQ7x4RLhqIek4/pNzuLTDYR24ekq6d73KqMX96OTN63HAOy 3mda1kZkD3eYLqq/isVU2blfcFrCvzNZUfXHnfVT6O99gwII7Y7Rmi2+t5rLLswgyjWWuvpslmNH 1Ge+c18UGk3PVorpTL9oLBzL5v9HziU31O+pHn3ccYOrD7ftKoWLgTZJvnHnytIXFU7FcP03tc3+ hM1inV4H1FUJCaMOMoO20fBY6GZAmYlFCF/FSr8/GvsYGhttYT+A1cJJSpEwgQBO1ujHSCNwyklO sMTcgj3rpaTjXIzXaJVFYpsZphCqAkJi2jJgPwOOGqov++Tz8llKx495kFC2+z/vq9JVHWD7rGMk 6vcxbmnwvmpUDVp1fd98D2U+i0/cSKfpkVVlTBDmz64SB8Je99NjLnHK6CnRC6FSOl6kRcry/yMX tnCgnGr6hbvSf7v0/Nj78et6FPZY4Uqnns4VrIjtBW6Ibnir4FBasXny4EnROFWF5IW3NGp8W4Zs KmYMm+j8MF3/oLLVQq6yM3Yu+lp8XeYuTewUC9DXNPLFRqzV+behnWzH5qYLzl9MavCYGl44uBnh aqvwq5Havcj/1ZErhNUUmEjNh9dFi3WgcAnvmfE6gY0D5ZQWWtJLZAzfqNSGwA/5a+kyOdkOX8gI gkcY2+fJ0FjYng02oHL4soL1R3HQjHcyI9nGw+9RyvyGOrm4cFDjOZBpmCxmTNurYwBuzmcmz1OJ TueEjMqYEJY9eb4Sw+KfyNnbRyB0iWwvEbYt2ozZYr55kaJ+gHg6akWzgd57XHaz0IJS+K9R3wLp 9ofm2+qyiRqLkmcRdxcLUlj6Liv1TRIhzMGOcFvUu6tzxwebN0LY7jtfJRlHJf2tkRIIaQ5uiTsR j6oesQE5FzKL4+P+zpHY/lGavdfCQATRW5tuZqbn7hOzxdNfz7uMm/kXNR2EhP/0MuqHGyfDcbsY ItdsuJc68HMeGlqUS5ic9sAy3G3Xar/GnfGcOp/pGy7kb0tejkHCVmkOZle9+NiGJFWA2cp50YZ4 l38FnkgIeF/uDfRwPhyoxeNduJnTWejy3LJUpjcqLfj8vzoRHfK6Rfp/iBKeBhmhdo/6Kecon8nK e9zsuz0eRGwWD9K5CWKXrnnRRoJVY+oHsguOuSJwTEzpZUJP1reSygGMcRkBpg6of4bBKyCU+Z9E hYGA0x7yxoLkk87O6FE0+bK+eKw9X40uJEKZdXuogmSA2T+otGVZ/MJJC8lZrFTh96KkplsjJteA Q5opWDVEWfJa+bewUJQjkP6JYbYvXcXESAinvEoZ3dk7/56OwOHayI/gsdOZQzQwJ7EkQdduf62x xU2udmAFQ0bo1FPpMppswuA6DXITiXR3/HiktEk0mohiK/M+9x5aa22DTPoujXJ9IlwRtcoOvcO/ HqmeYvQMi9MNCnG030xk11NrpVzZziuufm1M6pnt0B7wsw+34bq1X0eHdNhSCYUDwVQzOlZud/Qm u/mjiMiS9Zl4qy51ZY4geS3yLowrzX22MT3a8gLipwDmNpVdDy/3Tkc0cdDeV/3+R/SFP5JK0+WA FN33jSVs+i96azTkfn/1mO+u/heBhCvGR5ynHEiNsHl/yTz9a+824V9cV/iJdwJfrD9Yu29YbU+J pIAliepPR5jhnjDb1iBcqiXIsZnnjEGElBoDgy+hh3iqTKMqUBj/lU9cE6YUuUFLPS6M924HZs/n txaSRiPGmlt3YsiucY2sVYAKsSL/2nmd5CXkiAFz4BxdeT6fy49rjaTt9I7JqtQ7YMENp4WRWjA9 r59R7+g9UZlzWXfNh43gZjipMbcIxqU0uPgt0mz5VYSO1fkykJDpIypoyUx6A3DvlnPhB/PVOLJi TJZh6Oh8kur0rLH9zhz3Odntw8+c2QWVOuDrRh5AR6Inmy+IeW6akLJhLnwY3R6Yues5aIEe9ogn 8k5hFBeKg0AHCz+1/XihOaLTK1v+Y/HGaXEJSMmaRWWSiAyjrvyVGuPshIvCPclEbjmiP3HN7WhU fe5dMsd0blIpik0bzu4vgVERqufQxP1XdS1101ycc7TcwKa1uzzSjEgvGZqEQpL7mHerN5kDBOg6 IP6bWNmE8H9PtgWq7w6DNezAu4ohdq2qvSubp/LWovBmISfEAttEp6qUCX1B1mgNOyI12DaD7V3o MXwo934eMFIE4+DsVImRF/J9HH4mWtCstO1zO0i3uywdLvFf1iykzBwxJd8Ty0nWC4CN34+rXfMb lrnuokOy6jDemjEZ+4v35FiYxcqPvH+6ovRjA1DPJfS+7JcSRKLx+p4GLSpbO02pq4n2KHSXWX7B Szf101jLlzHkzAQ/REriwx5mCAmdpjQIYgmIH4UQ9G6iEHDegf19UP62XVW4DVWpEcPzsMJPGgXy vIcRPa3JML7/wTwwMKBaN6NYI4kUDDjvNLUbPardoRCmSr+/Ygj7vGb1PZsSPBKZVKpivuUkna0g hgf+/xWmWCI7GLWeZ5KSECk63uorKgbz08KDnxKmPRSGJ8TbOaMFiFWnJ5C5IXpTHQMeGubLgikv 9AqnN6z7ifct/SIdFMDpFe794kdgmOeOW1I1LnvLdPL1cH7JGT6TA94zD/Ancgn3fNnkCjSemYNd NElWRlSKibMMHDAMRmdtzwvdSJK4IG/d84MaiiDHONYI+EgoQMKmoTxPP+VDTjm5Jxc55LekWht1 ReOIfonoFXu9HjklqVaMFSVP1PyL3FDR7EiNX8cUM8fcY6NuYW4NmLmVCnueYy7C3j2e40PVkei0 CeIG1Xj+z3ZWnbaYefS4b8jN2mwBb1W3uGZe25gdYZ34iANE5FAy+EWddhobHlRkHAf0QFTWPDr1 nIIsmdadsUuc8jBMDETEbLCAqJsLrxt4wIVoQ04Yjrl15BDUr1QdfYKMpGXvOAbZIjRzdawQcslA 8S1CujwlFq2Y2i2OJp8UFUnCZvbadaN0Q1ukwze1lHxjqGtitPwkICHbva/glKKo35cPHZ4N9fBN g4bDaxD0k9wGv8nr2wPoIx/5FB1Wt4CcnVN+HXiW0vIptZSYQpyw3rNnJWV6boFpB5lQ90MIqkdF mr/wzUedZrOsU8yNOaJml6QPpQTkygieOXao3J+urkzOH3yGptq1asL6MUX2i28gfHpwz/HEzMgt F02MMwwrHoZG50o7vVwCgCbJSCKKUkDCZiWL/3TDQE24TRHkKgftyhzND0DcDQ5frDYrXzIwdoE4 aiVXxVYebfEfkV7AZo5+NLKfg095tv5wUEMu4l1Y09H1dqTruoCU/cqxcYkiDfB738vjBTTpolot vaPqsYorSRmWMnklauqJnzbzNJ5Gag+MKW5YQ79Fy6PXcjzeUeS6ArUOleWg5TKxjQG9CeFrVkv9 c+UrMRjAd3pVNZc59NZXFI4eF7usu1sUJopFnUVVPqSGXPgChRKcKCiswgDvGOPsLcl+ZVz6EjZv NvAtbaJ+zthLz6C9N5MET2MGPIpdwJ8jCQJFaGMPUKXlxbxn6VxGKI+avnyAUiQIvkfgYxNQZGnG gbc5pKp1J8Rctf6SVBPSsI++R/TJota/ivJCl5w/yHl6mhkQTCS460NmvfExbRIM2RlRa33nPrRr hAh+RG7j1A7N6hRdYRxjqhafiEroKnJHMAgRSGM8ccIhANt2KfP+0Sgi6GrkF30u53Ig6cv22AV0 iMNm4Y5ZbD4JgrmTAldV16AMMAh9DnnQHAlZtU0gf/peH2BuD9SZu4MK6a0ldGVh6t9k5ytAyG90 ov7SME2dcpDHhJe3vGkhASgwRhvMAGz4f2fvDW2sEHlQ0KFz9o/4oPWm8lmXy6kIQYvK6dq4E5AH t0v4usJAlvBAk2tZgYZFHeIAjfkjD9m7kB/4l6y7GThkDv56IJxiFHRtizLFpSzIFsuFspBdKgXw C0ETEoPP4pdts/p/vZYargd0dlwDGcrgxGW/m0p5BDvzWBKCu0ByC/+7k0OpfzWfdfhcB8C+olBG HQJntn7PcliAlKEyCz0vl5TL2NYUfV67Jf3Wg4VM157UDLgdhpz8wVF+KzalcF8XzbuhgzF3WPAa lJaUHbicl+U5rC7iQgrxLclz5FTClqzlM2rf7jWV2djk0V9icCOLLdc7SqRap6GdNpait5HescHr cnj3/HPVbGlQEH2Mvyi3TYnP3oWj4OcmBf2YovUF4GXKSWeyrnCazheM1GOjWQOB4y3/xn04Naon GSMPLsCsaBcPM1nub3hmZio0JRxCKVShf8DWmy30gOPyR+MnTlNlx1TXZ/eP4JOIbCG1FBtDFjvZ cMMleKpeW+skM7oH93/DQXSHiblneT5Tszy5vqkPsyXjPqnUnk2OPmxiaXCeab3ITOTfrA6sV0qh iDSiglQ486gTknuLEa+N45ieQEYTNjflPaQWdMZK+uS7qPzXDGsJWN8qbhSBGodGGYXNkKPqb57U RrjOU280SHZirKPrVPFMnekTPKqWeF+N6fSxbUmWgzuUxn5Y0SbwHcPOAYos1hu3L8LmuZpT67eK uGyBfzuGCRGG967RRV7su99qht+Pnl6ctQqwTTnwbVi3L0tu4N8aVDfiWbA0pop9cwoGT/9w3wHt Hs7FuCnZ6Wh/vElK1pui2lJxjBRLmZwVg1liww9dGuHTJbqsHR8jtERSUJ2Q1+GLMHTPj6W8hFxU FtJlQZ2B+sfoN5vY/BdAa1T4VaSskYxWkYVBj+yyNlVUvc19fuJ9swfW++RdbyXNrzMbmjFPd+bP XUhBjGcr6wHhIFDEvR/w7R2QEE5/9GZhOYuVcqqtI+EkQ4aeBWtPMMAUb+h4TtXTstTO0fRoSW24 /i5Zc2HKkzr3+xHYp+lL/T9Wh+SO+YeTXClLWH34dKoifhgSWUkau+Z0e5zUzNn3UWXqNpA6U2+2 P9K6v/RPcQnjOifbWgujS/YC9zY9escVnKkVS0a9cMULL96VVrZUtKEcPHazpyvENsZxTU0e6KoZ GetCz/DboewkySTqQnkeIuCEMalhgkUQehN0WcDMNLQW39KVoYMkjUIJ7J7o+c7bTWVjI2IYJvBp 7EDC0mfw3wPSVznlKTTLGadLtK914r9VDOeyz9WKfuYX8dmrhnfXS2/FoTZTcYSvncydGzHfEaYG Dz0vrkIzxJrJ5CpZjSr0P4ZFRKrkaMPTToBZTWIPZ7BQgLnUSxA+w46OSlD/81jjwcdBwnW41bLk j0ve5ky3mnU3xFd6KgH4KcPTX17uYKldkT3HDuRQuIp2M+wutHIzvGrD4lTymag7BXB1IhHLsXyo Xs8wv97ksZv8nBmx2SXwAorIQbsgP5ibfvuhZiTTyrFMxVN/o30ExR1/CEk+Ke9j/TDrpaoN91DM YTI6WgKMaIdoUaAmbG8cXbm8dtFbRBAwk3cCOorI+tnn2VSHGKNDvAe1ICmsVbZZqxpuxrymCZ+Y bgCAAgNgHkC3bZJWCfCmochg4pdvNcySoqBBfxEM8sNgabem0qI+Fb6RlSekBjFEEywp3EgDLND9 hsswrI7Jlk+RJyxinOlN3+2YwDYRwDR4WuwF7QeP1/C7MHpMj8kgVn6k76+mO0oDzUivYTpQl6ws bsh1khOpbWXXmtIn9dcSejULMTHT+B2D2NH9G3VMPiv1exev5Yd4COsH1TUV31E1njPCS7IPKKlr LWgj/SmuY3QeXF4PWMSVKdTMD05f2j744BvfzqngKWRFrd53S32kMVHA7v5qtUZcnZ/jK4LjiNMh HGRRVJbt5dBjrmdNPJnyL9z9eYiAP6/d1uym/wY7Tp+P6T8CXfnA+lD5SQZWTFns/ua4bWtVj0pQ mJ3A+2FVz6U9QaJVz8rDfq/OLeTFl5+Ntga+/QPde+QS4RO+93A7LjxHqf1Yb3OKOogmK4WAwcyM fb4qXai3WpBRRvlOD4g7OhONeuCQtPlOCctdGv5wptw/5OTz8FRGrjxnmjS8dvsmoy5bT8+LB7BY 78Ny4VYMM8Rit9T+mihjsoKoiywUdFra/JEt0Z2hMH0H/bQsGm8YUBM+bvl5svCkGtTmXv5HQaUj GYM5BMwSzSCnb1oIO3C2FHzveDJAwmKeBxCqTcOKUzx4btE3Jf27106YS3sZWwLfHZudMt9eNhju AIZ/wMNwatZVyM6OIBq1NX0jrGkaLLAMI/Ftf52lxLSe/gJvV8K5CniouREhpJLE4C48fw7e0Bpg ycD65T5b4npaQAAkAyAKZUhZG8CmmCUKfmKKJhhijcmV/aHWlvarWDRLnk6fhVwpDvK6ZxwuNVGs KyvydS2mypWTGaDLYVyYmpGxJfCaXOyDNI32GcMjhBY4ve4PIiKbrA2yt+32VQlJDKWGAqoCkVOl 1cOcJJw90olzI1ae6qnFTn1MTmlZNL6rIx/47DQPFmYYAGjH4SG7tyxRz8PyKllvYv52vMavAmlG lHfunPCnBie6M1iNpZg1Nd7jL6TJicrhDpQzL8XBX7Z3poQ4b9R5nvf+CvbR8N8rSF00O/fRjBiJ 9hyFmKB8+j/QqBFvQWYc08O7NhNQJ2VMcqISyb11TiGHWGgZ/HBQSlsR+Yi1OXZrDnQJkdABYyNM 26Q/StW440nTdBkC1AMRqPI3wa1lwK/x1dC2X1/MuQpEKRkOe8/az6pe6w2XByw7LeQF+3s+OfNM 3zxHKqodTnX4My61m3mHS+UWkAQuDBfX/GdVolhC5lNBBvWXZ827EZ6kuPWgy0RKSb8F4pls1Kwd sryQFkxfXbKyjtwIUalgzK9h/21riAI4tZhM4lyWJ9X+FQyvI1E4dGl8M/PdTLmFtBs86kB96rcP cOUVF6pNCn+AjJ1BfMUgIdflwxCZKa5gcB40+EDXa9Vfga9LupBTTGTal2fjWu8mvB4y1g7SuhgC VcE0MlTNfnqOjz9n8xv+sNkDGrtPf/35VZVyDoDubC91gygaXQR6BkGIvDa3xJerg+/f/NbFwDA9 dG/RkM44k57/eqyoeLhCvSvLxSC4q7SP3neOu4NB5F+YS61QsccIm08/1Kyhz0o4ALlewXt/iLiK eByhi3o04wpO7KslF8E3RWoaly12fU6iFY/yoGLNbQjt3a64TQQkoOUAAbncQgdIuDYSPM06ITvg +P+bxttzwE8F+COsEX6apss+Fh4y0lDhb45yP7opAiKA7cqaxLdv78jSbzJBhMFzJYqX0NAasFiq xOT1QjWvXsVogycOvD1JNeIK0p79vuW3KFOvw7T8FSn5dqbd+RdS513KWrykaFsSp61zMut5Kq4v 3VPvdYNrgNQDPTHHvwNhjx3qdO7FEw75x3zfRALz6bVDtpLbwdi8K27azYEWLOIC1pOAjtgv4Cjq 4TcqFX1rdDVEDLY0nifR1CWkHHaS6Cw9Rzc+63c8uv7kH/MtAHYuVK1B1Jugv5sPK4pWBm//8Mi7 de58u3rurYyrPrEwcmZcxgn96UHNtQu48eLu6zQ7gCL9fvE6/x+K2gODau7YKhikZvmJFE8RlF79 JimwEYfrEcJxXEmVMWhuf0wm+3keWiMM4qqYnPobVdK6It75/XjnC13C+Tl9g9K8NOy8tL99UpLw MI2e59dkZ1lb2GE419KsqlhBu1353J6XbKfxmU3nMD6JJa5/jsfRAXvxLTdKvCGlzKli7+u2rYn9 yntwDJpnaHoUy+KzrziqD0eu31y/5EAjx49rERfnI+R3A1V1xWfFILHYf4A5rrxm2m4BKfwHIrfc mTwUXEjbFdS015ilX31og2bYxGvHk7Hj7XKwyxq+md8z3RhHtaMX5tgneSS4+PRFjA/M2LkaOc+X WjlYDgMoPE4UsUIM4CKnA1LZcbH6e/g1oTFzpAdOdCmkp8jq1sB4a9kfDr91dorsgTY5GCGZJMgK +eWFx9PuBlSuAG4WPNCz8gIRtrn1DYpcGDMy9UGWJGGIB4ZSR5fipeNIQsXYXhTIhG7syhMij/uY hl0L2V7oo5CfRDxurH6wDF6otm4ZoxXEJNGyQAq0pbYZlYrECQMaAaAD1Hkd4uR2ea5D8aC58LSw mJ8So1LgJz6cUoAk3p6f5UrnI39MZ8d+luISzlmjzK3FynPki1lAjCAEVF0hXaXIlQedjCazcny6 m6kmSwFOdmbOdU5TXgFV9m7NB6LpGl/bVOXFAhWKuYuuwxr8OFLyg5w3BEUlBMQD9L7tFdtkL4By iaMKJd1++CrEfjSwnN5tT7wD4l0lmRk4qMsSBfg0XTrtMNhow2XOFgklZ9dFtCZNv3Htc33ILG5X TtywwwDV1mNBnSGq0nXQ6ssdaGD0yOkkjvvLmAgIs5Che0qU+ltC2dNnzQvyQZooaF+3E5lbG7R/ 1ryDg6DHpf0ZgWq+8AktPhgz8q33G+IbvzapdtPBikwsqfbQAA2hB9jq/4vozepLeVYUm3U4Qth7 WP35IV9z5i80sET7oxj7byCjAJcMv+h9wdITzI2DNhVApiUglcF3TW7Xtk/7qZeioWGJUxGlflhF oHJ6jprp3JyS22H8nRC0XA4d+8b8sSUCP3WTZ/Vq4rSIqAO5bjBc5zlgRaqyWAVWzj7FN6JA5l6h 4dkLzTY4M7pWprzw1xQkLbGWZu/uHUjvtEZ2yQfOclw23IUbZLSV+BIhApLwlBWELbCy9rGnn/3j NWTOIm5d6q0fPceeWIkTL/zSjxhWBmNJ4zTef5cYQjR5+L6CiQgmVIcRwTK+7NNPkoHbrQkTEb4A lcE6jF5doianrvPP8oz9HHSqsPSHKTfPrNk/8AISrH6gY3FtS9L5vaHTgbMV/NDgDyDPREelPZUP XTMWQzFJZ3hLVGc0G9hB3Xgh15MK7SHvXXkBZ2k2kqde+VUa60nNRN3WuQ9Cp3V4e7SOVMOtsMp2 TBYBbXW21hKiesHPLTc1rZ1E/mBDGHLuKjUb9ZjPjYXRPYEmFtnOGxQpU7w2W1Cvjy25mxU3mfUT RNWLT+Q4KxXUKgZhHG2p0ILRjxb+xX4pBiSk62zc9khZoLYVmTVuJ1lQScdq3KGp1wWEB3+k6PjA v9uWCuhb9o37MrlIe0c4nW1Hqp7Jt6Ty2/TW6YgWpEv1reHWqWgy6VpZVpMnfvTase06EQX9MxCu RIzYLXeF7TaiFb8w+CJoJu9Qhr0E7zRkJz2/707CuZ57Q7vX1B8xWefgIGE+GoKSWuUmWZbpEYoV 4F++/IeFaGDbUFgeiHv0PjAURgHtxQLsu02ZN+IPdS1ZjrXdAmQQG44Kimi0vCKL3WrrcMOBVWLu 6VI/bJ9fXjlzuqBSQswi2XjIAuq07CDxVoKeThYYsDlvKGtetlz31EhO5jnDniXDIXRHAvQRYgYq mxb4DEbWmBw6HcrORcAMNzOipaQd4uzqCKiBZLoSX6qgv4v7VlFKf4nJi911fEvy/rMEqMk3DhUI blg5mG0UuTojdvZzVYapTrCUOkZiP/0DxXwF6/XwipUfR2C84HRtM9CEza2qcQJXOYH1S21IcZdh uQi+w+8S0kcRqBKU71qzKCxegFsrubQq9WbqCjrY+Ge6wGd8ZcMHJ9YlTisG/PkUJCLpdipSZ0Kq PlFYqUj0hGnjscJhZRxLwOTuwyvnVlzmx8uKDd4E+dVeleM6cwb3+4SS6kDOOnCNcjAlrnus/uJ8 3mnXknevEZsAa1EEP2tJfIGdWyHSzVYdg28UTITnbqoQHD36VNBbwyjOZVhzRNcroR3KtnN0DP4P lpUncK2Bj+2njvsbYB7nkCiWVIqfcxQs88uQu0rIZ6/MIXoIJRj3skFxBotijGHH8kRUgRi45Bpw yebZgI1UZ4lJU2vDgwdmFVLM+PqUHNB4SLPJ4RhGVg/Guhndgn35mHZhGBrLKXxXP8WPwboZrpSl JkoK/6/BgATOy0Jgf3GWPFWg+zl4SmfPQaORjt0Cm/ToPyARfFBYUP6KxfwNhQLSrTCnqfW+uLyq Jdci0Ar9fLqbIgrtlD/hMMywTNOyndcvNfRQILZJ0aN8T13mtpYmuwzQmLubgNVGo4jknZ0dY+PV lSRDHIBs53qOpQSf2sNzXQC7WtfU0zXPHU/h/7s3YnAX1AIZCjoJ/7zb2OxpPXKJC+hsoNkzLfHP +ZQ9PlAeUQ2QNKdIg9+Q16pehYWTeL2GaQq3ZThFpP6JNTNY/dKiiVwyuCWTdpswH0c8zmAom78/ qJJpJY3OsGkGXwqTiD18uy8MAe3u5EwF63ovPEpzlwpqxVq8L2tJpWc9oJxhuJPxFbWZk6ke7FtL 10YSTqKnG3RkWU5c7ehkAI4eEHR8SOmMNc2ilGZVmZYA8IRPmz4N6cxgOTnNpZrdY4StaJ4x3Esw 0VX3ov5jgyOSHLlrZQA9u1RQgq73QITRG+WarSZOEc7RHq3MRZNRQrsD5P9PLKP8xWIpKax+bBdO lrgj1V2+T1trDkVm2mEJXW7r6KjptWNtyFF9pLHN74XsbY8tmZ+nnwQIdNOLCQUE5FlyV5lgBCOG hHbSo5uj2/J2qnXAYs6R0xIX9GwMG6WT6H1W/mgfJW6KE00cGG2dsgmmoh+5ENg5AshyQGShiVjG obZWsN89wawsY+XSkS9EL5DQbFTU+DyHcif8DzKj0RA/qur8Cufu1Zt3p+aZ74ClpLj4EOz7qdBA ErC+R9kB6MQHPdG+x3tJWwrNa767dfd4krkc195px4l+WN9yPdFRP3LTx5/cgIkipjgp4O8iJbHT UvAIrOwNa3uooHRd3fG7rt4+AzVFHE1BxPyfYRhvTlgkAvHoPXxptCiD4xtv6R0cVV0Ne08sF4yQ BXT0iYRzg3IWdziC0saBUBgB9O4LMa6gNZpTV7nmSkA0vDnQ9EzfBseST3oWvCq7nfHhcnCZyQIv /gyugaew5TB8eL5v14kzKF0F4trC7k7jeK9oNJDrb0GPE9yZQX3G8kT+jZJcYdseuY5Jf3/dhb7q 9AQAa3FGp7Skc9whFPimCZipkxfJhrov37gSw2WKEjKBQf8D1DHMbF8n9Qh2+Xt/Fa/aA0McfYfG mIsEg3lO1/Wtp9L6EhWN6OmtWUVnyO46HxSAa7zTNZl0/miMbor2wD28Z3hOxnI9hi49pJK/Abwi QphYBRrc/buI6y4B01885IwtCSC1M/+hbbN1fQYcnlW1/x9M5xeSUaQuhXIob4GxZPj7KJ1VvSsI faYkA3KyWYS/9PZoF4gCNKIcSPfxTZLtqFW9Nc6CoTe7By97cHBlbdx63EKG+Mm4uuQS/aVmxVx7 iy8DUqVvTVFb+Wwq/zRWmOPV/xSr58o/uGE5waovvBvsKSOjyZX9xBDYY5MrpHj01OipcrwmGHpy hgUryYsm84Apy6avFOfalijdZCWAESopr12NGXjjsyjpJ+7Xuy7kW33Uy9Xusr+sAQiGpwm1Mga2 8F3ZeGda8Z0K4nNnMx207i+vetvjBt2ITULQJxfBqYYibjOzU7z6KID4fpuH5I/h6C3t2Bk5kc8L GPHiSaufBSQ8qaajKG4vWpQ1vLydo8/BUBKruHpoeW7rCIUNwSgYNfGt9rNX9BpmgeE7phIxkFUf YGtMt1ZZdRyCGHhCE98uGqIm4miOicyRj6ZoNHy1smdPapC1qAAmDbNu0879sMWfktnud3T7K0e5 V6Qz+M9KZbVx5/aVlZTZdfxwZ54WoWhSJcVtoMffah/qrHsBvBHlFphfGMbnK3++EkXlf50lv17E g56lPCitc/DeMBNr1BaRSTOAcmCJaDvUEEabIoG7okNrIFmA9exmGEZmxllFl9x6SXqla3xBpgSd 3Pq0OEqEKqgMJ0DNHBm3MJCnoEzwR5LvDQrKCh/4FdMQ62XHezfzUvM/0UfVzt0GKrfqRT1FlF3E KBN/YeeVYwqKm/Zkrwz8bY/dGVt+oB17FCgP5aNAvLMOQ0AXxzd3ztIgfN9Uk5Y1qqe7nV7gAQJa QBw+OjEn5I3dymuuFtpI/GevZcMnWXndtA35TUCqolpX+RicFw/qZW3RhUS2br3fjp5rIHYeU9J4 x49288E4DQm80cuQE3Mgh0pp+sHdXysub9g02bP8wMhnymHKPow1ypktxigBEJoe+/Cr14zPTM/f uzy8RqJRmwKmkF3eqUpU1u5JrDHnomwe/NeRdjxZCExipofy1BwjDb09ah1OS+REFm3wmvv4GX8w nhf0jR2v7WcQkVeqdeQCGQHH5Bm5e4Yr13eB3Lp4kjNs63t7yIE48KYauzNCYpeNwiaYD5oGAgR/ Z8d5lvhKf95p4qFMyKNuDeY99z5GF2P8e58dhSWrAR0Y1QqLjPAUrRpRgHM8T1vYJQP+AmUm1Ip8 rgIGHmFvmTATRBsPKDtEKjKU6UeY9PSpQ+Y5H6QQRiu7RFIWtidC8jkEwFe5Uy9vL7bwO5HpbUM1 6ycK4yStCsUoi8xuv0DlFLIZSyhJy+n/RkjQg0+AertNJbANBv6Revo35OT1b+iMlAX9SSp3JBVo y7UktHD8uypVd5vBitOLP/X3QZYGfP4SPPqfwMYypl3L+vnriRdCB+G3vSm0lzPiGhJgmHxoKGMz E6Zh21ot6i+7qIEiABRurkOg+X08h5C+hZUFfjnSKO79a3iG+GdyzHcp2uFiBoiwbrkd+IHXi6Px puvDjL5I3dDa3xLsi6voG0MmJqlsnbgSQKeqvrmX4ZuQSzfyfWL54ZmVgZpbcvOw00P0xE6jpACZ iQwBQVkD/5AavJwwN5T8KcspEeH6bd0wdCiz2Ujk65voXDms5icJVtizE7izPyChR/pek/wvhck9 dx0bmg6QFrtfHaCwm5ni6UGuIP+jNSrW8jbdJ0RiV6+F1L6v3X2orjLhD81EmydAnSyHd96KnexG m1PpnwOJnGf9L8s/5gKfM80i6Twyw1jL+sh5CCjXUUkclwH2+QUeF0soKshJPLDeL1EiElgR6L1F 0CLu9kHl0dxK0qCDMOVnWur2YmJUGGTwuF6Efe9iCsQerg2zdN83xlGQIKr+lyN2tPjrxaK268e7 V79RkgXbQZCm/cXTmqoAXwfvA4S0DLkhcQyg5taYLl4MWHiWyia9Rm38lofP4S8ebmYj1jSPODLx Vn6lAhthyjQ5VkRlrd2Uo3Zu2u85fA667sCwS2BFBdPRUuEbVN8xV5Yd12u6kbR5SjIm9Fw2CjOu bJCgRgHBOiFX53E1dKRXT8Hqh2YBpsA5YnV3UW4IhahDhykw6dXl5uNIbDvwg8xbdpo08U1eiPSA qVbsTh5fvetka6nO6sZiVhJpAKceezBF7ZeT2ARfQuFBhJ0yr6b/VfMQgiUE5gorVPu8FAtJBinO rUkhwvgD7GllGiv/Buwu1TzxUjSZ1ZM9gTDJNInbAoi9wRW1xKpQbrtRrzKEQ34JZ02MZOO1hLL8 BBvask2AG72TrTAid8UGuFU8+oV/f94rQ9aGVYp6fbofKrUVnAIRxGkmCYVAXV0T/sN8ZlmsAsEE AP7fOM4I0mHmtGMqq+oYKFxoEmXXdNv2H/4zoLx0qqda7gUPi/R3d1cBhoR4YKJ9FBLzJ0PseD5q 6JSaSfJilIFZbpRJGS/Q3ivHKMGhoSKn9n/FZZ1mL0WeGk4Z0Dw84A/CEioCXUmYENEWKidncWkV 19SXKU0Ve9yJdbi3SPpgrBom1CJLrKaie8RQEBeloe22XIN9J/5hg6UmspduTMLe91Hl0CBJ/4L1 +DwzdsqFGeGLfiAp3zA8Mrux5NN7lvNxa1Vx54gTJ49wf6Fx/H2sB6VSkm6bilnGuFvrTioU8m2x vXJz9xysKezudWUMqV1DICJui7q5Rl3ozvmXswxL1e1BTxtfvaCF/vBg6qpVKFYi6Eu/5FHFGpNF 1LoKXilRYGFMQGyz8OSLqaL9hQFsNkpR5vUt0VYkqxbEfyPthEiJCon4VfDcCAcL3kWZBncIGw8F 2/kRjbMHYkOx0Agnl85LF9Psmnbmef2eoLR4E7C2azHaJarK9GaqSfu7HRdHfrCp94t9rG2m+YZx rL+GtHm97BAH/cqeBAExkiy7HxGm2MLIfdOWm7vAiuGflR8Sz1hdR4+OjKaLZhOwp4xcldWhP1cx S6ts6GSyiYn3XbPl6q6mJHdYKb1HZlnPyxRA3fh+g0DZSxVcsJ+eodNvyPwtAxz6mPxIphsYoeMa ACKqfbuGEYl4vOsKCgZqIqUwtm2owffMMchiYVsYNCXeNxmShhRl8I71W7Wir/1fcEzf6BOigR1x zeKTJ7GqpoGaPk9KNNcJH2hRx/wpggyzYbTRm/XRC7i7fK83DmO1Av94Ihcpq8tE/M4CbKNnjy/T QRlyzstUnbtnVqpMBo4WfZAwP4PP2LqIQELOTsQHXntNHETa2fwD/5e6DhHNG6UllJUG0/pO0tT7 UDEl3DWjm2YVUemRgbgzA0sIKAWAzwbYjAJ7DGfjfI/EoDy5CjvZOfSkls/PkEhT88+Ry47SOd9+ BckX9tOxRFOCOGIYfFKVLkbjBJBpReNZdHt5RdZwuPO7nKOA1mzfjVJ/43DUA3j8JG7D9a2B/Pmr 8lOG4QK3gv8buZAPoWR3Zd4G8PlaHl1Kk1GBe65UCNtH1O2PtMtOmCdwZPtFuFJYOfJ0SivFL62r r0j/d8a1Ea5NNwMZWJU5sJE/8i6tWufCQwW7mxSCOdTk1nVOpebhRQciw5hBR9DKFE+6mVjHDHbf UVY0w8PL7PJtJ+c6VrkD5sgui7fBnjveVUVDZGGSxkN7+7QLZSPJHoOJ6JKbd+n6A4/myz4Kg25u e2PpXkborlkBcC0tK/hFn3vUUhpl8eYCAsb/Zr4DcZFQwYZ7m/hMQLF8taCPYax9DwtYpMWfcB/M 8dpdX0kJoVUw2vddFrtnQ9nWC8DijPzooGkGbpF3OFQcWDdiuZmMdqhRjLmoqzPMQBKpjCHdAdSI R0hYlSVcfHrDDtTYYI05VACLFqOeptUOQPHUZhiL5wKMpAUnKDsT08D0h9JEKfPOWejxyZJzRufx 7lUNZNAMinwrjWnRRCL/PMMeq6CW/dGnOzUipIXRBcVhmU9cqrv3C0Xg36Dz02mvYdKrad4dwufP dRH53TZEEtNWuH9GXr5CXWvI5zClO0R3xzCaE7o62u50Bu7mxM95JJmsgfg4XsmhmmN5kPaF9z36 JlSaBqUWHwkYdYpNzMuMJLShmdL5XXOHbumXC7/CiC9yApbyENTeQ8ifTeCoyv0bLvx3x5E+hHia 8IQQEKeHt4iqQLmaglDdyhLL1u40Ewxww9OQ/KZ43zjAip4MdAQywxtQJVzma/AQ4WvNxtBPsJch nouKXvMf7IoUx2OgOf9/ABcbQ+CJfR47vO0UqbrIkwRi3gZ167bCbWhNy89b76PKJ6geYIH26Zes 0rvTxxIODGkYsr5TAMu0Behs5G1l1E2f11aCHoY7kggccXqkX6fnU8sBv46G2Jl4DuiHf4uSqlEd EVdCaq216mefq9ktz2tBLn9tHoK0Evsi7Oi1SFWL8QCv7P1XMIQOed7mWztQ0oegfMGwNmjPT1o0 dCW26F2kdSkLesaJEjbylvbvRd9mN74obY2Kq4xyd7hXmNGN/ZEjeSLp7X0+C9Ztvl5zXn/4ee+X 3QQq02TCYlyxNKR+xt4lEyKNIFxrEK8HjQQIv/xhFy8ghniZWFy5rKf9PaFqov64jGMlrsHk7OH7 a+OliS5Z8Fwzf1+ypAXZxjfP10kU+BU2n3xxL7j9HWjj5Wuag6SoOk7E3jq/anLxJJCCgrJRLeQe ZifGO631Nv1a41lU5AUR3SStPTGzJRI0nmmgUS2MmMzMM5HyvpqNEsRl1o729dlLSAIEKdK4NmsB N4DvaULknANPuBhxKp+ug5wZIJhikG/LZDSh/3gYBpObEcZ21Liaa3AkBcz7TXlOBVjkVcMrpJRk M3pI2Md7n7QSupvN4Rxc8vulaCLhWSN4bVJeqrArtR+94pQKbOh+2vamLDz6s7NrY/otAn8WNxf+ haBAMC0MOJJweX0gPTcyvnNivXz8splNbvRVkrK1V36ts17wSMFd0dG9K6elNkUooLB36ZMM81ip N1PQRVV3WINz3MRTGqlzTnDCBy3xhvEzOOiIJlyMVqzE8VHUQ5ccnOMPRzeYDe263GiNgmINayGf lv7hLljTVOiDhfp+c9hrmLDsEiBKz62Qp1i+bjyu7btJDwUHYwyiMg/Y5MdX7tBQ6Ba9hmBnccZu Wg8tIXtRw5ElR2xL5g9aVkijYcV+L2nXDZq/pTSb0K3xBYzsks+nMh7E3ct+40u0Ecw8d8Wep59o y6Z7Qq/Vw0fd/IoaTLoCMBaCOBxLpsxzy641Rd3YHdJYUZ+Q3KEdY4tJfv7Pv/aLjbHGdtsek5+P gsBmlvPWnsQRcGbNHcarPLzPuwDmnL6AzG+DCP0jSgzxE95wlbZ1CfcePWSFqyTQ97ZXj9L2sb2D UMLlmrPZC9+X0X0YcRZEHsdQA4ERc1DxdMCEjSF/Aqc9O4f+7MGnBsyHh4MRv4dh6Y5Ly0xTjtUG 16JXAQGajDcYUoqSB3g0YH648uEKAVbbLfAqITDEpbuKjGKy4oiQlYeNKvrGVw72Yf/A7gtk8erV Q4uOCtg5JRAdw4y1oakjjcakTdaYM6o2aJxIMHiGBfQv4mnbifRY+fHIVLuzf0JaiGI/kAC+SmDl Uj6qyawyBnfWmQ+JlTEd1dJzQExwg5aI/IUt1EoDRMYCyflMW7wev7WC2OXHolh5mvllpWczU4N6 fNL4slFY1HpZHK5wJ4QfFbJSSnLSlOpoXr7c3qIv8QZW/6hNiL4SkOVCL07ctqndbCr9gGt0vJh+ J/zmk0mrsSvbbB46cYbPzLF/wMPwcxQCuSntzPmyQ537gogF0FkBS0YUoiuZPmOKom+z4hj6cY8U UDB+xXACr+59jNPgjOuiqvOmp4x5kSAe+w2xnUJGSAirKQmXJ45Eu5SSP01XSWrAHxnjXOf8BvZs 4H8afSZqnps/Ky/CDUOH0P3zmpR9CQTKqRqil9tqcTFamDkE6Jd16kr1TS+SxWubbmvlIEIXqLKG qRh0wkpzx7IJPCXQNuL0D33jDhe6BQiF/1VkVIHqReYDYHKQZ0c8jKlhlir0CdYDOaJxrgt3/S0+ do2137UWbAJvoFPrxEWGhu9X7tmj6KBD8v7O9jxCDQpGTVXW0wAVNoIZ9zLWn3hiZ0YwcVLrxlZb S8pb1PBjIEBzBaJJ05q72oESDi73MdYg+Q7bhW0qRQlCYm3HzxSRAbEMg8/9epDT1Hwf+/ZVozDR fADjvdYZbk+OgE557BeZMkVtUsWj6KEPBoLOYKjUYOn7A27rdWVm0ww4tYdptmnghXQgM4nWnuIo 5xVV1StsNndJibQNFsIr/uS7ms1ttijPRzkbptJymdQ0+XNS9zhxdImtorcPrR3hUVTqCfCvoMHu ASi/ciY0WXegRGRPmSmcs66A+6FIhafcxgJ4687iXi9OJuErCo6aLv3cDNZAU2CSc6nVxHqhYPcT /vRJRlHpelZYPWovpW1THTwe0Pw1UdFQwr4UKSy4K8T8EULOHToTr/kFG6ialsatI8L2cSQf1P76 hy6IQysIPH08S8MC3zhMhVJ8ht2GCBZvfnM7JCFfkNbWId8FiRYHpm2sHVu+VWQlsTfBZ/7uZ7sZ 14VyAYcijEpaj3WeyYnQo29wfVWbthgz+58cMUJ+ARTD3L1Rwf/9xDKp3FNnYi6nNibY/uk93jSz BAO7uq6TymsMEzlbY63p15+MTDyUilBlfNieBQsLt4vnS2ie/mkFPqHpwS0A3zVBXEFCWkfEDjrm BRUTWn0mf0pKoEF/BVpGgVeDriuY5ovMXGXSt71fU1YgvaocLMiQaS6lB8dzb1J8G+jF6QTExici +f0b9xy5npN4q/iayc6gq+c6Ivx5E9QwYOPzFyXMSGfMsqjTSgf6wd/pavuc3WpbX5NqcDhIzCg+ Lk7OhKBsUJrSIrPc5PwrQLY9SAIy0b3QQtpTbFSXgLE8gy0myLppWr0OsGO4nwRSglvtso7Jl4Ek E5mIKDDSStI0EAJz3EH2x+vAIGFnlisN8yOkMzZj0CnDs8w+jLozbBAVzS2TzxEewZA+NRTuvfJv P+fkO+WDz3jUo54Zjmy0Ej8B34EOOYDg4gxRMtDgQ6pb3S6XhmJBJehrfngZ/NIeJfIktyWwj70z nPAE7BkpM9ktVfNrbC8OgGSmoOfYixB4F08q8/RtCnSSLxnqRkUFd74B+25uCT/SdZ/fQy1/HQWa eHlHA7yCtK8CRtJVzL0k7jVqXFOR2iHgqobqrG17LsuLTkZ94QfofwSdSLa8zUKZS8IkUNqyW2UR wvD0dpsrLCipu0dz72C3U2vzMvWZAES4zvbUClZgUUsxoi1nXMupJcI49fi1s+kEZ8RtX8xX72ay +7OlezcAjf/TPwfxjkTcCj6ZGNk5I47DLUB40LlExq6CmEKnkbhue50X5Z0yPFUfz2j+2UvTMlb+ A7ERuBMV6L1ITf010xIbmmI9Dlc0TYEZ6MmOgpuAhB59cMK2wwUcEZABiNINPDRuRlxRCGmJiJRi 3KSlw+TwePSWt1EShfxZDZs9Px8QPeW48ob1Yu7sNdoLjwUtcjJF2Tcc/i5xBttlx8fAd7ifEbmh VB9zwSWEv2Yuno6+1k0wl43R4bVP1WlGw/ctN71ygpFxYLKyipMvWBxbp2/N65JTUoObB23/oDa2 Sg8reB7N5xuLMebS6YmCPVfjE/wXZYV+52xAME14l5GdAAi4dVnhixfSHgiwEun6+r584kmM2bW1 nirs7gxFRnjneISaqwqKtutJrONhawuBK++XfzDNAlhT+ff1JupCs+7215VH/autp//HgOiZfK1V kjLMYBZwGmE7dgAfx6711LpoYgA4jahA11DrrP4t30vAfYOK4vQxbwCMUGF24ADiP3lsRHvrdneZ ioypxJKeUWoS3Lazd3YtRV3jzZ3mhR3vA1mRiAEMAlEOdp+8lBXJ98BOORr1PD9BeD6ovwoZsQW1 HyA4+FGRjVBXRiNEDRK2FYqgzcTgNB7CaHIxBnfTHWp0FvgvCNpCZFVobh5Dlu8L1nld1ab0yOmU xbtoMbzmSvUukILLtsPIj+xuWhDkXc8l76QCgkJEce91+JAQuhE3wHEkvgTFnJ3WNGq3y+4o4Rry dpVsTQh9kitEJRnIL6rzlx38gEgaJLCHipLhSnYrbQBBJhr7MpFqlfh3Y0mOHtLBooqCty4i8gTX F01vJYC3+I+S1sdrXfP0OmzTwq+n0sogAy2sxLDqSB73yujMFgTJBCAQtPgTtrtdFWLAWNp6DC0i epa2uaueGBY4caddG6fLQLteN15dDocSFl4J3D6KdQFqyzChh1v6sQlf/72VK8HnQ/YMOiFXFbMY QFbUUNjrOpRRpfzAO9+UCLy033EPE00iqA6S9fIR06caMe+lz2zED2dTdN8r8km48khyHoUd66MF 1vqquFwN+CjHygHHOB5oUVqPUgxT6alYwbuTxBT1BFXGZ4fD2Ws7r8w4bfk5xdCk+2uKv3C1k9Z8 zhChOoKkw1KE8/gGoNMMI5ByUquQeRj6tm7b/vGMfhxwhEilTAt2Qzt1gB3TgBC3Wn38LdBDTNnF 2Lw+ZHvhLWsBWm1H1FmEKh+xS0idFPWXhnhdKfUSORK+USKaELwuWxy667XGjz3h0rFsoqx9Xbht 7cQoVSFEnRZsHJ/yaZ/HFxqG/nATU9Sdyk+e15vTV3dtONtRMN+jZ10CXD3Tslz4/0Be74YSHcsa r3Tn2zx1t8aRiflUV1kMoD1/D6ERS8qljRb4rGp+Uc8Qmbv4nj+/dwqC7Qz1NL+aOb4vyB29kJkK KaKbtSfB2zMp5vj5pe40hqxxPhiLn1HKJViRftab8eCmlTV8m7ra1oHXP/FjyA2s7fz7EXZlwMsb J8vW2V80OxVdIStZTIRI8zi01wbCSDGi5aUJO945p5Fntlz11zCwx0oIW414nEV2S34HW8OH3qO1 2u4u3uZ6voxAa0eui5FacmrtgjWvDw1Uo52+NTwKA2gRsQ02cy5kw0HoivIi8Q/OJAnIMWvOmtY9 lCefKGF5ToSl7oOwYGLLL7BezHIB3VtlTQhePOTOfuj3B6XqX+qK9CeNkh0q6kjHrrJ4tIVHCNpa q1Hy0oLU72p9XOPViT7XfHNxFsvtkVZw3sy1+M96xUMSfPLjOlycY7rZADhTh4DU8/iMiu1za94o qeQ198cwXtrAycuBiYYkcsMtEXKUSCImuztHRRxGffZWOHYkumQqFZcbIEE4Bbz+TA3Ofy4VNCHJ ZqS9prx1QH10YfU2souyGsFf8pHvfs3IcXVp8ci75SDRWTtKPGqc+n0ZDBB7mW+6CGi8oZ5I6Pon rI9MJPE5SAGdsVY8l5+IAXw8xigpQhqL6VTYSjogWU7HwiOcNUkRZsvEAuJ985VMNDz1+l7xDrAW w852vGPtQzFIq3Vym2TUCWFo2N0b9F2x+ygyrsEfcXxpXOBCct8lAvmp4Wjo9S5BHEkLfrnxr/Zc su3LqIVc/fHigB65lx3QCqZgUVeoJIob1sHwO9z0A8y6sHcPmHckxw9YztJ+v3VYQ6NByWkeWrWc 3GgfAG7wEbgIRRirgfgyTWg9aQnGfUipnOKA29I7ff/UgqmWyOt1cLxYDrX0y7Jm8ikkmUIy772b 1VPoYRvHm/zvgoXie4sViVda0/juDef6ZMBuSC2HS/clgPekmFQapZpgEuILBbu1ufxkUIu1ATkq 9oZME1h/+mdiR4JWMLcMImMnSDEjH4gdNSmUcJLeN7/HvphPAHSXCpX5zaUhkD63YTGYJDFzqr87 qMam3UzWSqN/6Y0GZmGDqiS/XU0E5ExxEyWshZav9Sh3exFRgR9JNbYB49/pF2OaBssMynBe4N5o qe3X9XEwtdVr3dzztprgNkg3EAAff3ZHLmd9dOoK9yGVAltAqQRngK6sC8b1Z/UrZkDEaZ+IlZ89 7bN9SDwrOUvzl8p2tWxQrlqbtx/+oZDtULINSYPcPfu7ubExYImEhlE5XINQWISpgBhPSDWaL+uZ RkGXGENgKXrmcjwGvFqGEwi8NvfrkfmBtbXMg1ZhEsh7/H+eaSth7XTSeh6WbCtVqRMbMv+WyKX/ JculHutKwUs7bq+DHKHejRC79ymOEd9Alqi52GdW7Og8sg/mqBXYdDx3g0XG0PGwAt5BjTs9M81S kXOgirPzihwIVSTmCzqhxwFjv9oLxjTEXUjoSHDJSwwLRnS9/zLKK1tmQv/2Du8IlhOLPsDWLcem zXwyBZf+Fus+FnFy8ww8fhu7eo2vFG5DJdT73igNWouWz9uKg2s6K/3pCH5rS31g4CnZpWvbU6h6 nUY5xI2PABwjxwATdMGHuNnPX1SQ7iQ6v1RfgYTPn0qZSWJFQrcDcux2hvxWLOGCETpOXmb3X8sk i0CLk8DyCNP3QO7aXOrsn1aY6SoeRn20Simye14nfK5wOvTNw/ejrS2BcAT/g1zL+KS3K0V0GryJ 8WOr6LlGHEU2aVNmV+7Xp+2MG3VglwqsqbUjC+eWqs2P4fFNvDgwZ722ZWQWhSqtX0k2VoArx5WR C2/iQYCd9JG27AjYtVAYUdNNj3gfT8XopdI5X88/FOlaB4TMhOu/xNKte9u1wo03DmokALKtftI8 1UYfsG6MFJdkPr9YGe4L+s+KOTt1eVmyDrvC3ptnet8sOlcy+B01fMf9m9VqQp9UeGJiai43EoNm vaQQRl4MagAKTWlR/EqRwvKSrvczqtUxjGNTqmEKv7dH4xgVJhOlM0Mxx5YFPO3MWmrYPLGJWqi2 91AlJNyrRUmleiod/w8OqOWyIRBgqGkvNY/g//LidiK+i//Eyc+wRy9ybT17hBRk8YtRJdbccIlb 0LPGAB9uK3+2XAPcxrIT+sEWI29nbm70WefyL0HVvJzjCtkkItg2wTLfE81MymN2sGOV+9iJhEFI jhVjFcHPlXWkg7MFpIFhfCZVq5Gax8UWFqlxY6vveD+hd+zJrGMlkpp7MkZDspcMZj2W1keNOqup q7mm38S471c/u/RAuHC1pMIqPJu4E71Ek2KKf0Qe3Fx9SbLcF4dS/95K2UFWGHMVBBybGuYrM2N2 a6JTggS6E+HN97LAkBXx4mh+9eKuiZOuUlWGijPXYOZ/Z8Nk5wi5wUJosNDsQOBIlG9PEVrGsDvN ZK1J5Tpyg25/MeRO0YNTT7TCL1Xuqyt1lrwMAyiBXd+71eFtEkEJ/7XI/Tq+nQz3b+g3sYJGWmUm T7GQlRM0EGUIawbvAp2RkCs4UJJ+e0jt2kavIkPGDVOask0gD4VMsGxkGVs3pg8axYnF6dbsD34O qCbv1qj82k8CQzBP9jpPpJ32KiTFFjZvg/VuO+PYikhD8FxepKF/vMWZ+FDT1yk/cUljz3N9p0EH vnVhU4zLnNapvA4EXL3w/zV13fRRczlQKDwW6CHdJuf23S20E5gPoMYofhV0j3MRpR0jXRfbE6As nVE3Gp1ahXgi3yDJNslF5VfOeE+VPPjitOj9UdbiO5JGI2rmLcS1zL198ljfHMbdspeWrjxXrxPF IN7QlpVJmoQ31XKrn6ccwoKaUIfOGgT/X2QuNEkpCWZfadUW6o7dKyy2cUcqKNAh5Od9o+0GXeVK Q8BRgsYMDrPZB51wG6e9sMautrmdGpv9NPG3YnFEhYvxhoob3XqzoiivRJ8f6P9Ebo5SpfPaAQUi v4fHOPa+fQaUog5Hv1cs/pAapHgEcd0GUcEmGzM+EnAq69KlOc6Hhnsa1tLip06rMTMe7w0GcOuU HifKj1WJ/xOeYyxLNdi8M9G3tuf/EIl+LifmJ76v9iBEZJd+nA4SHI4cqZr6DQb32yi0THjjEs7W W8HggCV6LCFnSMGs5yaEDfgc/qHZwgmu/ZOwdqcjQczqE9CFMfzH1VmR11K/GIV4h+tOMMf0LRAs e1aIyLMzEFjE0SsFWQWlAcp5t/ltyZd/xI5I/m9lIE0tHiEQqIbYU1yMnXcQeYeuv5fU0BfP4+QJ NLX73d15U94ITx/w2ebat86unLjL/uUq5mGm6fmM4tQQMEjgxHJ8So7EfHUiIpqUuuhxFtS7h5yE seygwx9StU6MEJ5lIVsyyo98rWIA2GuUPegHURr2Gjy+FdORkhS6O6P54k0FXCRc71KpeHY3vVMY luE303YTeaspNAkUNilV6oT34xMJ1DaLOXNzF+kVG1dT4DXlE0vR9w6TePXr9+pSMD6NBZcAPJx+ EZX1vC5uW5IbxJXNe9LdrpV8oUht3TiQPeRWK/fqweTeHxGq50d+yEhI2llmr0pDSeyUF73er4zC MUHehynQuVHv3LulbZlVaETAwlpqJbdY14IR2nMb0yJdt3uwNLf/WLTgGZKmPeWOPakobScPVQ8H Ab7sJczdyp85hRpLQH0q351+pXVttx8/YnZuAZ7zWvd+vqQG1gLpTyce4+WC8P8dkU7y/RKEuuQz G5SfueivJLKmB3YQNnp9cQZ4FPlUTrLFb/Vn/e+bYTIcrAhjmwfFyVgj04VUqVGFT7v2gRS3KR7x pdy6Fqgz5b3v+9si6PbA35t0hOvWDvGfgE+OVkRE+rWRrsehXQTyfJCY+9Fx4FUyG/MIJMObwqQE ZatlipC0tdXkSrRWLTgpgh27G4pM2C2C6lieN5ww8hL4qCSnwDPDg8TX92JoEg+HwG+uBO3lLPz3 5I/VnSThjEcbeqW6ZEj2iR6HqWz/edhWcqkxH1FLBnOhnlG3ldWAjBqcyqIE3lZgA2u9TmFYeIg2 6riwb63NYcpcZtwh6wpwDTzT3zpRdRqqS8Jr92bIWu5C+is+is0RsGWiMSDI6F45L6hDLiwY4B+e uNK6PjtZAd0g6QKGxEub2yENOrHdqybXsVTgmvUABBGzJ/qGdtI9br7ozMBHm3Twl+BtgtAylE/d YOW18swfHH40xm3KVb27Sc5CatZw8jvXFO1W14Wm7G1nUVPmQdGVdRO9a24XCe0L975icLwoduUm 37dxx1ByAoU7arK6bAmpIGK5HAJYmdNWUNSGM44dlWRHkgr0IWUdk9lNvv1SBiuk8vrD4dmBvceS tSZNe2EVxJxh7BfYRDRQd3BBSwFZUUawSiD856Hxl3WEcvSjJ83R63HjZ7AokGWd2nyT9/I/aix+ EDyDwnOapMGwVnCtVqTEcNAU8Ctsi4NzgmzMeGjdBPS7vYIlRzkLpGlauDMNxEdVjBacf3R+cGQL DwX3nlzil+SPN6XF5/1MJCupGWTuDukxja5xj+7NAlIryAVA2or0gUk86NfgsSQJJbUN5JtD7h37 CaD4Yj0mEYfuE7DKyj7WhcQl4qkZAcxUVqz5Cnz+T5+dXYlsfAS+M5qrrPT18xfu8z9wwP5ZFxL+ +wc/pkVZbz0pAhyOkA4iSPBVEZyw9Yynt7hktHxo9ZTDIl8kUZ+itIolSJ1fpstE4vjjH2VGtkc2 1/1vTPixXrIrd4b8UZmcUMSrMuDOCXZhXZgqS8U1xxjnJ7KdovqwlEU1+1NtNqBsjTLdrEFrR7FZ 14rmbW0xjcF4+XZPWhPeRastTs0mnayL4dLRs4Fc3BLtOl8nSMpipWnbzcI8luj/J98lwwEro/6y FHv+1x0TL07mQvZ7UBtHTuPg/SHK71WKLlIJsQEErCP9nvpMnxoyQP+fdjzYrePrB293KBHVMOsV jAWGLHJChwX7EI5WTagHQohqu69+E3vpBxBJyEAuo1DgcziZgl27+6amJWpCs9UfljmuYfuuo3IV e3arHxKRONqArhbIo4vNrLbl+J803Az9CjH//Y+avuiHmOu/v9LssuFPtKMb46X1QEy8voovfBsZ 48fMVhfxUDAbGTBhh7YprjWXNXswE99Dsp8RdSOgJl3Dc3zpF8OEqSAV7yIYguGUSSXqNIgm9J6K 06jflQfa8oQKkOYNEGNg+BWcfDDouEeKHmnq17148BPlZEL+b2OGrEkqgWFQ+hH35FKqtznIupG3 bW7UELoeYu2KBsBinnbUH1HRtCi4dhAW6uXOUWZWubNrY+5MrxxdXNOfY//bQ10KSVGyD7vb0Svv eHTlk+M+lZ04BAiaJohAB8wrXvB1PrMHqlvi1bPrgyki+Fz/3KT8xS0UMxNZ/LYxv8zeACfV1bYB Bjthi12XWp/R1OpnJfySATOm82waL9WLmG9hJuH9KEHSK/4wrBs5c2Mm8YuAZ8oC8qqlbG/og0Id OLg3mgL8EU+k4SwJBgEtkIt13wboxXUkyvkrNJ5AFqSKD/IMpjEqzRtIP+get6MeVd/BrEatQUnD STlipeOmM4qQUaZPH3SUfr9dgjhlHcxlendjRK24a44gLj+cQhlDL5U4Z3zjVvYG2XF4KEUExJiI 1fQ6BXdS2g0f3ECg6JppVUq68/GcXYzIzuY5SRcahPhICjr12EhSLPKXbjWnkQdhMNPUH7vWkVWX +r2k+h0vMkheMATLmN/GNG58xlHY8/rq6FjBhelI+Lld+EB2QtrUvjwA9YrwhBlZ/cwm5/KX2c01 FSWFuxk/DIUMp/ubl/5uJcXHcb97lLu/WnwxKTVOyQVrWO6om4GuIXgTrmvktp5smDUZru6f36dW Fg8QpQ7muKfrO8ks+/xLJLh9/OhnyCh4MSzzlMSRc/yGeKdpJkcVwuPuhYvOzmEGITPu6Wj4EjmT 9Gk5jPHOG8iuUaj+TN1Wp+3qhWlWooksuZa4qKRBlUFFwIcsa4VWPBcon+p0B7+zp0/QV4A72MK9 uR2gleabXPHdB7ZVWvaUTW8uykIvRIYPoSh995WX2VRzICIqU9oK5Hj3t5CWdx6hOA1aZml2Tnfv uvySdvRhTqaEzB04OUNcKJgYwVSoA+77UUAeVI0V4vLpLNsm+T8ia10NeHQ5JM+pDYZGcE76HKth VF3l6oQGSt1fk6wueWo51jS+xdwczfyBUhuyrllHodpOUm4e6yBRjTcHbejKoiVIC9T+5E/U4cEI lA8qKqLPCHHkgnH7nGHVe2+Wrp+oXbix+z3J+aZXBypGXQFkW7ClhgfQ7KfcCNhshssKSXH5Nt2B GL61Uy439KMGqFpF09tql7bMQgO/i9twTjA9j/76qOBIv+MiTsio5GiIFSBG6xhFmb7tEn5Cr3F4 70oJaCbjtdROK6L8jPr231HZP4SkTCE3PEp4MVuhnwTl39V3r8pg4m3tBTDmEglFgX1h3YPYp5Pd aswJwonqZwSklSWgFFtHzWrdODEDSxLkUrIGk8mopRnJX4Ob3AP+qLF25J7bWt3aCmbX/ConPWVw k0myBGLDhHCDvnVdZImxJYYlj1HRwaoeSDqYSuqtb7rD785dxpqPGSoR2vyFtx98SYOoXQ6inBLw cKpjgypPvGvQqN2QtuiN8yBmbTNDIszeOShMdNb8X4aeka1HvSDP0lXSWirHCwv1W4oSEmWfIftF Aqk8gohinD0/46/lKAr7d1Szh9fK7TL6cx089JNcK+PuhKp8Kf25M/62biDgjvAnm4bGPGxE4QVI kEfg67I8T+ZlL8K1EcOJ7TeHeFVOsLvcO/W/3046FDJ5WCrH2jwnUgYQnwU5BAPUKgVbwHx8G1+g dq7fQpApmEBVIXZp76aVxiH6fjzYF919bANnxesnhwn1iowdKjbWyftxkur2XtevDIvphRwBiem9 VGxlGbuZ+dK7lR3KN/tatiZEA/dj4xvnXELXLax4c4BCFHLtoQsyjKEK2Lud2Zwtuicux8r1qGxv tGjstxD02zgsxOCXSiC+4TDYKtYdq3iNaMD16txuVHo9ElNweVsdnWxe15zyL3444HBI2zMLnjdA 5hOGjKhB8bqHsZ2jNoa/rNbwUVfNcpVpAmcSn/3yGV+xTLsx3jTB4FQSdytAawTRM3iOMiUCgOGE +wtxz6oBv8cTijFUchHDchPcVCHMjaEHdo7QXeVFm4yPsvCTnL8BEB8Slf/uqiWGrufejW78FyMH b/oDE1QUgbZQxrPiH4K5MzuLET2ZVB/eTTRNZU8REcEK3lfpvMlG/u7yObMncHcEpTchj2I6pay9 NujVRPmi0q1SXckuLoM923RgsghIBYKfmkLmaEnVVG3DTrkgaOJVKhsuVmWAak0dmoIUzlF5R1UM /jEfOkwROXUpr9knHdESdbFtNobV3pDpU/IiTxS5LSv7d54+rrEzW3OjtgUNvJDuACSijOs8fK0V pbZj47YSy05GtLLC5eEDjEBymuave0Y1iNtswS7vKDj3h9axEGS6J1ablLmUi0fEAvuL01nMss+/ a5WAA4M8KsihuMtXjFsVLAw5uDjsUEJlxfgqaKq9MnTmMvaMCvyWwSUIF5NtlyQOMbI3ok15+r+o PnWm7z0MXs73g3ubx3rNNm703DAAnc178/CaTAwMlUm5GALZ0n9XqPMuNVqM2IEct9rI5uQhnYTv x+vWAroA8ahySy0qh40l2XhTg7HRcM3+BioYZuvqQkcyIXHyLNRKiH3zPhRLOUFoDG9rEQeXtRWP qZ1MvYZ2vTbPmIojDYdWZjlL/lf/Mx+qsGoKYWnDOgBzVKdJAJfPXl8ggT6XwhyD8SOvgQBNIyMQ JYBOpUyjnLXWIO0JsQ3PETf1B9nnYSlHtzC9lawPXyhO4pWLq//nRFVxm2Ow3OStXxjpVLq1fEGB 5Lqn+YVg76xSTfwvo2S4Wq6hOak+cPs+cTdwHNH7v7sw6ZCe5hGtTUEXlvx166/EofhWLwyrlkJZ crvp9vpFzqZm9Owizf7nM76UJpw6bxgZhQH6TzicJQkoz5OqDynoZPltXteyT1ipzCV3qPNzSEqM kx1zzU8eM5qa/q9XdMbpfiJKeTLQbCqDGcWPyKSVhfNlDnW0QQxYGVijgdOm7+5mxk/tBbBgWJSg mfRWttef+QA3xKhmsgyYh6hsfgjqK/yHUenAX7lsMmoQ3e1tU1WuztoTmOD9Tf7rpLvNP+Z4hmxk w65FFccy6lbSds+ZS1Nh6rgZ8SpJwB+5WdEmVDd0o4AseGobVuOXykLohzFvGNeN+NCnVdx4C8cX Eb3UXT4GguKZG+vptpJ5FyjFPAI6/7LbmEsqi8jHNm+Os/y4sKqJR47tT564NhEXrBDMpkQQifU1 /YHXusKAm0cx7Tujfu2HazTV+khN86yexlZZhiraPuB18e2ZG2ti6ayOmrNtXzbaYgDbpT8DaXSH 3dIbJSm4INDl2UicBTFj+KIiXNrpNBI4itfBfXVBahL3itl7XO0WKvXKl2lHkNJavJXr/3r+BO2K uboa9/Bz8NeK6J/jAulMKd9thFExQKVXi5o3c/avmm3rzbBtCWbgu2SVMi8DTebTNpsoKnsKJmR/ hg4MKWzah5kmzn0mooL9NHMo7qeTHoJsqOnMZk/tLUdlYjqMDV5TqUgp/GMT2IwGOIj36xlhyacZ dWtDyhJvXvhmbTDdHKDuNBZgcaNkKeXODzz2hA8Ef+LPuy9sNyYBqPrZhjiokQzlySeyt2Uzq5rt Xz6OPJOigHS+ZfWHwdGbBq7fZvABTSkzoyY63P0OlRummHbxS0rWqIGYKgBrMxyTHk3qMWWIj76I e1y8gFze3aPIY1JfEa1hVGWXzPQ+6A95FnfLLXsVMAmT1baDl139q0lvMrpRrWjOHliUOdo1EAcj fUQ+EjA= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/fifo_generator_v11_0_synth.vhd
19
237901
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bvOwtDo+u1XQuHmmirIW0G1Eep8h4q1lu6sagQVNOpqoo1dUL25zlZCKWpryXBrbavlsSVZj+/Kj u5U6Rqq3pA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R8VeuF45EN20zhkGmJksRGl35KTSV0YbXBmOJfN53AFOKNxf64co0R3kMl1KH48vuem/BXWPzNwW 17k9On+EP4ryAUZ6V1YvtlO9Er2xv4nZefuEO+pELxS67R6s3b0HhdPIKa2fxDF3e7AwjfjDxMiG HOQbqK01rVOmqe+2yps= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qqYTedtVydnDu0uy4wgVS9xnI5W4e3CBu2tom9I4ji9x6Du0u8YzLw4sHBXlBjTr0CIBWi+453uv 6i+HBaHUw6WLmgP+uD0PvRoMp9iMm4rcTjCZCtUo+5bxaKDQQyKy3VozWJN9cYsOEXUyn41sbHk0 MfnFQ231FTzHKrD8+sW8iXzJhrvAxVZSOCQNc8FKSuvFHDKgrQOZi/Dde7fskgmy7Y+pQzZQUv6h 7xsxzMyVpdCwJjhjdow/xj17Fc+yTtNKSxkHMIxVK6RXkbOidb7jBkIw+8aEzlqsG5f5vpboGqLH 6uQ8IqqBeKv3BDowwIwUDotWgCgTdyFmv35LwA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xgoCG0tChkhv+ljdCxpV0I73D5nOgliZqF/G39R6pkQNEQixpt7jSEz4sP4s78dR6d8BiB9A3KNg s8gNghB9SqKmhRG0Jvm/hSIBQCWAqWOwg26IvTnT3j3MalMVsj1r5WE9uyiqdJ+QCTo/Y58NBx8l pM5ABblrTJM59LnIcqI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VTcA7V7opij8+vJ+tjjgJGiOJ+o6V1u444VHa/k01STvZB7T6/Ztq4KXHSVmD+driESiC+2EQRes dfVcUifCMaPU4kNZrlpS+Cz6GGzKHuujVBDhNOZum+ncGM2VGmayYd6F9EbhwKFTOVOkQmEz/eFL 4IAryyIE59LghhLnEgKJ/yOFNS6XwipLZ1ztAAj7QDruS/h8wJcmBcjwC4vXftAO79YXKmVgRKly SlrrXAPgfawAm5V0hj7SI23oHUFrT671NQiN+jfhZylivDC/aANQXHsoSuY7NkiKvHESuXKmJ3iX cfk8aGjoqSspgWZUBuwV9vfaTHDt+AtBbt97TQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 174368) `protect data_block Coihc3vuw+nnGrqpA9jXzelo2V1TKhFG2KyqfizD5loBME2ac7oZ0SbKNvleKrm8yLH8imrB8Eyl /pQ6zcj4KO7G0U5k5xc9k5ojHU2OGkz6nBS9ljb4VH8uT3Ku3nHsI+eWYfnrJqBkKl+OCPrcUOoW HW1nm6ghSE2wneFqZPfonxLnm/ymo5gSxDzbPtotsGfIfyN+q1x+Obt3qpsae9X4k290E/4+6Vv7 dudq402e8G9MmP1cQXeimx8/jFyteVUZgwmTfuByAtNj8H0ceFdpH6IVZTcy97epOYT/hQvaTF+U X/UutKlhLBoucr7ta2k3usI0eKysUa8O7akBPlfCRJ5RKSnVOih6Id2NiahpLWBo51kWf1xgkJkG ApBcqcrZPkSZGoXjXweO3rWRkzFX+FGkfaL87tejbzi84YaCHjj8ceFSsnuohJmGOQz88RZSJFKT zn5CQTvOIkK0daGaKJiZJesXKCaBTq8cWssvOdeKtcm+Ydxk6JEcNJ0EJ++z7KO7gKw1R9zRu/fn rUoU0u8Ny/7+1ah6OL873yGGfdgE7yEx9xHnE0RwtyUfoGTbB9xy0caBb7brYo2Fe9k+dvw+zCWT 2GxWfh6zWthDL7Rh8TsXgjKtVyS/z0O6ft2hUXKhYdQyDFp4K2bHCpTPMswFsJ+hc48OdHAuXz8O 8N972crpqJbK/+MamCViY/bz23uVf/VlozdA/tMvWxvooyN9IKw6U0RCC8N4MfXJWoSwxGa2hXr+ SmyOVK5XHWA37i21Fc8jcN3X5xesl0HZczYPGrW3WnbddfmwLQL7MQbRUPdhVFeOgIcFfhEdzkLW pWL8sbwy/Np/K/gseKM77eLc0OJdJjyQfj8ovKSqPtNuEIfKjGCOBGL+s7TIDSd3kNScgVNBRyJA JphK9vLm9tffsvsnSe1PMdWs+4Xbol4RaXcXzKP15kYB1RQIiXBKkcP+neGFo2Pf1E5GVmePC4YR XCuHC+MOSPYkWL1Q18EMHkRFJ4Zcx66QRtL/TGvPS/+l46FTpOzLEIvALOX/MnAYxjeEwHcdBUh1 MmWzNZ9KPo1fZX04yhd3ZxduOVu0kcp3SuIbP34AZjTxIok+tAnMFAWltbRSIO61cO2ZRCuuJ7HP EsPYjc2o3NtHcUUyRahKXAxKvzWFNoxzaWvTwwJabqG2S+PXnUYtZp3/gdIyzDVI54mSRckMu4N3 esfsmtk9TC15qd8ZG0Suq1YNN9VR1TXgDph7d9PtlCIt6L3VklkEmpjKufKCwf/RaXCVcbTZwXxM /DPqFVSsI6ZB9uTzZBENMZoRlr2cGn0suj+XZtjtCuZk0NGquAH1flYuNvpmzvbAlXbFJ+3jX+9J /1gES36s2ALan0+ActdgNNIPiOWdAYOljA/3ScUevDeJK9XybXpByYcI7dnyXVxtIDznzg5ahmps 1nDf31jhvHL/RXH3r9URRvDNT/NYFpLbnkcYQdgPyT4oX43ZmpBKv7u56n76FBofoP+gNgCVw/00 WUkgueLslw/j5avTdKBqqlYTbsGK7w0ypbu7lhb5jJk/VW2TMQ7pHk7+BNLyxJ3ktdD8ycL/z4wR vSTksFCGpp18Q/qU/WhMmQrb24k2QjUhXkpQ2V+pAPBPDytF/z3IYgkv+jsN2DwD4GUwExVIfG8B Y/QgM+diZXXmpYaOS4RA+ir95BHCyWcpv9g/qGKFcMPzzYraQK9hvkRBXs6nJkT2fr+Nd01+uj6s Bky3HsbaPprDIBPjYPuQE/ZWS5qsZqRxcSbfXff7oxOG6tcs7EKKuQme6+Vk5vr2iP9sHx2qo3Pq E5u3211Xtptjx8n2R9Dh5/tX+wSfCZmSotVbG5hz0xd6HEmY7yGJmeEmOrF90B96S7sJ8U/97Ul+ 8fxeNUh8LebNEqof0hYRR4NJF7jCrBqlmnT8X5vG1TkvWBctkeWXf5oFvY1KyfR8H1ilNA577cnZ Zlkim/fSMZkn7g1clL9qflmOUzyoo74i5atVSZb7dpj4135pK9vDkXuC0kXd7uYY/sXE2/fMaHXQ ywn1wlNbwlmwBoM/3o3zgW2MZiQs4PuA446fbCS2U9yprKOAMWN6KTMTJ/QxSTwhUSdop7e7rJjo H26sz0aB2237Xn94sZAop7DYqZyli3rHz+MHW8INkgYFtWtTA1BUnmCLb4o2B3REZjbTgYaBdB54 8yEpv1n1sQSSycxLAV4eFBMeebh8iLUh1RGSHYPyjghaFstT8E9xbmXCjGmAzfd/4JNNMjHvcZ40 qr2FLsUP0ak6wdwKrSFm6/j5LFILOQi8jZYxmdyv5gcRtEMGOcJPudsuxzVdceByxotfDbHKpr81 I6RB7zMrlHq12jALy1/Sx6l1eN8Ll57m+kJcSdn9gRMRCn3NPfWktJbfhxI0xZCJJCQOCZ5Ec50U 0MXGbeGtgd8wrUyn1Li7LplHYbi6G0qToqfkn2d+nZnI0wdGDZ1S/llzoLVxKmjtxaHj49EmtdXb dmAKwA92JQFr6MbXtdH8qM+YDFW6jty2lMUsYJ0ByXPnUi93lneXP3TqbEBxZZ8fyWF4Poeuo6Pi 0CgmorL78982g26ZGiWpcytVwuRMiMFZNuWVOEGgryPb4U3/wHI1otRT1Wac6D/UcsxiW08SvpVM oeGyCuHGGvoy4Wk+bhBPkIBnD/WqE9HIfnQI+EV9KGcKovVZlTd0RvDmrV8ZjYuSmU86opIHOhi0 4neW8ncuFeKhruA1DXvoYxoEcqBvKibp8ip1KsPHTSALmp16noaz/GZvwOR/HUrhZSFQ/Tt4muhT xLLNWkZEnRHz9GDzzCCp8rg1PfXT/QtjjJl09SpmMJVrOaaqCSsc9iHzMWGfojkKFN6/NVVD+hwo NQVjyEm05DwJ3Yq0c6Wt82ExCGa1v6Xv2SQXADSXP7V6PAKmd4zY2qLPg6ys3Cwn2TcP0RQRR0js MPWVssnJwxismakwdtYF0iiEHa6HnDM8HTQYYMuIfL55IKqrbJatDviKpTvMe62vS8cRnulmNYO5 lE2wYHZ7RJ6aPM0oMHT9gSqNhHaN1hrIevyxuwacId3enB/BSn6qd1kPEjelPbz0f/stEDw6CMoM fMTdW44UTuh99nX9SmSMJsfZUtz9qqsvzfaZrFSWiou0qf/qya4xKvxtAni0PG9sOUnpQNDTazQh sIcJrlmuOG3yjQmhZj3Ff5hbYuF5m9q/2ihpGnp8c/M/A0jEmrTVi9vYrxaDkMAoRCt3egbPEbC0 LNWgLqkdwL4DAZGXFidzENM+rFgCglwte812gb+YuFp9+IPzCmpvvZTgnZo5qkCbIg6aTPIAEtdN vfKVaO9CQtrcsYa4z5/B+VEdt02cLUvtE/KjWHUyQfhRtQsy8zJZooRQwKlowU24ulBITzMBSxMA rxIr7hwajx8yueNr9OzOQFoPEsEz/g0dnkjUjJ7LBx+4DY7eN8l96DAHt4X40KZs9rAfoV14hGJi sm4RKwY0PTN/wlxA4ysaHtgHHem6WIAyHyLNAhzMgdnNm5fipLl67M8C9uTnqYpNQj6xhd91Y7Nu hOcA5fQ98JWZkhVV+g9DdghHT/ZbSMNasYcTp5dUZGEatS6xNPO4c1naCh0BogHJRWelfdAw/+gX zaTuqXq35b7DWApBpmYHQu+gbpMQAZTliDNREuRRWa91YvjC0XTwUtIS/kOyQxXVe/iOMAcktNHG 6xExFH7LEufmvkzogA39KA7C5U7rPjICGfH7Z0WGz+1EAEhNDBW8GGC7J5JcvteTww8WNn5HUF0m mW3QfUwfDhsFveOuiIBFszrNGXwxdzzexxXlKAnFqs3T1TykxFx8HQg2E4UgcsJKSIAq8nVVu/7i eR6h9Im3kX3uSKPOH41r5kP+XA0yFby3aleRX1z3u2WJ9R94ENe0dNRjCWGcayc1Vn4K+r/PCZS4 avgoMv2eOWNhpjo6Gi4V619Fq7j77d9Re5sXP9Yspo44/YZba6xTorhfIoZILNOiGMTIQ+2nTs7g Ks35yT03vN8jm+vcPuDyL9TgxvQ/xylWlWloRmOD8ptNrTTdeJHLApX7+nhKGFr4vzHRumXzeOpx eVIa/uSUnGmldpIpiMotQHNLySJOiq2+atXwUuC6JVekqhO6yxRXv4UIbqSbpCEz4wYVhpNaYljG SULDgWXLcXBqt9CiEu5i7pRyOOg9Rw44TSRhtTMtf06yKtCHZ7VxfsLJ0PTYg+pxOcwXJKq4XG7d 3cm1HPcb1suWcxp+impaJfv3rtaaIx9rDXWw6FhoBC3srBaCxt8+AiiXz7tOY3+sfQp+0GV+Ef4x elPqkXOI7egj1vEjDEEbeHO/rb6mE1AB5Gw7CwU6J8adfYBoM54sqFkORVpgOvvqLPCxIAejCP0R ym0RfmmltxxszKMYfccB4CyBe2Ac23oFT6CJRsh2FC3wPMtMvLjRDapU6rpzFg9t1QMQ1eumGISV JlYSV5sMx6EBYc/4QTGJ+OT7/lqbgsF6v7IbhPbq3VR2Uy/bhGuHt14aUYg9ZZAIiBXwhKmZl0Wj gM90Ez+Ii6sZPmUBMUvh0ub3Um8LypyqranYkF/RYvPgrPCVpxkuqbDctyEnGQiiTsilq9+xOOI9 0TN6ah3ORQ6IUOC19UN1ME/60T8kVo1OrhR6+iGXYxelY4P+zuabYZ6zpwXllgByoV6Yv60fw54h E7wtcB5hjJxxS6soUy8eJqPaz7imG78dYvr39eGENJLqaJtStSP0+ljfVOHe3Fl0gdPVrd1Qx6G+ 4fmtonmQGMaUAR3mO8wLvnirTLYVeHk6CPbURP7i+G8EUhadI+EueiqLHquvW8Dhgk3sK2vx5aOz irjp0vRrlcus/k1WPeVssdoXhpIOlSrYyHhuU6aZBf4vFoVM9rYEC1YRtewAiXbLlM0TaHTVEp0H h3h4zofzL3FBxTttnowafRoUIea1sMGvHmqWz0/ox8VRxEw9sdONAnMYa70/+NZiBmVzWMy8oUxQ iEaK7KCch7+6SIasdeiS8aqxvAuqns6FajvyIoYyFHwTCXJzApkaC7WGA2citUBmhm/LAqee59Mn PfmPK/1PgBXwJ0vkcBs+ZzBKDlJ/Gd8Ijkjh//JVoQXlBSrm1JplFU3x/hZYQGXGg3LwiBNMxaeY oiLhfYcnOqeY26TlSfQ9TAUpMxdNOWrRqgC9McU6Vxb8jLXpKXXDG4/6CAc2gZbVxEXBNP4UmPg3 3VFANVvmpIXNYjYEljuToijK1zP9yeLh4LSwr+aIx7M4tsBzHU7rTAhKI1/J2DUdV7SE4uDZudm3 Ah/Yfto6lcBm9tTeAzsb9uDPsV2T4o43mA1MuD1o4qMdfInB9u94ln3E9VJmCpe5paLWtliRZRk0 qrrPmWTKwuQIkkqf8NUsdphPAxHgba3epYV1+YxP+UwZYjky9/RkVaRPVYT3kCAJD0mKonvoFzw1 9nR6nPi2ipB9TxzWQx3UlncrsN426ridvEnSeMtoXOifJ9AjX9dvDvfdwPqVRNIzTzpfqdM8IJXl 8S9Enb3R+duG2XwunbQ1IpnpngOkYVuTDed+9WHvrCFD4M4Rfr9DOMpFz5EF018d3gl2mE5pxVZD 7DMIZ4JmEpIAv6zV32nFP/UUzVoUfbMk26mRlTVFyw6LLSGLctmJIs4J8Xp16NRCj2bsUD3VgI4Z ShciQt4zSSMmfCfBLGi2ZF/jitd5F3Nc0uKnkaX9SvJL+Os+F9YgN8RLGnF+oU+loflU89O5C93J 5v5jWjhPTzdksVkUZOQZQp1SYCkaj+hjn4W2lb3PAvDTrg+rniiG2OXpzWM465oNwj+PRzg0KUlU 4pavXFEkG0CeY+ZzkOMyC4t6t7cNL/nXrj0iq7iJ47q+v7MNkGOikZVuTO0jALHI6x9G3WYYazRE vE/s7h/w5bKdL+hd4Rf0pX8Nm8lij9Vhfc5q1SVDfDLBgvGY4bIAfSmsoMWHh2nF/Xu4P4qX1baN 9lVvMf53LgRMDwl3OgMHDolx5rxGPMwPDJxOTmLP9FOgykQmGERDqagzlGty1SJRWm5udsyuGUvx XqGq1Iwa3htWAUlQoxFF055ArEYRn02uAKOlc4UnQE1LB2x62HFZye6V7A3GdlMmlYNDElU01eUs WTYbzx8WyfBAl7c4O6d7Z8CKiMq0gJsYG8A1ku/GNvpoG8yv0VumibYRscbGJp21TgHsCWmZiLYt HtkqWFFwfbj4f7dd6xMIU3UYzH54uhoMDfckG/RGHLf8imuGrACmYa/94Gf/S7OEV2DWyUsi7jN7 k9BOwZoQtF3iNXdNVKfxTEjCDnDzbQcoFT4vfuZwoUJcSQtVRcF/kKcjzHt9wRtQTlylZutxA46a 8/k0UwGEkv7hwdRDeIgViQFeGhqM6JoCsrGSo6XxbO42SNl9ftCjRQ93IjSliP98NOAmWz8DCJRo Ytf3O5LCKiX/8tKh4pD/sT98GFm0dr2OkRLgNP2RMR6g1mgHb0l3YEtSxynSpXw2ALWZByDfiA/3 ojROoYxzl+V5Iczq3JQ7x0A8WNSgcX3Hr1qJfyQe0VK5ZHtxHj5mNF+lQVChYEYYJNUcP4Q7AddF ohDWGui/XpgTwWKJxb7+j75AVhqCf2WMVFlbWAJmpk1p40s2JAkQC34C4BPRvOO4bErBENAukPkr uK5T0ZZ5Rjqse4Vdxsu4u3n4MfKpKfAx5PzlxVXpPtG6W29QvteYy3i/DsFNnB9rm741ZIMWBfrR C552v+kGMSup6FQBYmOuOgz8nDme2JHQCBmiZGr0FnMl7Yp56tB31u+y9nAhW28rlLCNOLWfHduD GbB1SuRLXwtYCsyexWFe6d+zze6dJm/vZWZGA9LBcPF/bm/2+TbKG89mxClzDF2Rsd//QgpuqQEX KUhpli/6JZxjTmlOpt9IUAi2WmDkDrIpiFzb7G+F1uTfYzY6RPj0GbxZnrpK9vOnhnlXFKpFernO GpR77MQMhXGAAIj4c0HtaAQ/WHbyM/VeVy3q5MDJ70jmrdiXQw3RVZwwWsIe41vTo/Hvy1asa+9I tTSkyctiU97INiTDJvvbOo3F+0obGsZ+Hz74z8hUyogPDYVVAJF16g3nlgO3djHonZpc+M8NvM8f DZr9XfbQYhWCq/wVyOZwesx+DXshHu2rj77WeOYkWgWV1njHxeI6iQNIM7+GCeaWs0Mz9bCMotJ9 JU5yu6A768svV3N1P2k6tE77mKnUrYC04hOxiYChDD/74vyu1/BGlCsqXzlSv+8KX2z8E4a9+Tsh AddVFG7UySNIV05W16K5FOv32cBml9OFaRBAY3DHD6FhK3DSI8RyjNHE+g4NUyga9RX09VBQKSGk Sp8qbo9kSS8Dbndf0YP/o/PXmLlvAE8tqN3kapu3n2qdBqsj1oyLpt/N4PsRPusVjEQNVw9cu4/F OgBN7NwHz1gN7qQuIlgiVjrF55/UfqNYBnA1vmhuIIhQkDVsrej+Z8vJeyPGmxYgTPlyemK/exPm GP5WC9EKu+kJ96ynqHloLXM3waskVGKYPxp494vqdLBrwXzhkjbhbMk5ogHfMz8Iha4n6bT1nKCf uDdjsV+1KXatWqeuuGtr3uhNgOQ4IIdNkBKYoChzdiQfCAuT/W4zYV6U6QSj7w6AKV4NWetBSOoh cbu5AZrK9blneHla4xxlIc1znfsnrzqGI/KL0uZTDz2hxLZIeF5Zy+QClSq0uDQ2SWBrUxtYUlTM 5Ekzq03rzEl/5+0hML3NMHbd8rrdBP7WQNrRQnCsVnapQZqmVxTLLwGpjx+08TeYw3maqsyTgrlG fNE3cfL9DEX/ijCallhUgRl2MWlbu/izElB1p+TYM2ys8vDM/UGZZbs8U1MqefS+fWNEUGN2CmIY M0Ls1EyAGPmexZ7MxarwiK4gIq/gWUZkHsuel0HsAlYEIWZ4UZMx5LIqpLhXCjsi7dG1qVxdeYYM AJr2DeQlvEcd14IAMVyV6Se0k2KkMlVvpJyynBFP15gUn6bYU722rQ0JfbnKePPsXaEVnhnxRpEQ 6oyR01ZmK/LQfP5ibGRfkwQFx7uM4Fo5McICm5FZUJUukZzAP4IkHFzQ43Nurtpo83BGr3PfarqW wnpuHPtfA9yhCn04SVWw5aOJhRs8k8BNRwtTvnMEkJkoyNBOrvviG9Kc010U4STzN+3kb/0x2Gfb iMFJc/PurnD5RXTTt0OjGAjtJdfQKEqN/qmTo4LxGZS/fpAxFYR3S90w7UJ3kp2r/2Taa2HQwdcG rrsoYYM3+d4QZ1zK3SH+mx2ADMILL7i89q/UqOXZMh4NMtMY/5szD8RNGcrKOndQMZP0DIjiRK0e 7Z89YdZoNU3ufOThLzcxV9DKkyTBYMN9Kq/GasLaqi2mJhH/0taHkvrpAGvadmMSI8qK7C02tCGf b1w+7UxqKqtmLTNwtSZdO44qKFwxmOsJTMrlDSet/aHyZxYNvk5XaSYsr0P6xtVleQ0JUuGM1pmC 6OTbgsz6lgM/qgLJMJCy7Sg9zrj3XW9hE9dnalVlO9OgYJU0qglAft6VwMaKC6D+k4roA8gZxdmQ oXg5lrY+Su2YMO5rgF7rGDptLGaEfjrVBgfw5afb8S9OIi7EOc1qQvwpCer6guVffS+DkIPZjOiF Y68BeYRleg6uqP8BCupjvWAB8+0NXx8PeKY8GMLkJ88tB0ZuwjSZTihxqlIaNQ0dZ5WElwhCTMvD 2ld2JbblPA+ozqlb2mjnWNa5UmvwbIRwVuZXxQf4YNqrfjAaG/FJ2bQHTIqbensXezTgtbDoWmri 8LNiYIAfQPp04OindZc3GowViN9HvJXE07KNDMpd3t0olcvnjibeBYZdfxTuNAUlqcAghF8T160+ ysk9tkgp9xcIZHt/bw8NcHV6ibDzODwgim+/klZsq4keERB28n8+SSqutNpxC2AbQF/QDWVh7ifE D99UYmlLEpvcJCIdw/HYrZ9ySTthjg+C9l+V6RMPtY0HwSSAzPL+3W0n16KnHI1yj5pzW1UI0TOx LoPdNIWEQwY20+yU2bd9K1ANVseOeQwVe80UwCJAcK2DNzh08lQPmF4e+HMV/EQ850+bB3Gr9H32 DjY+SZFaQ6MXl7iTaSyPSmGYVtu8K5ls8UW9BaHuiCJbxLkpaVbxj5Ybk7Gg+Z7wC72fiJzePiGX fNqBOcSq50NBYhdVUCDfOB+At6t8wSfI6oqdh+g+lQC7fVZfxf3bOzesTelPjxRY8c/JCftPUtjm JiimsHJl6F7SovuLxQm2Ao2Bk+OEVeFgUQ+Y1KTq+iIofkUozTC2mS4hl1yTJXblHtFZDVsVxc3W sP+NBQ0fwLc9XK62klNMT+4LDIuz/eMFUxUZZDfcTFHn7fMd/LqfWp8R/purpT0kDZfiVUsknC3/ stPTWPX0MnFvvTMJzMLAcKPUnY7cLoXQqPFP/5X4KPaktGyUM51aN1fOMp3cw/bGHjr+o+O5rYWo gZOfYyazxcm0K2ziomswoQjidIoYEEpoz4h81k69dQ7vuHRtlMeSWkg4VtYujIX4i4KJUzuf1Aur u/GrGPhNorsj/LmSJEfPLAOkngaF642+xQdK6jRsyDz3+S9dm19lk2QDiPgEAFlhmX8DhZvaZj6s lzrgKQxtD5k4MrsF7o7eNnxITgRtP7cVlWT6pf/2hrJUJ0XEcQTSeftAm4Jp6WRzzkPjIDNy5Arq R7zBrYKZ/5PvSlKon4+3PJ43lNYCSyGtaq8+SvipQu7Y5nJFRRCACr6/apTGVZY8WZtg0OpvTXTv ZQmflbk+E3zDhdbFWm01gRh//SZgDQacX8v7KdUrAmXPQ+RzBXcsWYRW3+1qXPpm+VjMhDtJ85RP 6+iTKyumaYBe4LP7Snfwj9jx86NBdgwcuQo4x9WhhdfTVbAfaXR1LkWQ1pDp+/KV+1Bl+8N5/2VB OpfCoyyyZkg0/I+OeSL12awxTO/euS1COdCL4wQpqEde/nnogEyT6DE4qgopEp88/lzoZzE23Uva bz0rZgrhaJBfdeSWHnQswMpKp/N791PHnyfOV9gh15x5Q0d2jd9OJD2xUclT8BEPfKc9we3aLncy NIF5j8mZ18qneBTCEtBG5AovMnXjdcq722drZScjpbrtAaDcF3YjBtd95nx5X54ye/xueqvaph99 IE6qTgkETBQmDb6a4ITXWQNr6yCraDT2K7UJrOmzOA+e72UX9i0cg1pStMnI4LdEAIxKD5UOMeb1 is58vc+ILOmrQ6Tu5fFsL/q0zZIuzqwBRV6lvHQKGa6q6xoelOvJCWq4/7LOhcaSpRM3whPIGcbc zH8NGIxKavUPTN0R5r8PnKOJYcv93rXfv8Q2ZATXvxdAOv6/9MK4+Qxn6RiYdI4hwgAV+9MRUlmN u1bQW9mAm/tLI2kRJV9nW4neq3mv1CIWX3nfg3xCJKyxwOPAC+fOaYKJylefSOP3lp/8EQw6KQdT 1FrU1jl2rkfTc4Bgcpir5MynHm+jMFHdP0MpwjSdsXk1b1vcWZOXJmfQry7p4UIi/Ov45nd3r73t OvinD16B3zineiNj86ZAOY2BXgLfSrqmmCAGfprVecDIT9j5cWkjjBAiF+PkuSGIP92/EHLaRHu+ UVsa9aNNyyTdvFSAX1+hFmsheFsiOOe6up0o08HSkSPa5Q54pONN1zRuthkDmtEOX6KpU5vcBiBc PsFP/CiaFx64ve7dwQowcJObaO6JzS7QynmunJ4CsnjMsKs+DwJvr1OffNTuc7h6k9rbiX9zmaX4 6ap77/MSwWOJb78jfEnNVUHCUNNO3Xf5SaDetXq+t9eakG+VakaARUOXxzWcIZW+W35BfcWawezt XiIii6Zwihlq5m8SpbFaPOnlVMruDoyvRouuTVG1OPgMEEbdvc7j/Uf2unlStI905l3lT06vgcXv fuFVPrKT4T/YtZOsUjgx8wqxpc4ZFcoztYdgkP0obC31YHTsO5N6zblimnBvt1yAykjKIkjykhHz vBsiY8PK6G+7z1V/4+Pe1DNN+jM8Nz+DvL16mShY5MOObpKKPGoOb/mhvv5VfYWUSH9RIUbM4DUE tUHixT3cGDfXvpm0anhv/VOwkC68JQCct59dtxIM+BNrGZpx+w5/5STVLhNHKTMntPqMjmTFRxRb FVN/yb++EtmtZHVKqHyORLkI6yj0QoSw8s63OlEV/wWZJsxQ43MXxb206lXuqJLcsNeiboOO0gB3 XtTLAqRur/hMkY1Y5GpqNNQR6AI/jcwvMmmOpFwU+cwlaQI65v93x0qnvHco6yshyzCQOhdtUICb mY+LYzK/B2cbo/a3BTCplfzxFC/YneJqrbMNP2y5kz4M1hQJSoxfuecriZU1D0Eun7vKKFJNZP7g vhXkupEFOHPa1y+l5LHE2NfEdE9P8DKik66r/MfjcF/jGsYHkP0eUlnhKCczjoY6l+Pc2j6oAx3+ 993hqGwlAuZCR7ELrh36wHylc8O5gfU5rSlw74qJeKVpVBBuphkMHza2qxhYjf3B0pyJfNlewalu 2Q4fKop4mMQ4R3Pzb9L+rh7fFu9lRCJ42Cv1NCT/irJrYSyLG56fD/RJ6VQKCAXbpbjNy0rYBx5M /67XWHI6FbZhzbUPASZUDBbBKC6D3nXONAc/M1UPFtls+ADmjP3xx7f0yTIE020KZqzvc2u8h7Iu SJ+3NV72EHMre9sRmLyEUNSwZKEXU32IuUiYKEBlthwA2/oRjtAD0rpf0LrrdNPDkrZzjKnsS0hZ BHtYGjtn9mf4GCOoAXH+XiDIav415mWWlEy85yYclKKN95l5mh/iIH3Q08Z4+hmq8Uz7UKysEKBU kJSfCpuOLASdyYFu1U/+/HArdr2+4EiXr0NqOOkSNeUxqL8dHMvYpbJoIVUSqgxcm4TwZfjK0EET quC3UFhfwOfXR2vBu45tGvLiIqbwIYO4+d6G2hEQo5EF64PmRCvvZuwDeZqXQWYBi+nJGxW4n5mZ 1Gpa5vkn/Vhn7W9peDlopjUoaxIv2qf8gZ1rcBrZnZw4qEpZJ0K2vCXXTRBXGCSZgR+47Y5kKsk3 +dTgYxux0c1mWhYaKrHIOZZcneWAYALWDUGqn2IbYUyJGtRNmPzPrV3Rj8tvJaPIH/57eKa/ZD8H 45D3voqNWstlDmfR3sPSqMuKK6UxKlPpgmpfAEbGcJCnyiVWvcFZPibIeyvPppvyA932KgwgKnNw qO4wl3qgOoLvpjSj4JH8Cx/BejxMzIUmWdHLCb4ojiFdfTo+lzYkG8RzlYMzTPx1xf7u5mxop1DI dH3TcSAunx8KGUImSMicAI9sHtSS2NmIx1wE2O0e2TIwT+Hi/DC34rtnnbGrWTl7IQMZMerNjSBs kGnXuiFNC1i0lNmMlHA6AnX0gy8bJgooR/FAqZuBIA5rbfVrm4XP46idfS/m2uZPd/2S9vSGgxW0 RyqNM3K8fhPOpScilNrqjCizXXpnM397sZlMxwqCQsJ3V3BY7YxHQJGaNPRaAVZ1KlTeGTjSWAdg PWDf+N+f+SCtZqvW4mqtGcLaZqHYuIDIFpRjZAeI+XHb2tniWNefGlxkpIfKrM3KTE6ovRgoVzi3 kyrx7pffddaAlK+VxbkEFfoxRN1PW+6YWGPF1CYh3QOHps8OVL7oOkJhZprgHpgtrL2sAZSaTExX 8MWuUCuy1qGKRzv5QdHHrynKAEyztcGKbPB3zvepVac56R4Zl2fkU22eMYaomdSOTR+XAnma8u9A +/EQCxilRAIBDQG9jr2HpPTG4n0B0kfJrZXFvHYfeW+nAFLONtjiijud2/zLnLqLcdiX4UAiI1Dv A4Dbt84Btjo8hhbZnwAbKl8zy2YH/MH0h7HFmvJRfL4bWqLWquy9Ir4Q3+dPk93mu2d/0gkU3p9Q Ci/pK82mJob5dXBenWri4Yc5+5cTH0ALv83UW7uTZ4cLVIcIrh2bozYsTsLa/4irOu6Bfn0qzTqI K4UwDSIDeVyvwsNlCypUMzhOKiAYw7uwqLZ/+3Dzn+Infby330+w6jriWzV4nGuIC1KW3uQ0MiS5 875e+bLAJ3ALsn+hi2oh1jFG3iBic9q0m1oS6KoXL1QvIk0Pc03J7RfuF0zK4M4uvmDzbFo6k8KX vFiN2BsiVX5jkiGDpPvlSysDzFu9Pk++8DtMhxD4DUBewxHihwWzkwN47sjAMNbgX7Q6LbG5FxBL v+f9XCCGJaBBPT1E202tGQKTas6xs4fOU06NR2H0j2mtZSvaFyuPakzUz/gU+aI55Ng8rOUjIv3l t/uOPG/xmnN4mzAYK/U1+pXDcfiA65GC8yGftXVsXu4DbmvbyM3xAmM0YLEDZLJls/3ffQOUf9yQ qnXzxh46Lcn80PQsvuflRUfuPH6RpCCG170kpfXkCXeFRkPFU3Vh+XjWRMaA88+e+A+teW3sQjrc vc8+cTmnmJ+T1SJnmtg3S4UoIv0mNVhdIrPjnHji8XKQ59UQTTqqIx4Nsz3nSkXCmHj/T5m4UTum jq1f5IK5Qwx0ZgcRNnlLF7EIqBY+BuZ6LOQ9kbhG4/Kb/pFG6B+yp4OLTPFhvXtcaNeOOIZLlCOf U1umXCtMxoCy/TaWa+rjaurqcRD4th6CWMR4kJtz7Jxy5n/3kOEYEU24RymUPTghb4zt7okp0rRa CTgYGVmotDwrFt18GRgxvdXdCWSElTIpdkTcfe+AIG7Lo1onHhEm0QKD5JSTOCIPeLOkYBjrUWhv PkBzcXCePDHSZyK/XrIbtjPr89RiQLzsJTt9VeF4sg1YNjPZGKDORDi3vnucJbWqgfOC6mPoFJYI 6lVro2OqtS+WA7tP/9SAHhASsqwymrbKB1dt0hHn3LTJQrqtP5rfCTiBmPblRcfc7z6DwrQUw/oO /fT4acA6JRmqqOxIyhvDpvKvFrH0k4pdq3qF/gRKxgm/K4L4wW9/dhgMR/e6Xcs6/h29JUu3ZtWF rpFOYjajxcesQmwqDVxAGBBHQrkTGRPk2fTXspHly59/exoOdlkLuYVQTjwclx2szNJo4gvsKw+l uYBT7dbLlseB8/O2M8PhlXSV0PlPzkPs46h2gNCqzbupXmYXcybf/noYom2p+oLCT3Nde/Fb4saE zeSN5juyy4KWjKi7UfvlCIufaIZNviQXjtkehMwxH5uXPedR3+cvlPXN9JHE1UZFu9tnRW1h4Bh2 /cHQ4cERQhriChXEQeikMjzDoKtbVesJ8BIXY9kb0xFwpAgrEb6G6McvFbvOtHPpyyAVvVmmjvqB HFv51812TahqF9K4GoL86XWkPK8FKh5T2VhMFLTPk2w2gDoYVeK7xEJzXO8X0OwCqt1tTZG8p66h 3zvlgzUTYaNAFhbNThwAc3pQPYVmbRmaDLdckELoi4aRKaWQenzvtl6xmyhNbIl/Cz9c/JNRps4q yXKlYBw3k2BCGrbe6ogTpVmRZs3DuToHY214ZF6KPzTlSejtTQFZK64GdUcDtkC40HnBuLp7OqSi j/eVGeTGJ00zVXN41DmvppKDVCuJbtaNv4IdJtTeoab91yZPZjlfoxa6bWowvFyH3VQAnDTko0wc McBv3nhSlkUm8hKreuqqRhzpyAhRZqHglYaUHbzH0A2BVYBOz2WsdoB+KbMFvBz9xngfn2xG00mp OEE9VnDGHgzg6WYHcu6Ichp7yFT99fETqI4PKmCZHQTOJCIwM80zi2aQp6DJkA+HFM0VNueOqGb2 qN2aq1a/JHAWqxO1SvM2TVxNXdlkeSL20oMncv3k2D6LD/Y9Pbq4ovzaM6uQSx6sEck0QZfT+T92 2kxeabzdyWUQKOsYuxpwlQ2cexbOhUFRLvmxg95rVzxGqFoJWH0j5g4WCFki+tVBFWjPQvfiIxX2 0pIdxFK4CxBVIBdkZMUTpLSrslAbwH9T7DjM5TdBYTQo/78IUTAaBY+hR32zpIU39MyjuowU5KAZ DTDNKRfXMQZGZ6plB+KJSihvw4uiAgzAs/eFtnMilFSmDAa7mw1XS+/0JWipJJ7l9nUFkJ3XwQhj fwdnyQoPpKr3KM38qEPRx1AmLWIfGNiPXHxfV7WARNHHEuZ0iQZFqR0su9VP0PJu6OAI4HGq5FwL 2XMk5L/Y+IBc+/xnyK7ft4IcEG2E1ippY1OyqlwaE9eilQ3m+QI556EWEe+34aCJl1VLVaofc9h9 e6C0ML4hyVq+js8gjNTAZlqR2pvh1qZBVvKUc8U5Uk5O3EF2qn1cKBp8HL1vpIlf/fKTLDEmFILy s/EQYyl6yHzu7tvaBhzotPfI18t714gBbwdpGdJYh69/1qwYcTqznd7AZ2spdmuCX76Yxi9A43SW KDn2MxnnpFeTXFBg22a2XyzfqDsfj0J6t5X2WxVmOUif90+LgZghquGZZUOdqBsRjSwnVXkmhucV GIupCI5Trm43a8juVaQE7cimO61doVFDhxIDVppcmO8bDGR/8tx+AaP/TbVzEqpYJmK9fs3TlEdx A+1SW4HYMcCVw+eNneV81SPDr6Wf0tDP+R6AW5p88FiJePExp9flWfRjGqS5WH0TDRli3nbWFqRI oEkjJV4P3GpQsUsF6yQSI9r0M/YyjKJJ18pTto9eQyN8eaTJYYeQQEUkwqfx5gHJTc/bwIYw/0Vc R9Xi5PExEJcmAvWCy3PQkM/6AFHQqkRtoKi9LUj6ePDa9Jct1E+uIoZcZNpo9z4kHfRTyGJRpeyW o7OYyRhSwW/8VCQ1VHZBxpSkSUOMgmBxQBFSazSPP+gBv/un/h4HvZa1qrrTj9f7sudSuXW6ngXL l+TbHZPdbVZdkwAIrnmkirwvdIeQ6p3dzpO/BLM4lWIii0MdFv8N9uHivoN5+cFVixzjguXXzIb9 NJ5N+FLZ/ijhOfy8r1nOXvmJjoq/PhQpGZZ0+rmt3ggDVQZ7bQiNJojKp20mSZDpB9eVw3zvb3m7 sAfc4I5Q/9s2SIjVDfnyw8rLqkW+trsuTvfQcHowx9++y43xadOP+gK/LmySbf/sPJtuUoiosn06 zOU1Pi6cM2UCC/UiGDieiUF4IWi8W5LtHCgs8FkCav0JcaWKnqI4lzz54NiM0FJEW9+Sie4j1klN ug434AlWwh5w0l/F/fMCdzPTkPQaetMRmvvjEOELM9HOax0xevPqwItAVJcCkc/cdYJ+5GS79yJE Ni6HAjPdXhCxbBCh8PL+vtXxOup3VtZCoNSrk7BJaGAep3K5bbvI1nlIaD+RwZM36V3Tf3pb/LN6 VU/YjYgxWma77UkR/CvV34dowQvnRe88ELY5R4SxF0apqycbTPEB6ScgHWlx5EcMM+8ZMZ2hDDuD 70Y4Zhps3RKVUzfGicRMPXfCC4qQhZ8M42++4QdD3vYD263OKB53jhw7BuYIOLS9D74HZb7jU5PE MKIZZ0VUlCIgV+N9K44znfs7oZ9xMhlJYyRkT04jnwWZD97ztvwmp3NEC0rertj/MTEKg1X/iV1I LNurmf308lfXN/GicKsreutpSZEWUKB9PptDkgFHyq32ynpa5B6tjM2o9uQOZxcb3ZpEUkZV5P9i 5UVbk+WeY6xYzww6hdGb4InPo0l7Ul1rDeKZXhlLBgLC6aPw1rskWnu9un0uNdn8Bksv9t7luv0B gSUIoo4LttswF+m4B5ZTQt0lMDeay+Do8/bQ0BN+BSKY19oVszd+j7W60c/Yg6XdmVPAgTo9j58S 91rQosTqWDTtDyMOmTH3JfOm/spoguq6WyzR1rF8UZFwFVz2fT8rRZsCYTtdK6floscWroU46fki kADbKPmJ/evVLH6pcnDGaDhi/2rhw8ovh3teI1PWWDh4huWrzaYc12Mc34aHOt5bRoZSwH8uWGXT fnOZ2kpP6FCrYbRv6Sw9YtLHai+yG4KI4UN2L3jRvMkgnCS5JlDwGP0/yFZwHHegBVxsoJssLLCp y8HyeTz4EM8UvmcZtZy+1ytdTezxdPoJ429l//T1P/JAwNcC3fuPQ1J2SpjvqCt1NQpI0PYGJ+s+ n/EyfBczx3RKkpau/+rELolV1m6bhyXvyagkezPBURjL9ZbPgB0wd5UUbbBV32d8LViUHGZ+lDlW 0ROCE+Qcvf9Kc+7Cj6Y6PBOgiJUN3L9ZRjTpz+tA/9r/IR9lFMWvhDHplY+T/wMV8JT8vkJf0nwb ZCz/ipk5G7KcBkql313oqcs8exZGLWBFOSIWkQvhqEJFvHYMQxO5Z/FxmnaEOeIYSSbsa2FbiGJB ZpWFORIv7egp+5mtIPhvWjs/NI13kf1xp3MurMhXUUXRZrcEQysih1XfYqP5CQZu5UWjwEPTDW5M gBUwsqIE+sC8L2r0XtqF53SHoX6PBp2MvuWl5uHv1ePXPc6+5W2rPqGcx8if3v4LrrirtbAh3kes U2qxXuVxnGJOHdzuUQPWGpfDSSlVAwPa47HbyH2ZQHzZGXiT4PQU4ERUCtKs0JOiCNMCr3CJMJGM YPx7wDZAiqkg5/lMEtzEITqYPmgaY4mp4MLVHnTRS0qw6KyQPIGYM1dfC1nPwAAjmOiIYtHnK172 jy/7+f4TPLhyqbTztU/kbw/ksw2QEdFPvSh/45b1uPBnSY7YEj6q+0+WfHi4361M4GfhCo5gnA2D xbGK6PjqDdMPXrjGvXQkbkx0a/kcFnWFQuqzQndjogPKWNV795NP3DV0e903vX+JJMXu3iY9R2zb qiqQCkxmFpZzIh8qqBWS6LofhCUADTuOVCb1aSY0gg3QjrUMipPP+UG3q3nz7AF3xuQDdm7xE0nM 4sxF0bWmgcdXfhenooRG3H189BVCY2e2xMX5lwhPq7cTfLmP8EuJsJ/+425l+x+R22syE7dK4r4Z rMEZdXMIHyo+38mS5G5R6gdBWd9RiXkTfMiKpQ1hd36xgxJ1+P4CFxZSW0arawbCoUqy5d4RcwBo 6V7aIwxbTDE+Y2+KoWQNPNdQvcQb7de6L25YUBG22C2VjJpI+cR7buin5YeW3bUMuT0RZm/M7zTx wMg1C2GfXVBof+HNL1WdC0pNQt3EGNLvAbLSUQogWThZ/RgkRG4rqhjgftjcN2Skn2vU95eZZU/D 7Dz5muCym25+NHzZ0B5IFIsIlGFQOpem/+mYLQA0JW/bmmqFRXfy79Z/PNtAZMdIPR6+ffiM6mk2 03P8sgT2wzHI6NRJKb+p2L4YqlQb6HRhE8S9X6cuUusaXKJMKOaKrDSZ5gKKDF3kpG2XlceTV+Jq NJnoaZs56KmE4wwcLqrCh7IWpEIgo7XAZLx4ZYpbCdRktWiCthJsFCh7BDRio4ckpNDRbRagOkIs Y9w7qYE0zxew9lbdqQ+VGt5ZMw150D2q6bSNeCvCKzXXImJ2CHeVfanRv/LJ2cFnGjKi3/NpXy6P rkMBnfgQm2ns5JgaKba7MXVOPgEAFyykLG8AGpPIfADHHJpYlI8+0n3OLU1zDF1FaZYjfGR5XeM9 8ElH9SF4UO8/XXpvm2wbaVAQUHEVsJ0aCxaycGZWs3R/BxupbzXD2jif86p1gzZfiON8CqBYDZjW nNoh5IdUVOEuT9Y9uZB2WeznnvqPy5iDtlpg22Muo5JSrkp67+xtYwKUZLYlUU35g8ydgLp4/PxB rq7g5+cBLNT1DuIm/Rvw34H1evxBhaaV7HJmrm22MsOJG8R/gtKuH16jesMcb1zfI4of3tWdi4p1 CEXb/9Y65oCoQfeNtkAHD8sxnTeV8knX1Q9XsRO/Ss3xrV0i+euxHS709TJWNWhW0eZTwor+XzS9 eWeJEDw0X991hsaUOsFdva/AB7x5rUP975vt+Yoe8e5XiVE9JrukMsOMIPaegnQQCQem8UPScVX0 YdtnXbNDHAWC0PkZSXyg982NrYx960Vc8K4hXawNnAR0AwqtFHgByeb+l5lKvCglxY2v4w0kcN5B Qrx0XXLo75oQVFSIMCYtVZRvf+lEzysf5N/50kwrTnJYCcoWU4NueRW3kfbDj5qorLRMKfXaUg4X Fe4oAjCjfAcvIS+1kdqMzTJuF5Pvyc+eATn1wwbCcaurhCwDOMjy3f0FovEIwaE0lPC5+GArupDK +FvQP25cZSJn64pSj4R0SI5DtW3jyXS9wpSZwALask9OiwhGBbDBw6pejRSwnuIjURd3RbP7PLUZ I0JDG4BSwhj1A2Be/i7WRtqueq6tBOxhRbj963p5k6mdSeMUArtQHn31Pn7lDOZT7NI3crG1ug9v U2sjysIYK6SOttDENfApB5JKoVjs3bCXK9rqLC7Lhf4mgU/iCaBVZb3dGP/HMZv3HZLZsojaZ9U6 /D7kjPMC9RPK60kiAAVOJCQqKckX8Lmu4m0YEVJ8d4ygmOj9hmJ6wakvGH0+1tFLHYw9umb/2cx3 FB6khAjXJ6aZ85uRawy9phwU/aT77/JWAqJ7YDf1+fQxw7KKtEXcM4TJ63BbHYTF3cEnSyjp2s/l WcXhCb1PVXYbFpakS7f1YX3OYZmryUz4eCbaRHCxewNR8zg7KC3gzdiCcc+04G1AqOSGkat4V2SK X5vqBW6hmTgwFGzEXy+V+armT9zIeEgppstxELm/nGbMUAt1MYVvCp4aIIkti9+XKe06KpRoeuW9 55PbrbUoTV9c5Z4giiyWoL3UcEeutEw1IS9dQAFHeeHHmspc/kW+dAeDVpGOEGGUUZILYJMFF77q uP9rXANoiiPXXoo6FstHix1uMec8ja8kuLqbEU+4JANiqF5ggNjGc60vRXFx3Xoyo3fZV2945rOB 2pXgrvnrKa0I5NYdmC0Xtyi/VOPvVR02+e7azsMi1v/ATgYi0VDZ/tGx34vN9YIpiObYcmQIw3Vm zFmsfOMm3GO0EBAkMdSgqWF5nl/508m5vm4vqUt3RRCrf4kzCi+gYCxgN/S5Rnf+e6uFAhMf5T4v eXzghZtuCEaO0z5G/D1BXYhSolwJlq2HweECESfUHTVee23KyDSe5XNJhJKC2qB1vdWSL9Kh88kW 9ea/F8NxxBpw99pteKl5bj4iq7Yt6fzLalGclv0lKwlhpb8EC2EBYV1k50SqQUSJcLklIr+Jl6re flnxRxJB2S6WLbqpQYYQ7+DUpQbyGtuZbF7jI0EHQ52m8D56GNpxUDkZndHtIxF3BExcH9dHtsxR QLfeB7YnpzBSY86fXrj/7FEg6AOavE9yKjve15drGK0T14jyn2Q9pB4hM3ldgBu4jodStlRdV+0c gmT2Fn4kPGQPTyUBaTHU7C8Kf4E4Gauryfg9ge1aCuVRWmLWs8o+4w5C0aEU49F0n4ImEHIBnawK HQ6sDllAkieVj+YXOHRSZ9KHAw0Mbs9BFve6YooUFGOUDe93nv0ASbtqd0GPXDoi+OcOWcdxr82X SzXbqbJ5Q3apeGkmUP7d2g3ZwkG/XRnjiv/Sb0ZSbdjwen8hL6sZ0lUS23Bf2YbFajyKtiINw+SU 4xuNq/L+ksWNaddOBsE+w36KDXcMJj1FIh5P7p+wPNit+SjE3J6K50E+UWRXfy8P5ty89FVa14H/ 7AHZDpnjG72cZ1DatX3EnfHo/CHb4sTejfQBMmmAwtSypVLfVFsNIkMKkrAI5efjVCyF7l+rZphe 9sskkIT/Oe8+OhBvFmxnTh5/9GxvffJ9HlhBOUU+azeWYdkt7wKjyauQ78ZWOjAEi6ZLGwInDj3q BYPYEDgnYY5b2ggB16LEVR1MzNUvDh3cby1ymDlWunlUrtBjHE88qNu6uZEsapAp+mIHOzFTf/h6 y8Ec9oWzscFU23phI0O9/qA5A5zYHSDsotpn9QkpI5TQEP8ZiXH5AuKa9vapng3vJ50R4dyl2nep RgM4Hv7BMoYvYzXtq3LhX5+WqbjB9qKY0lIhjiwzxvRFrEE130rO2sPDRyPVDna7o5Mgp/DY04It ivNUACje/c5kO6NeobF65EdUUF71UIgLnAUSMRLJuUSrPwSkn4KXvBtLFvMm0nCyg1O+IIrhu22Q 1gROy/JM4wPdraLijr0GjYwbMT44mI2+dMH3ZjueFKHxqHmdfcv19Vg0x5y50bR2VRI+hE4/eRzI MxqzSKIuM9ETQNKLyZKTp1RVjqy+9PyJjhoQaTBedl3IjnwhndoFQjbANHWSn7pEE87JNAwuf32E 8Nf+sQJMgTBWc1s5txYlaeMWsjFDiKxGdz0VV9psGztfzrNsha7HVllMvIbWKB5Byq9BtkplHHaz hpT+jOckeOiGmvOKBju9KO9F8EhJra8xH3E9NGGu4tTOWDmAeFF4QmMrA14//oc0jTe6/O/B6wOX mSw0WO/+CZHYF9Ptl97PQz8tEng/JswqGQwSGv9xfP3cZqm8PDli24ffJ49lqwxCp88uu2Zyq+N+ S3l/txU33yoa+GNx5BUv+eqo5/t2/qBwowE+a+CT719hgdGIQFLSxUtrz0lvc75utH/ip5TW9aPe IkxER/UjAirilnXVusBEe5Ap8ZLg70MO4g3wP1D/kyKii2tLHWvMfHkicQiCdy3lXFP/m2AIXDG/ wmNQPYwQlE4/taiFwp15iwD6EAz/cxtSOV1b2fqftKdSpDmmGycuujfrVAKLqcBAjS32Vo5kdiK0 agUKJHqIT1qMHCvI4dpk+7qKPKnSR4rOiyyeMG4VvtBkDDzEos5mXc78lPWPMCbzYX6aX1qtTT+W UUC2dU/GdZcvhNGuY/Kbiy7OpGwKi+lfP4UAv6UqSquh+3G5S9GAsTQWD4k0sPKNLlIyqOjTVqh6 +2Vw0aKli7mwqb5mc+1L6T1qTZCcGXHCRu04xucqG2w6CAMqxFr9ruoaGW0D5otRSrruHir9lkEH yDOMe03bwvxe13MrC0WfqmAU2aWfhpFVt5tcNIlo6x33XVloNEYMZOOC+Pht3Uhu8cstKqn2bRmU nbWpplNidEj4UF+6GKvykmBmUtoSXuMsfJKunww2So9G6i3HkLr4sKYNUmHIJGKTWYBCLPv0Yrli 1RtmMUMv2zRfaGc2+JNhSQZyTGNGPLXV4DRz39QoQKQUL5r8Lx9IqP4WxAkTvpuDmmfWnw7Dy8j1 V4/Y6XToS/SXZIhOsO1+7U6bc8/kK63v+LXKDVh3ZjaDL6LQvYlXeCHM5nOMtBvGe46wxVTshhsD CfyL7wkrIq81FDMcOOtgCzIScoV0Bxi7KMcRKpts5CvAiwtlCwwALH2ol2wrbSv2SHy5brlvunlh 3kajF0ODnmoK5pWxIwPsfQIulIpnpWboLwQT3XnI4/eCjQTrlcpA6IaPZ03ySJRTS1Bxze+aDJ+e x6ptU6jIyF+fGoQ5QOj/zldGnnzsqwj5K57DVDgGO0RMc3/xYUVJxKzghX49I9pi/JFgU32baFZN vUaArs3Njd5bVAKx8fMVPdm5ZPFEEQAoN2fEUx410t45hfEZi8aFA3615ZbgvtoE6AiB98enI+ga i3CwPLdUpf1viEkipWm0Z2wqo6NAMFxxBquOIczHagkDN+Xk4w7f1XR3ZCckl99aJVeWk+ILukcs EQPOmJSsSlumAu+hcSgsvZSvcBwbSCCbsolUMpIcVZ/gPU/K19JjNa8+iPBpwdtoNnpApttoW8+N sKiN61wmDnKDTBibzaXY54b+yGP0IgozAeqWEQ8O4WbeURk0/knf6IYYUV3vY3EyV35DQU5nwp10 RWWl0A43KtBCh/YuwMatrehmCajcnF+WkRT+cgkxH9dXJUPUzb13vQHIR8AiCH10xrXJ+ntYlWJo 8ntabsMi+ARYUJx0jvsACV39N3Yf/GFfrNLlh8JcG3rorgJ2uHKXSFvL18+hDtrIGPAX8sM+N2oT d5fb9+eBG3RE93C4Fzirn1Jaid5IvtmskZF70qcxWK6V8e7Ucg4hkb6ahJxTPyZqFO3YvyNqNFYW W2DHn/K+9eGi/38A7SoUWz8vuqrKcxcETMjUwa6NI/h9iEe+40YEv8ixnM0y3DS7a5uHOui8FLlY fn6QE/SjXVIyhm/v8ElIL+06GAdeELoi9V6mKykxWQg9ds297Bi9mh8+UzbIfjKXrgSGgacnwz3e AJYhC90hkIhe4PL99R7j6ffJf2KXGsrNeqDkZ/ms8qW39X7M5ST/+/8GRNcfCHzj3CGv0n2OvqiY CJfcwh8C2Bl+uqRT0ikyVC2smPBVCjCECyVeVjqHLiXbGAFgFt1iyBa2cjT8HsWoFKlZ7xLS+0TF Av7y8eBkuytqrYoo/NHteE7ZQn5WgIY/p0PcVN06J9wdZ9R/52taosZXX1uFWRKSBKyfTH7b3igp z33ilv+c8DGMFGM5TbnZCjp+R/PygyooBOkO5ex5NvUlgj6SqOHmKxGcndj5wMf23XWrRaGmplpX MPD+52WHM+CL0JaJuzozq7BTMPpVEOdxhXSHHm7RqOUX/6JRzcmizii+gyDMntouXm1JcS/zXrDT UtPApAYqKrr8bUf3Rd6cWw3JC1H4p43JiG2XWNhMwwAyxQ40G/YAko83okSnLzoptmnCtSVAAaqR 6/2jkF2Q4oqMCr4FKLyZ3DoD2e8Pc/+NPo6UnQFG2/21QwtTDiYNYnPsm/Qcmh0imE7ymjsUb6fC BAUrWJanbrYM+Ou4lMEgGYQPZ+HCEYRVMiji6TCwtPvwUFQ0PbdCatLlUoKq4D9YczCPcVna5dBH ZiJpW00ef3ZUxmF/ND4GAORaRUi3jOPlo510oQA6p2eMhuAnwAYubyjdM+1qYV5/7/x9gioerlGU XnCh1QZSktRec1O5crD0Jj4jfHfv0FeBclr9HdTkIs/XrhACCLjZzD+LACLFgyP1BTsW7ZlX7FwK 9U/tIvvL4gCef2WyA3csfsXxbDXDLzOnCjGd9CEruEzgqbgQT5fCFFGCwkhYv+T7kLLbFxd/La8l RwgO91c43LOIPVKuGegb9ZKdaEigs46cDZKORZnQQZdMMdY/r8TxiL93ppMh3KG2HEN+GkOVWedg dj9VbJ/8ZuOS6+MXuF+J+X49HA4VFkIPPB/TnB4/OgN8oa/9xfGUfOqQj4CtDt5wg5lHtzytF7y7 2RbRtIJuDPm7deFY9id+y1sfI6x8C1BmLxxTh/dFzET6hyB6XlVNTxz8oI30ZU+1GkaT0hjAUZSJ Oi680O7BkeS0/dRvFdimpABiTCMwGEwgXp1+fuPZl9JfbcKWr5ZSgbk8rVAPa2E+lIOC/NPuqo/m iZL19snBJq443oGvoAF5X4rO/Lb6dV+absvoWL3gCUoYpJ4p9F2/rsGanEAYPTCNhPgpI5P7ywQd qUKRw8Pbsm3u8IzH81go6OLAr/lCLwC1ndgPN28RCZdQhDY+MdIGZ4SNSA2t4oTCtNVa1BJUXEAF sykkmYQXuC4sL7TFjq4dwdSts4ZMPamDllKgYWgTqMJPm5Eldhnb8OTbTZJlQWWW4WsDTy7cckCk VzoR4e+Sl47tah8EVy3dTf+Hu2/FcbBjnoISWdBSfT2Ozb29nyOKcjZQfQaGnmtWgf/gZz8B0Ag+ ZfqTg3ZRi2Kaq4VX5cyr/sKQAUGP1vinyo0dRFFjPXkJa17JfYeVsqjPI3kMRcDEPxQFFA22eISa sZiCkQV8YUq8ButHOqSfev5OUYh9HNQ7IuIUvfC8W7oOqAujwfr87T+YIOAgwbDaILt7dFimWcve 7gJVcSkIYTVDjj6g7UyM+09O6mqeqRWS8a82cdXQI8GnbL342tnEdkrE7JQsUrUl7AHnQ4J4ENT7 B7xlzL3Yxiz+7ukr2GIurwsDMUif6ex9JH9Ff0G/MzXfiN2qRy+kVD+4W/Ap/yyXU8OrOVJWaCsi 4hHwaPv9Nplm9pxXxIJjetQvl1Xik5q/WeWfKCI3naYLBNcCYal0uPYKdRtglrXZLURhQSErgAzv Wg7jyf/XXLRjgEWv/wg/5BNb/U4eMxrFJZswYnFYR4czE3J4ydL68Q4wAJ8zZAeB7+0GRBBuqDSm ruCIQr3YenZX1dxJLCFK0Z/C4gS4y5gxrkrk1k9Fms05I1fTqg7nHIplR92NHF0Hb+/8Nn11iZTg BzJYrKrynaRPJat6prxhF+gLmbdVO6enR3VTOP67Zw8L+S7Zd0yD/LWOOuTIyYVk6LYZlIUXb3yy E1MOdd9RJADul0xsuc52QjulhXUuzogiJ9OxnLQOpVJEHXTCrZeaodTR0evV3RJ2O5EqbTyYosdU UzPCE15/bpnaZTwDuuyx3hOZaPAK1vSNz/BKEC1jqkg8jd//+bbbxhxn2yypjtCB5EMXqICQYHka 8cFvQlyv1p7+ntYQHDVycDydDsCriRG8UY+0V4fEO4siUQQCKHUqpTSHlXcunArAtU/uN+BUfVrI R4Z1HqPvWp0VotVBNU40/OxC/YJ1Noad0jR+bSSeBBqCCwP/4qjKpnImb3pcIWXNEDFNxr2pjXY/ mx9oWPfHDrcOOVD20S41tv5nZ0cRz7nMgm1L2K04roTBQVZEVNrof1J95oGCNpRg1Vi8lKjks0wM nz0gb5CqrZGoav+yGslqc2bFBUdLdfeLtNePbYycaTrYExK1gOu5tOs5JLAUrX1V2ReiZfWJPCVX ZAD6880nGiapjp9u5Tw2/VgFE7yQSdt+3oToxsC1Z/dmHKavVmHRDrt0xWHCSyb4TDUT04G3Kplj UhnaXxCjD0w+w5oKztxwQ+aYEpYJwqo0Rqd6h2ekPhZ5GWw7GeVWviCDcBqQ12hlttwGKPBDM4VN PAQ/CY0ZVal48ghmmy0fFOepLPT0LcVx9wTG2wacM8noJZz/DgSWLLqAEpMrlNOZqSY7uuxinzS8 mqjp3w8s2F4NpVdPFVD+q+RKUDETyLlfRItvguyWZ8yuc1yeoS4sMRkl3b/TjPjkDrbBSaPBqgWX yJ+rHlFdPGSYTQrPhn4oyxyHvCIjDVr98FsUDkhhpoSytVyHkSvX/ZQ13UUHR+mBmt4zzEwCkAUb U+Rkq5yFw+cIVDk6TxRajrTzMQBtZxf2iwISOlU8osv4eNKSDb8d/cJurL0MSf/WjiWWIc+ueg3h O2xf5xVqeMpNwrVLWUrQ6g4Js0weLdeLEzAvPJct6vQXArYQDv+0wMS71OrVeUkTEFCw0z3NAAqd 9beKCRLS812ELp7RrVZXu2mpNLjjiXhBX9EdIBu7UbFR+ytpOiiE9H2AAIc1B1+7rzZGrDT8hex0 d7BwNmh3p+54IG5EQcdnpUcmvEBhPea+MrGuzltQD3T0ohITAMM9MqagD+llBFvHto+diwpOl/rp 5uTR5gFuJDCv7hMIcCi7itcS1q64Y+8Qd+0WLVk/yT0oOQmQAXX1MaA1Mf/v1wKjgFFnif3WRnJk oTn8vNvi3Yv9izdjzz4aGFed32u5RwXIKADLrdadXUi/ahY6aveLGvVmmgW6xw9Y2vZfvCaFzsM+ 7fQQ9xHNXzSRDH2GS4HZq/05qiij9p4XQGayNPO0X5D1E4bfr0vERDIDXVotE1ISqwWQnHGQMEUR hh+2m8Wiew8B+Bp4NRATCk6o0tzdrloMDQomHAbXCErDj6h/PMG31/q7LLMauuFJSA5vbXZE5Web ESnux6egY0/xPDG76Ln3lFT1aYtuadJeFv2ZH48dOuT/TVXMtjYEX+I15knd6MNBOwHHvX9ahYBS KhNvBLFYi06EFFCZdlqKhOwGdFIRuwvgSjskK6e/4OFbmvP4Cqu8d6eMhwfFn+wWJWbVgBYvVeTZ gienW0f/CzmaRaVM/AlYPzR663CZ0yesqnOmuHMiLX1TCS1i96iJjnwSuoSXBbrEmdQpLeyslkQD oLejLDnucPfp5EW9ctpj5bMUSDdYxwpXLOe2LhyiwIvFpNXKmKbXrePchZU1csTnRpyv1EsSKat8 WPLEptDJQD2eJr4wRMFdrDelNhR6SPIAXY110BIsO1DOeSGHf6Jpt9lWAlXTCR/EzrFDFT+oKR1s X4yMUPseAmMWDAmDqjhD+q94VtyhVZd+b94FK3eUAGq1igIQx3lZpdyGca+xUKeWR9BjSsPlxUuv FpXlpHWnLlHltebR5i4rLm5iVhD6YA7Hi1t6QMxbj5P//rkUsBr9cwWAM8/Cn4hLGGuGXb/vaafE vs/T/ZSNf+RdkfPTBWoWgFp3uUWvQCbjQm7zZMclIN55zxizFmmnbLS6TL02cITDA5vxxbb7bIhp oF49dBkJbaF/Pv6fMu72F1h4UCg8geWoE4HLV2YzTrY230I7KE7A1/a5qqflNkiOH4ROr0Oqvi4/ BJptc/Pt3APRuR4PHDyzn8hjMRFqgXL7AbFZr6XuTdUsOSkX3SwSj2wv/TFuZpU5CdCm1YBFH7jc fbVCoP3yXDKdrXKE5c2NEmLJTdwSN2yil5c11Yh7ltVPJ7w/jywYlDM7DW8peoJHeOXTDHWbRSf1 mMd5y1+41Jt8CgRn50VqhhWigYYQf3WUJ8Qu2EYxqGan6HGmYipuDlqfjXtpjaLvcYiVj9MblAVS mSqHbLzD4qMD3dyDx1K1+JbTlaDpAFtmQLvFh6eoSbkK/TSd5V8yVpueH/g1yWIOewnFI7mxWwkL i8KTD9/hPcNq8cPqso5MSJ8lKJn5ehM+VfIs8UJCqOHntPK8haEBtbWOBtBKztP063h1LfGORo6u s5aMa20Y7dyT42FixDnzCviIcUmI2VeJftaDGvAXaB+v8+UyH/xarOhCuGrCoBTO1pzkJeHi74o7 SLVpnoT9Jjhpj0ZoC/ve9hd17bPAvlDJVSVfLeyUN9hOvNa64IpGUGDBtBD1SxHOFq+QyLJzAbK5 JK+FPXYAYOIIZQNnODSEGy0nD8p0PIRSc0gX0PduMUU1EoL5vVZezNUI5WhahXsRvCe6vaa7gq3K DhSg4llsrkV9nIm5VXiX/B6dvOYrq1/3Oj+wEnnEcvX8M1UV0Ec4sAMI2H45JS1pk4zfIZhRAA1T SCjK4FTCt0A4Hldb36ZFmcZkUCNcKq5mVs7w4a/5ftjWnjvG16ber5GoJetDmHvsT5L/27b/5o51 weENs3zKqLcODjXFLdGsIA2lPgt/kpyGaWIvdUyYTsVpYHSr0QLc4uwo5jxb0Ppop2Y+Z59/+JaZ pzwJaC+1X3PbQVI8jeZx1QgPxu7Di5nqnm+A/SSBzFC7XSwj7Ch4ibJf/dj9VKEOtC2z2B1RjSCs 643n/8Kwo9zWNJt4k6Ox/HyjjHCpo7PmPwoEB9E+0W4eCxOryqDt+WGLogPXo8RNgAmatUNBKZQZ fK5mthHQ0rvqI7CWaLMm6b0aLRtTu5FPOy5D2jJxpe6W14UDOxFmJ8lCqEPxeLEgei0RUm8p8BMw PisxSq//NvwmwyTI4de+pxr6htxvpO7/u+LAGjLS6kaa5V8hDFHDqO+zoQBbPIEQaf9lnITzn1dW hx3r6jOAT+FBJpZ5g0JStLFfm8pgwIyN4IvbLQhr665IV1yCgNzG91Sa4NkFcRycNGyN6XcFv2sT jf6Tl6IxsOItriAd/OPiVenlmOS0cf1zrWwEM1ZXuWHyPR0xLRM7kQcC0dBSRThOn2D64HaAxNBu 6/gz3Q0w6nDrB0h65iuvI3AhM7RPg9OKoMTZfrBJmFs7H3glQtMU7k1rr4AcquFy5ZXU6Co8KxiT +REWBJgYK2eolrXkWgtepFTDIpaDSWgyxbSQ9YGfqGooJ7c9Kq0taviuLOOZAeQPB3RL18G1Be4G 8pSYhN5Kq6Oaob9a+QZPWfwnPYaw1PwmPsdud+YFMuyBZk0Ik6+6ULB7XQqWht+2yV0SU5CgmDmy 90BIgES/9dqgXzlxWvcobFUnZc/6GMAexJDQnqdtWvp0yKbTHDU/XWMcw/OlpF9F1mYWqBu6Zbia S6GVpFh90zAeBIL/fJ5WfxiYjGT8I3j3V/EnaxBu8CfyiDWo1o6AXMGxvzm0jJiTW8A9cJnrwioG ZPemZkBZfG43lIYSUKAqRyvy1DGip2ozV25lPadpgGJZD9eVNo3BOBYxd+Xl7rAkBPfhxiuM7YvF 4MT8zOPE0L2l5yIzdxMhC8CsNYrbzwjl2atocbpKgwq6FZm1rjlMo7SCD+P5uyMni37Llz84jqDl DLDXuH61oQBO0iQnX9e3Nflc8+3zuFHXi9zHvNmcQUFMSZ5oOeIhIWCdLZofacoiF4Y+9hDKBxDi uOStCcwKhdGMBr9iqFOh2soDhfOI1nuHTQ7bsfVNzifQar5vCE3Wf6ru64p2gP3LfI1PDi2xlgii EBbm2dDcdt5sZFf6lbNApqy1B8bx+KGPTf7t5Dam3Tog/AMXBZc2C/ZD2qARIMMd3kvWtYH+Gp6w BOuyD5eHMDADngGSFnZjQnWnMTrkhozsFL2xZTHsI7nJXoFTPOTDGhczQjZFemh/x3SweTxrWDon dflF7Gm1c2Vl7urqCPd63yBb5x7XMVaHUR7DmoStcRetP/tl3b66FrxCpJDeDvLTfjg+FhfsU9pD Zy5uwTEiCoMGqVE5a9GsHBWCshR/LMGzb+fVHD6K6c6K5xw2Og1sU8iKsAJjS/2Qc7Z9qN7sg8le NsBkV/A1EZMjqtDYmZmO/0rbjunclHWKUS9mFmWsi1itWACGOf4v5x8rg15fhWrth9pvlD8U8K1q zG7DzPfKH0bVFxeg8NuJN+zmED7uyWz4qJjZUIDZd3TA3O7GgjzDDe/0jwDmiYxVQa/vkbOgGqx2 IxGy+mxIx/XwDcW+S50fmA+xiTRIiIP+96afo1/93jFvegcGtR5hVAO+Lj3mGi42mQirPAGFL4Em dPaVJhFN3GH4+4OY10hMQCswMlBts0nEyM7RZJ5e4qJxJG/9hYH+FG/OML/aOKgixMUCD8hpbHNv O8AK1an+GxQY9K8EhKoFbaQtpLWNt7jnlwKQIS1oit9RsVRQPbi9ePqNTngjojkkGtwYo2Mta9xn /3tujd1WZ4L42aKvS6bvDbEDk17+0L0oLAncSFH3f8IcvckTncDqXcN6EfqKhWW2jKOU0joed6HQ /8U069Xm8cLJ7bJTnVblqrhMnwFLPQwXNX1llJVQkn1ZRlNX0TQDE2jFG3hm+bbrOHM7tN6WlqbB Sc53X/qYJUF/925Y3FwHQzumwu9/hbc3daRoAYMdawxaXgS4pawkF+tcJkFq8SkLQ+nZ+gzap+Pm pMUT5Pg4oCxgxKxwkb7V8lGRbR05HwcN9lm2Es9KANMG1qJP8VLp59YSQmrfJFeVUucybwoh8e+V d7DBV3HBAZnsk3QVYOmXyY+FXkp44m7FGrpr4BcTq4lVGm8y3D/Fghh2lxXKNVBYXLhBWKTqYShu mhN4BJ23jNABPisGIY4cawBiomX5uHQYtMgk4H1hdcX9MA1jZbbGDs6yL6kyaLdHfXWUWeOdEu5Q S/xdvWyT1HYwMhDe+qHgKFt2JrvbBUOGH8mOqD8rXQQS+Yn7Dtn5IbAsGfMHbhAyi3Qvy2WDmLf0 57jAgxxVsmiKbbycqyhvq56qX/dAGxhbvx7TLyVpFLd/GANqUzyP5GlYe7BJkdej/r5ScrkVDS/c fAPab4PP9W1zuOTKVv27KOykq70XGPBzmWd9wfFfIzGIjaK+lzdB6dOd6UiM34LlFu/ecgKvHsbO oN/sdISmLYQadBDOwFTRTcheCitm2S0mdz5LkK2Kzs0ggjcTUzCwhQNXB3gMmsOPIhjgrYnn9xtq /rjpZQ7L25fQnTijcvgswqKooqPXjpBePMPNzXEPF+8sb5wH5FopXQXH+gvfhzU85uQ1xNyyqD6j 0K518fwpzC5zK1htibVnDY4k5PA2F2wQ5U/wGG634fUEUKcDV64v0MDeOIDYIh60KcRcZzr9xJsv gf/1VTrKTj8ugFT63pIASssa6+ioptGYOj7dWk+c7Eb+VmySFgt62idRjnD7ygwYXDsLSpqbOPf8 E+3g+sqNHZK8sOJet/tqjAOCtftKIUT45hcQ9VrAciLhALdLMCKp5E0XSHT+FtrQ0mD4jFc66Sei YXw29AkjOPwHwYCuAvJAXg2BWc3di2C+Rm5rCMuGNDTaalxes3jFQj0ahF3Eqnd9je9YMHOE8oW1 UMASm2DVvyXT7owV8GUxuqF3KqHR7FdQMFu9ItPfTC7f03bYa1VWHhZ0aLEY0DmWvNQz+7MrnGKk 8AGKuyCqTDaR2VFqRPy61swoHK9yah8GsjYBZQyBTMc5AX2aQ4BrYwyKknBeXFHhx7Lwe4g7xX1d Pabiy9ipZtw6aXwSaErME6eKAXk59uZz1yfYdvm1h6+s5h8sfGr+ZeNB9WkDjVZ52j53ILX6BGs1 QFo5XbJ7XQuuyJI3Uv7bymokPuuiE1zh4B1etMoI4p7HWBuY/VPW5clLKPZGABCfG+F+VI1jv4CS QqU/VfSGHYLnnkNzzL6aRrK0kuzcqcIi2uhkfqhfqaJOF+gYLRfWi5bf7tLRlPfQ6rCMYGke6wQy Dq2Jhco0bXXEtfOiZKFId87kEWomIe83+McV0F7GKDC9Eq2wewsOgFGu8b3ERwS022FIgM+0UhhC k99f2VfY+OQzjIlHsKiamhdxRNMHgI5Q/z3gVWULm/kQlpQevjLJIaDHC/AVoDbQR4WRejWQVrRW lc1N9C/wF2/ysyLqnrnvXNJRWmdDUQVYcW94LpSjRsEgWn5aOPTGt7oiR6CEzEBpGP6bdwLzQJyC 0PDqg2wSJN4CRblRx9i9iO5YpiaJHFA0xPHGRaJ69vG686E69DtymydUsWrxF9V4+FknAuXWba2N U52ROuPGgGdHPX5QKzjg2mOj0tTuMFvrX1vjWpiyQPeAsKOrlqzZEkBwhgabvF53UKk//vOM/g3M OaOAlXqM+63sZ0+epPRs5UXjCEfYcv1F58gDcPJm/2DeLH6NlZ6T6wzoIQViu4Ell2Ktjr2dBj+q 2YS2AqQx833hT3HgO/AbyYelYru2Nc75kwxvNk5bwX+4NR+ucezBd5I7UB2xntQq4Kyc43xub1Uc XCzCscUupXBgBuUrgUDsp6fdiefwWL6u3bA8IpT5rx/E+hV55TouUy02ivlw4eipYxP/s45pki03 JEKJUUSPMdtpgH7BmieyInoNRhpcE6D91DeDBUR7B2wObmtG8VGdSMBHVIRqUmr1im0lemQCF8uq OQhqVzmSI/x5Q3SeuDh1FYEJZrG/wlOw3M7zfjs6SPphXsF1QrJK3sH+EA8DKBREwbjl3w7B2Xxd uW27pMrYaUgU9fXzrkHb2H2lm4OCRXhpOvU8c5aZDQVUz6k7wawlLasv/u+2KmZNu0JolpvFbDOa 7z8pxaCJp5RXxq5/2RkJEhdxuhvnlFBkJHaciWgibjU6sHylv/FJVhYHMDzqDL2xKvwxlCWr0t7G rzQW/J/3jPWGFoSICYI3P+W90h8OcFeHHxvn4hUgrJUk/sjZ+0vpm0RJfROZaoAZxb9cbx76E32a FPl5OY7QWkGRUBNVukpiq6TNqmJVjz9J5Ojm3on4SHbKdsy/SS4+mbtK/YpbrCwtYA0zUtElTukX WL4tjufAzyQO04HU7MmZXBF0IQgIZ/bonPRht0A6Awz61RwrKEpvWmUYJSfPz1h33frJSLfwK3hw 0nb0xcycgeAFuokgfKQE30jAc71XT7FsUwEjtKaueFwr89DmpjjVZChRLBkkGaOa7fTjV4/0BiKy SUyQoAbGRsMCwfooTjLLMTwiYGcYMaSVZhLY4UEqNqyp8EIOGcxXnRmbxpVhdQgomq9eQYLgTZE6 eRbuVaLKfYkqxXpg8+3DBOEyoIzt55ybO22SF9K+cXhWCQqUzH4Cu7PyDuPBjXluMNIG3b1VmFWo YyXu5fLeMAssB4S8AWUhFIGVWHcuUhSuXHMAba12iXBjYRN/jKcSqjnEhBe3vPOw50eaLe9GNDhi 45jjxmRxEQDH7VPjZFcg6l1n6O37cQMfwfTM3xntpZID/L3tfD9/Rj6wmq8xL7Pxhei7xnEJj92M axMQSqgLNOrprUYUhx+5iIl2JChNSOeN2csw7gMhxjFxQcxwp7pOGOnpTcswgwk68sxRoIpFd4eS nwx4n638cqDyYKKwl/w33UbEra52/PLEc36aXZbw0UfurordBzMPrH/kCeROvrKHjWO5S2qWAFDN G2raasbjkxnsclwSPqYYaRzDMaoiCjDMSTLmPKCxstdtX67Fwnc8xVwbvgfBAsQk+MvAOU3m/Sw2 sb8INCoDA3U36TpPX0Dj15txhGIKv4eUC6f5oK+uYM/PTmFSafsZEyMgi6MpMudB1SAyGC+uoftp CvDcQGwMmYcQf5sLQiA9xjwzDYSC6up5420StihiLBltvZGACtzMuLXUq1Zac/PxlWtNz0WjjOXe 367gRj+MST+uGllCeA4MmW9K80ku7TQNi0eg37i2E/WiUr4126T0BcRxzbrwWbpp3dtVnJMSj8aj VjsF5mY6axGFLotLIV2FOf5paWjcK15Fwc7Jjn7zGslB0nU2E2aQnFoYhsBWs0T8w6Yqztrc0DF6 Fk2SYzE/KzYKv4pELI5fZMz+wBMolTgd2hjYeT7NVxZT2aW0h7m2tnehfnQ8ndFWij8gTabpZkOX zpWNygV4PHWwlCae+Leh3Z6FHKBoTW03zuz9BudSwSp2UmXaI7Q4HYODZ5OKKKx6qc72uzDdUfpO NO3xbhhdcxQpxmBbeCrWk5exvWT9VD/n1/oxxa3m2Zeg5NipB+eU+QipuUXgas6vM1LQyY/uapB1 +VSFA7lOuJFaQwf8Wk32M99rs9LY1E5TLtPNL8gzh8QGi3YzOhqq44wOYSy19RnaHYAesTSHF1MG A7d+g2quDmVob2MKOkT//ibNhNI7shW1CFvbWQszKfFnpblJoxfOl/kjhD2qVIAmhncQiVD5b3yD 28A8vu6X4M8effpRsc7oLDUWvXcv+8KsfamBkd92CqeNyO9TamnPZnqP/CbT1PRk1Pt+rEP6GSAv XHpSS2jFYy0a26g9k8C2KWFLBiakwDZaz5+qTpOVAWWvJi5UZVvwoaujTekHvRd9/4cd4ANV+xHu 2KRsTP1uBwWK/blpTw3vS5Blfd8tMdG6w9Zezj6lal1JbP1+xrC9skWIkvgxoYMfMYLPIj/QnROQ ICemGJMshp1aELnEPFZf+oMHwXhyWyzXkNuYZtG22jGcUWKVWcPXNcO1zV0n7pPFWqZI6KE4kFV3 wEJQruxkR/Dlg94b6rPrv6dW218SMIDhL2VSwLc5jlVfrL3PL1LtdF2KDKG7bru3eMf0K7LOtCkt +LGtkOQXSDi1sE0HDQqwe7QX6fCgO0Fx9kyxnizrFj4mRKL2zH7wFymtkyDroQaY0dNkJiAXjal+ IlzZnI0FdyQ8Gs/BlgIxGHRx7QO0JyVZZr74kkR9FENgmJVnY31eOvRHj4A4Xl2ONTEL+qBoaONe E8m6C+W7WMWywo8wJYtmrGWm5Sr8hKA/xsRLFq+yNH+EOsPOlBwHqF/0Bqvko7Xxmb8j6Hdy1hgJ 9XKdx++Xh/Z3F6ZLXYpGdGjoNEEMmqcCC2LUXNrM89aEzYIBeZVcHkThQUp883zd9Xf7qNrNYxSV 0/5q2tRs+4vEURGSdb2X6cZQLmJMu9VzpqEbL6sUy2KozkmS48hvwEAtoX0DTnAvOiDxLig5Y95V kZwT5oedG95xPP8Q04qvejsie6bA0oa5kPmZ6bNNp91HgZEgbW9i96IciOfs1wtmAKVUfT32lzfY kIYmgaxuLILHISjI0Kv1oWFVIR2TgJ6S1GH/4dDxdg6VgfQUBtgToRDdKdjfhwiQJNoTxrUmacuq iaodGqeruhhVrXo3IKnmLG0slNRvu5q1Jd4mWURoumbcUW5q1/9Hlo7aoiWrncDuriITadu945i7 9sBLvhGe/0RSdLJiCPH/7+7lbw4erPQQ9EjvrFKq90QYABkS05dIJJUrAR2FVXnxmji7F8Gfszhl ZLIPUDSO6+4eB26W0jfs1IvYAGJurmVjSMive5AxpxVPV1CGceKcYuNbZJq82eBIg5G6X0LhbDgV 54duXAQgLkoadNF1j/9qc0o2f4vpaF0VHTCmEllq88zUQvI77PHlo1XyRXBqXP1zI/IdfXV/f42+ ZxrOZ0jjJk3MlUOBmHMqH/YzTMK7VC6FTPRafomfyuVODir1a6/nP3JhDh62jqajy7dAEvZDLIli 1B5fNHTC/2gJ/lVpM6tGPqsqxSvkBZDTTi54RXfb3kyF6pe38xYVOKTZjthWWAyEyXqRpvr4NWe1 6Wp1bg1HDJNJoYW2qQZ1FvLTNs6OfL86/Nktqqk3YxecEQ96VImnvZ/0VllJD5NyToUJeMiT0AdJ xhCrxgYeCNwsz5n+hcdh+NtXcBPGtEej6eTi3Vb0ZilCuGa7ez9zieDInmNdBpYdEdSokssI7X87 YdYPSqwys2j/4J++epIYSOLXVDRcKQpQ9i07orMaxNXdiMCSKROpoR/4glZ+ZmaH9yAUBQHnx8Hd BcJg17ZChk3p0XsgdyUaYd/YUHTAPrBVroKUk9kBq+3xb+kxzVDcextPr7bBq3UWrzry4ye2PA0Q rq1WFta/mbK1tzFvcNhzTU6JBL5SHKcnpUjOgRH806FfPqBZt9dHY6np24PJQAQ68Zun0CKTjkEa l0VDxf1snqiUZmjRfXldH9CqEq+W9AO+HXp0ztg4/x7TorZOBAci2sSSeaUxMTpekllvCE0PaM2M Thcj2xnA+njH0pK0WzdlGVuC/RS39Tnb5mFzncZr/MfDBGRa8ZfMbiQCZF2e//5MCnl0KGKryFES zwIBw1Gdvf0ntcV2vk2eIRH/2hn3xObZcq+Z8w7jBLrYeDxGeFMOWePPnpye1/Z2H7rr1IalgQgD 2cArL/DSG/to2y2M7Fooq3VIJ7QYxC1GtJPorhNjvsLEoLJyoVT/QpfAhpaFee7ALzkcDrHub8YR xXvrDyI4eWjIu2DNVp/D7s+FDvjOD9/820FmtI9wLWqp8t4urkqwgLV0j50Kpq9WDnwsidPF8IEg 57UE6dPDF/enQrC9m+qwwYwE4w3h9iLn7mU71YshQi/GAuXL/t+humVuQBxdldl65yBrKip5Mx7J ggFBXdhfi2gEbtYn+CYwYtQq/PvBaIcFP6GGfwW2NMwkoDshAipaVt49IRqTnwtdVKqt6ZWSgioK EIC0i8DIfQY4iP7277jWjiCYmR1OJkNF/b1qpZrdSz8tIxdh5HsjdjuV5K3PCuUbYKfsn48I1T/0 zeElyOcxIKHSoYqeuzRoMW3XCRSweDYhRKAwFUM2onBCybZ4XESbMl8ZErd7X0UpPHOhbQYbXyfi bPea1n4c0MGu/StIbD8bpZIQMtfoSwiHjOwFHgHeXvhWA4x+yMEKDDBBJTZ62Qo/SNh6NbgZFKJ8 wavqVSqcB9aJElmlVmq13D/uXd8idHaAmW7cUPsC9aVL8NAOO/xufaIX8bhdnlkWVcNKB2/Hdeoa /Z4cD50kcCClI8drwu5Xp1WxuBI6lGxBcswoKkR9xPCkkxOr8D72wDr3rJfw2d6dgBcNhbcDikIt nMgQuQD/tcKpdcdoPhT+eUYWRHYksvSkcGQMg+H46Q7jhXpt/Yrpx4ZbuUV+xGZ3h8RSgBdQoPxK QbnAlgzyP916+c8SW3jxcSVaFOVnjFAu44QZ6/JX8051dTwACSnMmze2azBdKjXXeViGf57x7pvA HecMXLOEobzm+WbuEmovJfBmfS7Anpq/TabwvYZBqTWUeQ2ZwXC4Vdh4k10oX2diRUrOqPETUdmK beLhJHWSIy1dsiz9jAoNmAb9akTrFJFWCYLk3Lrgq+NZEOUJav9LES7vUMsRFiDxx8I5rw4LKTOi fmq0xoZE9Fzigi5+j+3RCgYT6Yls0Y/TcyNOS4uHX/+3VhBab1Gsg6ObML8AXau/r+TSPl8ePa+y oINVAMvriX3Bd9gAkxCBHIsVEdJk9gm9pJtzOsGeKKkJtomzEv+8BDpwSNyzSUve8cfaxgo6fWjO ytyFXOWpak9yn7Dfjb0sJmzcmiPe9S/RmMHkPRENsPCMwN2uTinwjLjhPxV6zKv+bjpq+ki8g/N4 qQZCUKE2Sdonrxjn0lRBh/TIjY8z6hkp+ofYwZmMzFvAgxw21qQJTlDMEITUFdUMLkogXf1nP8W7 tXSY0+jVrD3UJizD2H4g2gqMmJfCb4duWIRAj0J8cgjAdS88+4R/Zp3kThPis9kfaORyTElTabI+ 5qfieUglnVc6oQ/EsYwVV1CALgLnPwbtMsTlTp568LKE+7S0nJ1LssDsRRK2Wh4FRCaGsMHjK/SK gqM5D8UsS7TZJcOv6r7ok2tfNcckfFfSfhrtOZKsiZIrd48uDsEo6Dv7PwxTpa7kiONBdCE17H4m BkXPSaxP1tecnIieoBD8Mjf5VFIJ2If2Fg9qhc7Tfu1urH7WxgentMZZUhh0M4MYdIVVx61Fl1kv NX10Z+rawYAxs4bMlClyjIfpnvshDKwXkpMp1IiwjP550/4E2/EUwIPctmzukWxiMWNcHrRsAqoj e8Olzi1z2r79NP2PQjH4ZuM7hJ9orLe7RetoS0ME5gCaS3uMLT+I9v/beoMDTx7swhmhw5sd9Wu6 j/UGVcSXNwC26A2ZwliMskWl7J2avQ1p9pK5qhtJK8budEJxhXt9VSwXuxYQb2FZZzKiz6cfIQ+w VgOK4F2TcoFACi6TdLX4xLvMdABBCluXw2ezSg69K6wreXPeMfCWxuoJ7XvEck8Men1M+xPW1i5a qqZ1cv5hKJ1plb5DuqiTBo0gdV3rZ6vFWKY0P7p2SN77yJE40KKHFsHkEvBleBssbD3REe+d5VY0 iZi9HcpJdNEhVkMGYRRpEWNLylt92DvHdnJL2CiTGt2Dv3WMHVJmis6Ze4Wlqi3tYIQMm1MCdCrS NgyAX7edTOK04rCXXabaqVolAIYdVeaWQBqU11ZAbc3jPKcD+p/p1Fx5SzFWsEFCHJC2nhEwApRO eO7sfqY/5ZcLMaB+5gyPRcXvSaFP4/NkQVGenvskNhFRqgEgnePnNJL4oycUzJCRNYR6Qfvfbfw4 ejWN5gG8w4lCv9lVV7+FXY/byQkFUyszHEJxM1AR4Vlx+ZMJdBVuAfJINbl13SKtZlOPEnBMZGw5 R5E+W+288WF6YC5ZOs10wRkPqkg6jbanunuuLT2olwBNkJU4LXE1lDKXrUTF7D5rXKwsENwEJ2Vk Z+/geUKEuEK+z2sOItl9b+a44wAyhT1K9k35C83s+EGIU4DaYng+F61Esin5oVVAOCUhwkbqjskV xSDWRBv35owz6iJt3h/ycVCFMEbafgk5r6iilq+tWd3zcxK9ZJpFghe1Sy4UPmKlKRdNuDQo8JSa YePT1yem8AmqtBa6vBDDwNRO4Xdd1uQDRloVPgBre1fIl8hGgoed9cybE6exzuXNdPIF/JdL/QrD rpIMZ42S9GeJXP4TwU+HYLCx+NzmVSknrnXMHYgge7tInhSkeXq+txZ1N88WOvtYpXLHpLZbu1zS k/CnVSDtrdtqZWn0eteLxQfo1FanCsMQz+A55JKh8JQe0ETwQ7cJpna321XSjmXFrUdLTMdCTi4n XTAuC1SM1P3hcAcjARnbw9kqvwr9n4oUUsDr94kBMFcuOGB4gdyCKHdmWb8otSfB8xhhTDT1yLY7 urrbogyNNIESIS6hkel1DSI+HJ558dEpvNESTzo60Ag7HJD0Nvl93ivKEa+4Et73R0CPlsI9QiOn QoOAArC3m3fhaaT8YQELpV4ZAEd9wyzkuZgrjxWKMhwPLC/gm9XCwTpYAg5TUehWf1arNVatTLhX r+ZrXQ4fXinhQ0vzK/dXs5Vqc3K4pErFYdWiH8DC3UTl1P0NsA4RyWFOXkfCGU88yvb7eiZLStpC kZudDebH1xWaJo5OqZLGopkW5yAmJv1XsHieT1ny96gxVMGQiRDeSv3kZENW7/C2RVNz6LTy2uwj j0RJfSZ6AOEw5puKIC9VQ6CU1qACZxJ4BOcVQRnfP9w++b5oNktFzqvHvhVkPHGfGyCEVnxiAlYM jVUStRl1N5XKn4En7OmGk17sgqcku+RhT0355W73wC+H5uUjfaWmcmdqi8dQTZGFvsC8xz8INqD/ cyIInSTY4HwQ1NZZ2N+oSMJGKoXnxV20CMyDay7zT4TpB/GdwVi64k4aKrpq9c1lH70q+dn+nRF6 HBksMInErnhW7WexnVHYZEs4GHY662gw7zxjM4H48c5kG5x/pUegpPaSpdP+gu4hm96OA01i2MiO 9NVStXkuC85qZeFvEX8Gh6NOpddzNuG4MSD4EGHud0UahkULKr1bBpWUsUtTBthuV/w+xEptfPTy qUZ8pybWZugBylD/51YD4JNMoIY26tj3/CezsVrYzEDtOP5EOGplE+QrR+xRt4Q6/1aMiW+yHTO/ GRompr1M8KKR9oMdDDq7eZ0JsQzMyv9hKsUqyAeuxAS9CAaaauk6GTsNVqBdOE7AjhIbtELPrXLZ Bb97DEknoqBAECkVUIVvpVTBzdYppfZqVEcmmcIUn+d+sJO3V22x7xlkTP6Wrz6u8LHyXcNobtXq qIfqquZNN9SUjO2n8OGSD2PJ8uYBwU9iieMnBgzy7mPCs0IU7wFyWhA0WRuXEEWLqz3/MVupb8NY VOV3mD5kxoKjC+CrWwyPQueQjOxHsraB7NkyI818SLLqYG6Nmnl5sNex+MsCrJ8fjGH1U1Tdp8lN Becrrw9xuWcLrKdRTXqLzmMlI1xnzPYh41E8fheg2xJ6z8VvSdfwF+tAUcjiQPwsjr2g4wP9CJ3d uNW+Bd+IMLHC+K1C2BuiU62bm/GmpeVBBZko93v9GQw82qmbG8VdhCG1IqhOGStDyzW5/n4e6MiO 9B5prbOHe89xEw+7NusCr2nFm0et/WsJ/eRBeyzRhcUWjauWCf2j7SUs+YHWyfDtApz6tzM4aaCP 8PAGaU5lEbmKr8VdNLwATDaXAuj536byre2EQ4oPaiQTFksvL7yO4XRrNDdz4aJ/cej8JVjxMKo3 03MRMCJi6H8p8bL/AAUfCo8OfAX/cMFV6Kwdiz4kmwcgpa+ZunHgs/77/JQtCdMNIvGaVCuruXGt nxZLYQJBjLLRnVnahYZNV8N6w8DBimF4pVvIBT6oLG5JBv5RRIGdSxaXeyYHDmLTLARYL5H9FDPd SO0SZPpg8gFeli4x1VCz6524R0yN2reFCYATdlykhej/ZF+FkrQFimKoU3yOGUcyqHYnCmSMINdQ lBJ16RYqXVc0gLh7xv13LEUT5ONNyU3M6/oYetaQ9TwVi1Z6GdNN0BzDYgdc9OVX3VUQFTQTreRm lOCVIppU6jSeDrx2d5tQWUnkZLfDE/FkgkN+xywa7Yv/xiJJ2wDBB792LPC20LxSVpB2rhPItN/p C1oah/XlDqDVCCxUMJB8nQFvi24G9OguAy4iwIYzGiRjGR3sgbI0SwiC9VBo9TzJI9feG09ULzaL QZ2oq0aSi8R4Z4k8/lGWHPqRBqvzyDaTy5fdFEzu0MEdEOJgxX8Gf42aksnxnIhmO3tPvMfWeVO9 T0dBRUaaOTS67dASf42oy2szL5dqVdKRosmMss4pHIPxToe1aaw69H6wiCO3mkee3mgJlXQna9Wa Eor7uH3QWbislcixsAsTS2C3eSZ89YkOcahz2W4HOo/9iHwbuNqaAICKh684Yuw7BxZ9j2vqZwsp 1okXng4LyZyuxtuNgmlXbw+82glarNBiBAS+qX3Nh6yEQSipxcix5/eoUnSJXshNgqYcnP6Uu1W4 cb0g7DTMlkPFMrwDH4pt3xUI+ZGIv8h+Zu2HG/jw7okR1zY/zjO/3qXdrzcaeLTjPKC0kLUqsdvp EQbtvqQlJw7lTwFJso6Hz362ElLrTbdy8mZtRUEKHlGDY/bHpxlr/R1sm1a+TY1JUn9PQ620lowg eYrzsfwuyEOZmntUppev1X02Ccl71x1X3W1o0QkjYEdcSC/nQ0eR+ADx6UmRGGWx9A9zd+VTG/II FGKL6xwH7lbflETNuwxoTHaANYJqPhBUcniekgg9tevweSHj1SB5FYpIuHmbN7yy8D4yNYbMLdCy dqd+959r+Ugetr5C1SHjcXc92RVZbQXgYH8o+qqyF214af81f5EQVunId98zmf2yWzIBlJAaX1pY E+At4C0PkyljwlkYMCeeQrZv04vHubaxYWo4HR0NJe6ZvVrO2SQYwoErCa/UUOi1GKYaKk8nQgz8 URY9oBAgZ5814GbMQMl+3MMZ8w/JBv9xu6/EN+Fxor8/0pgp4Xq/kNeas0uT8cBtRqXW6rIeMJkX SCmfeVJLqVjzNP1vLwGXRuGdPrQm2XgKKDmZfRTxH2v1nlA89Q7PO3LfRxX7XKwacRFVSr5uuxMj Xqwg361586FMrqC536fj5pBwhwf9p60BXFz23Uaf0ycmaeA6HO2O6p55rU+MfOQ4Tp6+2lLP6b1w zlvZm8xNEx1wZyTaQpm9k0Ejmm7c7vIwKuGzIeke1Jy3W7G9zH1iQuifK0K3COcaW4LM3kR2hsld Gtd5q7cxE265AasQ6/7nUgEmJzs4GgjXQVJ30iD69FkYk6QswBZHAyA3GCwAfMdoEwrM7hg2Vu3P UMPcm0/pzDnoP25bQ094fi70UZd4D05zossytTXcXCivWJQBsic+JuIZMhE5lmHkxQ5AtjjtwoY5 llEsCHsUzAnMn9KtrC7k4RPmfVJsz4DWsu//kiyf36c1S2tP6w9a1CQzmpxfmF4vdetIndeEmTc3 7j3xF2Jvx54+fRXbBJaUh2mYGylr0yqZApOg6lfBgJupEuPXYfrkwf/ZN/k0xelvzbTt3U0Q014v cTis20UsF3AiF0p1X3A6xIf/zRqIjosl7pHcK14JLr/RF1ToYp2l7Vv+xqW+0jJSlj3HAJ3ZkPvG B6sdiUuetTigziLx+bk+6yWxVe5nHR2Ne39q8L8NaW8wSiPI41f1RsRk+40JsItabaFguDFPZq5E Bu497xXMEi4orvXX+rx1BhcslQ72pk4dNq0iaNMYi97WGhdxMaLFd2mvOlOnfzcVBe8ptoNn2b3D 1c1DNOVlP9Uuusc3p9rmLz143GLN4Y5tR6TbdbK3RQtYb6tbVuNRauDiFO9kYpKrNWpf7Z5ABnMU 0OMDenwMchXxXfZMzg67Sp8TeLUN9yHom3fb+/2cwkuPy23VxZGV3tbBPREthjCuOGxRH/Eizdrq upN3Ut/tJ56MFHV60L8LyXVqtp6vX7TZ6XvUch+wdMWC+D+cr8YbZlKd/210Bf4DuU+xXikyLqNP Fss2n5IdVqDRa82CfbSfvH88ZE+sNPhvbaRUDhP5I0gqHTeXE8bC5aG/b3uBXE0vnu95aL+Whw7F czkjU8MvPBUGAHriaSe1Iv2dISUaqmR/WEqwWGlRrS9SIeq4d9eA2QMto0gsve4utb6Qo/iEWeLl PAz/Ftl+FDP+HzkQTjhbJTO3lCarXYF1sv44N7Kfnk7EnzHABc7xoSKRaTkvCyubJV/ERpXVamnH j0XLhmEW/AieMV3JkN0XH62McW39R2KPlq+poyBU6iHj+ueeZQfMELnCFcAphXm8UV7eBOjcniH/ 29I6gl/TNpJY9hUVwPsmsausO5dtDM0iTMJRgD/40TRoHEuOrYfP7CJVHrK3OvBZTzH7A/3k9+HB BCSCgURSNwF25UGkj8w8gBwS8+3KytYskqYbybr4Ap2d8fEgPr5fJE4JDg8ooGXeDvbCvUhhgmRO o9lb8g3IL31BykcgkZc/b0q5RWgpZNgpTGIfwJhww2KXzlxV+31zBFYaxOuc1a76LyIWVXVjbvQ6 B8yFVGUXyil3BtERM/7G5jdeZ0uLD/OMF2yL6gKd0Q5FeHckM5AjJiz+XO3ai/ExDheHU/aCoq74 HWJ4WVAGKwE4NJUkXXLJLlM2Ik7ChNYgxgyqiFYLvZDCs8HaeX6i5jRqIUzxQ1vrGuCP+ODXnfRJ I3i5B3u9o8TIsisYgRaDLXpmzYyblcE72gFRtHWD30ZMy+1NPYacXxf0OUEFXSzXa/mE0wd1ez1u upQ8NIJ9dzcU8XzAZ0PCW+t9QC27qtJRbmAaCt1Nd4nYSVWhSZwWnwBIW3TvwpvFpA7i6TEA8fwT AUkgmPuMNg+s+QzgDhehn5tR/6VXrwU/sPvwQhoRd3FX5SHwC766/YJw3kxYivLjITREAR/d8xMk Ov09NPcldd02kFCqL41SgLO8yUSHa4QI6BN0wljkXs16t2ztP3xGUnJZZW3LrbvpXffT93/F1a+B wofOCF7u87ZcEIkKo/j+VN9UAgD/pt3MyXCnXJ6UbWjFvXUBTxLosB+UotfvYQWJ4jC8JNKOW7Rd 844KTOda8aUJETZeJ2eI3/mNSXIXwcbvKyXL07tWcw+C1i7eXQywD8B39P/cVJVhWQQA2crOPl3j 70QtDfXLaisftjNGEjzjm+NsIP6P2r0ZGMAyNMe6s+akQ10jZcz8/GCvK2ok7MbchYGYjWKA+UJT TiMMgAFtCwbF91rRek3rhVIg//U+lfmQSK4T064GQLUgsvNaf9dURSo8qY2j0/htujGP2CvYQns7 372SLNpRqDZ1Od8oq1ttefp1OSVpQjvzyj114deYZTc6zcmJrNWLSPAWqrFFb4SJV8VzfK2l90NX YiZYEVEmjnJnmZ9pu3lePT532qft/FYqypRppRx0hGvdbq9LoQvLzuwR+QxhzV5d1putfZ/ieuKq 044ONc3T9wO76excME1G5imskDPPWR0s+Z7yfJ50FYn8amwgD9hhjRM5L0lspDVsNI4IzhpZtlRj oQRQwlvvVl6I2qGZcoi33x31RGlRacviUt0B5mvVVPWyJF105krhTELRKmsvQZUCEO7aOkUmBVFs nPB5kB99H7REQ24kyc2RsbGnUciFucHrDt88PTWaRxLQPPXyPuTbehEmYIKKwgmTxqxsULPR64MX cJQKfem7djzqFbUUsy5rz5m9+bCMYuxaa0YTQKvaHsNnCJViKIR8nPmLfzu+o+x+i+llFBVQRofp IbG/WM/CyC/EzPdFTEi3qVGTb0Lxfxa9aK+P7rspylwv8zLqC4Vr3m8E7K14F48liu9+CtvGJuEZ XjSZtFFgrX2sEMYPLnnDnCUL/+zdiFwMoFL3KWM3/EQMylYxJvUozx4aEiHh//ZhBvoA9PWnO1rw mubM3HwTnYhis1dhsmQ02nFXsgzDo5H1XiejNHwDqdUsWE7Gqk8kY1I/YycfoaHFlUPgxJKSbuG+ SDwwMDvlKZBh4O7bhIdLAPEbK8X+JtV0BMShtP+pmu8U1FaAXrSaqAa2fefqveO/WyBKEXAxKqIB khx/wvcArS3l2N2cnd5vR6mOz8bqJ/Sh1DgaxjkbPS99p6cOxCfbR1nGci04ZMhm9F9N3YdENSTI 4cVElc6Ss/cGJ8wYZ9Oili660fmwt3os8dgHzE0cnmz9LFDD7oG5nZThaDA/6EjFTFJxqskp4FaJ OYGEt4bavfVVFMgben5cJ54TZOSD6QEaXWmsTS049AGgrrkVoQFsRLiT8DMgIpaX4PV1EhQH509h Clt5nCE1QSWxHToppbPAL36+S9S7Hpkt1b0pEIJbXFbfVJfW9Au51/5Uq4xqucQ5NqTQC4EH3gnJ AQ5BlosluO1f2oPIfkE1IClUbMWjjrtQpk3HrjnMh91sRIqp5ivwMEeHgCpP1c9IM9CBZ4cjoAGu LPItvgoNE/eA9e5ISloHkjNLS6xVmby6uWEEm26dPqHmTb1/bCLLQpXWlZGce7ZS2vUych2CjWGC kbtONLFEdeZa/ke27e9bZKG9ZuD5zLrMc0+xEFHpVEE8l5Ms0NkOeovMmllTtBsgx7W2a4TEeoBA 3Xzi8rMzCAJdBeRrMPafkyebuAZeaCYpCsGQDhhEryxzqo+4v37FLTIbJXZA1mNBqbyLEtOW/w1c YLOCtlvRbmrl0f7YewSudAC/B7DDlecTIVZmqgIr7KAvgRR8RAXgBO+ewenkusYtZrKVJzemlwSn lDDqrGaAuGvEZKTZIAPeg2X7F+gc45B88GBsWsQ7dKoAV8I8ZO/Vucjj86UbBKxsFtC4rMH9a2kU Wo9wuzm8i0yYJBf4X7m7+8aGKTsO1NI+9/SZ+zgYhtLa4YiF2Eigetq93vi3WMgnNjtsy7T3AIYB +wWMvxj2TwJ6Qp2KQX7Jch4nL+4V91Mk7r6ekWBC987gWMc9nH8xQMstWL+dnZvVf7eHwmJn225S pXiUEE0KScN9NTgYOjaEmRuLnPpdF60d03+88eW/vEmXKPvw3cArgIhtXV30hDK81fSfRfb1p/h5 OPNW35XV9ZltPZHmei2q6p+suit9zmFhO3/yP4I3WGDyUuewHpw55i/s4p/rZ5CxlcfWfVXBn9eo SdzqekY7vJrHsmttGStW7P1GBq4OscwLvGzbxggoiOJD3Zv4xWcOtx2f/QGIyFpk2xfRtMX586c0 4S0X+7HgyhaB9NNV+35XFfL0jXDjqDCOUTmfWZpNG3mF3GT3y5RN+hJz824Y4EigZDNEKHv3cWe0 wbxSbHiXEiW5KKyjS4TbZfaV659DW5t8NLPMP7IFh0gBbmohc13jIH4LOZUss6XeYlWXxkR9nZ6Y myaM8es2/RSTSIHPvkrEhXNMhyV4QlAuRBS5cnTfasuymtsi/PgT6H3av/OKMmcNNABbVt4EKXMU sY2KVs6voXQ1h6pasNvsWuRlnAkB1D1qW3ladhjMSAr4qwTd32Pb4+yqUZNinJAJt8YMbuyRlsw2 mNYmD2c2IEarHiX9N8cqpnCHQwRHaV98s7Q4vjX7esLMxQY6oTZBfFkLaAJ7PVeXygGnQjsf97R+ o2shhAxB5eguQGPmcYb9FrCpD07CWIpa5CFqE5bNxe3yKQpX+WKpnKk16M71zLE2sjY/qMqmeuaV lI8HeH2dx+EjBeUnyI68kFAJtQ7ck5/YesujU24T1DoIsD0Y42Sd/wRkuqxf9ydVDJ3A7NpgdC6s CnJTddm6iIn7NO5OpAZo6JGFZNdsTY7SZoadIrsZjUqfZvIPY6pzqnMuBtJswIL35EuGX8qE6Bi+ l80yu23VY9y4Iu4BR1lvepnnc5HmfLrIMA3CvVbdI7fZcKSm6jQi9x8QnSTnooFbV7E4nYpbIZ9G if7qTOD8y/JJNYA3LLhD9G8jBNtBRKnue5kABx8AqVlw+RAS9YgglSni4A77qcyEv9SRD+p0AmsU HzCK8eTOzODgJHqG1Xy6Jzv3rPfL24p523hvIG1nj1LAVScVY4xG5orrJM4GhWgiSbFz+1CYXTm5 T9vsiYHJbWqpFdaX0ZHhw/+1YWcTxbP0UA9O9BSNpO6beyjTIgwGdTKO8MpOodeoi5Elzzt6hn6N BJDkVtS18jJ80nJn1tuuWCXV7r8EtrywgkkIA+aV6V2KYFqoLh+RBD3ukjbDQxTUKWT5rtRHqdE5 gWASh0gT56MmAYM8vYc5PFlW53bnPKIyWVTSxm5/0KoG/P/tK2YJXyllst8Ol9UsxPVe+op69TIn 7mE/dvBbfbeF6f2FI8TbsbCFFMdKv5QK6ZjZdYZ5hWdOGHovq3/69j782EWYhELD/3fhQG0T2FwK jjN44jgZBTtAjKwV5fxyOPi2w4LkJHj/HEgnxEOoaCx2rSe6802T5+lcXtJYCOiy0LLDm5ZJjp6r Z1WAGIF7LkM3SPiChZLuU7yu25GzG+AaoM6jgSoTqtB3e/m9ZNjlsVMs9HcGexiCVopi7V++EA/G VMBd7JXRk4NWgrnPzz7gWVYsTq8Io0smzx8Jd9W/DRzLX8s6MIgLXRsidX/l7R5/fu9TleG1/jGY 9oo6pt4vF4KKj01QAFwv6E9VhaCCX3jkK9z/omeomHIu2gTZJ2fLYN86cCRcJTdq+cVTLjrZ7sjX 3DC5A/ZGo1eP8W1H0MdAg7IZGdMjj5oOMXG1IXeaQ7eHxBudRCucHlf4FCtHYQlC1ebdZbMHGtil y8szgBhhs3fzS7tNIQ2Gc9M46yGM1yWwhGpyrCPoIt4669Up1I0A8KuseTE7SOKTk75DsXLWLWGC fW1/3/u6pddyXpCOzljsY/Kf3A07Bp0fqdiJvbdNPOkdL2r5/ffaI9N1FNyuuCpQbxStF0bz8xsX L0TLikHQde+mctAs1bTmPqpirfq4rhUFZRG7o2S6TFHJcXPGg7aIUK5Q+KCpW1EH1tEy/5sizp0s 2U/cQAvOzR0uRYVa/5sr+rRzUgER08tF/4jtgwOvLL11TaxaQdCgUo6QVTPC29Wvv/FvuX9o8qK4 TuzoSe9zxVUz0U82WDlk8hQhUOt4LSsh/mQvz3m/UVPyhOzuWB+6KgTa2Zkl1oIObw4lZ7jNbosf iUH8WzqpyqDJoF8A/1aCoSNghKTV9QLWoaZW6quzoQU8xReKO/W9MOCGeq79A28x/1fZCC+q+DSA eSw91qS8MGpa7nPcWPzEHqREqnkJyR7k+lxoO93vdv14qnMvIyBZX+xIJoPMK/eYbamzLD1BGodX ydCaMe2ib/p/KmT1XJyr58KQgYycxqAcdHif9+Cqnt031rX2LekKr3wsyLLZANxZ4sNbllRehLKj BL3sIHztBOetmW6U4akJ4y6RrWVLDBk/xiqt80P9jHw/fyx/YenOcZnyj1pjMG3hXkNUNWXuvtH+ pPcvV7kcRqh2xieX2P9T+cux7rBfOjQXCUCAK4fC4jLuJB2TEM3o4/aPVEDrHXpTyzwbxqsXob+c qki7bA/RGXFGA8F18v8kE7UjqjPutX6IfFzvSfZwfx2ZOVtf8+gqK/D1fO+4UKR87d2Fr1ajA1l9 f+qJo3z/ArPZsUTVYFfXUfAwMcEBIs6CI0sAaHyWjs9OGfVtOPCUfdjxvC+z5S+CX7N5Vra2BDUc hsHhMflThKdNu06dKTN/DG2A0H7DAaE1lkgfxvZzRahTICGfoKGOICqaa5h3d/TW4fHRnKYYTlWO WfbJmT1qC2q3Skz+7lRshmycXY7novOEOcAc/OyXIQx2gl50fSPswYds9vlxPxuus1paI5XDp57e HfIMwXgBddnuxJb6QOwbt8KmzDIeRm+Pr102NFs1rD89T9dvPjtxypN61raqa9+rfflGJYZqDOsf EDfnyiBGZEglSr6FSKpgJjVH+Ev7BbsHDYfqnOayNNshTQeisZ3/ssikEo7rsUBBn0LDhTJ5WFkM eXJ4Nd7QJcFMhXNUDqidhDbK+RlLzgg2D6mzdYiiRg5Vru4BDZTdGJbmVyDBQMcF1WL4Fm7JTQN7 sjzmMkCFwAnZKKaBagrlESrQKGAT5ztS2L7/p1EnEiWdshhdc1xqNr/6IaRzgk2bLr9scXluyZG+ YWisBsYMxal1FXabniLF087EGPcei7vKz+nBufdYPnwdXPoZSfo3kuSZmq4+GNBipiB757uhaDp8 fdxocso2yMA+bLUePTu+2thW0Mf0YZDkNJa2DOJfGufXSqbdwTjG5OmAILVTVJkhFHvXoQ/wvnRG e5hb/RHzpnVrs4PVrf6jLnvQ1hLy8MuZav57920qk47ZMw+p91mn1pZBJQmxU7trXjfV0tPaFOlx GqPnra/09mPK/y+3qfgkr4sOZzByUYMimMhGDhdKaoKiJjy4OggHDOTkgT9vavsEM9+GnX8ZZNiJ vOLAH9V/xkkvFP1CrUE7yWApqsUcFC4cS0hesNToG5/YyFNcEfw7+dMLeQQ/kxtWf93lDCu9w9KF L+1L0B7fuFPdX1kfPzbb8mVP+K/Bga3mKLnflsaJcvQv8T2WnfFex2UAWZOMGSDQpx7nlGmIC/VO 0NITq3rfJZIzifoyx8SfN+RqqRiC4HHI6vQb4pREPlymUhkDZaID/i8cXhkO50LYenxgNUeoGhpr 5Hvg6OhxjEGEWtsUZvQZo/yi7+XGaY/W+75aOv+SDfGB8WVdT76WPBmVi1nefAb3YZ+u2ZOtvzPy ZHKPZjLISZnWaUdFsc6QWhiQDIFgQcCI2ulxG+QbzEb0G90Bf7wWPI6RTzHm494J9PUz7ZNvj0Y9 ruliqg2gRvP0RXtu0piSk3Mlp6IGl+ZLZ0RNdrKidEcgwKLzqpr2+xlDrbKPMls8YQmIoa7e1T9h 5SJIC2TbTKhkkmzebTzJBrgt6jhJru450DwiiA3N7a0vOIi8aNSE3gVqV9FWYev7W6nFGRk2yU2I zvUFCF3lLog+/Uxc5iZLZyX70NsvrYaPnMaR7DvY3pdEhX6U73NHHvivL2Nxk/JDjgV2OqBStvgd rY6okNaQAoai4RZg13ncvGZxwz65+9n8TWS2DURvd2GBwxnx44R3vkLxcpeTYa5zp2Zsl37vaGvK eDl0nskAruyfaF58I/re3th5576zI5IVVwNBPN1mJceqlMMRxl0Xh/V1IrbYAiawqjGsb5zjkxsB tycVEvlyhGxlijJEGr9xpo7j0vLTQ/0n3HT4w4vVgnQuYBr0rdk7RfWd15Xtl1QijIXuKYJXqpNr 2QupWF2gCr+LKhVR7BzfDx3IGoWuELCRUVhViyVKWtVUhEHmTszj2HSJ6TZxOFOFHfHNYa2tJOAW xBhgvQW4zjoSXdYWDIx7a8MtZ9L2/9od51aI8GnBJq1jPQR0ZOviNcGkix449DcsogBpDNEtqFBw xY+Gu4+pJfu4aEmvbrS1yD53yjrjPVFv7M+pRi/Ed3PfuZFvc5VlGFl2HhZDBJh1Dpvltl45ul3l HYei3huMuBLXsmCcmlDE+5SqH4Xi6RI+4uW9Yabjo9wf+8LfW+4Wmnm1kXg9VEG8SHB5rwhfuHwl aaHpWNN2Xp9yFZztTGwPHam8lNct95vPyvkFG06tfZ46qVGXE9IUwa0y2eFSxWq04CkKVHaSXwEO pSOJyv69fNlE9fs/gingHGXTIzgflpl+VOl8jcNuHut2hqv6jGciCZilbs+1Z+bcdb6VwlLsr4wG C1XlCPTmTWtCbN/1TkZ4jyX4OapSoKdRwyl6OZJ24X3Ot3qEZrZC5wjnxB495eKN/iq2Ujkt5jex 5CTnWFpUTB2JKmxmLbocwIptx7TPs9qf/M/IBIMIHIfX+Mw4wTLZ0/9e+HLyQ5Rd88TjCtZr+noP nAc1lVS1j0oQK81btXSaiMR8kSosspvTM9sT7HYVntcpzg0I31BF9Wk8Y0O8KdjEazrRKaOC1Hye 5+lqFrXojNJMs2qCbCMZ0NrD7IXx0zAGGWVuPKQ2IXTSnXd6sEXXXEyJpMq5gdaRBUK/eFRISA/1 MTlp8d4oQzDlEX53yOgvCPpK/+jpz9mcAG+UEb/YiJuwJXnUU2kGScZorR3b9UFH9aL3Ms2mqqhW wCw1H2qY80DHtNuJTJxa6Vj2iWbGcPsYZP6Wq+2nAkPvmTZf4OWsm5OAX9Qa1xhV/B9AsIJVOOx7 fodfTCtn4Z3BLoVOa+cuWD+u9ZXkEwKMeDCNytyPV+SmlgK9z2ucfweNVD10eYdO8FmhRg+J3x/L RDMor+Pe01Jrq+vD95lnhS/rgNnoRwlvXEgOHx7EBnsZOuvKJq7pTB9ci/FFNCg4ow1xpzBBxY+U ofIokCyfn7q89LL2mcYdKcshthubMcTwKd1ptGmwPHX/qbmEnONW29c9HI90o4NkSrI+Bn7POvBJ DfcRxMR6XFYXtz2pGSOBq0s7srjnkZaS2wOMeeYmq1TBBa1H+QcyXReHqZjtRl+pAgWqpAAHNwLt 5atjuzrwm/1d/diOSwbscWQg3S87qgJgFVPgOX5RgF6+ec4I1JcaTHwfXhGGy7S1CWyrIeWV2Yk9 G98sarZEf4fqQPwa188NOYiFZNzJv3mjNF6Rh+g1uAwEd3EDcw2d2Jt7K2Fm3j9bbSD30k29nDHl czgrqAfEpC1lHcLVqMdClLFBYd6faMGmYUHyVH/IOpfx5mrGP7AhCMpTKpQ2iFicLBg8NJwmgOtw wyZ3N1m4kvZHlkfQ5HBG/uIb0LKKRKOxLlSM4X777rlTXz4mH7ZS/66JoibDGN5wOWqalDpvo6Qh YNco0/fEn06XdhPfJqQo6W6kcsMit1Q8ZMWv/30lJdjzrdJeVQqyBvbt8JpxtZnbrs1ojh1mYjbS IeYP/r4X8VBBogTWJS11kkhcOxDcr/9X+4fF1oi9erLfQuTvwmq+uv1QxyNfhQiAPSb1KXL/Bfbi xxCefO5Qi1Cojx5SFgg4beMPeFlluGZerhnAIjiW6etM7gNRex0T30TDUNyLsqta0ywCUidLU939 EqOLzvbtbcZ0wcmXZtRKYju1fTHZlVLUBrRUjdghJttFOc8tMuM529s8HlafPhpgXPaiZdSQlsZI PO9obyVvD8/i/QFN7GifEZ6IkR+47ESCTmFqKWOeIJr6JPVI8FWC6xZxZyzpK1K9EieqIUu3HpXG 9lPhKmy+ENbXWJYsFmbX8nfsO8Nit6yKoGzKoMF0ZyJkHGIh5Q6HiFMwOZlChJfzXgJ9Ivoh1rCr cXS/hR8f52p7djh2dWliM845TFJrxfRZsEhcvLobc93y+nDsA9pyqIaWSwP+klo8f2/SPIIVtI0C Gkgo8pgdaWffuTzu/6vfahzZR6QlManJyAEmPeiVA3goZxoXCW4Ptb+9cvQqiUeJ23yEaExAV5au 4uxxoSqKFMz4WPfoF/uLE04+zY0HgcnN9JAcZZ94QYeUzvkNxMnIYO2wvaSw2i4lfy6Z9zYVKPsJ wnIJAEVBoO6hFSe2qIv9QvHN+VgkImphKA70WIta9z9QxUf582lyz2UZxsW8DFvUKKp6wHR+YOdo jbUdPziiB+UXOWuZh+vXtUxqDcU1hFaEV/DalYJNKrmV8PxGzcxls+aVsie38WoSYeCglAUVp1rM NQaD3/7frn74ejPTZIbanDTTleAeG0JqlAu55Ty+LyeI1zMAfRd45DbtVFOWN9wpgB4cThXIUw6F xbny7mbf+XTfyuGpgwnmIBjyJcU9Bac9BuM7eO+pA98+h1iyiLvMNJQHg9xEO3+QDJdm5DCltYBm a4X+BeSl3WfSv1nouwFTA1EJLKQFR6McOk4rZRW2N14mBtv2qvdBCPx7VSuaF3XnBOcJrWsE1xIV vgUlan9spt/v9ymNxIqQF5b1pbtHRHtOyidSmEaeGXniImwFNGKUjzG4JeyxyPZVvJ9LmXE2WXRL 5FnOsi9K82v+zqEkhlC9MBcUSj5g2K7BSPXae4TZDjZ3rCRMRP828c/pjluOnX+F8pSfIH9j1tld CtzLcHaAd9ftMvwNTWEmr9SeqZ+03ZahfBpKRah4nLbXsJAG0yoILExevCsWMi9cxwJQLA7Skyh/ QkNj7IrfW0yD+AG8RxwQaDu9VYGiBE2WZITAudbSlz2eIruuAK83G5UfrMmqL4y8wLed1fpMjZWH latozqFQdokw8pX2NKu3cELYWnetWaQP9iqQUQMAAyiwK0wG4BqGgB5X6CVYKHZajFSzO0amBdbB MfpsiIgOjauHQaZZRcRBzeyLeh8AlPwfv+y/SBVAEO7BcKJXCytbla/0o7VSYyuw1NUkbe38gyU8 Uph1I9VUO/X4iHlG/hEqw3P7OQ3U9LasD5kzn+bULjv2UPmiYR2mfB51prrVB0fNcrMHPFnVzIqK E0TKX1Hi4kARc90u/xeO1Z9zx3tRbaftn6E5s6+BoIS5nVZ9XucDHE2znEoDtdam+iwJ6jjaN+k+ 7FURYWhzSrxtWiMMqdUNd+gsrVb02OAxLumI+br5oPXvWEFYQlXayF5FCfb9OLBTcvPJtzh/NJ3U nOQbuaUhYV8u9WVlZd7xcXXU5AMt7G/LAGRq0gG24pX0umY0vFUzw7XJ5sGCHN4u7il5931SoqqS z9XRh120foMeI3KleRoRUUwRR/OXFEOJXiryt18S2FzZjjLcjpJubCHccNdIbu+0qkM+QXOhF89o Vnzupc/iR7Lp/0RQw+hLFlsc84reW+IAlo6q6sZFWsVwO4kGpq+ULb6ULKZ5hF1Hxw7ZhYqTBe/Y OSbQFOzlEh0tO/7Tni2mRT9HkGCN8yCzFzNi7/gv6Q4kr1xZKAYgg0/E73eomS6jrWU35veO2mkv vprLNLDl//1T9G12msCk0On5SHByaC9z+mzaoYkkIyF4AwjuM/XaqMc8hrwdfm3ISgqPJkdLku/Y yyByJislkUopsfZVDRr6x8oQkjIk2z2KPVD3hUYrjQEEEpCNIx9drd4ZkBa2gMwp/8tsPciG8Wqz 9RJbbZv7vQYjE15KGqo9FT15kQ58bY9xZa4CbqmZx9IQTwOS/C98yxhwwFFHXEo09HuVjqGj6/Do lzRef0HEMwBZuHGXbTc6sejl5pd5s+5sb1eJTHscAHYj514tKdEpNUkL9T5q3gKrYWAfp8NdxXuP oex41bYynCWwr56YAJINHukOwwqdtBep84ndAjGNpzq6l8m3aHtjtNXQLd8G944LWx1Gzfq05wlO 3QybNo7v7kQ0aUqaj+r0zT0fwox0qEW/iJAj/SnGWEsbHBm3W1Tyghv3pIdiHq73NRm5EfMwwSsA QHwzQtsN0xPwc7RTcTO0XKqLARepVHPAUrDnITHmeF2njTbyRruOXo6eu2IWyiAbMn9+M7bLGz1N NIlUdvfbDFfh8E0ngZ+ZUAjeuSXx4/VMUwM+ZOaDKJssfdCT136d7ZHXentUBF9x8/ka+u2FROSR H2WZ9XTdKfreiKNRAlZjfHky/KFImg3oouhAJ5DD54NoyVxlduej9CZnza4ge3bpGSAM//6nvGzX HLJD75MBQeN53/cm5pge8v6iOvXAsNVdL195RTf1i4UNN6VHxuQhTZyNGuigrob/owjYECm7ZfRp cf9RJd+lpQauJR7ZyLrBXZXgvb5ki0c9j8ROOmK45LyWgYzkuIF+5WrO4xuAfP3/JKwP1KQgRmTd ttIDDcozIIZG8iARShlvqpKpWDy9N7b9nl+cg8e00jBsUDESXeHKorMc4C68N96ZmHBPn2pBxbo0 H11oQlqmV5kRXJh2ENb3stDmjO92j19IV4+9Ta7oYAP8F1pgdbxm66p73yVC+YOnGFbMBQ4VuM18 TeAXuQ9hdRGsfwWwzkFC/ZkS0TINHU4QTruaWsAdoGCUxsijSTiWZA1Z7aUcdwV77GI64inc3qpp Rav8kEKj2J0t/7CpOBYjbRfwM4qhaW24JNfr0g9WEbeRB8ujBx3Q8+mHRwBhc5DCH/Ie958p4aaw MJr5DEx3WcQERTWplk9XBhevEdJKNIg7964Rg986Ozoj29fdXtdXRJ+HDDtzKlTHMQ85BmlPNKkV WiolbozYJWSM09ryFTTMCh8c1WfD0HE/vn9T1R3E7noDPKruAHHoE0aRRYLZ+bPZr2G5c9VAlP0+ jCXnBgHBdEvpVKPoTqJ1cJ/bAWlX5wtRz2F3dWHsD19RJrumd10kEvlWa2XtkXD01Q+ZDaPdckOQ 2VlfgGAw0+5MdljPZw6+Y9Gi7uLo2ugSniL8It/3cPytPs9KsVhZ+GTdKR9O3NmyueRkpoeRBPJB MsEOXGGvKo8Hqi7Ywtg9T5SbhQlMFJIYIiV/+ehIYPtPvHU8v+cZ9up3eWvKZPdGEZQrhs+FRaPV DmRX1Gtdjvoyhf1m2c7jqvB8HDVS4TMetitWDo/GmklyboHnyOWG18eQ6+620pgUcWyOT0pS06+C GhlqYvwsRFSjITONBLlWAW18iFsKvMbq1p0W9j1IWlfHvQ5IcLNOe3kIO0ZSp9Nd6hNr28NjSbpw HMccsXDTomRxTyNdIZ8KYNDDjYlx95chcEmKMVfPeT4THrceWtHDvVX34lC0bbrbcawxCPfXI13s xo/awNjHIzFYjKmgrF6p/gJbax/9QbyrSsbObUw+vwUw8Yi5p+JB528NiNGg567fd1VGPuUW27eE InvNUw/ppPRLG2fZHqVk8BKpsk+4uN0lvhijNlgUfCjrTnjwLmAWE01p93+xdRjk3nKQj3NuFHUI hBLzjZ/QJC88YKuF+up588pEa3E4QJ+VMw5MLVkJl95YxQIXz7JIY9dbf360bXuLeP5MjbCV+xMY S+ZswK10MSSQihllBr/4XiUZ/hgjmrrmXzuOO3ydwfq60LCfJNf6PvcFO8xzSs6axMVWyOGXvr3i qYbkFCniMRo87bCu6+sstdWlxKmQqDXqp4KqUtXWbQAoYWi8cR3Zpc/6Uqq8oinbjyUDVcPSW0lv rK1iqu4PtwrMjDZKQ6yFjLD+OWVdRmVgc/i/W8sua1jDOumPP7a2xpaReZXnFzhSBiY4H1KtPaYp Z5CmlrIApQlmr04VUGcsH/UbFhjlM3Ew/SsqztXjYyRWYb7mx9D5wc4xUaysut0lI+44rLPU3xTG Y7SU4aTb1R+YO1ToaB5cbEL07K19jFDWj9xz/aXztJrQwTjyu9whce57SKcxpgSdlvOiTnhaaLx1 YXyOT+3W9ONDvfk2aJEFIEoSWKWWdjwewA8kQ2f9/7eZY+UNifPw213GnJIfJxovJOEVci/PDqe2 +XbsU68XekGBWmZv55c2Kh323g+WD1924HMDkyScJ+maeQdv5jAFLbTDqx6cKVdxaxCYkRp0JCX9 AeDz6hxlw3GHAzRD2+9wK2C+zsrNxqdj5SW2g4at/moypqFcZwEGgcunIGOWXb23+N6BHu0QvZdX EjfQW19cWfvMqlJzhBuy8XeSS5x0SU+2O0ED6N7K9Zo5q87l9Xpple/Wdf/ilLXfZXyrxSJl1rQ4 fKdsuMCXxpUJbywBidtMmp6C2fP356yXo5pQsPrcbIIywGT1V/84XBx+K7Ek/kjE4hprXDKkjG0B qF9cpwk1gFiag2p0ZPOxlnOT50ICI+8vGFXXUBcH8PAFbZ2ksAqtAAb94CAESlVu9qKLpTaCV9Xn qQvCJBIt79eXKpKfmYagZ/ujnG55yxCuLBcLATdEO5eNa6xluAr1VDgBSjOhapFJagzDrUFTOqnJ +oylc9s0qg5jCwt56YRNXeQRVgw3U+qkEClafwxTT9YxmdwDXlCL+dl/EAnp+wk9JzVEvoz3+K5A aaHwa6hXp65ukoY+ePgsSwYEN+Zo2YcOmhjdsxFECbQNTtFAuSAvcSJlNhoBfBYwU/rD++jg7mSP hTdPFv3MyVhLINtFfb3mrXOih+rFNf0OxVmw3rgKwiIgh4kRc/iRfSYfa9b8+u4bZj4k4EFo3DfF WRVlGY2t+Y1iSu2gfWdIyNbWkpclWrDWS6UV/Y7bHRbtiAJz5NZkIhIZ/ZvBT009DfVCv09jS/kS 7mUp4otsRWxA+HsavE01edsgegfIDz5pMCukX6DnAl2r/b0N5/+7UxQfXweIG/EzigGREOHy1DFM LVWiMv8ogiRm9FS5+fu5Um6hCIuTqMNnymAizeUtcCTOwpC2OFRRZwRv1OXk5tV5aaYPiQqMKfZU DjroKuQym9ssIq5tkbd3L0qVaEOmEUe0tgMhTKsdMgHgmWn2qi0Su+xLyL9e0xJ3WYtwYogh7u+P j/ORvskfqPrlbSOdGf1gwTVg/EaS9zZeKNoo8WRy5Otduy15lUgw4XE/uNTOz+KNPEFVVb+ciFWH kz/wIsGr3hTy0VrJFjAF2yhqXAYaGAI4NaM3WJieotYa6BShoz/Hi0BD00WYQTkmNsXOgQVtw3M2 tgJ7iKUYqME7/3B1Bk9UKL7ya/Mh+IijHlpD82Gq98kTY+wmIhH9fyHnitzOx02ZxVrJQLoh/T2r lgrcfBFpOJjIHuWNa/2jym5/TWyelm5CUtJx4qWGccVIM5fbEnpnJl3K4s5cdxuDH0+kKugL9TE5 e/WB/WZbME/aXz5n5ePsyxUMw8YSuykObd+ctKYxEPvwSOZezvgNzAF06KprCi8GpNbESdM2UcGO rSlqBN3+tRkmZeyJNe5ui2VpPt4/Bj30+9pR8o/fwrpotF9uowfMpggHMxjLTQFj3BLLZu2O9c/c pc0vjNHRmnj3UxoQblCRQc8MHPZYeeQ5VK5cqkcZqJztXPedOtzoG4bPa9R+pByyq7LGfCeqLScY iBebdq3bRYZXWHYaTG94mynKR6/a2czTrsndGvgD+OP/C3hNYF2yTvEdkJHV/YX+UDzwuAwrlB53 nnSXQYClEtxJva/4KAZuGVr7c+8J5I3IQHU3oUL5DFmKpqKYGpd1mYJvIFJvqYjY1YPU4JymN9Ak OMpxoDjU7cO0LPfb7K+xcjLg5M6yHM09Vaic85p8s219K3DPoIqw/dtwUZzSKlPMDKYP95j6FA9J X1IF6bZ45mrQngJ8NsJFOxhk0KNI4BfhfRrTCq25vVTDrmcuwl34nu1rrV+Pq/OhH/cZWu4r+XlN BBOmGymd8LkbIvWFAqXjTZILvefs3DYWRtOBjrIk2nqGi2Wwa6m8IQR73KOCCtlvWir7D3+RQoio RswTERgDAG/hnMZEH2wOKPIYfemKL0DpK9gOm7YQvjwzoMPCmhpDwkX4n3rBhiA4fkWj4YGoKbSX F2gsnHDZVsIwXp6NlElMz4gpWiHqYp7e10QGfun68tbQOWaooURf7fAliYRKp/QrCaTX7O5FkFxe XEswbSOBlrsIV2L6f5NgeUKXpXeIRtDGVsAGy/kARH1GElgQfKb5qMDafAJGW09zkoOMP/WFgwOW dBvmA+xWjzWiPuuHZ+XdLJM6kKJCeQQFvCS0Z5y2raIkFsT/s3NCCvoWYvkfU+vzopTGALmwhmYp WG964N1Zitni6WO8MRCE+PBPwieH8AY+CbUHrTt72hSyUe9NN4GWtOk0hyyH12avK+eKnsHmA+Nc ftAfZB27ZCqyUwIklHiCGd0k25QNFqf0X68wvJwM3b2DoHR7BU1Yff35QX3Qzyu7uDAkh7N04ad9 UBiaYhu9uzFP495lXhDNbUakybOPi+YXpbHZkAzYFvPhUanZvnFf+tQ3F/yuFpELOoUpJNqdi1c2 VX6Y/OrJuRHTJsZjaA6cMkvc7lgG2xzuaL8tl4GJHuy9nhZZSIi5QcP5lNi3wCbwko+ZgKraVpQV xP1jPGmeciwnHZw6qQuBI4Fejo7V5INf1yL0BlGzEC47JLsqSNNsrKcah2MHs9Xo8nMd5g9+O2uX xAYlvmlj3qnnMtbKZbxPNBpWYa4eLJzfFUR4ZP9hVtELn6vfuHnQ1e7h+zWuIVYhD364HT108edl Wi6gwldF7tSOcafi4vy7ZlaQt9XynM4Nmhkh1wtv6Dl2iKycRd0/lLxw8TwrNyGx77UaETAdhedP n2nkOf0Hh0u2h65otC0FoEyK3TDGQlMm+KEPhJk25WDD9F9s1Bib/PAPXvuTAYTwa5xhwRYjUbvs R6cQo/locMS57gxcBU3FbXoaUpfOAEisGM/mgsQvK0swt5oyvzsPaqbBjiM9RaYHREbto/9NtPVy pecKdcMAzue51rjAb3q2VvbM9jSmXSqsGMKzah5VIjvUZzW68a8rIY1+klIr+/p5NgZ/T4t9pQ4F EWoN6wKjmzThSfXop/t5qy3qdLIwRv945P+xyozCPCCKR8WowrzPF9/ePvdoNEcX2wxOhppX7+Tn 0BK1F2jBHASj8dNlRwWyApj3KjHbcflFIYUSy0FRvmpk74EEIttA4WcfQDAJMB95JTZmeIC2ztsr KK8dl6J7NRZ6hmytTqMRDTnNvzWdvZc2Y3uNnJjggTru2T7qk03zEBsBAT25CXpyb1RhJzp9AefK mvfkBmwQlnvHNObyzrvF+ZiRlqXIiJ9FhV9ikxODlEaKX8J1V8MKg47BTxIXCD/yuWrGRM78pby9 nxt1cUXNiL795vogm8b6dRxyvWwr+HLVBUc7pf3/A5aNEuclrh/v8t0egu8aGMSadIfCmeHLzJwT NRpQ5e1kheMHiUJwg40k+m1FpiJ0sYomU7eg2a6YZX4qhrgjiwcmx/l8E/7+bNE3poDXlYMqWbB7 5kYdwGKjeW6UXZv+mxmyi8QZrdlfbAPcAUJrts6CVHbw593m9fTvKKUGo78GUG+DAHXGR/nIbBJR aOEimTL98seeUYyknegXtZLJOg3ova29R1s2gngYhhJCiJ4dsZqMKRQF4Xk7hvbNmYeD0+keNsfJ BkPIxKgsxP8KkoQwV/j/+F3yE4dVKGM+0SpUNyICj3/LbB/aLG+GwCaSnIHhHKMM0VZrmqt34yQG yZ9PgiN/0zlTNLHNKw5V3WAOA/Sqvo/lpso9pqGE9247FgHidPjc1/orvCMtkjYP14DC7DW98W3C 2vIgRTGgTuVZ1Q/NEh5W8HcHc7ULpEi61gFXeVdj7StFYJxZXUmIRGTPKeD18EtB1dTP8aMaYWJi G+1trJHEjE8+OReZGQaaKJxUjLtRq/I/YGVkVdJLTvTT7KfoIo6GLvMapW2t1JlSuS+bPBpgpoqu WIhumA2iYpJNYq64DQMvwMBk6IvI34WvTA5SYYEeKWx/ryHGiCDZdhr232GUQOEWGJlkoAqTqpY1 YIVbsHsWLWSFsQxD+5UDdFOgUEfWkiYbeEBLv+MibN0EpKaQiw+oawAw2uwE4XHabDENGaAXaLy8 Hf7HrBjaWt/OhzCbHefnn2beutm7Tx0zcCEAYrxnYOqnY16F23LrJ6G7XXQ5IayGcDErwheSdecI SsibENlrWh9jPVc6lGK6g4D2KMjNVqxQAa+T0+xKU3M9k/96wn81rwzHT6j5jjUaqIXIdoOvF/44 fWXOlwE3TZETXD5cHqpLy6igMwv97mU6HLMvPhfkrvuWRCi7iwfOtKEiz0mVpggx6f9PbpCec/zw qDH9zlnmRHm2L0yiVGoJvrjTtH2AcPsKFrCZDMQDpJNRYehVBPLZjrYaW6VWfSzXXTAcBDNCuIRe Bj3FEQyTGDWQnF57yGbdik5W6xsXdp0gageZQ8lOQUeVYtUa+J0r7Unlj9Oq0BrkMEbTew+kTbgA 9HAYk1hsEbp+p291fhe1YSDnOGAP79wrehqCVWnC0E8/1F7LAIHwAQ1CBa4LBCpsYgUO+8dLA5cB GGGlFiEYDRl9+rv0h3U0zzk9Qj898MwRYe9C4n+nZYmqe2zC/ZVfwH0JEb0BV3J7H8ThMKYrI2/S NFA5nccauUqsAH9OQ3w6js2APbaLdH40PtO7KXCV8x5MFRIZ02iz1wjd6OqNws6gBKbzPhseAIm9 6tDgXQj/OvJE4xUxG8xGfPVzXlUQojirXkj9EbhR9oaJ+DCJhw3Nad50fT1lpnP2z403xYAQLfIr ssrqFnkRGlt48QGZqHjgPvx6LCio9sZ++04KGSPvVKasMoumdR7cEQIiL+zvsfMLQ5bsy7vVr2Op 8cOSB+IsUv3tky9tqdxNtdf2Kmwbczzg5xpqC7I1PZ+cZfkCvXTM4ad+jVk+bhtelwU1E6kB8i0L AQTC55ijL8gJYhoPlPnmmlEu3qL9rHaGDOXjUvlC0/TQN6QMHfRwnYMDnge8QuHhPdZ0yNDeFurx I4/SdGB5SMcfLWCHvTzxPF1cSJUd3C/iX0exsS42smBVMCKxJJuQQmVQCANoA/IqfADZ9QuIO6TE 5vgmh4p56cYxv7a3WbeX9tUr5NiMxBzT95OtAz7wwZl49xrptfDupEV8f0s7UA3Nvv68iP7XTN/p RNfLdvnhvrMyRA+avvRtp0G96tnOhUGox5AZRU3H8QvwjkvjhN5a1cTIKJXcB91bVD+kF6drXcLe R/ouwoDGowbXHlr1VogCs+qaMBmgq3R++aVYlYSxQKdTt/CjG1+STYHlANFC3pICCW+BjnQ5oMW3 qZHdesWOtHie6e2/9Z8Fikpssj5mQQNHnVLCWsR62K5K5SIFTmzELrsUX5OFzWZt19lwmCj8oKWm Nuhi9GMr0ng4TLirT114b22KTSfquAWnjZK7fKVbHazwShDKSDAvUYRj5nbYJ0DAX1f5Rs4D7Sez S5cGd0/UHYZ7J9Q7qX9CUDv8zflIsRNcSloY8xdOlumAgeDyKSGhTs4iRLgSvBlZ249qKcbrFIHR /d5pAKG1E71JUZFh0v+XO92fuFWPEp6FcrtfxPfgGP/A7a3kIujcZq/rq6Im6Ok/JUZYW33zhzDZ ULNrGg2eExU13HwnM7aLwsqlpxdshCoRRjTyLCj3vKWJ/17xri/29uUx/pAbVGfW67g4A4QvZEZR 6MNyuAmhSc+kPnNsVTBibGFnZw30KllsS8hWmRMt97ojS+a5n0imywSLIf/OzJfFc9eF46xgwuUJ 7YA+1AB1F0z6bQ4/G14W4qb338AhyQQgUVPsCOe9NHPEvMFrCW8tsu/fW14iyMU4vvwzhUnGoGy0 TSJ8NDbgZdKh3rBiLjWTgJKZvXlwNqPmJ/7Ty0kPb0CHuzMkXFiUkpaWkQ6GU2r85xXwAZwTetiI sn3mVkZBVMPVRRPzrM4Rx20GO4rwRPGNQs97Pg5vubMqJ514awqJyTO97Lmn+2Q7vAgpg4dAvXFj Xj11MwA6tpMEGAUnc4xU7xFiN1p11i32H6zEmr9WUxYMzpO5pG2eDwLI+zw0e2KOAkaCsxV/Y7Gr /z10qyM5MPTl5HEcd3rBFfH2AOyiS5U7VyUTGBz/FlHekUgJZZFvnusQLYWGerLgU53efpMnt/fu 1NSkvETvjqBsexygeYFCMJJsTgB1pCc/Mk1zyokEMaeog4ZdTahtZVMTIF9DtNWuCgTIYjq5VqoA dr8hzIuVpHUXpvoceP41Ms/J5c3NeQaUmfL9O5EKJyh+E2KgG6zOLk5YZbcKUcLF95Op886u4cpz o87zKsWNBWU7fBlyrBm6U9W7OE5Sl9g32Dv+85GAT2xj0NaSJLLRvFa1UiMhdFwsDZ1UEF/if2UA RcnUG0ExnMEC5BQ554qxJE9QBS91sfpmcFz3V3JUrh8CuuVpbkmO8O59wHtk+tBqVrIF4UHkOQV9 VBeIe7AQ9mG96z8EJ1qza2E18b8FUj9revKGcCvjCuljuciWtQQ78mOjPsu8rJ+Y6qKHOZKS5OHY zZLGJ0f6Cpx2iMEYNIHqxYdDOX8my4pnPhTgmuVXJVH4y5woxxsI7jAa4n/LqOVEIXgqkB/0wQ8D u7pDqB4HU6IRIUU04RAmuDz+pPqB6HdfiXBLoZc7TF6DcYI+3GkSd+gMV0U0f/7q7uZ/y2KsGuZ8 50rWU64AUsbAwTOzwY+0NO8CQrMDpCDUyP9rKyQmmDo0HMpt+OfSnQXUXYipMaMo00xxcc49NDNg XdDjFAZUbZ0FweWu5liyfcednaugbhgzUQDsQ9nJgtBskSbXiVwHX9YMCRLqArJ+9rfjBOehiBBW bhb6w5QWAlnslDXoWcbZhGpp6Pm41oBdjqHqE3pON3mIWhltJQxxAHZ/2H9UoGNhoAdNxJVJEv4T 3h3wtUdpPpshODBgRv9g3Ri9k5Ez5Nx/TziH44fuLNO7ToFYguV0I5CrgrMJ6u2rKPr4dUsNx+DP m65HH1JvtioEokv2XFkFcxW0cG3G1ScdWJoDt2PzqaJpYVNux0g37wVg27b1MKcAH4an4F3aZi9E Fhuvmyea+uwHbjGY4bGmYu/igQ/1TE1TIEOp4tpw0p2Kg7XVO7ZnSbDKsdCDC2/kpq5O5x3VjCBb SCev/nF1GrtAT8tJFEVCtJJoEBP7BTafMv9hku8gNglBADIdfX6TDz4F9rMpkJTF3muKxmDBJPJm /ByC9XwcYIOOXuO8JNfj8bd1PZsADBPkpBOHiAQe+kdMUrXOtDuOGYF1gk1c4IDeT0E3F5T9tb5L LLh/EMam1hhggSMWRX0lXg2S65t9DHxgSOLDBoRB9ecGWSWzzX8SdFbhnPEa7B6b5qqFkERvwLLf Kdr62kpkwS2YYGCOJZtTaTm4EuMpp8AP3DBoOD3n6T3OzJkqR4h3LeQnsYHt1y/mBeCAlLv4+VqB Jf63ZKBaGyJbpVkMU5RZmpU0353G8EIb+a7QU6FcUhFMizJ++71Bbh+r8I/w9MC1uZ/MDBwMCci1 MzTpL0WDKmW0k7iOfRIxZMFL6sMW/tckpzmhXeymjeRhP6wW/rLy9JcYyG06JfI1P+7p9sGyGCgW sbMY/TAlC2wiLa33RnuxeNM98keC0ZAud4f6eniagft8uds7uoMu2TyaX2yrerq/sjvrBVbzDlzb GqMM5tOh187WiXaheiAUM6BQ2m9ZqCoHqjAEMTARJ72RILWzYhx8NrBVhnI/Xd39BYfbnFR+yMG1 fyicOW0EJ71NYjNsukw/OxBCksmMJWOaHkVVjBWKbXnfSWXZ+WX3udUB3UhAP5iDSA03206urqGB UWIWvZ1+5RQ50Ujezc969tznwY1qyaWjra3gKZwbRYWHz+AOd+6DcSlIql/8V/82GleeGOyrmMjv 1ONZvr3tN1PN1HsUKm3dgocYbBNI65fLu0qc/CnZrmgSeNpts2G+duuGijyWsqah+pkClNzXR+Zh T5OjHQaNxXc+8JPDyAn7h70WwYHYqfIFOzlL2EkWjcW0J+0kQPcuNsy6oldrxu90MWEoau5BPjyj ZGqSk0weFGOlCP1xF2EZFXCAhSfK4/TOxiu0Dvn4jfb85MpoiN+hMz+GiZca24yAQAXumC+XqBSa Ed5w2O15w3mgEkSHbi6Z/OayEHcQ+Y1vl5h8xMQLMwfIAWtC83Eruad+kpxu/gtofxXqEuci13x4 A6O9/2r8DsOGWrvFLkOfJjPp7y+TGZ5sROCfZcICwn0PieFLmwla7UjM0aOkU4S99MgnD8W1T4Qr 19KdWpN3NRMenzD89cQ7i3yBhAqrFeAJ9NOQoHD0ovH/36Kt+28QTGs7qNR3ZVomtzgybnIJ1vW6 WJeLqYLcIsRs5r22nGS5mEZZG7jrsAN5P0ALb4uwb4s4dwZMLZb7ZVHUko0jktxm+yVIez2751E8 cnqv10pMwBEg9MFHVSJJJV+fxAVO0tWCsbH0rY0Hl5Z2vPt/3dg7IOk3MOVTxckczf2q0qQ/JfwW OxCJgOZyiB8u2eleY+p5dqkc8B7pZ8OkwpzciKg5DYnbLWPAFxAQIt14afQtpKKjnX1iBKoeB+sW SqZP/U3BGiLCGANgG/agqdfY6Qv06zWjFS/4remjtjp43I9YxtjaHoaGVGbXFr/hoxhuuitG8UUA IbB5YGWH0vNN6YOE59tpSN4+5qCuNvdYN6u6PJuEke9LwWtYRRr0RxjJwJxQLeXbca6fype/VHEI otLWdxOvqUct6/iwCUuFDGn8//85g8WUXZ5nqUMhWK9CqLcCGRoebJ/ylhCSPOsLbjhYj9ne+UXd 0fnSsTFh5HRkKL2LRW6LGKj3tIkv0k3z0hozfkZ2qpnqof5bPix60XLofh83YUyJKmnN9kP5477B CDV6NfYsw7KEyv/7qJTRkjvQ6d1j0DGffFVki8a/C08CSL6u8lT/JeKKfWcepVQdIPvn3XkBBd/t mzTxhhKbVjbBdOA9IszbLDAlWmZySYHipQRDHRbH23FILLkMk79a1JuJHhzi/Kf2LXXapetWKA8W 3lmyuQjVT0LusZYrbcHWaWO8AbtaAySlfluTXZtPo/QMR6pKoys9fyoO3bYHNmgByfP6ekXYAjh1 3lP3GU3GM5BvFJTW7qqYGRDmJwQH7r+o4karh4jHA6Dm8minx+hb5rbGnDv7j4Jptm5oEq1sWoVv /SLslLSuLH4o9ACf4mIzDlW7k0soXOPrQ1jfRSZdbe+jux+8d0yrCVojqjOkh7vw7Oyh9wWlL3nV Slu0eTTHRmQdiJVPcZyatmA1wvuSl7XK9H1XyUiCOsyQDF+FXejUrKmQdkXPd4EQVo/uxJfLERTN L+Q1IU659ycqC7XbvJFccRanS3/iwy3Cm/wUho2rqRX0NeO6nBz76kEGHHqbCcD5X708+2aInrgx 6vQfVYywQCQtCBHKTx2RVu6WA0d0+jKguEAsxqRQMvKmO34OmYWwTdq2KjNwQP8U04ttKnmshkE7 1zugGVxSQp0gLRpWOpzGltxVCjqmOdJosyiKofGwfBMb2JVk4g7UfJHuzE+xANNiD7Vl8uBIhbSA RSahgmSsp8IMWENeIMoWIB/tLxID8JSk1ojkn+Dkw9y0hlhXoMeY1Nbm/5JGPojODUAdrf7nmrMj +VmgluFEx2PqoNtJak3+8IjK/2Q5mgcCxtLuS+4rFoQBLKCAOWgMFWBBrqwuEpELETb6MhKH0ofW k320cQP09s3yShy1hluZbJuznYGUNJQB5+KB7xWl4hBElqJBskGok1Gjt9AOBYW66DVe192bZ0mR HkH/MBrzYuqMMccuTK/5Th6F7LWa0JHPzTBLdtqAJfj2B8ERB6tEt2ouzt7T/l/jnTVVEiLyl8Ps N7Y2AqW0kslgTQAYxasLzgPohNqtSF9w9PHl8tgkVcLG/ZTHLRvGZuA6ZvupjzRxu06tYab99rGz mPSPvm4SmzE7b8ElRy9Y+yEW/pMby227WAJI2cTgcfCO+L6Utyc8UPVzRniHJXiMtKmfneejw7S9 8SsliRyGY1GssB7+854/z4GyxCkF6b8DzYNYiD/GQCZy1hrUNaXkossKI+hliel49E7j0mbPIPCl QrUiCZqmxWjQdPNAz4CAKu099A8g7JWSw8JrXv0owhBtdBzj+gLjsI5GmwDk3jMhliBLVBNUMwA+ 2qeOPKa14opKODjeIgq+ROaikMfV/CjCVHvI+12cX0qX1Ata67ZM6cL4QyjvDH0+YLUQhmVjczr4 O+Qv3NLQEyOXafN8Epuh8jAGJ8W33JZMk1q9zO+9AuLOCwND7fi/O54EVkfmq9IfX1RC7svwaYRQ MYnNtLB4cHakja3F/eYrq6AxTMnKeIluoxQQzW4Z026mcW6Z9geiqgAY6/t4ZD5Q8UxbN4bJmsGI dByjkSbSn8cUCpeyargz7nIHbN/BPYMj0PVjhBdUS0rBz1w4urG+F6A4CfKjrS1QVNing9i3yhCe C/5AB1b7+p3zm2N9BC5fkiRlimmwcfUB6po8UNSgRP+7N9D/TBduZQYC3NNvsP4WscacEMzrV0xF 6jznnGuDlE/iA+TxdaUGY4BtZlLYP66WwjbMGkLNLTOk08nutcSuZR58KaRp0cxw85KqeyDz9e0C IxyRwwcwnN2TqkgbMDvsFN20woeNXA7SKhrzOLObrA5Vs5yVxZONPbDNFsm3lLRup7CzVsIkBPhI JwqGU8Oo3HgYsRwMX4wEdAi/EuvJ8+P4XulaeSA4OWFFWoxZJb7utRP+zHdbJRYO1hQjFjWnfGWL 4V/igeAL87PmeDkQDJHD2gZax2hMG8jAa2NwKtYeNWFOSmUeACtx2mWF3RWRmy/yDWF7xATN0jeE CqhfhhovSr8vs0wr34gtWsRzHgqnfRJiN22646cE1I9AEMRr7Qe5zlCS+pcIl1nDStO7mEsv+I8H 8rs5NKb2n1yBov4jjNTUTCsld3/JQnVxWYc1hz9YlT2L5rGm0g2bjw1GX4uP+47OxMj8K++V2vkY CvZbTJBvF61eyWgNm7HOB+nVySY+2YM6CF0aZgNSjiFjwDJsDl5Zj9Tll3ymNU12o6X6wDA8+nQk ldGUDJF5Swc7cBo2cpdrurpVvgHrSk9ACXKKLsIInhuiTji2xB0zTIHUZLd6w9ethZnx9/TUQVLt f8qHUbmYHVj6L600QuaVs0GFrG/aN561iRYFY98qZ8g5guaVq4GhYj8pLtyObRG/Ue+vW5obPeji OHgs/K97ULVRQnDDZzOOn3olDzd6JNEZjKrnyPmhVJ8ka5yugikD/FvRx1ToAXqjDCy0PqpfaVC9 RroE1x/UwKvc9OUisA3XLzn6oM+Mmjq5FGsNKZD6N8NRjkdTxgD0fyQ2/3mbNBZABdevJTEtdD+f z+qCujLYrqp5XmvGZViAPdQwvK9YWc4tbpm6Rt4LPd50obxLl7UWpEtk/CAOKcB/cZAT7njtl3QI oC86/9F6aRM1J17dvQCqXo++hMdDfBPVcJw2YSrxVUr1/9If3G8fn8ou09HDVa9gEUnVL/7bztNy dPIWeF1vzqPqqTL7dL3Htmn/d+8r8JKTQfIGJV+G27tQNcq88e6YUQd/NG50R9uxKYQ/eS/ypXY8 GmYhob2SHCNm/mn2ohnxWmyzoQoveBNVLkOk4NN058GdnyFw+SA6SqVlSg1eqJebEkIm5UIBsexG jwnJoO1Edm7NXF36a4QLdmsX8U3KQzwRQE2X+Rn4ySK1I50RIgOQ9PtSgEYeA6z6N8MI9KtXciyZ A/CqZmD+rqswsvyrc5RaQobN5+/7UZZclWQTPNRwgIWaI+qgOXbEpiRkaaWrHjIoKaqqeT+kvdre frUpvYPiwYGyKkh4kWkPwzJ4z2anhLirC9fgOZeRlCJ1GaD4PKD45zpqkx6alHGmT4Qo9T0LwI1P zkClDWDctkqKfIz5QHJuu6RJq0R+/Byb18FkkvX70N+dkP4JcwfeNMMqxnKkgfKm06YN/BAGwD1D CMhzWwaCc+SS1UdjhStTHIVtKqgql9uZGvzrjp3uH5Md1U+9qus9DjfheW3hyqzirk7fZDlxCDSc NLdna6VsCyfJm1/yGl0MCa6kQ4bNwy/+UEBvxxRmUVrEwTWsDPC4fyg9sgJx2l9HeruZ2/EegMQW +qWYt+/ixyY1ogOJQhdd1FU+xMkS9Yh31u8yKznBXr8iijw9f/I7EIOOhT9q+rcugCr691YQVDbw JP2Dati4pVkNNoJ2Z6oHvUgF8IMWnGW3Pyc2Zl7ek9RLMwpjdAUSbzj4UNV2COfDVpD+j8FvLOxu G0IrDDFUHT00FAxlkMqt/Z73aEuJazkLEDhezkDp6CpcOdGy5lEwCldg/PvVxfjoGWBSvgj40Vyv HRA3T6d2L+1WlytlfmBOa1jywjYUFRJz/dv05bHk3xh7/k4LFmfBIhgusVLxVHxkXh4dcChlBDLx qrTWHfGyuJad+cV+nfIEeo39NnDqKZJRtQuF1bqcS6m5eI++IlDhqtXbCG8ZZep/TZ8STvEshJ0k taWQPOASS59q/QYpUNLdFM5NSnEF0+6N2U3lsZJsj2ilztulViU4Nm9ZMc2DsiZsT92+ujgS6igc fEDW3T6FAkv4UX+ZOGJnEMrWRX+4C2e0DV4+kqVD9mTpJJgaBUoTGQrSjO6weJh0RCewcJJRcQGR UcLK6Msgp2KHMES7Dagm8P/CZRd4uN5a6bCTBF02NAfKc9f35jJzlh/De07J4K6QuxIozWIxMZ6W bpgD1xWKHnnLrraTv/+23lx1OjwLLFqa5LYIKfV5VlejYv/5z/VuFDfPK56MrBG3u7C68wnc2m4X QXAXTP4NbEbMiOBkE9FPu03fg8UfO76V5U+X36jItEgLJ0SuWvKtz17lkpX3GmiulaCD6YVxnAhb smPZvCMhMoiwZFiXc2ikz2vz7R+h8gGeyB0ujwsnv1hSbWpeSOWYkb4iUSJSsoNC0hCJyUshK1bP elgd1ByG1lxE/4cKQeg/rmQm6E+mA8imM3+0nsRdqJiarKELmJo60z6BlD4ETUMzRTJ0Jl8FzAwd wNqbF6bwV5smUtZCA5eb8rdjjF3yBb2bZ+EN+lrR0c7c36dcGkFaOe3qkbrYbps9PyEpwQKEixEg C1s/l9TOb+bUKmpYuVtZ+GwF6EkRj3wIVlQ6KVLIqgya/e8GUCyyh9fQMSGMr95M6OP+JngnDHMx hLglAd76NDusX2dgEoIqc75LELao8RinztSwqA8a+HaCf3duiVliKgqHJrwyB5ap6H8w2N59wL9D CZmqeilHUJlasj8u4ieHeVUNdfmI5GqqY61CBdvBQY7NX8Put3pjBPid2dlSbOZFB4K3J7sWLd06 IUgBmPIlW8x94wiw+n/46vJTr+es5NykF+ADxcbihQp6c3cptn3OL+4ahLVzXNkggmI/lL2CT8Co mg8e2WRdFmtDtLO/jU8HV9GO3Y+x8QnmDHCbObyRXenP4jPUjdhUKkm6K/MVyL2tHixxds5OZWka 3e3bOewscRT+XDublij5N1KnhT7ltVuGxC1flA1kzfqehv12INwDfpxAcNQ0QdZDqKLDsnbDr0uT YZf3xiVlwB9Izlk/CU/fucOE4mfzPZkc8KpOUmjMucqtdoIwdBRsVrSisPs0/DhzbULZfxEY7SzP x0XSAOYt4EjfzV0RFa8TBL8FTq0/QfZ/Izw2/qzpKpLIQlGXMm8NxifFhuVctTM5hWv4E66qutlZ Cecf+U8W1C4EfsOK2gstiTZLmT7TL2XtLuHKIAyp3xYL3gF2MFCgyDT9FHXAUScdj+uxlPkOnDW3 APB9leQViSs1Se5Hy0c8wA700K8b1zE53SPZ/yADFvcm9kCRfAzQATIgQ5FbCgURxEkc4A5u5Xmx Q91KyM8LmsrUzp7MSF31Nz+UinrJS1zsyvN7KAwKTZ3dxQL4JE9CA9BGZEnOJBKJjSa3Mq0/crP6 giHZzTe9R3HjeMMTgcOAXWVHHvhp1cvfFou4UGmWOlzUkzRg0QR8syllmXZ7uqngah16YtYmyu1J Q39a+plISOIolNkdW6GwAglUYHYImOS68Gd3WCvPvM7nweXdyTxIBo3bmZLo/JaoxjW1d9ziLs79 5UxJ2mQ9onZi6XNSVzmFzhwVy1he6gvQIMFDhh3D5geXcV3sxHE2I04A50AYx+Q0DR3E8PNt5nY1 s75MkR4uTSDQenyUhgWkvEcthEO7bjwPVc/kYHg1gUY++wpK4mt9aL+yQB3zDOcyc+DmARQkfdYk rRWj7oUSAjcIOp+p/iK5olxDdPX3pKC+cAvedgXnwnHuSaUsXPrMN3B0rUbYspEhr0gx+duCGS7w i5iHJfF4wgJw558XmOJvRzU3AnE5KRDNuBAnzazNH0Ean38r4EwDPvSUbaTkIc7+UHIg9L6uNEB8 3XYBfsLc/dw5H6Wi4Kxg7oY6Lvk/MOKgylfReMDwe4tX9pFXsn9OYz+GrGMpEyJJSxJBf2e9gOUf F9Dlm1vvv+w9u8R2OUnjtP60r8bt+g7OsNQQ40/v1t76odRUnlOTEAtl6CiOxxOVnQ5yvRV/yLBO KD73JqmRSBDwx4oLtNveCS08t3iDAJg2pN/JpP7yZgnrFpNKDLkQ7YqwQl/6QhsiLLBYaO+8ywGj P8Rnf15I9JVeIb3IR4MFDlVpBsjJsYQANBFupsG3DqvCmKDGMC6dLowu9fAvQNoCep4QcwlU5ltl ryr87s9fxtzF/ZAzeHH3p/54FYrVfgeGhxpzFm6Kv4oxi6UAgZqiwXMoCZHsalS137JkMcROdcHP WjJtC5/gjG85FSB34TJM1Y3bm3aiy1NHwZzDNlRTcl3pMNM9OYqQiDEAqmdC0mEz3+gUbvN3SPir tDO6Vq/xnK5rWxzkVTzHBcV6nU8kt6OqVvpJ1k48Gl0oiHMj24zGoW0652Q7f8R/cPm7paFSGb5b uy5KlydzgqdvBgw6XqgVOFFg5EvnUG+wAmRcWIghSYLsnBXML1JkyyyGIig5cbuHBVnqzPuJBbm/ BloKsuVRwrqyynJL0bEaNgoJun66lfxhRZIBa+2ordkPXxOkWhaqb9ywsaYI1oMExTTLmfWcWUIx ryW9o2+ml78s1qwYOeQlExgePyipySHflJa3TNiEVSNubwDT8dXXRiOyb+cwKzogHWQwVZoU1byr bxIOu0Ta9w2PpOHqq2a4CBrTmnX3oqBE6qacVy8394mED/tBq/JkstdpJyO1cmGAJZSLw15oji4Q NzDQ7ml257wJ5o2XlK9XyUTM99BA79uZeX9O0gofeAI9A/C3QG+j6P2B5Sl76eDZ0I9IcZD9QcCm cgK2x2VgETxzSRtOlP//JQS74w56+nvyubUmyCj3ca20BzONUP8ZElqlYbGvccNB3gvhyyV1E3eg RJiBrJq1bUcIqMZUN/iGDOz15UK2Bou0uDNQuzCn9NhTQmvWPo4cKDeoT5+BX/sn/H1NQdWIE3rF QYxd7vdEIJJ3DGIdskaMgomkm0cdIJIM9VPh/NgBb1b/EWaB0STa4svTkW3bV2+qYZ/G0450QchZ xKby692YImMxgFPGbNm8yPv2BknMGIA3q31gs/rdlF/qEZxNKcc23i5CS3gtxhZ8LV8W4BG1B6mE lpCO0mqUpXNktBHuu+NyubR2amQ2inw2gDZ0vhM57Puyjcw9QIByAEusSXB3lCERpzUWTE4D8Fwu sptpAoynAdnUR603o86qtA5GS0GSugP4ylWfjH+8sQXT6chPkNJRgPl+f4Kw3ej39CG3Ky1MCFSP +gp7WRbU/KqdKTQzMl1VDyVeOSTlqho8RW92FB1Eoch+wrHH7uiP8G60zWXKGllcxzw1NuFTTD3h ahyob/YM7wDlDhrQ51o3L5YS4bGZQjA6ozOGvtWv0n0nFSpyBcf2jmTycOMcHAk170Ym75IOt2QV yRjItdM/6YhxHZAgP2rpTmrR8Rhs5eX1p389opP/AROjJo1GqsQE8y6/9SZTOPw0qOdA1+HOP9Hs KhUwQKrI0QKIfdjs0jbAIGbYedsNA6pzWtMFbWdLNTqAUaDOtrCmvnjU6I1G7d13D18m3jOxrtN4 Uhl4Vr89zunF3RfLT5K7vCyFJPijLsttcnpcTNzt3f2IngPMz74ayANdAP5H5l3B/KTshQtZJyPh ChrwHBnqiMoj70Nh8hEjTeIgOxHbaP2ofRvQIJtgoZqNqxZv1z5N6V2yJQfDGx02H33lGqd1q6qi k9krUX7jFvHtdM+HGgKPlLt0fIBH3NLpsCz1J2IV6xHTzOOSzPS5p7UXfEioXJaFXISZBZoWnZxR wgr943SiSWVRvAsZIpylpkplageD5JCMXIT2m3/Rukslfld+bmH788LS/JVHYvbhP/RZ1iEcOpei /RJFaR9qQjj7Wx5NRtZOvMyg3JmshD7F1qkvEGDF4qGsC2GyLxQmaXMsrbdEDmEN1FyxLnZtaNJt Jr0U4YqCD6jFbLVGxpT4tPDp481fk0miQeIk1Xz4QkPc012QdJKgObdaCVGlgYVJ2E65pk39hEoQ UBVpeWJ2x4o8H/hv6nDMVFflpfrJTIw5cW1IsR1Lu+rlCxqzypoG8lh/qNOC9vBeazGimstMMgs9 690LqxJRGIxdtBrxT4mVGSo5cXNWkRtpq4rAGzUvaqDJxgoQJuZuq3TL5UAIAktk24q+otEiNBq9 GHhBgbkCNgTOgSsFwTKSFSVwPOMAYiUjGmCRukvwzrF2TIrWli8hirWvDMHkJSu/Ul2nif6c3enF YUdeuM/A/VPVBNHtApBNkm/lPXtthQtMLqlLFSDu2xnCM2O+ZUejj7k6jL8rVE98gAOq3LKyVvoM OQZ+RD/WYC5yhbpfaZMOYi9BOY+v15ILruX6ltZgqDD5BMaT8Qr+oFZ3nJR0Hs9X0wIs2kWgzaUe JOlqZ6jhfRtsOUf7BH96bIgpy1WQsEgUoRiuaa8GDCZS4XCcLILfyclUV3DQoo7EYY2K2HPLJI0+ edfk8OErjt2IcOkHO9q3LfB8kQ2Y/g+cLIvCFQl8snk5R4e48u7MTGEA+jpWIzpzm95t6AyO2T1z RsyqkyJBwbyqk8oxJtfYwmIrWmsY/50sm1X67N3eN1r2Tw0C4k3Z1yDJDjz5k03K+oK2CwMKdGz6 OYArjQcUbTZxZKd7pxlHaC98lbBRU42dsbA0q1Y5SQVPG+iWqDcSlTTh+SutDOSQGF87z42Q4QQl 7uFnJzn8jaDiZDHfCD3XVAVNX2RQWxbZQH6QVMmAvTD/m/dNRu2cSxlOI7NXh4zkqH5ebdhLr5xY IK/XcKLQd/oitxlSph+INENuYshDq9N5NOUqkPZNZ3iZazgH+86uVnkg/zCkx+eNFEOWEqbxrAH7 AMOmIwFzFwjvK/eCWPoB/bc4yeARCjaf5gy2aGa9GGp24zvkcnXw9YIA5wjKz7rTZ964nFWYTRbl GLscGX4ffDl3YHxO4cYcWBC+wn4vIeywRLJGxl5mbSdSwgFU4lgRs/AvfhqbypW6txrkhBox0gZ6 SAMLMAPnFf6Uf7eSaC4Fjd9n0oJt02kAdjcdZPHPTYGYeAR3aSJtBYBj9coyZDeFX3eWetoEshOJ QDafcDtCUJR+UcspgR6WGvCu6MnIlQY0oDSoSM92sETBGh5B+VEH15LglEDEqv+DbXkfdNnU8VsI UDbhL1CXYiXFhFEiLD1kZRPIElzBcMDbXx49dih68zjpvVIwQkWGCp61EJs9OEfwFVGSnzZHK/Lu oVMBQkkH8VkmXr4rm6b9iQs5KKO7kPW15UHCGoZkFvQJborbW3b1HT2sKt30Jx58Fy2NpCq9Quz4 OI7WK43L9/9UtojSu2tJQ7Ic9JzaNpRtx+45nz4vvA6aQC+XvWbmdr/TwJ2KUzjcD8Hbkw2VlmuZ idijFwlo5a62MIkOq5dxaRyJZ2t5ZRLPqIJksjet42RiomRXcAucyV7C9qFNQmcG95SkQI0YeKAL jXFX2v8VmuOyMDn1FNn1KQqQe2RFpAs80VyMCvayMcLLEMmbnqe0B/qCOtHq3YFky2uNZN3LPmgl VNL7WkI0KrfG9ExL3OdF98pqVWWHtBMRRprKkWQD2fI+2fgvfnowNPGi7F3OxTSJdt0fxWOqfstP FapQwUnBysZ2p91HpYJkRw1cSuufW3seT9lClRjIOK02l9qZ0wtKWlvBizqpF3ca+ZTkZ2ciQ0Hu qYfLhgD//T0SSg/R9tOG5ful6eBh2gCJCEiRHDn3g/pf1JsThtJZwCQN/2B5AQ1gfz5JIiaLLo8u TKeweEn3t54Evjj86Tf+OHWJJyTNc2MiTqGKzzCZe8jry199hSUro4Meeo7v20EGFb5VKWfZzYjT Xp+DK3beGaAVvny8PESTUDSRHF/JidJLcIy6AVdoKZgOl5K7yCNcGKgH/CyCdkgVHDH2wG40BPav GkzE2HtgxVVp7i2fgeKvtE6HmYInGfalSUbz0g7M5ITxbr1H28AI3y/Esfqq3FWWb+X+I2V9uGpV DweGlGGtlH/DYBzfN3UPgNWddslL6hK5LvMc7AHSZVQq6tHBLHZ8Wu9AtJWxE5Yt/c3vIx+ZtsXR hm5XwO3XGSgtoJ5h6r7rok0Cv2PEZ7FyDZsNfwdE65CNxTW72hYFDIVFMBBFRUGjQa09O207aFNx EvW7bP5CHhZr8czN2l/ZZbtkeYIDug2cr8ZMtmTFxnF1RLxBDhW5HE4VEPHp5sGH52V6ao+jR0bB tvXLUSiQ7+f2HZb2lHjngPyR6ZSjJ8OiLBvCjdiaah4bthaMGGd1xLupnvX25ZhkLrqUUbs9fTRk OAdtEE4aD1Uvnq1MUn0hpKmE+MaVGwzQ4d56PeejKpXjo42A4GOjvnU9RDkNvAoHkEUWfyBGB8k6 IJThluemQuLTJ8IgAz8v7RHxhtUOIgBkNMPxQgZTxijrHoas130axfxplk40aHhrtI2odM5BwpXb KH/sny4OlGYPSTMkQjRHA+fGSNvv4BivtuEDL1QvX6xnTT8Hui/jvCt+Aw218OPa5HB+pDjlE8tl WjlKWXTrUak22gGxza9D/AFkk4GvTAay3InOWTvrejt/OxlvIKYgjylx+asbwUGM+vG632KKuBqx pHJy1GJprZ+j9fe3AZK8/KWGG3n9TCNQx8WakLYIXCuQaYR+9YJINfmZzt4aP99nzVWYJwEr34pw y8TMRQdZYsx1wShAtvrfMQqEtZ9tPEthdgglPor/ucFB7Ef0DgDIFcJ7pj2mCxdfx5U/RCzS/BBM PJRCUQaEi1KA1H+yTxZqWlefs3Kg27/+TTMQAi8v3ZmP9k52HZWL5ixIs7bS1VbKyn3cPS0xlPVO trlCR+q2wU5PMDSph4jqsT8TLzQ0nYn3yvMurZgWfyXqsDrI9VESkaSGMNaLdyVRHt50SJ3ML65A paDM1VjkcS/lECNOhBJnmDUatRlA8Jh9jxHW4DVgR0EXl+YmhazVB3kSBGY5ksl/CS3gA9otAEwF 0/gS7L4PSlXbnNLfF04q3mgyECQQCb2RRYuoRGXVHDBVZYTK4y5zVB3/XYl+hUxSgPSjrr11Rnau v0MHMQBNiv2qRYkQzX8oWcdqO70pPZIG60IaasdcIduSG3ARPwsHH5ih+PCndSkPrfzPRQBGF2GH DZM1BMReW+rukXIQHRI7vG0Mxk35LDIslgDTUdzv6iFC0fAr+BhgPZKoJhVmZmspOVltyrbJ786t rA1kNnfa+hGIvPyzATIQru1Nu7QFXDY6BXJI0dULt/Ovds4sodfz8gtCsOyvsvoVIvOLw7+3me81 fIh4ywceS2BBcjEYA/9tQRl6Sa2em7bDz52eD86zUv6N6Bb7QAL2fhDC9NgYAEVlSkvAIzMbzd1Z sgCc923arCMwJX6SRpYc72VsgaLdsPtXfi8BEmC4/DUwL985plwV4YzB0JFO+6nIxrvXE00PJ41w F52z2iQUQId1Jd+PzVI7lmfPkEx/J6ok9QPY5tez8pzvzNHYodQCiKO1gpqOOUjVDu8qiucs1ejO L218VsMO4JodWkPycrPrLgzlzIDfyi58W6sLsjfDC/9hqIqCD2K5iD+MAue+Ht5N6NnRGN1oTDoo KRKJH6AztQty3mlvsho54GjkFNNNaH/Y2aZyc80JgihTVscTtEuqhh/IXQpbPFSqRbBN/hlZ6SKx ImhBci35pFvV9mwFLGkQj42FQQJtIKdnGF5y6KJ2rdWNOiCpvFIujFNcX8xvoZdM6zJKe9YNb1SE dLuCltW9ivn6n9pZdr+r3YNTUbGdIq2ZBlDtvSLUYBqGOGQEekeQjMKzU5br34t1Hh3TuMccg8Ja O2OzaLtF8Gn/L/xIR2vktr2Qx4UXmvgqBc9/gSQ6vn29pr87JTU6zLWSQjlg9PCUddS4ldjFDtsZ w1qR6F4Kjb+X93ASpdkOXVXIDweFm0OHH7qKJDX1yflFlQp/XSq/gqIVhD/k2baKZ1LI/5feJWf2 BvjPWoEOXC+Szdi6cDwvTaLVBYjSeQ19OBNrlMFh7EGTM8UAXgLu+x/m0YXsygoLHLu5XPHJUL5l wKzJM+uo87QajhhMgtH63ImA6R/I3tUby0LIh30NpW+67o2jn8XEBDR4zib75TV4UVahRGSeSX1g 3Y/dlH0W1B/PQoM3ZIcYecu6hjrxi1dI7YrLVRvtThepukCdEWM5WWfvh7mYNExsMQDvYwQkcLK5 M0eqQQD77rz74OpBSYMTLsFibstgA9AybE+Rm38Kg+51S5NGsByz2VahP2XQhhEDyZZfpuPCNh0+ bQenk8MlH4cKIVHK3P3UDyneTCK44gNpqPh6I5YcoUz15qPL6hsrijy4+TesinadGS/K5ImNFrao 3Y92vSBr2725d/UNHqrcHMx3ToMCr7pnMy/ZoPyp0p5j3KCtSV81RGA7nL/9pmWfsP/ZoHAJXwwS 77QqP4hVACZck+b++XHuqtdnBRxliZUh1dBxqkdt/NzcfywxGnRRp0oyGmgzyE4m+L486zJTwaOO w7QNjkjcitLSiTXGT2APaJSqR740qtupNnUc8n60CqL5pycCLF6UyAdITOMapxJ5BdmfeFBlfzcY 9/yS0SGd9AIhm+1U0P+fif/mPrF6kHPNy3cw61xHO2olkRY6U5A6TIQqMMA0ifEvpZmVKoA30CTW HkWIIIYoQxL7hiF/ScijGQqmsm4IJBDc08qxQkMLu2efYi0776MZ1akxMlJDj1403qFwkJQVHwR9 PptEgZtvRrsXLnJOIrSKW+MpQ9GZgLDesZ+mry9rT9d6291iJOGroellDvwug+1WEAJMxLYoDJmB y6TlX1uVY2vYcQ4A5dhvAlJxLSGI61cCqR/pt0YQr7PZgBre2NgY7BfX1Zw2ZDU4O30x2aAmqZOZ Jkgic2R8qXLUjwcQUSaFGknvSpfVOB1zj7Asc1fVJGQBWMauBldWQwvyXzX37rdTis9T11Srv255 /JgDVL9eMg+YMwvpueznZK0RcFC/6vf7+lCg7XgMzPJW+fb2ZMth5bSreNil+gbfB8q8ypicwCrT joBEp9wKv2g5IjbS6i4GbPwmm/Rf+cWX2fBJ1hL++231gMghtAclfunk1Ih4Vc0XRZzo7CBZp6Kj 4CX+rrRj1x/IwIOznPf4xktKcD24D/yKeOAmQEaLrlS4cmCXQ9Tf8EJx9HC/eXFZWgVsL6gWM89m nsarS5TsUlBqVsdtquYuoYhPYuvnF2QwwshYk5Jc4bhbuhPQ82jqw2Z5Yf8kzLj9gFk3Ox7KRCUb 7nkdEBhzzAopTgiebjp0JsN7NHjuOZSENACnVodV/cIlkp5mo7uTJsESzR1laqXSGY48HxkKKEus IipEnDCweQvB2ZCaDabrUIgYUC3HD840hv6sDNdStnIvbPKBEInONMUjgxAQegGsW5xo1qANWGIv s/C/zqHjwyvFsP24Mrg1ZHcLhWja6X5q1x2Xl9Pk26ZTjYe1gbVCqEukPk8AGeH6bZA5wVVUOvRn k9P56CQVkINlNxt5WqIgT05H+VDJd3D9E7wFob7V0mPXJn2PL+L9hCQhViB36PPI7yrIPb0uVh2+ 3EONLvA3Owtx7rcjz5rk//KCJC5kXOwEnSactMqFKNmAYpjC0qfyOP1aOgrXbtCNF0qn7odhayen SI2y24fIYfPnJNZ6LFAtx90Yofkz5/4t6RpmBkdG6yQFyk96BMKzYsqFme+VeAP+EUaqYCH9xjy1 xsDTbbFlfVZbjVVFtgNHk6AYfiSA2eHperkh61ZZcWod6hIUhKre7xIbm0eTm1HjroaTMIOS+2VF 171CnorQqWW/QaXI3WDCVMWJbQZKwJwUM0hK8Y7l5nwW4TYu1VTXInwMWfqmX0eMzoW2HglqpfmK LtsUqntR7nk/7P8Tjw4WmuEDAkCAhnI01efpNbALQWAQbQtuolXmdJvIhu4HuetibbyTXWEKuZ6r 4N85UTolqZgh1QJQLD1dhnD/7wtd+FHJ+3LfZbHehwTeHG0PuHaYNZFOOj/D04winiI1CBLKxClD qUFYjeggqMvDOXdoquyj14M5vOBMMyHrv5ZNkJSoYy/f+HmqhFVHoOFGzbJhDd4yXkLz++wkQhoT bK+eKWP22WUz9snw+GKxc0mUQe/snuq5LlmwI9A0//jY2WDDKmx9UZHQ/uqKyL7M8DTdtVL0kj05 MRLU22UVz6+xp+scKGX2yAQPrPaqr0phSC3CcgaAJ7zsdenoEhhq86n1p4G3Wp9LjYQ7nBtlfU7x qCowzm4hB5h6PuABYtH8oT6MROuwjGA6jzVsDtmh8z7cKw4ceJ5uHx9TPWTKDnNQKJYvMpur8PG4 6LuQIkmj73lbA3R/ROfcS/FdFQTODkkLg9vmjNHiBiD74qoKqUrlY7d01VLW9gqjEnsyA46v5xjC duEroIn94aerKUaCIVLxaYaUigNNkhZ3fuKcNq6ISqcP42pyWSq1pJdDy9H++PffraWaLfxI0h23 +3nZw/8a+FUqNeD0lGX+CleEwxPGdWrSuUrSpJII8otL8pVebaMvFIIo15m++I9eFEkojGPuJ7TR 9z8levS3N++PVuHdyC/SIUUDNWndaFTpToD4+WYXZTczvPBMDFkTnqlUKvreBPI/TqbedXH24wMr OGOx7nv1Z4ECKJbhpZ3WaoYFvaaAQien+8VoWmANH+1UIthD4ripV9vufFe0IKyP02hJUpf5SAYm 5IUwkIh1czGfPlsMYmvQoJzyc8u3ma9R/k8vWhuN7gjfXtlLGtn+2C3jKowSdWz2mMqFZ87iCM9q r01kj9sZvixua3QMDTio+nx4x1U0d//x0nzXVZjpLYqyRqX2lx9vCRUtLuGKwSFjtrdXEtLW4Ohs YjBCsF6MNExvyp5KaGFZieQIxr0eWzNtBVN7SOTUeNrgAl7yotD6FGxuECAGKiYNZtdOmGpQ/UF+ wD35AeYZ1A46/t+HkPXbeaMO+cWqv0EEX/YZdXNIeiVGLtYe+HPGiDBmxNms/O25mcKe6fByV7IA 2kkJRMxGkYhwnZ2r7B/S6lZqY02rubFra61+2AuNMuyDgul9VQX62I2QQasWx9UaJhCn4iIRt5yd JsUYVtW5lgPZQemKKknsBiD4sE/F4sje8nSARwxfbxq3azqyJVXCgBuz/O/ilocmByqYjShQAeCB tvSKlsh4uCYryR+LfzvX/axyal4H1e62T8RH0A6uA4iD3VI8SPYGjCcE0TCRQ6WlUkr0lhUTCHq5 A+RUsZ5f0qGY95onWG9L3f1wgOZ2dLk4Zm7CODNmCFFxFdS90KsatQy6IybrMCUkrboHr3WultP2 N+zX6CYWeSXvYEsd5+JcHZJuRY5r3a5IvpcMQYBqGFxdvG7usT25mYJ20Is0AViaOPTVCQ/PR+LA vmJpr48knhefRYc4JoQSiNhk79TCG8FGRfdzMa7uv8u6tK3EokbqwJ7wwBw53m8taYaQorDC5f8Z Q+qZi0k2c9A0c3RT6w032eC5YYFAhuFtnkT+lIuh42U4ZYDFh5RyBKTa9sQMJQwrMIgERJKm1j8s jyUkyolbscEiMiN3rktZVODNHxTXn1PZaYnfJTDC2pqekNVn4vR0qslbkeFaMfAu0ut21bet6rLU EzzZAixe03ciLX1kwDoNfgOqW35VbJYG8tqZgYnzJDF6GPGOP3rt+PIae64fd9Yw3pY+ihAW5WjB RKoYgq3338w8LRad1t8dxEtHeUkZeNCvQacS8AaOCRU7/RxN5qxm0hhM4gfRrqEjqgK25SWqY6dH W9JbC0gel8T6lD9xqdDyLOc/FdxgXDAe7byxc5OVQ88YoVmXiWZ+muBM08KVHVAl9x0FPVq2RlhT Yjz/nM5anovnxSQEduB1Jrfc/ewC/zGX3Q7fOs8eZpivle9fkDcflwxU4A347NfGVppWA+31uxe0 CcSdJVq/rjjPgopheT3vfbRd/R4EOpdJM0k/jx4O29F9dcI3JI+b7WC1Rw6mUrNhWA7u6+l9p+nQ eGgJITYD3tIWleEa2FQa7ygXtEhlVq9i+Vrjd7mB3gI9RUUlHjaPBnVOrcula7vnomev150WC25I 8CTPfOm8bNd9ZmHo3vW02WlGDKs/9LFrnr+4B4aYK5jUYmkxlh//wNYU/FM9xewF5B4+Mo3aGmko tLcYv1MTgi1cqFI7ffHhOkq+fhHBmYvGugo+SvfM1yRuS4F0dylBWlEhCNPXEAxTBajOK4Fu34NF XvflKN1TlNHKKe3XCoL0EZ8Nfv2guphxFLnMwEF08PTItGC3WFS/m3JpAWsAkIHRZjuyWmdzpBIQ CK1Exen9PWvNjnPxrLaI8mh/R3yw3uTy8MIRddcO7jvrciD9k8fCFLgo+qBluktx0+CxJV6U/jr/ kuHQ2bwGVBF7zv3xaQTJQ1g/d1rHuRc7zRaDR6n4E/G0XCnFi5Lyydu+SxB1s4KOVPquDTVjqIC7 iRuPrNihbAhtbVvf7vsd6U1BHRt56ymcNQrQj4etiJZNkVGlHehHAAAMuAKkMfdF4809CStrKbYF 0JjZlqLnC6GvxyGt/BJ2ooJYHViNjznX7rYQAMx1NJqoQZhApEp5ysgduL3IYcaalLhxu0ryDGIR 4K6vwmmLQ/xVaZjbOlNfUk6saHrioPo/AE2pxTw/M3ONed8hfcEZJzv6m/Bh0C3HIojb08VmxPiP hJeRnqzGNqzUnP+uP8RoAkHCvPij3NwO/9c2WQveOFPDkZE+AyhqKPJYCo9wILmy6cktLWzWK6tb wulFtQagku/Irlve76qWnwTomsL4O01JsKSxIMX9KwKZpXGXp/nh3PfLX1dGSTIMw80Ed6WbUQg6 yaFPhqLjTKPbTdZQH8IjzEkUN3Ftrx473fuIOGTO3QFo2WoyifReFKBpnmszOv0Q3S+rEfblsbkl 6eUyzHexi+IAh/M21wLT2UOA0MNewvuJU4Vk85XH9mHOg1/WNMIcww3EINRvacHoD/x9ZQXhlnIY ifZK1NCkd7JrR0BZFkAKPHK1/cdjpuMpyB+LqK6LQZ/6y0+C65Covu3fB8LngopgYDoFYXg24i08 +sPn/aMYur59KOLUJYTbuJbCpe6VcQd8mC/vI49pMpmh7j9y3IPnktBZE80HOaHk9D1m8BDfDOwf 4xqZuO7lRkNVkG+3Obp6xYgGTWtCWQ9uSbVRcmNtcJTtJOOCppxxMwvDXGeJ5N7Sgh4lDmJjQPSz gTrP8zHwiU8bMjtX/BH7lRNT0EIMhgmgot7XsaZ+XYOTIBOfZA8D8MLuY6dEuJYCF9N7vgl4sk+3 JaYjrXAIWokDH4gPn29i7y5srOAAakDYFmXJ/FGAV7ykhZci71yeIlZp1baXPx0waKJCJNK3BNHw JF+HbtlcWXc8JPYwzcTILB0xQLk1jMpi55RyLGJrhOqnuykg4rB36j/WFD6IfZaRyp32M0Hkghco ijoaSB25MHXB3+Mv1gcvUGToYwwI82k7wMZGV48UsBchHdb0J1T/ohUEBOCExm83PS7FRltHun6C Qq2urdm9SMye+VRLHifxDA+cfv8WiSkQKSCqYp6vj8u+gu1azZbZOOpqNGh1L5mfWFuJ7tkrx3QJ 5/sPZ198IJPa9KIr19QflMD8vmK9rL8u8bY3MvnW+xCI4RobE7GXnWsuEnfjDAucszNKKMsTpNgY +m6t5/RCDYvC482laV7bU/Q6Gj5iXcXa3D5N9uLHQrfgUO0vUpcu1vHKi2/3G9pX7c5B6Jj3xVjg NPd5N2S6Oq26UiAbYlYHM+P2n5oDIdS6th/JLQRgqJJRrKr0Mhm6Su4MWJJuzJHwU6p5VvMnjBaG T4SZDrDFbrCENVWa6VTcbI4Z8nFNx8GwZDDXOFx/yFXSekxPdv11xvlnCdt0GpE6KR7Qt6EgVH4a f+/e6CnRd0ixgAikMQ6eFjpLG4tp7P90OLpY/dFnCcJV8ewETqhEjFEofM1NFyaHY9RBOClReHXS pLbO3uJPBFxBqw4HN2SX29Ef6I9HOAym14ldxe4m9U16tFEfkZr2Zh0An/iy24DHaZPY/Y4ORRJO a/LadbZej9h3pYBTuiG2jyNz48v/Aj9JLrDjkMG2k4pQYbOEEqBC88u6TEkOugyzvmoqo3NnF+f5 qBG3fV4ijO73QCM2UUA/QlprXSO8AluKErkrkSggRSL9oYGOg62nMIlTkfWA5EQbOEhhQZLU/Ia5 vLMB7Um+P+IAaRIS7Ic4/pm+txQ92GoztrZgFnWjBRj7AnsEgfeiqOdpqA1zC1KK4MxKskbaSFxi lFqrKtjfRCf2/R+el6STIzcFurKkViLjq6AKa/iceNzHM048TG+dpV9/gdjihOLpYHehshK4LKp5 wwR3gx44Sd+MAGcBwJsLOA9YcAso3DIRXuKw91wgqcKwB0mG1OMjaw/tATwXPKSwWbeyb0TZq2xL JMAdiytC6yXoaxGtK2lWbZh+CIwsT9Bm/EENhZHlF7v6KxFnJz+bGU7gp9iafiux2KkrY4O2BlU4 Apcq8RQzBYa8am7V3hYtloOkDGxjWyKcv79TwApO/ETs6vGwj6jLd3LIa/mA1gyzoHyZgD1FBokH QS1H80SllGd3OoIxXPp+8Gn5qEs+Ust3/470h0XQKaLOc4X1D30v7PKiVnT3JjPVxCJShszZy4ZW cu3N/10t5/LY9+zWIDxIO4SprWFG790v5m0byOdfwqr7GGKeCzh7qMXWEQtmIFkwR/OMr9rY5d6f G7MmdspZ/ELkyeadms8mp4znvnhxGQmH9fw5uJFvAG1Wu4T1nZ8SS2Iz2VippRGZ33QbHu3B3BCW TGwExP4VlSfRw9psHr6VrDSCOb1cYaNbXxKlmLzIv0T7DLL05wyoSmJrJp5OfsCgvVxpYYoXmUwN k3ciQLoR+hvJ64bpyq4FZHmU39FCn2l6w8IAxA9OB5zXczusHqsUpR0FStTBcATL0ydyzDp69mzP jqZkc5IjHNyMzUUolE99kzpgkWx4nH+HzzL0MyM2nkniDhcrXeOi2vRIAc8ugFWWHja2WtZm3cVa N94Qd6AFNeAoVoPByenDA/JePl6WqSLc09QG3QVIZ4hh2Wao6rEjpkbZXPQNUyFzAP7I6n85uaJ6 NLCYzywaeBkqqGfTlWeXEJVMLXVZoun5FFtbwpY8C0JOy+cGsUINFYWjsf5RDIM/FmhFtlGOeZK0 1buXG64eDbafREY9zG5Xwu1yEmj5u+bVMar7piiLMoBkGnJwFT2pJ4pnEo0S3+igRysJw8RQbplK hoyQAUc4kuGup/ssUJ2CCIjMRK6kClYJUKLJrmOy6yobqUzVQz8P3+s6wfPLP2qbwtzdORGOZ7pH ZepwOuXMmfzDQWwmul2hSbV8uiCFyOcoei0XchjLzkdaBaRnYwOtTTPqzrfYAmpqInPplzkPgx6y Uvh2UxZVUAtvgMEXSM8CsrrW7zZ8YNJTnhWiCosgj8Xt30z6N7zb2yosrjrRqVUqJPplMP118ZRj fwdwRXhdPYAMPFa6DaL1i7HKSw/4n1UrgrC4KOn5OhryIu7QVtQyfUGRn9G4R+cfM9r40g7Rmu3k 7BG+UYb4bKCJFCT9sjGrTf1WsBzzjuI0xZnMu2Gm/qWNpKru4OYp1dLXoFEym8jrek9kDVujHiA4 zN8FI3Zb+XxjE0bE9Svv8WUXQdWIgkCKaivwW87OUMsbXVSBt207O6KYiERYznGTPQmFQ+t9QjMk NRHnRwEenEFAx8rJDxXN4S02VB9MDd57KtuynqWJZdZrJF+Huvdz2SqlKs0VLdt57pckJD7L0Uk7 An5HLciVGAeDulbH5tmTTSc9bNFQ+sC79b0Hw31r82xbLbQXoRTmaFC9dG47smJ+5nREYYaArC0m icZ8ZRtfYQVBmUEV/bVEAmnAPrvB/RgSXQ9tjiqEfFpv6f8pTqewNPi0yWcQkGuEvGSZGIEOMfz4 oup1HPI7tq8+00dO5oTvrjfdRx5aqg6gdQODMxUi7kJKe+GCFAhue521cW9UPBsjYLYPQ38Lv7/t D7wFaEYw91mjyyzLVGQm6E9qeGVzqfzH6T3xTGfbQ5QfqcuASOQ/IAlxdCrQtng7Bq4Szz25xf56 2sSRn33iI3zr3whoB5CtojywBN7umaw87XtZ31u2OKj1h6Bk6afu69d4OR5AKc5ulIAcOaJwyq2Q FMyf6Ntz1hpS65NxfhtXFxEvTwI5UyZoNhPoZI6z83En0ag6+LznQSO+rriZaS9MsOvMeBWCE+53 K+6jkgZsuYuTD2BCN97GOtimMX2LeZ2b2y1Za9tDM9xEhhJLvazoz/jOyN9GaTXdhpRzQHk7lg0h ZoeRGOF8M0+fS2buwaZpBueJvFlFjDvfbM1+mwfyvhvBqYuKYmADwJ2zRGiSEKjGj5IJ0illgRF7 AP8qY54m6GAUZ/DYDBFqnCj3w0/GDJsKdOm2zoj5fLVF3CI0mlOhvedvsi3i3mHmC3/Eu+3qoYRY l9r96Hlt9itxV40WirfiK2WY/c84qCwjmca+vbtCTWfCZFsmAPVxxj0zyH91x+vZUDb/+t87SE1t JZd7k/1V85K1xkwwqXsG8L36dZ9DNX1/TYzh8l1jKy+S3WLAMwJyFa6K8WJDCbnegRBlsDa6tLn9 pGh9hfTgpkVqg3Pa4YF68pKcEXOz9S8mh9ZzxOMIOLgJ9m6FA6kraSL6y5M7tLyOjlIr8sgaZJLI B5Hcc8/1pj1N6edEZKC0zqW8RfpTbJaa3WG9Bx/TdV+vjt5CwbNnpgCDeMFWHZM7K4ZaEErrww/Q YtlIQNAJPfihfrr3OGcJFut3pxhnohBiVe9BYBY5vft8deCLiKgpgBywD0kMCf0zJZkt+Z2RbqUg x08J8Ux5BJlOH3QXBzT7cvN5AkDBqDwcea4Ifpva9Bywi9bw1bc482OE2QtJ3PCao7k6U5XctJn2 dGIJ/kvaZDaVyfpx/HlUzFrjv2BpFlNrdFauyFQYxhd35yog8OrIfFO73CqB9fOYSDZQLSdTCiSO U6m9k5kAWA6admPo6djCEKwLgbBYxPFhwTVk2w01aYdvuif98xkFmZTkEdoUk9C6Xi2PiCwcmIrn B6Va2sZoAQY9FZQxFuUC9cfUwRoPMLfGXHbcFPa3PtIpMq713FEY3Z9NYh6P3b1soQQ5a6EnMNsQ THpN4tVWE+xt2KDYEjr2N8aDQWV0kJbq6R9llWBasMpkSHrjlD3Kig15/OgRPuvdaaee0pCMQ6p7 EvMAB0jaxFn5xoj3yLDB2w8VFzm0rNdZ38ULAM6NufZCIzqMC5DQ65ABedSJ+HpZPqRWvP9tybDT T+GxBtfiuAEb6x4wZ88pb4zQqkwNUA6j1XMV2839tK86CZ1ocKwQ+SOES227ZeLnlvVH19wZr+BU oROma7i6Q4y1c6fcJqGihFedR+AF2dhfVnWsMUnlpa5qWKHNNCmDOagn6pD+62njbxLntytyJI/x VOj+xuF+mxRz46pie+wrLQTPCvUPGGj46fjL0+sKK7rV/0p3+ujX8+auWgd1SSa9a+ipa6rHvqYE Z+gsMkiDEKCiMuCSJgqh3qqSWasog7J9eEy9YoEsfFntzvfH4TNeEAOLQ0f9tRAmskR5OsIEciiH qFFcKxAVNcl7xopv5WMfG/xAsbldDAmsgNlgIK1JELE4B+92nln9sliAwQRySB/JqHU4jPTKUpev 22eiaapy4rQUfBUej9+xCOJLVjc4uTfj8JRnkFN87ch+JY/rU29WfpD17y2o0y7IsIEljcIDhYTw AyRAsMmjC6y6IHzZDSdqXf1/xaHPU6mSZ0eAG8xc5H4Nn4VLY9mSVn/ukIqn3Pm20weqVoHjs4zz n07RINY6FoDNeRAaN1T+qAfaKBmQgiYS3ovvKuZ/ha1ZJ08Gw0erCPPhQky2J4Bye8rkweL71ifV MgNKG6xKoNgfRzj9kltXk0LxpuRG8fFbTVBo5j1cDK/cskWjv/llSvh89/B/soVlnvJ1Zx3DVkzR Z13pVlmAk4JjSPAQW04E3mxZdEW2EayFndS5vSChVjxKSWbPWofiBbFybQz96KBuvpMN7MvXZPNU Iva6MEfvf8Ex/Dpa64MJ8fTk13C3fZ8qfUQdSWJtb9C+LBAGxD4UHBsMrTWms40OveetsrchsqtZ 8S7CUpHYUyDZ2UaG/mqXapJX9qY6cecudkAFV83WvFdsuZPYXP8S9OPMAA3lxOMETbFVhMQHTD5d mCb43osX2Ss6bMOf7baBvRMALGIts3f9Acug9vu5FkDgQFAZr/Qz+IDApDZxBq9L5queUmmFORus XzEA97zORVV5APa4tVhaQZ063ntiQWtCNhggGuBBje4EhJhLmwUF6fBYuUKJ6zVcjKSL695zrl6v mpVWAvEmm3Y7jnEAMZu9GkPPdgmtWfy3hJRLeIT8SmtIl3wz9XfQKhjy7rZBEnSYJvH9cxM3LLC1 bj3Ch2xhoDfPGa8CgnyIJe94j0KLh/FoALxmEU/2nULkt6oT8XrI7ZmP8uBbQ5lqzzUCcJCP1mGt JxhPhRMae26Pb55UmmKKHaIP1qrMa/+B/Fklc+sPR9R1wltNOK7+akWwTw0hhYDNEWF8s623JMoX 0aZjIRIggqd34R0XbMyF1qpctHbhb0Pa+Kv8TSB/TOXh8C/IK2ymBsd/Elyuu3J28jYluTTVehht uHprkPDTtoLcDEynZEMcmWCQVKUyvvdZvQbiaxMjKA22SHoYXKtGnzlyAED621HsO3XB/t/9rjYv KsODRaYBiItNyEv9iUjWDpxgvctXl31z89DniOiZNE6GZUEFQi3N2j7MijW0urtMohfmooFLYC3e +iRhT4FWEJNLwFGJoaR8WFgsPTIQXUTV+hejkrUSqUP4gIjHdmkLrV93t5GDSw7mudkTOnS4e5HQ Dvg7cUz43cvqYxoS6Nw5t24qRbrNxGqubUX3tM1oY1mFYnX0j2GBR+7QidZmzBtOBkbJO7X+Tnuy QknBqCBR3oMRvME9b0D2yN+yKrxMXXLo0sP/KP6iBUuOW5eaZCtjTMlxH2Lkyup/bchMf5+7Lynu p498cUohQHsxNRAyuzZewsmlCgqu/t08uQxtuSO0ToNUoGy9f12c+0QUjmZrj36q6SvASQYUH+xh W1uTYtUbVvbN9FMbp3sdjJk/GQ+iLlVvjNmRD2v1EZwrwWt1o71CfihCjUJeUsrYK6tL3+nMJFrm +s4uFm+Gkbuxroe38GHU8FL//x6Ktg/nQDML/6FCc4gY6Ppw863o4aEVrCQOTuWEwkpqeg5CLBum OAEWsOte5HJreqTD7+D8XQFLtQEcgKqsFMAgh0ic9ErzlFkCYs80vqLLzv8whaxIOs0pM5oenofs 1QsPkleAdbSyH2EH3xr+qwhr7GdiE0cPEFGd+NUoWSdjq7dCJjfOeyHO3jWfQxr/JY09yOSSzvib jxCK6IkV6Zb3UHNYY6K/6A8yVCQhD/Gio6uHKENBBiKu2tfsteMX2pZgYxKZwL/8isi0g+QxycIO Ys3gjd+GQ3VbdIfYctO7hsQBzAXJQkHsAm3oyy69Kq1HFWI953M8G6WnJSPIH+zJm7H/8YghGL3z z9BBAHqKn2PrCS2NQuAcwQJA+T2ZVL7ph/8YVU89RfI9Agm3A5Sz8O8YWTBnfL/rYK3RgAoTepma vwUQN5nXETEyur39omBla8pCh0j4bQgp3OaW/kQA2FHEYXBY74V4O5Y6zuptoTJIlAsLct+S+edt xDVLGS4AuRQAeUlEQdvnwyydkR8X3zL30l6ytQ8rX3bd/og0w62wW5Vaa9l0fIWIUuSZgXSldA75 TF3CoTyRxvv/HlwqAKZTqp16DaVkzZ/wI6Pc/WfY3PvjfZJcfGkViZgPG5o9t+rqAHwJmV1ubDN6 qlN6ZsDuQqsfDHInWD38nMegi3a9pQpckAaN7cSt43evVeFGRjv00ZSCI2wwE4gG538eERFo2mpZ IJIaTgDxOi3V/uA3yf+H6Pxzft3MYhywUbY2mhSdCrG37nDgFHxRtp/LTYHKQrQwErOCdCd52Fz0 l7r+8GK8Gy8wqQun/qAGtAQr3XvM5CNn9Wor8KgkVh0leftxwUjfe4TtIQwDPcrgiGDE3hEWdiHx skhqBmYo1ArbMKKkZAnzt0f+M1CC8spVOY8sx4kCt+XOCQ+f+yYlJPLzobQ0ih5AXelyxlyYjDhB qDjiLi228vSM+2sUG6Sgmu4GqXRbP/9dh9Wo5aT7Tc4mbxJX8KOTabmW72AmfbA5O+U7zus5A4kt 4/xmLSQY+jB7K3NyZ+6qKS60IRJKsLUtYe3M5JAapLUQ2LUPcUvNrjrVrAVq18bU+n0rfA9DE6hY 6FdDtxm6wCl9PiYaMEBjFVYZjhR4jkmT1UpcuarSNRA+zbxdjAtrpa06qb6BwfX8qQF7qFVBcwVg ymERe/1GfBZ2OwoIeNPxQb11pz9ytrRtZ5Wc7EJQnoOST+l2AZsS43sLO03HmhU3lETjnflBLx+v tHpPppOaoYNY5j7bMTZM1o7ccas9ZvQafkLljPw4Pk+wihvv2aKCaF8mFo6CG2w9tX8qdQ3jvP3i x0/z7YdziWkIPzkMtUA2J+OPvrEp05e6zL6cuzWTNehqp27nYbmI3DXefD6kkyEyb4VooV7GF4nn otB79Zi4lstw0tKAjv0osoO/Y49mCzHvQsFKGZ9CxLMn4xtVJZOOxNZ3bqg+ghHxl4x3cpT//BXS foLCLe/FAtCw9nTkqn8BXjRZqY2EGWHI/8rmciwg/De968qA9VeG/VRTUWMFDYTUeGepFSgvF2WA N47DVSoJRqTMM0sZqtEJvauUaRKH7L5KMgP4FUkKjrT9qwYjfeIo2lp2e+ZzzpdfVco4Ez0dx0K/ QKcIezNeRFs9xtbuzubNz9rgyTYtPi3aj0cWPxgzWKm+6aIDhlJZHP8QNqPiBXDafVvRJRqPdLjl IrPHI0MqMmUAXi4z1T5OhcjTd30zybvbEQkebEl1YLd1R5hFYUGBz9g2xqIJOuZFHs3hco78oUYm aaXlAilJ/XJ4umpWY/B6dR25XrvOJ58V1lRuxKNt9lnjxDaL7xAs97ZldyNngyykfd8EhFOXmMFz waOsqXDhvGDTmVWaQgzDyZI6Ggd84j2UlfBBccGg1XOj7naCVLs9ShOqB1IU4xpqM314PKXJBZo5 oBWGoXTElGvgfJLOTumCcNfsNMvLMIHNw+/3vp0gYbgwMSozJVZNZmZ1414c0ymglCL2twIR5TFi po118Mr2W88R9tORHECjnIQesTsb7RNNWF5ZZdTaNHUUUPJYXjxbfQBuXg1rooRvfuPuqU9zqWzo BaY3jYyXk5c+opPTGgach/A87nP9MwdTQmAhIIFGVY6FbjubK5WN0mUDQ9kQkl3BJWNkBsfVN4o3 pZGkPYmtWtyBfcEcmv0bKpuczvHKPwiX1TWwVXQ0mBJ4oSxoUJOObH+3K4enhL6yPQB6dN1RA2+3 gyQBzJsfjnjL8DSI1uyKWWrkJP0HvBB45zxf+JLZoGQan8h2lda4tLfpDT+DW/z2RVPqKFPZnQa5 EMxvYpAzUssqOOry2IVEZ1ZrPUrxumHqMgoCqN8o4VzAtNmTeHKeCm7aLlLfZ3GQNlUAjiZP0r5o WmeMA4XQWUX02wzqXVqJEI+MuG4O7mDwGHjuJ4kdBlJKP7cMx8tAozC5edBS+R7n2UZVk7DhEhrf 0GDIqlUdY3zcZqV68gku9LyEsc8OkHBGUDHwg25cMMHkfxB7tq+vYrVUs1UGf2B1iV0Cevk20wMP qpAr4MIr/TuJQgJ5m4QGFtxNPZBFJqv7ER7tmlWo2x0h8KFEJrMdazAe1jnw4oZDf7SaUA5rpId9 8VSnR6ggIl9MFTfhZvFJun+0WXN1xKcLozDkookHYY16/xtirxr6BnJt3MUPbeXLOsuWDSHiLMjj SjjU5nvx7IvsN+bmYse+73UL/8CVEBjvPgFK5VGvLYm6inDvx6SmlPqRk6mgPY64nM1kJkNFVO2j 6C25LCrZvscm8wZUiV1EUW6061PcR21AXwL21RCElRuUcvwiy4+JqLAplZRY2g9dRh4dI/IpT62s xRGG0/hS+PdpBtFieNP39QS80ohOTOv+/xbpD5wAX8suxire3stFd1NtPmPlXTtHgFMEpl8ezV8F 6Dgzg4XDMb9yqDYK+QG+ZQQDenkJCBgERF9HJTR88EzXrqQLMkyCC4+iX/biMsnntxP9yezj2EZD zVdROE0yamnVJJbk7ishPumzjiuWgukQam1q5OnzKUugzUkSaBiB/zTfq9kAzLWZ70V3oFz8K8yx gdOQ3y+0olIskK/EE3QV1Hswx9KwVkTy1ruTYOysQBPBAS35CylcGfmSMzWOMES+odAw3roGsoEz P8wwTy3GfLvdufPUEFQw3TSkG05exBhra9RH3S3uAQbu5eW9yo0RLpc6IjW0Zkn5Gjhl19A/aGoL lImUR2aKi7OyiTVUvbScx1ZpNuf/vpyRxH7Ae1c+O+N/6w5i5eYbb0HN5seFD0Tf6CtjxveSqIp1 MLinfXQC/+5OU8LnYdFqEQytNK1zqGWzCxC2TaR0LnuheHGjS8n8oLCjqh5UIKPN/fcolrqx1mz7 a3XYEIJTD0INIy5JlwL3IDSIR1J9Cg980yLiWByGNIoRhZzrPgZeTghCdVm1pHpv8d2F8q8CwerQ DkcKJFAV5stnZoG5ESYmMwJTR0d5giKenOOPiys2yRD2gCHgj+9tn71AqD6reIXTXijkt3XmjXjF aMxfpCZo7VHjtHkHYazyhxwKnnHoe4fmI/02DnZI1357JWt5FdraQOwQrFk+uatcrRQ33YKATHj/ pMbneVbILftuU1E4xrbjLU6528WNimjiXxL5EoiPTS/1JcXDw7Lysi2fjOcSQ86PEjT1hzZdF5F1 Ir0VksygdhAiKoI/8whZ0Ri8RRhMmm291agMSWJHizBd1S0n43rbkM/je8S256INTsZrF9bmqfGI +dE/yIvQepExrlzh3GrK4AXoePezaVCuYaKHOMESW8ubUZTTNc1hkreXOJ8mUkt/MeImZXzBAIgs lxj8nRNT+6j2mp8dpO/IM3sxVgqFpHnUF5giL5ydvQj6MlgUHrFdKJqM4JIK+CoETbR8qSAYB5g5 ArTCW1UCCCax9HtqnP9h5VVsibEZv/j13s5cAQsHHlD5GH+/5+sADpHYcw9AmxpH/+QT3TYk+waU X84rg8tHe7PI5NLI8FkkHdgSb/HQA4abdDO1yXJwOCdpM+HL0NEPGS4gnD/b38dh2pFaitOndmdq 1UaTJvBIGKrEl7Byg/mGN8vnkuyQNQRpx8T3KRP8YnbMQhRzb/JRiFU0VS29aCUFoajpFhA+iZX9 dwT3lbrLsZHvovEVhoENH3xXX3QKS2Ldwo254lykXid70CF5EVpbKyNyvB/jkK9vdlvG/ZIGZcSE 4DJpw1gkqsxjPtm0CRoJ751NMRD6xSwDT1P8iKZQwy7H9/lpZQHtDZqSeQHGgqCJfZ3QPX91vYJ3 vAcvCvhYa3DIZGzNy7aPlkkniPvpqYGgIJQiPsidhKJ3v7LosxpDXJS9/CUMlmQoV+FzeDRsl/Uf sIvlaCxfgll8t2i86Kcn60ccIBx44x6POxzqkQ6ySW+l3iNRccL1sXlohQVCtdS1ZdaRMgvtjLA9 J2sed2X+uZOQDyGTv6+O4JrduGHF2O1Yf30oRzUfVYXkoV2GfwCFe7dSCBXdipcaYBgeyCnaQW5o UiK+BSoTrQM2vCdajVgS8ZGXAuJ5cBGUki2MEc8TSrRENrXg2hTAXbdWf+zcqnzzLfcQ2MwyCByo 22Zm8MRjfjPdKwpLRXJQePTzxrojKJi4E0eH5qI7USdnxI6YVYZcCoGK0ObIitQayr8gfCwIlXlM xnK34smM07Om6sVXg+xx0vflC1X/JWYNZiKkCpiCFhW8yu8DEE2KH0jIGC9XaCSXC1yvSN+PezpW NcmbzCoellhiL3xoX11DFn+Lc62AbnGMCkumUgkln4HP6++qjj7QbJkHKI9QI31hiBly4LL2EMhd RLSX3hlTsPFS1Dx7BTm/2yfPahCM2hIV+rRu1ZnHvCQ9S0s2F9olSv6gND+MRhdHIU99bdnJ/gO0 3u2Ce7LxSOv6fYz7hQf2nfl+XWMz166/vDF+XOgf/TziS8mtlwQJjzhdUGf+bS3wB9UZ+vEl41OR 4gwo4+dM9g7Xlv4/LQh7t1SPLqw6CtDEMlwNepvGqde9IN81ROFe4cE0vB3036DPYGQ8RLIg9ypA PzopoDxSXWm1tuly0Xa3d42rgWLu651AtWqzBhbEYCykbJ9dtHX/ihEl33wPNZfe11ZJGVaSyuS2 gY44AElarNz80tdwgjjE3KjvMGIIsIkMrFV9V+LMS+qaHqEhNjGICzXzpi7lW2HZLb7ywUaTwODX WluAID0c3lwlmTvn56Aymtq2p53ztUIYGo6OJ1v7r3pAQ8c4XXw+r46A+Tx0NN8jD+gSUQPOZmWr fqDH2k13sQMb386oLjxb3DVzf30X5Fa0J6LXuVudlUivJJQGSDjjzJcuEuEjB0VUTyEG8wZyqxbi rJDCPXGU+1iTLUSQOdf1jQHzElb/TC2rkP1qX1EtjnL/JDrUAdEw/E/r50TmQ+EaTUHN8+t1GLVV wCIunDVNP1rdLFs3lP2JRxRniECnxVNupnDbBlySAOoEeuPMdabdTCI4NgbjdAyv4DoCleDlUT+e D7NAHTWa6WEMqxS64BKMeyvNiv4TX0F8nYrxIV2g2IKGxaz7+yg1F+6uROcd4r65UT8H82tnSn29 QBKwLNDayZrF/SC9z3WS7DcYMfefg0ecMZg5GRkMF7t3XV+9Bw/RVdP35SkB59tNh+rmqdk3nYVQ iFz3dPFOm85IN5vVnDO36qx2NUj2fTwY8xBER/UMc8cIeHGNvi7Vh32rABFFAeSmhWVM7eOvkPl3 JT7OWh0/a/vqWivPuyoPtOpPSgLTfDqAHo2MfskmRtMfVrUG+uI9WoKjqo/3qIXcQgMX4ySdb1Qv D4CDuXqeKmjBUGPi6Vsy8srQHQnk/a0IEKBKGxs+o+wC0klOY2x9eJFND93vJ3EUmMZlt8eECW2b /a6pGXvVv70o1CpmFBZHoShXkcpqiQizu+I46QlMTm8CtrbVJVEcO2mAQq3B7x7Z766MTSB9Bcbe VZwVOL/cbc1S0ty8zKnRBOVlBGKs/KKOeWLlM2KnPwDxOqKJ8MM0yCzPuN8Ih6UnYMcG3HPeX7G1 BLoNOMzC2L1GUS7bY2IxjhzfVK6xJo11Ueei0D7kDVYK0VgLlIK1ComN4ay4AyUKniMHU+ikdah+ 6GmbKh5pFDGgV2/mPhV7yVmdZVZHXsqRqe7qdSVU9v7K9vCpwsIUHQHk6MsDbPtHGH+TqV97AKw4 hyMfBVNZsP/uT5PNDZ9zAt3k9W3tBHCmi0bX5SUnx9UZ5hsK4hrT5lOjFq44jOgHjyYCjy9XPIMQ Ex43jZkZH/KCweKsqWPF+PJ7kHfwNMsLZvAq6DZ5qVaprL8v6lKIu7pp3K2gUI4n/eYam1nuD3s5 N2CCZxlo9jWq46DnUORwViBCdA8BvA0dZNPr/AcQjBM6D9rhQu3dpMoWSkpqarLgCh34klqpZ4qr GoHBkAdAw66LbgLMYemtyjPyz9NJBgGhsbWvuTLsJlkg+O1vrwH5pVZ9jJs3eDTCt+nQc3jsTnD/ TKr1PijLrzqE7N5jnM7Xhirnb4MaFA/Y/S1mU0Bsyb1hKp8UJu5ckbwBhSFEb+B4i0xNav2hzZ0p A2g3jz9m5FCH0BhH3HWJQ5A4OEf59DJ7EofxkWOUOreEh1WA/LEqA/Djr4fcfhKyJPiCbhrXM5JG shSi4kWHw9nQcNlkiYC9RtjOSKPuu2sc1W0ZPZ5kqb887f4P+RpNqmthZdW26LNGrYXHFPbDBaUJ 0pfB9x2E3S/9a90uhcBiaByeXKCDnVFLU8O3dCSPb3VCC0mRAVkjGb9wnd2p2e98NKltc3Ed1iVV jueoUwq/SMOQykOmjZwTvNBvxLpm9DWJlWKFKE9hgUv+SnP+VX5zzDmVhBrT4jliXHWgUF6JFX/A 4tRq+gshwULULqIncXU9p4E4Q4d12CB7zJkST5mIydzU9Ulyz4HGhyL80swnDAuNlcRf6/TcPpNM Cy+pYFy6XTnOEDLU0A87sY5EFSH3WxHyW8RMW2BJw/xkNFq8KxUCScbL7BSFHW2cgPApdqYdH8CQ tpHeAtYAJKg7W1fBhmfZLC6H1FgpbF3vGCGfNxYtd6SVnPwzo9M8uW2kE+kQXG1wWRf7SgAXXSu0 zc/ZccI5rSElQc6/SmOJE9/x0OQ2YV+sT6YgB3BThSYbABjLl91Dkh3MtAZ4l/Sucm766sA83fo/ CFujIaqbNBsIcW2AQnSRYIqxZDjh1vsmoms2j9kSpISnXeR0KRP//rSA1u+u8nUl8FMAdOjSOxuY fRMjhzIvT7BFrWLP4aQqFzVV5pUGNFidnd/ELDbI20aBUQrPMJ/db+baljFDqnccmI2TbKGsjeyr HLv7QwyEcmfBkAZVXPOiA7GPKw00etM0IgagdMrg3N/cAgQKN4Y4CNEP6y8RYrrMtUX/XmgkSNZA TOrl821p6ipdQhY5t2xEuHw10qfLoj/p71HxjocENW7NMtKBrFL4+a+yisVh76lZg5v+dezPc5Zf HEorel0AScoCDDK6mVak5LIklyM2diYJ+wBu8za1gP9N+elmN3Q0+Lrsd8BuVIP5fHKxKQ39IPiq uaTTGqNrlE/ze0z7SaxjHbGF3Bqg8VjHpc182kclMueSjFz65/caF47WY8PJTKQEbqGAkMKHzn/D 9PF3B6zVFn0bB56QlFykk+3s8PuBpeREbF+XxSSoT5H4oTHmiUYmv11CXSyZ3KS6og3/b6rxJLBA H/KjWy5nPeOlGvBL9D3acwb5WFrpFHEcSOweBYYapQOHMY1kFpUfj/LsOjLvU6bcxvJFRCXIiUZS DoPmZLHcmCFMZQU1fESRgmD+auqBStavV423lmzL1h/Pqbz17cxxjtyyzNpPErd4JJk/YtLzU5vZ Vus03Xruq67thtnKTSOWS4j5tyVG8yTkDio8tdRXp7Ibqn0IiLY73jCoJ+EImFwZE6BiumM6runW eyv+LjS38gsBlxheu29/EEy5KUYYHFmFwy4c3wNHju4LxTbtHFAGQ0Q0urcfWxFbAztdCL1y0OfV sqJJPRsMxsitVHYUjZkBCOaJOoqMUxH5856VFOwgAR+NeDPRVu9w6wsFqo9UtegGo88UNJg+fFne ISxZEhkp+akj0uHZ2k9JW0RFJp8JoGMGclvJ/1+jCjXm4BP9SzBY3V0mMSSid8ZrZi6PCxNPtu08 lAbs42m1+Q7NV8uSfg/x68Iznqcy3zJCVJbcjG3397yJzxvF3QQ3gqMejYsYcdphWYReTkgBPAgy 6aVuWhCtydoUvXMMxTjTt1aX9zZzs6Teqpul+phxh8auo7sEQR0SXj9NAJMxG4i8ByIxfpTc1UdF 1op6n7KZUlOSYaWrN1aWJyGrn6FZiIwgYnGsulTtKqtBVGgi1toGh1zAJ64epXWmRm/ikSy3BM+V LwbKExGKcdVegrfTSNCZpmZfqwhSDdHk4gkLH8kA+PaMljbM8Dzl4rukxs/Qoz4ENDWbeSyIcV1H X8r5CFTH0ZMr5AETrtXGrgpOmIoz0aWbjeL08nhIVDPXaAhLOFN/cuJOfbepUCvuLbogXntGRuDY 3QVu0gJyGb5L/l8uwu2h2EaJqox+ELgCJ1lyIQ4DFfO+Wi08u0xRE6VqObVmmAaFw+3qcJ/UTe5Q 7Grfa6vSunVahdV+sBm04JcCNwaKcwiGFR3exZ628DS7GxMi14p0s93wDUOagIblbFws72qeQcp+ StyZ5ym1+r6iSIM847x9lgXN/ks6JOg82DsLntH9+R9qmz5qWRMmcri3aS3tkiUtxVHCYyDwuO8A 5eVA8B6kBtvyjlez7AuVxIZebBAa9KJFlAMN1sWVP8mV8UhUYF1kojRQJhFzKu3oX8woIjkWTvwH BztchgWSFgcP69lzAAZS1dIrbddUsEs04cV7l+o93V9TQWCctv+Khb8TRs6hqje3Oh5dxapnUGsr rIy1IadxGibHJF3WGOF7SGDQSItsAqfRY2pfR0kylDWUrCq1ct5Ms4iKEt1kcntOrPoMtcnvdyEE t0w1eFvJ+CA7VYchQvBzmDD/t5xw8TAWGWY5/gipzbDALIjymLibjqLPoQbfUZVfykYk93ZCKs86 vUD8AZqau4XmW3finMV7sgzA/2JiLFMVo08xgGkEUey0VoH4fkyGAD2iE72nm6Fb7t24M1q8uS9t K/JF+WBMrFiVE9I5/O14aOho6XDBjP9xbfjmZC5m2LbolfXZ3QDI9i9e9ilSXFmKNWUYDLyND73n mdWWCi9x2NzkX2yx4qLn9EYq8s2cnCJU1w8W8eDHPEJnIqMaTAJCfhJYTLEMgMQSdjsdDBKnDDiM R85aTpXAuKvuYzXmC+4zHFwt0dqRdnwpFEpIbNVVzrpTTSY4DtExj29zwajJt96o2doBzaQbSuIc mcVlcvCpvl1Dp+UllHS1jD5tuhctg8byMOyU6Tz06XKtM+2vYgTrH0oavvjyTX78cWXDqU+frWnP +nmH9RlfuZd7tOlWBgVONrZu2NBvCLmteowGxu9Jc0Pxn4uVShFMiB+GT6XB7JIEAgyyyDHDoqWV JqcGiBSPAHOGRcLleZBLgozsMJELcHr95MJ0th0OxFz+aei+j73dUJL1/cf1xkBA6D+70z64V+ic Y8sNVBTVuMdpYgMlGrFXcvHr/VWEkpxp8oMK8SJDn63t+926oYS/PQBOy+A4BAn4GEsZSVa7ak+a 43bg71H14CRe1+xUHZATIzoT6n3/WOSPNjEHK1Fb00DNM0DTh8h0gMw3qA+HTR+fLhh0SWgfAnf4 Bdn51bvH9O4SJws90cdp6Biz4CrpuoAJsvGsb0uAPhC6I3Lme6+n0D4M2pSkYxzIc4EwM55VwaBU QOdquZ/zTbub4QTF3l5BPh2QnRT/XMv5Yc1fAQLB7cw2ugCUjfPEHlIyRzxOe6OJN5XVyCoIyVc0 pPOtBR4uwVVvKZckFtGHZmTcj4P0aQN5/UutJhzXk6pOFFiCh11YH7jk8dXLLgWQ7J1xtb8kqDNp IKFcZ4DbrLjFB+EdWQqQFFOBjNEJ+fmHc9bAEztr27kXTbDu6+oyvNg/Puz20nSqVAP0RtU+mU7p CoYurxDPj4ZNWmNM2rVFfbH65L9HXRxqV3neskOaJXq+mQq81Qkk4uvecRTJChg9D6rL+eL3+gDK dGugnrEgYTS8kMytx3qYl8kBRoAfSahQF7UBg8pLkybNySr6l1rst+opZ1BINqgRqS6YcvML8q5u eYiVkd3jHdG1CKSngd2kJePWOQN3/1H93BaMdVdG52Ha0+b2LNQiQcbFnzU2iylCVTwifXrAKo65 OWatl5GlfbkgpO9Kv3Ecr6TjnBQdoGHYUPbqX5Vx3/h/jLuS8ikG9mkKyPGwCqdCj9thgCbr7Rnc Hzkiyq8spi/jg3PYoaNVDzS0x7lEMefSXLj+yccDlPXU3dhLfQZUFnsE8Py4jih/Eo+JBHtKhrAN yoezzaP3ei87Qjk6yHGHjaD9fp8D2j5OEmnC2e2Ub6aAp7UhB1b86sZAwC621ZYrMwSFT3h773qS Kl9z9YyMawu/b/pTnVPENDVatOgHCG++WlALA6czoPB0gPXGnBVpeL+yLNEcgnuDb5FUL2om0r9G j0n8fxauVPGZSIKs5tCXN7/Hi1zv05jYztR2iYQ2rJGBeVjYFqkj53inWLTZQdY6bBInVGeEEp1m hus7adYZiZZNTpWp53vqTHKaCZg0zb1bb2twgFjkcBYIbi7BHqSDjU3S8GptE7c+fpEvBrNyR67a Zl5N6ZkNXNDwh0ZwcXYuOW1j8S7Aut/rfwSxGmzmWdjD4bo7uHjnfUAGL8zIbp/tjyjJnRIBLdIy mo5sJ6GB52WF1dGkhySQWW9byiV/3MGE8cnsOjNYVOQOLHkj7H6CVdRDZquT0aHu20WfaakG2xoy cBjmYx4EOZnf7R3Ar6n3MIZ9D6Jv0hOkWN/1grApxL91WuzTsfApyBTrPNzYsoXyYgnK9AE1rpk5 /cJs9l8VZLZKzc+VlRYWFh8cAH/klIQGtUvid2AqqM5f5rMxf59BjpgwtIX68w8yBr/eQPSV5wjg 1n29EURdfojI2DxIvg+28+E1qANSNOeqnmnfKwXYZilIkAprp1o+eaIK0snSsRZO46xk0hZPz/0s FB13ybV08i54PJTqryU5caFESyyu90SEXNiaSIfzruQz0FsDaDCJtobixo1cQK70WsfJx8ZELSpv GlhCNL1yWcjB1y085Tq2M6PRygVARVQrRLIUxQl1Kb7y6qRIFK8ToL6YRR542w813eRygfVJPlhW jbA/gn9CBBUZLe5XEmCXl5yXSQnA9T+LOBcz4WSweST7AtWkLSNKGcSiahjKobHEd2p0OjozL/y0 /u2nvQcu6cyAnIW4LXit8WQ0BbqDwGjOd5OYTrS21hWvsKSefy0npR9j4j8DP6WBwGHESlM1mb18 gjgtx0ZI1rg9fUQeOkqVxwr2wH97pi3Icmn6GFGouwjjO99cU4aNaE3GDjein/D13fbLaJ6UiRf3 NDa3S5/7mYmqA1dotZNDXQzYQgRQ8J+SiVzHocdbSubGfwr70BH2Xc8XYkKgt03Ud6bJIxNyvcuo 4kaM0k/s6M0tVRhBXnIxLxbETeWtcKo5FiEQ6tK9A7zN7FdXAK+E6VpAHB5B52gKHSfwNEiVw3WI ua90OQuUcWPyGxfSZC3kaP7Cr0cM+IaiPnurFxtGq8wlVTQF/qmTigWHs+u1/07eNX4G+ntomieH bhGXK4pUBtc7wrZwg8RtVOjHsFN5cQunLeL/C/+hj9fsCV8RLqhMpWzmRE6Es2fYoqi6fVQ425oW NDpRnrV9ER+o++q+JCL/qkvWAgh6ZW8QjTL+9sRnAzG10nfYXRPtb+x+gaRZT5s6dSIg9SQxfoiK gEkOwlDB+27pvdkA/XlPcART1REoX9y9/lN8dFmDeMhJpWGwYCh/sKyP/OLXDGyziikd4qyjUo2G Mk9MG6Ut1Tvvo5EgTSp8KVvo4GhbPcf9zLkw5KM0GY2ttWii/8WPr1rLSupGUv1cbrD8ojnFY5cj JIxi4pimhmFEMPj7VsImUsxdFPXKgByJBjFqZxyfZwUAmywJZOQIowWV9QnbLa2euEo6hps/GJ+g NENPmUh4EK4BMwozu/kcnvgwqSYBsj1YGNjlZLIV+1bMttfh3XehIhgTJAFAQNMtfbuvIuw4rk/Y SRqn2py9stC6sHGzDGP12hOgd72ZiFnA3iPmXwRm6pso4Id132DG6HB3UI/mgypsKcCVZfvUEiQd QUa7Kpk+YIh1sigivKT34C6ZBpI861/XxQ7pbgB4ETKUude2AQAV4hU3xTHM0EKvZmlNAy7PBUeI g9r8OAXL7Jy04LuLd2WKRJeFMxyaLLFF8AZfRsaZq95wDfz5PfuRXu6OPUyTyUAngpiDcsREUdQb pMIw5CREpz89IHpl5Ru3pNl7djiqR6aN5/gTm59wZqGGTzFpPhEbEyQLMFnZ4Z5nNLJpT4QnwBx+ EBYaigIcj4s1NYiecq68RmNVZG634j4i5BgzOetkGd684m2IYn2RSyDLQkcCyBG9eugUswzPsvIo PvIK8RIpuyRzIS+be7P88MG0BYtFhyxSKYtr97DAZNgH2I2lI2tinEw7np6LI1ias/EkfzV7T4Y0 zJuKJOpZqd6E0SVCAbKyH97lT4MuKhPDfPCEXgCTfl9l5rm/cnEbjYzAtoYGH7rC79H9xC5qjFWc 5nRko0MzgymVHgtMD628YF+EedQ4G3sHFitGq/bMvavBj6eTI6zX7yvIPVTQuCpDOR+NGKJbqpqA phYSq4X5MQcabe/gwBb2lLGVZ1to2/W7eDxbZe6yoIDa9k1ON6hlpKf59YYmCowaxVVnRFwF+Ken VNgNljmhKyelsGrUUbKt5T+zAkiop44q9511NAX4m+ZTRITNP2qJYH85dYLZoaW7bt+NcWlBr7HF E4hIFMr1yX+4phgvbjOfeMjX/gjLSQ5x93YRky1EQROf/2GNSdyQNsJfByQgo68UoMPu17rUed3L h04D5Puq6vnLU7StnjEVCGYg6nUijEWCuPAiTALY5TRNfVDg3keb7Cb9yyVDmnwLnQv/qURTCyz4 t1yJVA+xHGDNXK9I9yaPuHgsY9YOPZxiSF1blCG+E6r/sLxpcwK8POUDvsE6EB/nJpzk+rQsy48E AOqgP0UR9qCjbZpvcLIux9MSoxnW3XgTbaGD0zZjuYicz1Ougx592IbCJCVTlgvMOhc5szmJJuFo g/0eYSHdb7/qKtfwupt+KRSYHacRedGXd2QodPB1810wqy2Z3TCBy+QMRdVmJjfUiL+ZFqJPkQaJ vMKw3KtFD9Wt/lKU++om5dAy8+RUR8R0eGRO6Lq95ac+UXlGTpae0AcneQ8yB+/1kZm4ICA1/H82 AFpmyXQ6oCDZtn8qbhpy0QYOu01M4oq/vRKreXuW+mttsc8oPOq7R8NEyaph5t0d9ehQ2lG8+h1X mFl1aW/cXgQgxPSIqkquwoSYOytjIPTrC7j7Dex39KHotUEd/AdAar8FUztySQOJs1oN7MEgQlUG J1QWGi4r1bGwU1l6U38wRhNoDxI27A1/25IVtbBp6nNjwcEhP8UTMW4erconqcF9/9zyBHA9xolg 0jeLQUxXHoVvfUG2xzr6seGIC5mdhLkTemkT2Ib8k+TQ/aPdS4AwCy0WtKaS/P/ADM8t7TBC3BAH H01C963lM7QfUlai2XrA5O6XBEzYfejUgNkhFj0rqvvKi7vbDqJk9VEzMoA5GxoAop4Pi6x6kjXc En+Ini54vAx9KcFPqQ68/6a+x4SQunVl8qX5AcejfkDHXSZYi23HcrR1dOtsROzEexIQJlWrQqNx s81eYMA/uzvv+hBXufXdhWceXwYu3tssjkpd6m01lft0Tnxc1EPWRh6z7b1lEfT7AAvhz5kcxjx9 xpVpq/vWRuK6tYHBTzYtijto8jkKiMLKwWwV5dTid/IeuaLVhU3ziB5Ho5y3qHFc/l5DmurRY7P+ SWeFjYnEZoGtDUlj2wzLSWeCDZMd2SZrOyJleAI1Mtn063F8QhyO7Q/s97dp/8ur3rMsUIYGEJaA TsOMEHW3cxuVTokEot2ZfAWrCenf7I/yWyRhm9Pa8n957wPZKDbE5ENMHBFB0i/cbBgV/d+qZCUK lTVGz6V5fGBQUWypj2a5m5gHY7C30izolR/8/m3QIaXmdvZvBMe8UldOeio6bm9uZqMrGzKMZcfv OP4zttod+OxEza7Yp7mmvRJAXp3pansVs+ir/8i3cdm3CXAvhKiT3X+9T1P8do+4SAIdxKTb92yO NbEGNxoWWMgDk49iBfY7YK/5rt51h1b1wlKaWTn3amG4wLxZlrOG4g7i5IYyLYPn6ElzEuXTJKUm GEokrSleRCECYFqWtnhkCqiuP8brjEzckd679JYlRwivR+ueZg/qH/+NoyjG2p1H9S/X35f8U/DS cc19jUL+C1gHW0chE0GKfjH7RePM8upqmGVLSn6iNjZp4THCh8XR2nFy1ADwiDt0bj9NyT9mPd3D GCC9Mu4y+BWE6YjsjhcwJgi4MAQr7HM2vMpCpk0CII2depDtm+SgA4H9C8OzR4OLQpBB7EGu3quK nXGeqNF4kIXtft3tJIm69re/hLWOB7pLCb05r82v7AJr9Y43ol4DqO2t7Mf9d5hARSOa2x3AcQiZ hA8Zx2HgnwbEZeZEgiQTP0r1EuxC+2RKEP+YxMRBrrTG6zw/YNHYAYQzpS+4KLi9+Fxmxl1hzb4w 22tJJiBm57I9IZgzMv/SHMTkyfX/0jl/BqUoA7r96NZReqfP2xPa08jDGPDEQ7Ehw3F3xvcP8r7L EBc75GB1pDIEEEwxRuLMSkmaD5dfjeoLVuSL2A/w2YxAtQz12sE4jgNZbQblsGwMdrZUuzq8CcDq gxT+CeQBvViA7ZEk2IPpRS2NAFwYfdNKqJTo0WX4z5xuf9lRA+24nEvbvJsvL2SSC6/1A7K2o39/ syMt3zecd1+i1yG9TKZ6DGNkmMgndPAhMz88/3f1p+kQF1wn/NUuGCGwmzYgm9se9ZV4wq874AtL CDZN6hPmIWNzyezvQT230CJ5Qlo4GnUwzF9oHFEFmk0F0qHVtugDCxMG61x5E8ui5cIoaTwjFOBd 8qR5u0WF9zBXWf9jh5NtmQyHtAE52UPxgMs24IvWASEY0Xl/2h/YJlFs5Hl+8vcvzcJk9LVr6R+q F5DNxsYI+olAub0pWo3AYAxUC9RTSbhVOVg7clQB+HZvsxiYI/V0tqa6aQCGnrJXua9W8SR07VfZ AloPO0/D4mVY2HPTGF7jfRI0sCAO/A2CrrtPRpoZPCFhgqNOddVPWUrYtBp0pBXCgepotzQ78Xj6 6nCmy2ZCxZQc6ghFZPp/2/Re3c+30cCN6Ga5U+juWoHwMSXCyssHsIvTeen9gPMntGPoHz4GDMB9 +gDzwh4+bJJCmsQBiOvrLcOWse/OTGGhkc7o/cAHjnFENSHB3Tl5DhXoLnhgyQlFF3yxFmncPm++ eu/g8HzS36R1fEaBjBZtJDR1VbbXFejPyxJSdKxhsP+hD6zy5waSWSNktGZkD/dfXy1CYMS7x8HQ IK9NhHp9ZPiWZVDKdDECLYtmCyf/cdwSMut15msBCcx/abAK+TEhh9xuhPqTq9xqBo/2wgx13pPw 8WcNZgpeiFqSZgBJ5b0qpoC3S9kqM+BYHmh2jxJT0nrfaHwsE8/8u7YWp5tZXtb6okWmlHj3qDS1 gXNtytBUGuOoz9ZXOd+8KL5+CG+el2YMfoTpt8SM1oApmtd8Y8qkAtjO+M7CkBUlKle+04QuahOk 7DuwTTG0jbNfdaa6YoKzKds7ZKCW77JhsQ2DfWO/K0uru3v3pJQP5VuargDBlos7UM+RAZ7qPmMM sBLYt61bt7z1dKIa64kvDLx8B22mRimIw4QzMjGocWVK8aIWOCCl+e61HiVHFC9xhHFWsdszIzUN I8Ex42F6maVgNxElhMxRG2XETYyje0zrC/QfwqVzOarpOQvbPEG0lVb6+7g72RmMoJNlPjlVG6xn ip8Sf0O0iZwh0OxYNHpWK+gFQa9xZESF6on9kKYSUrVa1GhtIp911tXZ2YxDpzc7Zw4Z/fVW9Xlz Wbf5Wq6bf19rqPE7UBYpYuMZOFLaUi1F4ErOjnt2J0QOLjaODMhRo/MobC7uIx/Sh5UoLvxRISyD rxtZfV8mo0XDzKal2yZqzSTOfFoD+OQBdMCKzJcZsT4OmR/PtRlcQGCJmv00yrDkYCVcgimVXnvr 0J6RMn2m/lKG9o6yFL4MdUGJQhThKmOyybmESizqzJinVl59ZyerasL+VpbH/RZF5ukpkf0SzfSa iFRSswki+cLwf+u9LCPTuFvi6BykVC+AwoQ2KesePm1FUKeKvkKL1JN248tqcOnYk5ZOnFDvKoVz B0A6VuycHVj9yFoAhQD2RlRt3ILOh1scIXoKxFq4nmN4/No6ZuNGvXPEXLTjy8hZil75exFrcejs 7kh54mXnV4gfHI2OGDBAz4dqsQ1+KbchROhareA6w2Q5RWreh7uT5BacmWzXl66OveXUgkAh9PPB GQ2/5g7H/Y95Jke0cv6aQPYQyDjyT5UHUrLJJFFnidbhBx6UKIg0kHbV7USwvJo78URXlIVVjyYY JEV6uFaPq+H8JzFKN9A4lQOqrHaSMUvnQ2icjB9OJeFEe4ueHAoW8c924L3qWXrygJpArLqT7jbo 80DLo9eHCuy4vAPci7Ku2VD1bLdaY4Ob/Ipxu9PezNvrjmKK0F9V8FLKQm3u6xHTXd/LkSlv7TUT HVVX4gXbRQP9DvFQ5HlpOHh8ORvnt+8fXJXVKS0aC9VK4CD1nUB9OFByIUKthWEDNIP6SR+sUZam mtg6e6BZ37YPi+z52WwwIWXeCliaIFktEo5SsT0R2d95XaXRMNKgEUtkV+97O8uY6Zfo48d1UN5t L1uPUjUvOMkDc+H3vq6EbN7s1sfnJVbz23C9ncaJQ6q/YebJOQhxfPgV/GrSc6/aoRZh9USW3dgw NlgV7znVSOkxWCXvnOS3gZSZo4ILd6tpUcigBzvN4frG6yYbaAkEfoFmZHATnh/ca/QCMkhvJGLc uh3MlsTELWLi6UWZzJE5Vwsl69+f8aqg6AdtUR43PtvumwWhHLGU9jYZLty9pP8JMVhBn4JFplqx E23MOFN8dDRWvoyzt+9cQSJ4eai+zLPCva8pyRCuPuictZxmd7vhIqqH4Gpr837fDJif8594fIyE ezHpxsRpX1IrdWnO5MO2Q8ByiP18lyLRNDLIwc6c8AejM966WbVdgd7jhqE4old+qXu/e14O4Qtq VwRENNXj7u05HaSpl1+FlRefQ/vD+3WPYY0YorCHxCGK3eI01HEr4gJX7mqUTwslA426Bpvs5hV5 bAvQGlE9HBF/Jk3vZbr/MpVBhLHEQ5+jJvaQKUgv3EFotT6irj0GwYfrbDHhHIvqq4GtVtxITyUN QZGcM1H2DsK5qLOwBVSBKyongNMtQIPE4fXWUt0PLMyueulD3DhAAf13LqzEKZAfJDVk8p1G1XaS b2/3EtGDjQq532WyvBjRbXmFancsgk/Rq5qXrrNDSzDrGmc5JfMhl+ctD/AOmVSdYPKUQsEkzOgm tGmMjKgHWyzDn2kVnpEVW3h2ULgM8crF7EHt5Tnam5mqazo3BMC6P5W1Zffq9ZLbF6DL9HmXm+aW 4pEO1olVWPgjgGgA2PlD5KGDJpHRoviktuRu3/wF8jijNwQzW6sBgA1wqC1Q7OxMf4EdJBZvkj77 bhrroUWwwVTAlWySb7JQE87waPY7gikltVBoaPjhR5Zt134Yz4iCZLCYbCVBewsPWHKaenyWHx4E ScsyDdRLaeCKG8LBb+qUPORUXgVowfPulgOZ0JHr18Ar6kIdg+8HFln0u8f8ZgloInvDiPpeUP1d oNUwsim20O1EpRRIMKVQhOpDP7w2zoykZG7ZGhZ7fMsu8MLDzS0YvekwAWMsvMiAPteAmvQ6rDhY ilyukcgNqCXBgE5g1N7yxE9EmhMkYlH1dRs7+HfDNMCs9FF0cMQCKVeLnpcgXx7VGimbzVG0PnfJ YEuqHCEblsQWs6h/ffbdk8HDVa4B7lgWqqT/+iUIwe9uZ8oGBeSTuytYMazN9O6UNgz2bkmp2hXY qVDNnfTMDoA1chZKJ4yHatINg+sLPKPlT+tgrokV9JaYVNU8d+amS7/ClH7QZ2DzkpaqJbKRhX6m 2myZ9+eZkrVTFfwKNoVZcDLdpUFjDawmbvwIV5LTCScUfF71DndKK0McrWHQsBQqiczzw/lgi/mK qf+LDfpPdDj4Ecr0GvsAGQqDvertSYVdwVFtLOHxVMxDhmNOR5Hnv1sxsHK0GfNf+EwkckWaZ4QS taJZTlFexl+b1kHEaj0TQfTauGlO0MpXOLgXJyEFOoeS9AhVpkrmsPpMOmci0CerIFDcoXh9dnTb DLV9v9buR+Re/dVc6268oz1VdCGScXwu8D1gvkGmasiNpSQn6tcnUtFoz5a5u9wO5zCyL4Or8eQy hfB7EENMntTN2macI2JgXhk4/kiGx7lw2QrwYdvDpc+LovgLKi7hcwqAWEJWHoXxEc1fLKE5f0Qs jdc+I7+A2B5r1pT2JBAGkTRt8VX74OcpIIfZPiUInLudAzZb72NLvjsCM9dgJV1KoOYwa3PEyqKm Ik+b0eqV/MgWCXd5TuuQIaqscqvf5MyEekuDDe37j2YaakAWYAltqR7+BgSHhAxj+Hp171uaWEal NP+n/iH9wSgruXIiNzoI2jF5yprxNmzVrk6PlcfZix752y2GxHF2B5n9/G7NztnKqeG0eO492Mua GK65noNwvSRjQ3ePlCdbqVgekfNKPgFt72aUu+03nlPtlo5xcdWvfX8a5aObk3+L3erGdteUxNQ0 Wudo/VX8xsXLhwnHnL4p0xeMHXY2USmgKQ2Kgb6BhbCAFjdy95naUfR3FbmNvwAPJeXq5jK/0JHB A4ikGf6F9EUC9XojImJ5p53CV2mjS4SxmlCqBPmZyqo9SogwwNL2emZBncKjB0QjmalJemxX3QP6 YTja9MJ8SOD6lHUikP9k4o9KH+j29ReIR6Gd9T5gg5wLhPCMNjVQc8aJqQJ6iJ2o5vC+c8GfIgrc igiZ4NnuwtJNBoUxeq/RjSpQaHalyiwUOd2ZArQFrE92ylPw3SIc42Vf0lc1X1YrzNbK92QN7PN+ kTi7y4Y9UTUeqqggqUTTQtsWOahWl0Rr7920HTW8Gjupypp0kde9/yj4fh43BnuBOyRjphQrgSN4 gTVD/n/PtntmCGH3dar9nVpI4vaLcDjaegqkCRDa1L/AFz1zrQllchZAEAKrv6K8+9n8o4vU8vlD HISaclzqxE4i27cbQoLsRqUtDoj6nIG6CwM2rs6k9AcPA/2fOs24qGpiVKNjaWePXm/dUeZoeyZC J0mdhsO6kG1fFseWGp42GRNPfU7yVpES0/i/aSYY9VFG8CSSU719VSxw+Vnerveyf/Jcl9gfreBg 8xEHAc4EHBtYnuO9ylNNxI+YJmbGSFeTtVP6MMYTjnIx83MrS8yDM3wfjf0xCXzgXsk+MUSgwi/L eiKv+nDhysEZ8sOoGZ2u4j4SrAYdrUDPoKSyd/6BR7nowzNuhOLsb+i9HZKtrAEn4SdKXQsMND8A o0i4sA+vDitlMFVCLitaEGDDCNkwEiqjRkpE7l0EL5ebuPluGqLo/zLbgE7gOqewxNtXRYdHHkCg RYNdvjban99MUfpUImqIsPe63sNjEvqRV8nmo6yRy7BAfTKu94nHyfdPJGmzypM/OEwUpWn+JxUR 4I2NI9AfdGf5NTgciilfsU2GOp62JhO/2jHBG79QLauUj1Rwtf+Nfzt+C0z6RmFxIUTPg9qoBU+h kJnlmhVsZYJfbPTRutA/u5EocMx1IsYhbsT2sdVQ25T1gzn+pJWd5I0x1Xyz6J2iz12neoJyGRaB DuALvukivNkKRie0pZ0yi7hpQKUd9/hV+HsHzHZz7XlmzDZQREE+Dyl7io5911Ch6Jh8EXIekRMd d2kmqgDI8xZuuTFo0mkWkn1uR4xhIOa1uJAnEf9BgnXkHhUxWYLj2UW9M6o77lyQc36oUHW6flAe 5rbG0rjsA371m39Fa1bxXygmdvmeMceubYRbi4NywIyPgis7J61Bp/Gjdts5P+VDfR4j+ldHytsk YBbjTvJktDiJcEkWWomVJt99DLMS6w3dl8FIDt/WoS/YUPkK261gdv1DjgvEAGEuMzqn91K1cHwy 8yCXMtQIdE6tGd0P7wb6P8GqrUB6nKGJxIKHrMH4Qgx8+sHzhN+FDfNA5LXuh2FkbuHUzhg5yWUn OzwZXx26fASyZ6+2tfO81Bey/h9D7DlOymgAUu8LV/7IQJvW8rKusQi8fANFyMuH8FX4mTdB19BU VMsm01T8NgipaKFYi6E2EBP3iKVi1Eaw5xxmQNieTHjXacGj/Gl5cxA/eAW0eEL1OPL5CamvzNCz Eb73vgBHXApRST21KzcgDJpalNyBjwZglIrBlpdg8VPPw3/cHde305+AVBNXuhGltJPQgraJz2S+ QIaYSIBAh9Q0O/+rOgXAqLk2vyvTlBH+193zi4iAM77wUplDAOEi2Ekk3YiInS9jJ7lZ8CEMKE4a L9Xk7DqTj2vrx870cxV+zbaheyw0hRut4ev0O5lgH+E/WFbDjDUPu8PACadIqQZK4Fv1WK5I9CIf W6Yo/LuX/OsZy8OMXGfoCNdRV15O3Z9u1Ww3UaI4dWopH80cJJS959FCZNhA5Li3d/1+GAIHhklv aO6wFWD0vcoGlrW3Gqe+OmFLbT1YIiCw93kaJ3RBFGXLJL6kVLhDU7o5gF72pTid+XGA6cO4CwQN WAowceNpTtyFYnGY0z/DkCqPEYRnvG2AC8EFm3qLJItHzrPmT7JofKdHEcSs1yrrY8V/quqZmAQN CwN0RKcKmfAE2KB37Q66/zn9W4ch7o5aXbHPUugcneIbVNqwAm1pvyLP9P2ZfaOBTBuNHHKeb3oK X/b3utc2ZCRHfpn64g/71NQsRqcLdhM+/oZLHnopyFjt4YdwMJV6Mc3Yvpw7BgnNaDs47sa0DfJQ 7vgNB1vHOsFSjV9MEGpJ+l7N+sLR42gJPJPmn+RdOZY9AWA+xRGuKNsA3ccDBOpv8cn82Wa2e+OR GmFTBMKR9+OWEqsp2e3gLbYsUd2ZEVnZ9rxTkIbZUQtTa90defohg/eSGHQy7NLHTARIYsd/3Jw1 NCAXUAPgBYv8l6LBp8hFTwxOo5XUTk2UAPfWtVwzh4ZANz5BZExXlYZGNHUUwrO1Ygb9uBqBjPE2 BPUn0u3U1QS3njGdZW+x306vGsQhFwmycYm3JT77ZBl/ivy6s14phyV8ccJyGdmOsXQitaL2Lesf O1X2Q4z32fVEoEfiQtEAuzz0Ed7sDX3/Rm+/tBSm3qyPY3EhMN//XrGh0NXH3doqHEVV53MEsTr6 Vi0l/U4jL4UxU0Y9zINw3m0Z3Y+EWGeCoqbiDksrSZU5Hz/XT8kVU21zWqGxRVOPXQudLdmUJseQ Y43A5vsgZhlewbrSlmD3nIjsaqlDkCD3KUNONzOot6wI8FpC4QFFfgcE8UGH20Wz665YIf0VXA06 PXi0h3MlySmY4lc3B3KPNazVOCLDqxnleCGJH4zEWx0G7npiktQr0+AmuwDm1Ex089IOmS1nVU7M PMd6+gqWdKRCynrmi90CZO9tky1IVl9bvhGMSIcDjFDlhbKDDL7KVhOPlqxll8p0JlyRwDtuxNWI 4+aPz2b+t9GX4dvnT2lifHDrJ0Y3fabY4xsylwBVL9jrfoj5R2nPuAQWVQiGIy+oSblXyLo5vhzm bnG28IUnm597tOR8GlOnsinuvThDutZP89rMLwBqp/dmsnfku36hD+alFd1h0RteyaAhyVPrGQQ0 53kME+TMkn70F/TPv6BPb51IHNXlXv9DNo6v3X91zLFviy65Ona17hxjX0acUwGrO5BftAnlG9rk vrSFXe7lNzCdPyMUY6vcNjgqZEV9/LeWGF63S3eNggi6ahu4RFe2CbKx+MeNyj8ZNqVF2v+nklEq ADU3xpzoTr9YEDOApfm/zGy7tdvE5kH3bF6n9gnb1dKtaLoQig1l3pUuK1We6e08YTHw17zAoMWm TfOXAe/aDwWucRGxbgaG+ESpl30nUo9nTstphbeuy79vqf4EW0IrEZZ4AKD/F+IyEVw16IBDkNFr 7vUaqzF44ePj1qLyxyMN9fJiIEffDRBrGkNhTy1XK36o4NYDXMow7GVGr1q9R/BzAp5HoLifNdlz UzCfVU/oJQR7zWu9Ajw8BMpECGgN9+wnEgijX6MZalZTfvtFflSLgXP4reh/H73Ng3u0hdvH4Bhx +HC4IGFhdgDLOXUHifBiwF+oCAhDUmN5I2Rg3mkZ7Dt770kcetXha3wA1BNQclcFVIftHccEYUus 9o7srzkryrcE5W/bh10U+rnisGlJt+5AbG2TDxnqDT1NYVN84VFPMU0RDU5MzeWsXXR9pp7VVToh KBIbFme2qLWsRJ2fI5XF5VjcfIDMUbuCOdWWfcAOgaJIhDS888NSGjr+MvoLYQjypG+v9a/meaG5 THBV/Q9OL2OmJ2rFtShHG3+tl/i/l4/Cs5YoPkBAFi8d/WCTCJapCzgj66xuXU2UG3UplN5Ab/JB uTaxc0+pqTkWD8i4p/JIbCtJSPfgMFNVzrZ0tqpeetiB7UBWH2C28i+wgIQW6ozDaY+wjMb2vBrz pFpYn0Z8Sty2hoSHAw4J4WaOAImUKsFfBfVtXAV24nm6VaBNCdBsVFTzHft8ufv6N8WkcTBwYAnc 7s0CmOeknPn6pEa9vWE7K/pRp82hoFD6sijGYImje6D/uJCz+oq5quMstQR4htAmjgEmHRpbw9My oL03t/JGIDtkEk4rwb8pPs4gVsLZygS0WbDFD1GK1o5oKHZ2zyiw0vgBOJkM7xQYr/hd7oE1qjhV ZdB3LDGgzkLAbi8yoCQvmFwsfuyosO2L49DmhTzP1FJUHrT7cmPo8jYCHGpmSnFow40CNlZh5J81 sBQe/9tMZH906axJIde18FdprDEzRWa/fEM+Nc/klN0RNNxzd+YsuR3aDzVYKhbe+ytzWbdL+fm6 L8eIoSxK147c5/e4I9ofVbenndpFndWYtRUFGCdyZRyITZruJA/KhxxtwT8/8I/7kwQFktfZQcDh Si1PMFmlb1D/eyzXw9k39j6icJwwCVmrab7GBMUy692LWyQp/L36QjDx8b+Ln87LXwYhD0En1Xmn ov8975eBxewCmL3w5JG34/vpXXnJWprjUyx8HUDjuL38yFZDh5Jb4zS9XhhinuCdzy6JIYJ9oozB Cw+e44dbBTrymobtmjlPF6zbbK9ye5dCSORbE/ljzFIZQKVii+cllDbn/jYpaE0OjVKkDwBgDQ05 sIxjgugl++6jyWnhVF7R4A44Tvp7M4/TUXkcOObUTOoVDBxm/rvnG53aSGjgcWukEq3ZzLdsI/67 rlOa78f32d0Yb6v//Hc9+2aO0A1QjngiX8IVXt5TDEhLoWctFtB0WiUvClmT2men6EdHipTZaP0V l0RPdn1ogNa5Vz+JyWOi7OOViLX7FYDaasJzjAGaZMTGK7D4VFCLD0h9fD92eS9n6PN2B2R4zBaV y3/aqPcLdD845/0yXfmAeL6vo2kmDSNGdDlabkhVb29y8lVaXsd/tf49I2REt8HqGXkwB6vEG8bg BRpPE5uUyoDypS3Kep9AR6LsvkNYSsHalIL206kLNnOJOGzD+lDsJxg4KIH46i3GkMMx1NPujAjr OItt2TwcMsHtdZFCkiCheNq4bn9xpDpp526BX59f0njDNvl7XSm7dY4VcFcpETN2nHvZiltuhmxX RinbjFoh8UA8gylT5GUZhsRVYvvOwFYKQRlRGi+69HW5X58HKdafbaC7psKq8fRjnvev06O6l8CM F4Nu+g7qm5OgKALjCtBwGFe0JYnPVb+m0Hsjmr8ZMApOcOj8ZspmDYebEQJyBIKfoLZhkAYz5iMX x4HZBiB6tDS/S2XdZ0D14YvkCBGv5GueONGBrH30wVNKh0Yk5ZTf8f7IbxPvwippzXlZnSgWo4CE E4Xxu6tlowaib2VR6+HAU0VBUfCGA0OFjBVvckUayeKkOVOKiI3yASHSNWmVb/daSpai18ARu2cj UkT/BwIxcsf4ViOz//1NszQOvFSHLdJ3lwSufHaJsGKC3mbE7yeE0e2SRsXYnDQ1E2wfwNMYIKNQ quzdy6dVBkmpyzOCtyrXO/mySSxH/sx5leeVClBNrh8ROCaZqAKLD9peON6Y/2JX0DFAvtWcpP49 RcL283m0SyI5e9F99spoiBSVT2TQqjt0g4EORsvlHSKo9nrucNlZS8dbGOsm9sO7eAbpbAWlPXbB eKxGqvECwMmvRDn1lcK/K5yrP1DUAO59qgtO512tgRmJwLNgjMOBnPY4QVMpZoj5KLV10Kbm9/sk YB/39x+u49qATIvRhiwY+wtSWP8G02LCs9Eo0s6E3wWEB/Df4YTusWGLpw3GenIzd2m8dArZNqCV 1SKqV4xA2cEd1wHibIOBt7968roazKEZwhAAiRfw55svAA8GxF/mC1bEzSXsVu/ZnhdMabMa1wR0 NnDKvOHqmVenxL07C2EYammlza4R+y8C5peZA5d87Wxk3nMbHnB3pCvNYyd41TJy8EEKKM5H0bph AUA77feyrTH5a78mbGmbXMZDa+whgds6dHeZCxRmSjL6U9oyQF7SJnmP4iW6/CITU8EBf4txc8uN vsN35l51SAWZWzhDkidmS/guV5/e4nXh8Zccw0N8A0OM1gGKuTgQJKD/+RDCYR4UQmn6rBlPT1Qs EzCw21AmYWHeLoIpWhMUPWggoAZlsYfJxKMh8DojVliMsi/o5vo7Bd9lnyvRaj6VDz3dhBz2CE6V oZI0VGpHHk77r2tZD2u9/PwKZkE0EUNQbuPHIo/lKhlMG2XPGm/6reSQarMwLwQl4rAxDkFs4geD uDF3bRnI6HnmRUj9E3bY4BmKnUgR0FpOEFpekyYoyTHNYg8BNwWtvMnLNv1JTQ28tLuyqNiqo4KT YTs21cWFEvaNXQzW4GzLDCR4L9LXyLs3xNSiTYzDcq3ZF7lqkYj7n6nxTkxzSRLRvR2Jymz4/Thx UQ/vKelm2sQ8Tsjh1WpjFrA2kDnQrzkbix7P52TcRgucPG7iwOwXEuME+G3aU/DirVm12EwS063N ya9w/NSxKMmJIvcpYm4T2c9x2TvhN5itlKb63w9QIkBx8hJluUawqvj4JGSUAhiPFF1JOZ3eySJQ Njp8KMdz/R1y1rmUB9d1NNRB5it/56a6kkpFLJrPKnPh72X1Yx6iiK6lWjK/LjVYDBRqC0zzSy/m rbL9DecX8hVvEggD+rzQpQZg4KPjjsknS8gETiof8Gz1zTUAqfEa2WZU7k+yPhAlsZRj52gViLD/ eY+RLp2izSZxCakwQX8gBNa+ZwHfVUw3aouaxdi4pxLjM+SbzmkDQjnWuvFL9gcqUAoGlwtVRkxJ RlFUI22E7zNcKLzUYpek0qbKNN8hSJ89lsUCPOj1nrayXvNdx1BGu90GoBfag/gkzc0+ODqmOuO4 1Ot2Xt2GXC6u6qSGR0wECsMRyxAM5BD6CUEzQGWmPzU90P4DeIWfPdxF7brdUZINrI3LV8IP7OZN AMVgw65bftpT74rIDHp7iTLxg183O2qauQiXxoOJ6nFv6QtrSp4d2aVqARcblLqlESgq+9BaRI0F CK6TvS36Sm1/hbVVPXkdfRvjwWH5nNup3KGeQEMK2YCf/UCpJ1FB1jF45I2Gui1vFFCzgNZzuvwU nlbGdM3x+dWPhoJOTF7cBl9AyGGiB++MZ9EBa80/uSmUbyjHQ1hvHdMK8j14SgAyZv8rcck/6C6G tQpNZVsHgiTWq2MdfZOhm4X+h6EvyJ25xs/yYh9gWJ+LZXPoHT0drbl1N3Sc8hEtis8/WZE96Bl6 oOB5mqq+lI1TUjw7+2vMejDFXKOe/YWuh+AjUgLPRlN7afxKgw7gh3JlELRgiM3+GzGdK2o0k3i8 6dS4zc3ztuGHUKUFTI0SEfhTE8Cw9Vdc/tzkM1HdNUvm1/jAKOajg3ZPBMYgrlDX97vd4PzzcS6l Bln6kr0J5SbWz2m2P86r201eeGV4AxzTylkJQ116Z9sknGTaeXGo/f0DLDTYqBONBl5uZ4xXpebf MGQ+dmIfWUFen85pHr/kwms1e5UjX1eF4PaPs3AwV2vCNs0iPTZxOSgi3VaUkTJopSwSyrJNehV5 fKoFsWGtioeyOtF5GT0BV4m+gtxqdtMVcEH18sL74lO5uru/JVW6FLTy06q9QPcm7oot7Vf1Y0pn gKQgvIROnmW2bF2b478zzHHFftxyQhgix9bnUatYhlYfTg77gGfxkeq61hRDGqsQ7+JccsTnGss9 AkqcToThBhOqJD8WFZSNSSWxsdJJq/vSrJJvsxo8Yg1zP8TkLCP3us1Uk5jnLl3GPvdEyxkRtp3p ickBvT/QUmazUJmPXwNq49+QWWq6Cz9lcPf++p/9NcwPMNge0EEuW/yf7WF5n9JqzIt/sMvNf+Wr yBF2BGSFym9zsW2w7sIBINwh6TjDoJAughdtfoFr69n/fWoS32+jOHE7OP1HH9+OVxh0YHwBcPFX 7L6aaEZmyDmqPbqfvJU5VDAfTjH7VNRs7XxD1CT8J15d6yOtEGoxjswpbBK6CbSe9zAIsEGnPXC3 KcAi1KFu/dAEQOzj3az7YN5k4iqewmQU/YLtLji3TwIP4JUFzxYcBaoIFya9hLNJHl6+mk/gzmG/ TJnos5Pn5314Ag94uVHTVLDfcV7oK1a83P6RBiC4TrNIvAtVrdxt92vHusb9AR9k4LEb3pM3YqXf Q2fZfmFFsaKvH0EK+gC4bDj8zVsR19QJdYzGnoCafukUWNc7Q7jO479lAM+XcQUWXWG2HltDw36X Fr+bVMfjMdiHiumqeUt7aYT8TW6bpfdkyx4QmadS2er66X6j1OH4iS+jfITrryxlk7HkFIC5MLjm bIMSFEKbYJKAecuXmIuaPqBfa1bMTR6WaxBGp5vloRv9OHrO4UIjDwpJWdX6WnDZDS5LUDc9TMPQ QcFUQYVXTIHRr0WxzmGwr4+FCX6UvWXoPyADh85eu5EEOHrt4Ve4qTqOiyrZiAK9YDJ1MmBs6Yf7 4DOkb/3vAbEyASHBshfjiL3FszZWfi5iXoPLYSCYbWmuHhhQNVTqRsyoIyhNVXChsDg5uk0TDb5f fjLt7x74qEuV1LfI04Ziw4J3zZhPm0hfw4K/9sUUlEYVWPkrQ+O4kEMJ2ZaXJv3+qegZGRWL7c2f eQp4eu5K/yZRVAbK2EmUTlDiEThYPIEQ286V5x8zqFA3bb5lxqyebZD6kIcV/MUT9BiR9HVQkTod K0QLm8VddEtER8g3g8GT/sYaNdDDi0xi9ARJuOwBOCcZmVKvoj/QcBBGMMiUDBRm4rUL8FLc7kBf iiPQVpdd0rTbx8+mSnYiFYCPrTsUwPSl+3uj4foPZ5XmeNvxtEesnhoEVrB5QKjFfRBvZ8nHJg/i WhxnZlA93Mld0qZxGfwUK9dU/KS0M99kerQR36t3EugB1AShI+aTO2WktHEiNSRKCxg3xhDGhGYm EuhM6yu374POUmXy/qt1tt7hgl3Q8kWxIvxm++o4X1tkDNYIryyQwTdV8RjsSYMXcDF/9degim5z 9ZAAtO9EdWu5+81q005T1pbFQT6rRCrTRqfr8cwT/iTu7hFQWpG+5UsTG8kylOVJOEWkwthk3due oTgXeLKF+JnY+joBzxNWOjMRaR9ADpWFpZmkhk1A/7ZgXfsqYN9Bzi/JI/1Z4II0OjSiQam19jTG TAYXU63dYgEJhI9F6pims1FEyddX9W7D4oFP8rlx7dIH+jpJ94vcIYPdqklG0s2200t27P1/bT7T adcd8dkR33ap3OUsOW6PjXm7ZUNtVKnTxoYF0Cb7nUuxHYrXwyD/549If2tytN1TDFnYyLLyrVDP QE48SR7klbe4AIZpjS0q/uf9dU7KqfUX47qPQMV+IlFER6zEbL4HtPnu3isr3u1B6e7rOSI1mx/X QEJ0Qf45mvtDcOdMxhMd+Qmgkbp8lAPxpk3QoOIdph2d06Bc+H2xJqYq+oQZatmhLU2626AMBlW4 jxMg/dYfHky/RrHEE2BsR898veXzWGiNIaKs8gbiIiEXtN0L8iu9KD0qmMnPjrOqfC1P0WDTCVjf vhd99dFPpoKla/EZHYBfsR0Ild1DipORK8ZnbAdvpQd4papvacGWnQiG6g4pukfX1Nm28M6uVR57 pidVl1ZDy8HlrT9VKcC/NgHFzb90nlshItzCQdH7Hbcal4QEZcd9z61MKUsnFSgcSp666l8O8fV8 6xETBPOeBELbyt+IikGd1yTM18yNUbpE8zKJXyaD+yaSx4C7pa1NMdKrzZEfT3bmYtq73OCS/aNa Tk7eHvxE2MAIQYmfz5MXqKnHrjFUOkazBKywCFUkfJ/5n5P3GqfYfXZTowPV8daIBxzbRCTGcxHk rQGSOGn8IqwIlGaZJYnJuajp87Js0wP6Eo0mZIrZWExkxmmGVh+Tb0qwpGMDNZAwITKRIRl5Z3Ka wVgPY1+kUjcog7lOm2VUmAZQ50KKNaPgx7YX/GylLgs988JMeT7mk2/NjGdAqg4aSQJ3XtGFBIfm zusshoFaCQyt9SQf3+PIwGzWhnAsOul+/ih1gwhRPewMb+HDuDklH7z/RCi0987dGRu1LB93el5k zpEgKOAHV3HkkyITqGmjOB3LD12yfXhqlMALLAFkmt1kgriCP1f7wO5xpErEbJ3Km/FWlcYFvRrf VOli9qvi7fZbMp+oagGomba+Am0WFHgUxa1xm6dpmSFYzN19dRZJ2XAMGpFgYOWP5m0G/TcC4X5M FkQamlITSvQO4SEcrSJiWQS5fnf2HZRoosdKN4ChF+jNS5KGnaSD8MBenN2h6c8fVQgdYFqZeZrD mtseezh7e8amOiVdP3EmgwRW4E1xsfOZ1kEig5MjxFz745PQU/p+Ls2Gb0xc2+Z/08yei2iYS9iQ 5jY4IYqBE6mfYvtaOKzIMEvE0j/7jSvnN/5AF741PQ4ay6DNniobuf6DOwu6Kw5pOrlDm+zvSZ6M efs/CK3nVqh0R6+anXr578YZvf2RpyHkH949XEJGyMQo/l9d6blfopjOY9PZqw4+OvktoLxWX4tY mvjR/6T3I3FP5UfvAXjSs/HwneGGtXOxwir0SamJ3vsy6xMERhzCvMmX6Rsge0ZgTRDR8/xq3Vma QWMtFZA+mUEkUWRZN3ECC+j9mwElPz+u8MUFxMKBk7nzP1baQ3NWjbWGJcgHhcDcmmUfrNecZbrE p/AfJYg561Lbjy424X5OeP8pAcGMj0onlATNl8oaewqmnMltuFJ665Kj2VMtm/ljxQt5q/YqdoFG KoJZwck1NFpyxGndEco50kgYcroUl0Y/pdL9S5S4bOdZ4LzP5Y94kbqBg7kpFdtceXteIkxRNh3F J1McmgEyW4Ye+5q+/LideKFlIlJxVsSVQpZ8g1mWjdule94Rmbjf6WSAVvpRgS5z9NMyvB/8H35Z Bfa8gzU5mtdQmnJmWDEllhWzxzdbcmXHTsGZblBAs/C/oLjCsk0WyA+9bIvERWWmzmNcgCtEirRQ Zcmk/FIrb5IgXIlgJHNzh+LYIT/tkJ7DV8RlahZ6dlZpFKRrrkZMTWR4xnt3MvDnvrnTzdCZ1rR0 oeETabgXrV6cJuGIMO8+kmyBkQ67hKCePgV8kvk285ue1WHMehVE6YCf02923tzys9dHHwTY3Yw/ zNBOVg0gcLSa/dy64Gnq9w0RDWufXierfdJtvBXmZX5PVtTZmONQvWWfOo1vWR5A0lzOsoPf6+zl CxSlulr2q8RMB9d9DIbBEAaCNaWqoyIMFayHtytbX5Yz2udXI04N2bHJbGxwevQznxDgsDVpGRwz 6xZnxJWmD3zM50NC/c81JG6DiRobcrWdtGx7a2FhRNRp7gqSoi3yiH6jxluJ/3Dp96Yx0KcuFc+W nqqij7+fN50MRSPxS6MopfWfnyTfNek6PGftZiOjmDjNjXmB//U8vB1weasyB/8YNm7A9DPaKWbh iflD7uJmfjPIa/S1yGAnJKuTnmhkJnmGbgUwRI2GPfZSUTZ/MNJ9YWWrvUUF4fmzyCn72WUytsZf 2W82TSGgw/yrQKms26x3sJUNEBKe/N9nFwzl2wT0Z3WSU9SzkEbTbR8hD+zUGY1zzSA0el94wqC9 oAWd2dm2w8sNo+O9ERscO+udMoFkbIfSGlFBlCSzlDDkvW3UWwEvqB8vEvsIl0wBQfOpyaasffqm Pt5aOPaZPqfCR222/zTGlDf2MV5+Hyj9T/yZ5qJjmRCiU6/qFjI4E3u+glCa6BAQSKJUQDvt2KKM qcfqefpBL23LPkjkoLrfIAnOb66TL8p4+VvokdyWNs67i4H5roFwXcSn8+KBv2oMGUgV5jo5lMSo EoeJIk4f1OroxXqhvT3WROyOhQn0v1l2VtM7hLIRoI4upiqBmpBqz9ePNysn+yt4nACRgyKiHcSo /frZIHWy4jZm6+TLvMmRdHWT55gZ5e4Xq/xYc+SiUujMAsES5yGQsWUc00lvt1urlN6x2KLjksJp 2gxwCGg0iahB9kPqPyOxQfc25v+8gFxvY8eFXpXkLx3L9bSgcdqO5+DOB0CSW/1p19mv1dkRD9gb HHzg7n8JsbeIrp623vrEmwAFiRzt0DkzqBXRcT10PaeV4tV/fsZuW6o1gCR3bJDNOBQxZSkCeYCT Ied9GkfQqroN2qvx9nZadgkFM/id7EndSXgxkHX0VCj4qWXuwbEJepqUvmkYuSnn89+MoH60KuP+ VMWaSykNYAIBAoEbxEmnUyeHvXBMMW+rEF3dNbT0eh/Pt4iuE7lgN9csyiJ8/zTIHs3GruspOTo3 uRBWE1vY7jYM/5NOoVxXeYLgWNaZ/WpVf/6/YVGkkOwO6CLGFjjpDDDYSKNHwJdwBk2PotLM78Sg oV/BwXkRQeguGKdRvaXAU731tyavUQje9U3uGLvxNaFy/LOKk27Zb/Sg0yUVz96hKUyAZska4Zcn cduB5A6M9QbnScKojnklew+1/QGi70aczydra0ZA+UIPtPJIP5IfjenM5tuVa2dZzxkX506qhhvj Hh9oreAckIiF4zyUQ0TMviZ2WS997TWReS/Z293tsQ4loKsEZyYkY6LDvSb65pzdbVbGN6DxlGSA AbPSM7An1jsa0WMS41Z6EKXCoIwUPU5kuOs8HtQLX+jrhkDnkA0YzsLLJ3m4jW4CkvmUTSkxHzJz qDHygKz1RoADM6gMF7WFRQvE+ZYEgjEPA3+gCGlvpZKQOX2LhmoD1a5axC2X0+hadlhkOfmCnxLn P16SdDTBd1Q5/SyP6PLFf5u8uW5S+V5HLPzFJ0v7fjEuSXfKtt4Qj8suuEdMVHxhvdMlpaw1y4U7 OY5L2UVZ/HLBOrb9SfWzei4R9SES3afMbVLzjS2u80o9JRuoK9UDd5kyfar3LndJnSjHkm0iTHrt wY6zCkLU0iXU+YDG5wL/p6h0yIrftSQSn4LCUveYY1aQ31fhJZI87Jvzdzb8JYfC27OCw93pwN+n azaFbatffal1bUrT/GpbWFFMMjzkso2+lbQdVWE39VsLqWM+fm660LxcFH9whkmku+1z/VYKXEoo 39uq9EIVmwNWDMcHJtTWHEu4dZbbjOW1F1WFnQe8TjQB3s7OwnZsMRut0MYdsJceSaVHRroq4Omz P2Hu1G+D9MMiHTyl6sP6mZvIofJXT5XROzWc9Na7Odc6Ry1ohF9b1/n73GobY1tMURDBJPxKMv5C GX//KTxweneShj0L1Cz0D3FeqLU1d3HGv63o6THZqQEFlXCdRcliwG22XpuM4krCu43sIwIulXMN XuMvYLqmHj6VbmpNXyUd+XlUwrliMzY4mRNR63WDvN2VCwjlXf2MFL5edhPmN5jMoYm4TglltaKm +fEXwehZlvHrOvZ4iqO9s+W6LK1AWo1JdVe4tPM926Mtg89Tj/f/UnhIMaf/8REiYm6bw4LpsOYX EjaPyFZyZEOLvqWPYD4pKkcB5o7B7nZ2M0tK7S2Yt4mBemJS6jqd8tsTWUdRORfQMEfCh4wQfe4F SK4mae9vTNWyWROz3jz8xBOvpKrs5uojUooQvRN6usp7sTBdt2O2tUgh3WfnryC/ekqEbfd9dhXb Qs3M0GYuoFMIxL3tDV+5fCXnDcjCfROe4+qeKCo+IcvnWe35MEFicxHPPo/bXhKheaICvAB1vK8l ii8/sR+mGptpRQZegTT28ak34o4tCse3SIla750kSkMQfrQch8wBwmox2Nht7bGfIybexbGBvJkb TXYMPiL0opsa299VkntfcR0Wm5VGIEzQEM7/8R2O7PbDvlRx37w0TxEnUn66F8+rJL+s6usGY7cD QaHy6OAob6xKXYCjUGxOcmSQ/ksAKBkSTTWpLL/hH+iOTtLfl1yundUb5eAl3Immre7moOEAkqWL JheL8ZHBpKXF7rPFs5Kw967vC+xvKSbwUcjEPFwL/Qv6tnrYBnD+9DXGA1QzC9nENyMKcOWRzxbb xtR6MkZ2fDqTyE8AcWHmHycMccTBZkMZTFkjJ2OaJuWZYudHZtbbFp2HcyLSXb/0o0wm1HtmJJ3S jFj06ZuW6i2ugH63qoOCZRpK1OPKKFO3cryxi18fgulFKOpisMh1VMp6wJ8tM+IQedewbOTXqtnv ufZWaXQ9zXJjGRhczvMQY5CdL021+yF7GFj9ho2SCs4oJqMnMItJHWUPXItjiz6bXocSU/mHi9Js a7Gacg4Wyoxeci4xbahxBvwT6gQrqKXdCS1dOuxpW8tsPexE8AUd09v8CeK+v74h18KuLNlZMwJ+ KZ5n4KrhBOP/7ZprTeHV9grdFD4gq3x5/m+Eb5in+eDNQUYPEdn9E8TAdiyzt8dBHBTqdFxCvDu9 zNCk7QEdoog8N/9VzIZ4IAKbj1bEJOVFURJ6AMTkMBiq79pIfoeEcmKFY7HhxveRsuedqEfgc6GU 1Oaf0DbkfHQ32Bkl/n29Xp1dATiAOb+ORAtpbdAT7Q4GqbAot9xdUb4bciDKAjDjIrzWsFEAKpGp G1mZwARE4abkLSZhkmhcjXCPAaIlMXuoqLqklWMy8ivgt2wtHBP6NHjpPLnMXcRd7+Lyg2akvgcN kbNIFqBffTCt1/svjkHERDjO9h8sdlxCzCWzhoxgK0JS8NLr1Kj3vZvmr/yKAFiV+/tZeRMPhWfQ xaL9To/Nhm2iyftdAQ9ablyKtk1syus9fu22iBywRtXzJ5gu43iIJ3Upy0/imn60D0kKU7e1TY8k yvuTHMutFhR3GqlYHxvN0jlz00FktBVSzs4jp9x9b3/wvqYoO0pJUvPTCMw/3I16X2y6N/qjRM5O 1UKjI4d+WhRPKlp7I7HzmIBQp5I87ZF5BFz4CiuB6Y03r+sOJBGSJOzhi8uY6xdRcMdBzUwbYjdc vVfK4WTH9kETBs7hT07sbSt0AhUtakoZyTarJnKiOnw9mLxfLVdBBA38zE2VusV1ZRg3MwsMpH0s Uln9WdntVE/6e4XkDRW4gmW9OIneiNFVEcBVVCMzegT+7BXC94ldB7gKmcaEV8WYgkaT/Q5vJNbx li1qSj9AYVNm4aqrt15s10CfsMbSBn/MvFJUTBBpBXVz+tSCjLWFvqdVFdz5KjU+bW95PNe9h5rX yjQxfng35ixFfXGr6SX1zY2zHEDdZrXV6S3Wn4XVQN8PAfa8S25JQfyHEZzjs4Z4UEmQy4TiQ5xA z2Z+ibbayR++KluSYc+4SUUg2fVM9EXt1N4vYd2zuocPrhjfHXo9ZRJ/2dyv5CzLMn/MV4+WbrtE fGM8IyvuTcfgBKsMRSbHiAqFuAc1I2QoIeb8aT0puKYm7NJLIv3g+/T8i36NLORP9JskvHiDGzkF G4Q+vCm+um2IwQbsiwJ/J9EApHS96UJQXh1AzkvyW4QE7VRwLZmxHThfKRRAORQ7+XauwNl3MpsD JiskGjVjOpNqvIZiGkk9teOo6cjq54xRAlxj0OsoOEoQYRxy//7dn1yOupWqaFBub3wG/TSn7ZNs 61V0zMi5GOMZGe1I25pqsR7qfqXe/kyrHvqd3l9Iacjc0g7e8hryUUt+Mt9amwqUEp51NPVV7sku MjDhzlmrXb9gng/IBqjGtXinAeaq2l2k8NBezHweipGnoG5qpjlCtylFpZvWuvMuophpIOxoGdXN JdqFOcO0T/SPnY10TAF1gO6CpGpXFMyK707vy3vg2plzauciLI3s5PReRxJ3akJf5mGYvU83H3cM n5ZLryy6uqwhyfVoDPX1Wddq53baDr1T6weWKCuMPhG+JZs6WlLSUNlVNL+e/3Rijdq4kq9yIOED VPh8ufTAQXZJzg0YLoJlfWLrU1fkVS7n8j2ACiyV+MxeTfGh8+VAQxaUaBamGXTvS/1hnszF/X0M Xn7iMjR7r+vpdxWu3zPwnGx8uAI0djs6oRCLyemKvWqzTjTpE6MzYxYA4aej1xvtpOFx+Ft1gey7 61GA7UrRI5J/MHQusZUrqGKDjCWBOM2psyDh8yZZwLHuLLgsfjBWoAnFzk9MuwuXXxKZHUspi44o XTqzV4SCXTw41GmNfv5ZZS7jQ5KWAnihtpzyf7am8s+jtkz3GHobId/B/6A6uDdwT6iZPsQC6zzC 86s8YqxJAj+RPD7gI5cXmTJJcmeoYsZDhhLb3E1uvVM/uMghxqAbsS5ZlHRAgcnUd9XliZ9pbVcu Yrww/nZyN6TOlvaP9fjBJFn6Lkgpy5vABB+BtCqSbW6eIdz4bWz8+mV8T7YDYpJ3MgfTcSrBGcAC bF8/yrJy/5M5+lb8p73IEWFc0PQqxjhSCnSWWeg1YNo7pJ+q7xW9Y1PzM/TFwgW3p0PFQUlsXwH2 tRMcx25egK2yaXFzFKVKYvjqIKXHtxFUUifUrt62HvUyhxEqJ5D5/HxMeH05gxG1uGKXBpUd8S5A 3/PNc9YOKWqxj+3gOBh4dD4c0Ft6nFA3lA4TsHqTdDpjDYcEllGd3Qml9Kg612rkxjbqxGp86V87 MATMb3STpeVN1vq2n5pgHwEzzkBS2NWqxNq9vhThXlh7sLLZuaS6h6/M6sNXbqvZCj5z9ICBG7Wb ja7jv7HsSyeIa3rUkThPNXmbBTXuXwLTSKHwWD73euvVUzHhq9udGNH5JuILkv+4f5RzaeP619NQ 0Kp7FqWrsvYA6I3LgVW8qjJAkZ0tKhFdvJfXOUnWfY41RVWljyAeXw2vHYpwjjjJk6ldFSbiuvku 7FYZlIIZ+/TlZ5vPr/37jV3mQYqjCr8ZivEYG0g9NhcgH06zoxn9SA2vG7QzqWDTPEKV/30t4f79 y2jUNZiOwwn0Xq1/4AyYo5WoQmTp3/UmK2jHcpVAUM+of6xwjbJF1af/WyxUevijIrAiX/3gLFlm DvbdsEou2plldNUiP59smXPzPq92JcCQtFhUeC+MU2BqaYFLsJ2sH2LNSuCEdBmex+U5Jn5PEvCF Ve5UNb6zb8lGlyrw0lBlTnIlSr5F+Oz4Bk2djdTLMb25jVmnIrrQScxDS/84cUURq8e9aCauTY1Q rtdgADFeiOUPlDbbGwXsnKap/TAmsYG7sOVNBuZfvyfhCJgnZMeOYn3m9xaTSV7tp0Y+P4rmFENB EHVST2YYatO/kczWOQdvARgrPY4h/M2c415pOkcRhO1gcfAyv0NF8ENML7Fvy4Aiay34RnvK2RWz IWtozKiRHh60lRZJYKeqqUyth+EPwwhLQfTV/nyKXRuV3+ZYJloe5bctXiL2aZ3B9fE4xD2umpoH updgsTbbzLApb2s4zC50Zhx3QNnG5kM/ClCWfdUi48vcErTnCUCRMzcsA/YChn5SwQHIfvw6toba kF+b9dD/r1fPGijjHjwB5PMG2kw9OCEWSO615qjbIKCcgA0tY1ILwKfakpCbhVccTPJIdHHu/wp0 itvP233e11lCP3L1BMQhm9dqZMXxj/MaG9d+QTaWGsoG1RADFERGRRyxVCNnKzNVXy22w7kvYjxg qLcbMj9ndOAu3FoIxrQ7tScgIM5iRN7NtsxYW5tngyXnU93M4cD3++QnyUWAp3L3i45DJgyyZFgX VLXf1yITbRlXmvOGyDYHKFUGndg5uYWMyn+8BGXAAElJc8dtgSuojXlpDQG6Iv/stI8/JBbuOUpB nVxDVBqV8S/xZkEoRuSTOkKCis3fJia7JgvUyag4RUNfc4MmzlP4jshiAoo64JXbuyv5IZxXuxYq jMw6edeV8UDbUwXeRuIh/kHHtPQfD1NTbTX2omA62QWWp9HDp46pqp4X919VD69+fOk8sYBM5lUG /cPUV+9J06/O5GCcz0eNdc6TeW73MCO52cbnrkne8X3nFkfv2kOsi0F96gX2nAx+zYzcNjBiYGCm ifdahqqOmpUyLfSIIDRlm5+2Y+hw4hv9+r054BwI3fFD8OhfYDzpajiVsUW9rlkj+09Ymz8WhB61 pRU3bWZu1mubw2eFrU4FMpLweRSlciS9vECXnspjqUoajG+ogqtSlu6UsWRk3Fbh2zt9D2Tice8r jV6LqND+0VEnc0A+IQzx3Q6HExNvJm6R0w+mHs7oAl04+BTR+Z87IvgvaakEo3WJ+COJYOq0cMy+ HofIjk13FV3hVt9IyA+IVpWfkNPloEyEPxxWiDVnf2S6WIvCqWCUd+jnrY3GUib2FYY7vjNO+hyu qAWO8logxLAwynCC2bE3ZraI6qx99P2OxPY229TiJicQtPxs8DbBm9+MXw+ugfqxOOChi4HNo5Ri iPKDQ2Q/QPk+EtGQC9hm+orjoMgvBJ3pppjU5N8W6zlts7pli+7bki94iZ5ouCPcdgg361776VAY lmTf884dlIXmEsiv6e4QU565jW25NG85ipsjLZUYy9c8gRZq4XkbQPUMLFPnGBQoPhZ4gBa2LcDT xkM+D+i4SsiTwSyLSOLzNo1KI1tlOk/O8XG05d9yhQ8Fm9Tc1/qogTaDUv0EkWQXXeDaBl3o1UoP 0/v+vE2rd/8nf3wmy9MOgfN9hElpbWdWt7HkTVlXMxlSO2pwnlotSpCsHpZ4afNF0w2ebnzTgCSO 3z5+Ah7bdcXX73pWWFBZDpFl2RB3PYOXBPxWIYLQ9U25l3uyXhsXTyyZEj8ne07EvKuJZEdDDBKl bMzMh5d15u9f8jhwuQvbp2k7pt+t5pAUxhfSlS7EmnA5uo043XtZONV3K8hxgPqeKLEUAyPMiK19 PIdCdLumjq6Cil2QWTEuVD5nUFHkxJaHgxk/SI7493gKbAIDbCiNdXKZHYEq68/i601+qYWvQENx CEMuKF6QAOpwwHNK+u8Gj25ahI6tdjGz3LlCXVBfPMeA9vn8CFDtwFuqIiXARxZx0N5S+4zSr6kS fFovelblmY4dYP+86caXAxWA1JnjPR4thjadc/N5/B81MOS7YEUwoO1Ug1rZto4VZv0eamPwm2Wb GEJgk6GyXpvwcsV3/KKw6iwrkXeOPbWhRPz43apKjxT2qp1Duv0JqgY3sQGDzafdekRFgKKAU0b/ x67BsFgLn2rn6P/I63W3A3oADcYMu5XSsPP6CokTrKUC5IQr1CdkbIngwTbKmfggvJcaYTiMSaoE q4vGwmIOhgLW/ho0jeO2GSpeX1eh3Fp129+BXFQOuN+T10c5dX1v0WYjMQqYBA+FKJz8Q9CkXVhL Ranv9vPY5UiqnAkCCakBagUd6qtFMIFfQ3u6Sy2/JFDLGIkWRjbpdAjVi/iduFrUmW8w7P8QuThq 3g6iVlX4gH111pNW8in94uCE3oKMX9hzWiS5O3M/lA2x7fK81Cfsb2mmwbQAQ++CVTqcBW84R0dw 3VbKE+J9sxwHZDL5AQNrB0P9l1LzQJ6+1GKhALYFZyZ170pagA0dIO1fyF0o2LREnVFWxADcf/jJ t7+eWyhHd0m+YllPowkyv9LDsE1UKsRO7n5XIUSM/frtsqJW8iOkPIrLgZAPB7ZcAZb2NcsSXzLF d6/mnTf58gXXGqfBfMObtuHtc9+/CJjOhb8v4jAYuFB24EkmVvUbM3VcUrJKc6lR2WiVJpw+Ejzq 3r7TgfpofrgEbZwVVzAAXGuQ2HxGyOMr0caMQafZ7h3O4MNQONBLUlpRCJ+FS3KYfqFaM+r96PZH e0nNMsKDQGbTdYk84KtGozrCMBJFgRk/5x+jTyYj3BdesNjEjVivjdZT6WgruP9Q1LCqjyZMxKQz ETzHygFKds/0sVWjW0m7ZrSrBMrxabeFiTJMryURoigcQkkSKm1RxB2Y4MTc71BaTNblibgrWQYk 99ZEjyI2FzAAFlscNzTkrkvXmBDScMC4irEQfCQ5pjb5R8vtzfFpFxSLKDneGg/AAuBEyf+FHU8r gFhl3fnP6BBPlanVb1w1uFd2W4Y6pOTPb9ZPDhh2sf5al5xRViyErLCtr5uR7osH1rbQVAv0K/su jduPh6ffN01Nv3FVCpk+at8piWQOkz016ZGCV81SCf0ujlyXaLuXQ4sscccJH0CZNZeHku61J27b 8yyJB8RM7v9kqtkJfrc8B64WiRJmJThP56eBONJmEU/l485T+MZysmcY+Ekfxp++bvkFFHtpO3LT iS+1Tp7zEUSgIeXWD8n271D6lo9jhIJPwxQvPJoGT7qvYJsM4S2iCGy7dKMxVvn8a3jy+VyXwQQP SfaV3ARf69NzRWgEJn9YGQIKF0yh73VCKTkYC/EgOGjz8vsWGnqkVNliOzwtSJmpB1mK2iOg+ye7 KeTpbxX0qXf92Uar6/vglyMAFH366954+TpIbjooRhCTVYWb7n/qklkdrqfPi7boT36pBl+b1EkY ZmGgM3H1PlMf+zQB/s0rmfUJ266lAMYXfx1fngKBMZbsyWIH+gx0p1591mreWbKyKxCdOk5nXdxw OIUrM0myaMzfg/GBHEeOkOAaSI3Av/yIRXSjGxYsrkuKcmagCxTbe7D6yUYKp5stJh+6uKqzF/hx hTLQ5KwKITql8tFGuVdfearBIkkKw4upecofSI2cpX9eCMCdxtlK6GrbCQb5rgr2TKRTfcZC9h2A vJZPw8KgrJHN+aKTdkEoeCt8FA/xrYAO0XPd0dPY/EuaZclkQr4j1o1yKO3QImr+kReH+kVGNq6g hpYMYtYZR7NWer1zUYizgz5PAwlyL3qH/7U/jN7tnu2sZx46ePwGBKi85WpfD5mJotynCYsFIdXH Tri0IltVj5Nx7vNcjbpqtwoVDEfTY/+O/jRxPEMEcrZpRee+B3bjmSrdWqYFNeJqDDNE1Aw9Ye2V StH8xlpH2mWme8GykR0M4heoHDBPCaSl6yo8kcY2G0hXsOxVYvGpTRa1JFJm6q0XbOrHBYZ0fVe5 6rwmK2H7+w4tt2OryA12eWVEmkMDb3OopQZsKrTA/ZQhm5A9ItjdLUhBR4Dq9InFAH8pZIzX/IbX Bq1uAWHR/qIxOprP1+b1JWVEj35Wv47MFVh0f9tq04OB40m72Ski6ej5h4DaMdPtIq5KhBSc5bxJ ZOYPjFFtkIuinuZyUy4HUi6SZ5sIOYlYhL5RGgFCgZAVYJNcocTyXfnz0JljhO+tQ+h3yY2m3lfx lOuwlBRnfSwS9aid+ufTG5TeCfu313vRmW+++mb8skKaAJUTgMb55cJyyjcksG7kaazcb8gm7qJ9 ImjClENRnavBYBEywmSmP3FKYwVttNUubUy2LN7NUeUD7MNKgpaGsspVDF9QCLk270lQv89AXels wpkVv5yqd2aOYQRcrvhLJvk8Oo69gNNsvBLmVXOYSP4eZnRTG1kNpsYgnp4AVDwTLsRZsU71mOO5 w8StSY57mwtb1lHFg03jR7vr7yEjeqIeOmv78dFvkkuLnUiDCzE7NVVej/VJi+bJvj4FppQHLB2+ s2d9sjmS4s2C+zTp3P3myz1TuA8rW7XZgUNcFZrj1c0BB4LHKldISPT4uiqd8tOMKk9sGF1Scy8v Uz8ooLFhSTUm1JjrSF1AqX97RXZuE1RTfG8TnRBpmfUncMxdnvgvcgWZwwtx+ko5ksSclSc/PbJo aUxaTLOVlkIiZnqEQNwMq4EgcY5bVUjS4C0zNUAREui8jdD/TimTf/YS6D+VxTkCxp5wHXz+X6qj FemeYdGgVoQ5Gcma6JX7xqMFGvoYBBiNDp/RMUZOL2t/n51adWLJeBtl8dSo5OF57FkuHjA24kcE TNSHQsSZODJlUVPl7Q98Qyyop7gGFo88jdsWZRdWDeU5DPIbF53CB1pgmJMqHjcBB5kUFsoL5i21 2oVRFmLtJY0ID6h3PTQSbuBBZ4zMtZYkkMT+pp+hCxeohuxLFhhHoOzXX8lPtzOTGfrafK/NgZvW 4hUWrYJo0/tFSGYfZwm7zoMKioo0Y0qT/ZAdEeaSO8/E1UMXc19GcPqEXuDrVUERfOZJ3wQytFp3 ELjvN3cikJPhGBwkMQzurCpmtKHLJnV7tZJKz+AhdvPRYPuZZeAtZ3ofiAkPbgD9yhtQZjf4BrMT tkSLxDFzM10kJmTTpcNWLPduzG6aU/359ksPyLZr5w1ROHFjrz8xXPlwZfiMVimBW7Th0A/j6YaM i3eItMUIfJi/pXsu5FoUaOtS2tXCPpj7Jvta5MBHAUP7sCSw1gUlI+dbPtfOuA9He8KWSN5l489m telCVUy+65JyzR7UeCZM8k31XuXaebsyS2oJH1zZhWM07RhehYaRYwV7w1gjzE6jrnqdI9b0omeZ W5sxmejq7mq575UWLq22M47MOyBVZMLsagt91+UiRnfhJPfW56Opie6xVcdJ7Se29k7hWi8LtNXG Mb+aVDij3lxOMkxWDRKt9RsQrkGSM110QwOdAx0LXtb92H8VRvbVaojTqh8sA+B/dWe1UUSDjXu9 dnH/DchEqV+IYeXe1vYsRexjvQVihB2i/H/prLZTxH4zG0m94R0wP7ffrR724XBh0jmRaxSHehfy BHVu3J/D7pgG8pILvT1Ogd8+tH0A2V0SrBjSasD3Qpd/baGt94sG1MPY3jr+fIOhLWbKG75Kd5L7 OOCcJfO/Iym3rtY0gm3xo8PSuGFCPtgk43C01xMpEuqD4XzAubH9UYWDoLxQc6T94Y4quHAbPKTm yvkz5txkCukQTHuBqBk8fvnZW5TH6xvFoCLxvooKbmMFtqc0e2sO87NGbeQryB+xLV8eSf4neK+9 8MEzCbuzXPp7gp/UFtBsblAs0OlY4X2/D8/pPzePvKzBM7XYgzgHX8Zg0fpuQwh72wAiuTwVtUrL XCr3oei+m8EB+UYxWFHP6tHcKpsU36Eksdc8wii/pvEI6kWrk6vEj/fP90rV4IqMm8yxo5o36l9b Fh5xnjKrioDUV/PLOKTdnJFlsbBNm8DhO/lsSlVMac2wyZjRk2hTEf+A+DB+MgvOGzLV3Zsvi6rz fovcyuPXKkhFfOLSZj/11nc09LDvykGIYj0nr6FhH/pCGjdljkiekJqNRD3vsH3hjC3A1X5S5SwQ lnJ8M9F+V8hcv6ypei5jJBultZLkNRfL4lz7MP9JpIh0ytaidAZ+NxnHM4qCWZXATG0Ap/z6mVDI VNpr+YPwqL4MTa5jeWi9slEF8u9Ry+pC6d4mNCDTdYSZIuO/wiMv2HJjGQRPv1Zc7F5CwF2pAx2c z0Oi7M6QXf5ONxfJJx05rKPKNJbla7sYX3/Jz3OfFF6qDCaJXZUzmSIsdUwLAJR9wHTxzMJjWucY /AFlB0iovWlC2XDLVpPFE0aVZtxbpxjPlCuuUg3pa5P7MINpyavKz6s9ZVjNiXHZn8y1DT7C6PMp 3O3HyVzsFC1P1ZU5JpaXtZB9mNceZS0rv/fAxyxE1biYXHkBpe2SqGAskP74+jlmvgu0ZK+7t27h 4nyWMO+daqZptsYH2kLY2kt20VsDWNDLjajAf84w032Tm2O2I2ltVUVIaTdCdvK4fL5z65TZuH4E COfDs5ao38Gt2EJ1YosoLNaer687FUsbY23Yx8xzX6QzLjnwTQXb0UkV3QqZake7AFKcF7DPthNR 4m+o/T3E88axrlrsZVxyD1NjMH8ITiqTYSyMGhSw/C4lYpYNaaNHWsKwAz+Cc0xcMUTGKXfDsXQK DmgI4qotulf1AvgJXIDiMTmUBBavGltesZYJD1qfCFML8X5s/4n0PGv7qlEVf5/a5ipzPDd7o2lL VuHYxDGzdPlHfJqn+K0OnUP0LoAGe3zVPTzyA+r8tUw65O+dAjKGuhYZ0sNNUjeNdnNTMwEF53xw 3DbMGRLQoxdF4/VEvNVBvCHlwtzS9kHrLl2aHtueN4OA4bcxEgg15OKox1rv/PghKPG/4JkvpOzw As8Y7Df/g+uhel3jMZvBgGW/dQsAAwqSv4pYWIUT0eIAxzBq3aYe8xdCq9UMuPYWPlpajnuPHT3L uXyY6//wPhavML5qGaDx7xn0yxuQyiaWszlpsgx6dp3QAiYgVcAEw7eAhD1ple9NmvWTijTPeMWj IkpUIhlpd5P34uVjUyRRXcjGYuBpAvWMAQUT/OR2ojD1DiQbYG6eayyKWu9b2qLyFOZ19AoxxCn7 TEhyY7tRh7Krmp3Pb1GU0SghSSdIWrpxEZZO9sv4E5KUCjKggrDiQCEOGiu9EK14FhVp4S9k3vl2 TpBBsiAI43d+vAEAY0bEbNn7nkp6tUu0QCvxhQFWfDaSn/Eo17CFVwJNHIyarWROcpnbwENaHGHk 9kNN4hZmzaLs4hoccQZwBYIYPqXCWu1BGKk9otXvaW4WSwKVCOzXac/I8ngWQvfI5hV2AVrkI76b QMO5u7WdOz3fmSw4CgKU/doDbcniSRN63YxcO45EOCevRJeVGJJAYfDWX8OL/gIVKW51pnsSweYi S1e62Kr7vfVqfV5LMrM79nQuqoVXGlg+odxRd7tk///s2toWLsWWDZEi5koPAPXs8VTsflCd9uZp AjJMULlUdpFeaX4JNY2ORWWL8f6qzWEGiCxCnyDbj7C9R0mMvqY+i7EqT7p0MRWr4LIdHxM+ySPL +yHcOmJiPb+dx/BWtSBX8kIWj+JURFgNkOpmKbpUwaEXDR25bCIufXIJ4OFrEQDuTXYwtThR0GEr 0QrK4U99bDw6vVQ0PaAvvsUj/0BJutquEcWyFc4qDI80KyuIHZwUnbI73lx9VsfjkNPtjCHtRpgK p5X5fr5X6F2+FSJvc91CYLi7/HGwA/GJcsNgjnpVOPC257PKq5xG2SUqyupOgr0Sg5V12nqeAnv8 xvJdd2N/y226xprFMH8I3Q5/Pp2rSeBDbyIAINmmtUxOJXEWFIGFFXwnNho3kpBJGF9n/4Mt/iKs WSsoF1OUF/Evg1hO/2cBGoi4hkGlRLEtiRXxNWOse1S2pzbKyILkAkfr9UnS3XE4f7Xs2iNDqgry QFBk9pR2jGSazcTX/o0FkPG5guxPVqsQi7XY3Bg0qEgwgEgjS0o8TS8ksgTMDxAVAWxfFm4oxGHK UiDWP8cgnqtbQQSntKa7+JBVKtQeIC8alcndOuCCsLZNjZaSxsHTG/6ZOQUz06WmdzbmZMcjto+Q LZbDKSEiZHcKdjs1AJP8zzvR8jJBSKMkuHKsJ48v4AeYhASVTSgyMCQbvbc8cO2H1jCAMMzV9wtC L5VenPkHqpRBDlP6Jx3qvKzvWCsqZyuc2mqjKeMFSCleXfpp7sakSy86fOpLjUVzGDeTJfPrMwnE t3h53+h+9GrIg9hS9sfFF6hRikLSxE58/WtJ9m7DwHahgaw/vtnFnCrrcXzsiFt5IQR+eMhkxup3 cD7kQS0v6KrNyAj2mJwlpmzxkMNXQgEKcl2XPHVeMDFD+vKkamjpap6ju/V9/k1azfCsiUOmxOAb wS38U9RTgQyIZiiH5queY0GyULpNf2g6PueimmQbl3SjjkKh7qK40ukLUguIotS7q+ZN7bFZNqvw ZF4MQZ7D8RpqOU06zSTO7dkREJFqATua7ZtxQcdV1rC+OrO8otm2m6GN3QW7AuX+4zpvAnh5fU6W pkHr+pcpPZ2YbKnqqbGP5qQsTJ2G3xEvCZ0kokPqtuxkMMAQc6XXAHS1vSp7c4l7jdiXbWSvdzjJ Z0iTGgRnaDkC0Zfo7eHAIZDUbBaRor1INrtyTTnzF6SfILnxFUHtl+knzLW5/8i9liM3+vXCSNIu toDjOcYEZmhtokYpWynV197e3dJLDw346MmAI2gVrhPeTUSG4QVTNe/KhHO139Qbffvnb1OMy3JF tgaCCftAdMJrb0SQYvyeXbFdHRggx7Uc1DI1aEJUaJONMAv9LXRDGZBMmg4FbXeBW1iEP31RDB/x bO2elTjqLC6Ca8R+H9o0Xd7TAABeZRWR6QcUdejLRKtd+4lgD6i4FEkWePCisFeIdw838pRr5nA1 eNEV4qUryiw53u6CQaapKVjbaSRxRgGMligdwG2vfJra1kiBNuRzXo/+YxSSSZz7Z52C63N1I9r4 Z18HiQP4alpaElaOB9ci/p5VyQjLW+7ADcyimtBS28Be8vECipI/VeriRbhHbnAlS+J5V0MvhZbT XbPb/MEESqgofBAmp8xuwpzbwWR12UKAcnrCR3hvEA0XUzWkEJ//oZ4D1xVayqVbjc1w3MMzjzfc S5gl9saWvhhMsiHYKzI2TAyMA7JC1l9Zz7i/7TOdjSAKo6gtqg8H4uJstjqsCkD+h9Hcnf/2zPsN 9EpNiT8McaTT1gpFz37ZdJnDdtJ0YZHnj0aj8ciIGLxPCN84k53bBIk4m20iLCZ3ZPiStoWI+FU6 pd+ARLFTqSUmD7PF1uLvTRpsYYDRSYg3/KjU2HUqQ5Imq4uWorcilx2CFORXGvj4a0jUpTerjP3W s5uLE23uOIA42oeeoWstoIj4ja+HTMJUB5uxwyKbeUTgIc+hBPKOsZPit2P9WBFlXjKGTSBRQTD5 fW4STcpyr3OVR03MValSQ6g+NHq38RVhpVmDBSRiuAAknQxV14QOpERZZbUWM7/PNuwTpEpt5A3y cUHAteUCfKOVQcimDj6uR+Jt07PzNm36AUwLW1rPgL316g04pP9jw6n5LD73/Whb6f0b7zO5vjK4 f3QqKu80e1UwtWvyzWIe1hbl1taHRYSWsPY+596K7i72Qcl9a3/wFaAWMATY0cZjgGrZEf1g+IjU I8SxwtYJiDaJvBhasPN05L2/hRB695B9t6OXsZJHkdvtKwjbQFK3zXNZkSdOPic+1cFWhue7rYi6 gNGwR6U0sZof/BmS+GlRRkCAgEoZyPHS7FvYJ89nRSsls0ncMOdHPEC9OYn5nHXckDer/e5hWkeh +iedj3g+pO5YUzLqhFUgS9tkXEI+frI9VEcVPtBMs32/pHHsDKPNBy308U7fUMV/0swegkW4n3Xc GB90s/o6p+3MYy//yK1GAmDrFfpwdx7e9r+QMspUIYNZLEZBz7eaz96Fju33ampWTfrE1jX+4/+Z R0MZSoOTvC3H1RHYWJ0NEBVrMXWrwYTEzVnSn5LjOCut1LlZdzsebhDIFILscoEZ2iIxrb1+Rno/ Qd4mxSGRrtXO1cugQlP2HgJFWkOh9or7J1T7S51J6O5NQJgFqBeFsZbSqdwRb5K0lij7dwef4nC3 zU3fUI8rl0Ca6QyetXJ01VYoyV650pqN/HsaFxVFlbm0fyBL3a9nSpiMyfMWqOhizEJDgYpkGuQY ZXN4j0L6mt8OIyyl2OLfbU9V5LIE+oEyhpIABDMpbK9X9W4riOVgj4iBhTL+jir1QKz/sS3a5BPm 1aKB9OqkZYLrD3SxFGl9BqIR6oHbEirbYKAMV+Q43KbWgNYDXHHvltbwPhheBEhjxDUSnBsDXf7E jk/0epevArcV9pxA7K9QEKL5IMOms1ttKzOGm/b+NLsSTCNqgS084ZbU92O2JAvfe8bNSHYg1GvI feTXwsrhV8rUvWV4MDuwlwiFKLCvukcK60dbQRt4QRPqToTJHqXlSUAbe5LPGbKv8PnvWDf/fbYX MB420uyBjHktinhRAEvCBPDjvDRm24xYCxG5JWTfdCBv5YG2Zp44xeYid3SMx2DMzJ7ADgPdmAt9 8wmlxzJmj+QfzUdydGRkdM6Jjj41QLAPRgwpQTc8h+Hh3L4QsI4x0U6XgVgXlQ1nkiPZdT0a4sM+ AlLzGevQEw0hEYl50LcxPtNFI2YgJHCg28wiaIugjnx7Qi/d87NCv6bl1pBChPEyYQ7eBpwd2f1T 5lg4tVOpeH7zevfdIka3NRmAXHB6ZcY7UvLXSD9EctHeK3xv8qqNdsewazyY5nb1wn0ppPNuPaE5 bxbGLbvTeT/oeKCWVDrMDCEs9oZ9bsuMGtkMmXmAmD72J5Qi6Mx3ZbuH5Cv4uyTBNXWn6HiGBvCH 1jsU3dGI8VruWEbklSrxSadxpiGqfa6QMk8nN/ETfnksoeurTfQferu7go6VvF0DOyHRC5+WcOgs v09tSVjnXpcXc61dgZ3MiTgFJdfnT4iMwI+CNNK7rxDfMO8AeXraymU7ndpwFbV3wOhH2gCy1o7D W6hbxceLSWRnPGXHJQbEpBTzxwwZqz26mb2K0RW3PxLjWXQkh6XD/hETV02trLo3v38zo6n44Lkn 90HrvBBSdNNaMn2qP0roDtiF5s0yS+G0AT7VZpX1pYiNczbnXOudJ58n7nB5i4NXqzcPHVWmqh7p Odalh+wmmKIaNnfJSK2/Fg5kll4IA+3RW2Vsx1Ns4DeO5zg9BtstGdRUk50coXvFyNwTfTORkwRs v4MPaegcY24GP3Pfb9EN6gwoCoCHe/3AkUjudSjrtB7B/9Ux+7A2PPmOUcPmn0MBbEraJzZcKJFc 9Rx1NKePVlhZwDu0hjtMEFXQleW16Yqjg4omS2qjag183cNtzFyilMlJxr8AEnEh72UuBjSHZCWG uV7xQ8f5/JKBcFm7iz9mMU/eyKtwDdQws06Rff/Ru6J0TxJHSA+GQVVoIQVQBBzA7BFphm07uofV s2Q+mXYuEoH7ulMnbORUNWidNY0RIXdpWHP5PWGKv/0xamYZEi26Cizi/+TFPx/m8z11GKxq+FGq MYgHrkwN/T9AuYuWvcDKo0tzuPYLaZlhXidfFlkCH+dWgnk5hXLUwV4QGmJF17MxiCgFV/ZLcB7X A7bg1EoB36R9tj7Vn8rXRQuzwrz1FR8C61TS4CR+OUg+M0UZHOP1Ajn6Tvbku05anE/rtuZflI0E mdgUmtAeF6oH4So9XCSR4CeIw1srkK7ylxrQmnPxawe2jnJmOIoFeHEaUNvomS3l2+5wwtuS2tEm BMsRlWrsa1iNYFmZFPEhbh+ev+w8M4llWMDGALqiL6hsiQ3B9BcPKhp8Rg/XIHgB/dZPeQMwZpAj Sw3gZ//9flNWgImKBXTy3AYcMG+yQLUihNYUbSzESFVYMY+U4io2b2gLbogQu8Vw6crP+tsGD+y/ 4gf9UJ15GCYmhT0+Xgwfv0rQnmZAeAgVoibj+0PMgV6qCzxFWiEtnxBVjtC/Fe8LO+dM0TUblEM7 TJgKDNJgz1f8Yo9kgrheyLmIIQQ74AoY8BRyXUqnd6ZhaGrq/1C9g33ZhZmZiQ/88ggQMHH3r0/0 kw/Ovu84lVLL7za0VcdinPtZwwCS39acMGGBVr9OmtqWlr5Xrpd0ItLQu6z4Vsa3jzSncLh9IbNd pI9BfRpIo100riXpfYTYvi92MG/neOwOwE4rbouLpNzw2bUkaQVG+WthhWfK4JDdQ81hcp+kGYN6 Mb1DkR/xP4r2Eq2B8BEBfIlonjGu9rYf5LcuLm8SBLSu6dBuXUq0UXrRSjami0qiPV8xtg+Ey3Lz SsDbFiL/YZpdUWKVdYWTgkcazaHEa+5buc8GUugKjN3ZcXo03DgOoURxBfffKAaz8Uo7raLNIvcK Cta//cdlTmYhjHCJoM6R5pk1yAGgD+DKj82PDbL71sXBsjET4cKZgskq3yUgXE9mESBejXN52Z3J 2JYxQVlxeq8pUS5oUeAKY+ReDGOsPICw4mfbm9HQHxaaTF1YqxZKZI5ucNMSwz/2riakvsT3PBkl NWLsh5uksuT7UmDXsVufaN+UM9Qb5KbdtuCewAevd6NZzWwORCWZ0XLeW2q9kz9NHWEaBvuZCnoT wtYuR3FJbh9MUcRN0qaeFXIXL/g2wa9gcT5V3HMoolTEzf9MaLxBeHNPCTmXrGxzAdFXZAE2PxX2 vs6EkRTTtJTFVGYwhipwdO1EtvTBCDDnb0jk9KUrKgQaLqlE0/hmccrSwB6si75vxn82K6J3HVLC npJO4ZUaCQaD0nXgNsq5tzWkVAMzoJiF/XP7/P91GcUK+aW05PxNdeD/juF/0RFrskHUm5TO9nzd TAfO4wAPrMC4MOahfeUmoOBZkY+IhKV+4uA0Lo7Kjey+PoPGwCJJxiztfGKMxNDdnrPdh75F5v1e wCPGXEe0E42TB+kGBl0/6D+OKu36TP60TT1ZVv8Io+mz4GEUX0L/McDBnkYtAVhys2dSSOCH5VhI kL4e1pHL+1X46CTXrMFREh9UUh36TDigUE/3YjJYQ981nhwgPyJi5xPlXlJ842PFb0vEWWgSSSYj UtHLcg2GmZNMSy3xAd5zcVugJdIUtkqjaj2HNQ+oXvx8YuAx+8dqNQTDjRPnV0UEej1pKAhd0ETH ywD93PLWQxiyEYFT8w7bpFJL1Wb3l4nw18IAk6FxmbVBp8qWDFXHcs0TuUVWbaVkntBurHhUzNMX ed6R2GqpO+YAzWTEi3Dw0MtZYDcTSxiS1rIRnCaVXkXY3+Bkm6fp7gqDUEE0BsQFZg+A45lBhEzL mMhysXD01X+oxCy2wU1PcSGJSdpXJN/eNXy52sE/hjv1/8VFYZQH/eVVtpfD9W5rN3AkHM6t2KGR dYKMf6zycbbU+AQrOhgfIrHJg5jrTQsOpb82Mq939h8GzcA2k7QZQiqFUbSplOZJ9f78ahRkGFsA uQJjjpZMIPBC6a3Mqr3jtOFYFtnL9I2a/xkVSeo6isDfhReK0XPl0o6jTk11v0RNmpssiWt0pGzL f+x+O72oFyUOKKF5eSTW5Q0RUTyKAsdPD7UyXjeHpHe6luz/OLPGlsozN5QAFkHfgazXvMFWKGve 96SqVRL0pQW1sQ74LwpEMxvy0sjz6DHrP83Ul3LJk6D5970b/HY70ZqRmVbdyTi/WXHPL2LXo5RZ OOpRFHdlhUtRptXe/nShkZcNvx0jat96pCM9zQ38ihFKLFOFpWd218buEqJ5iU+S0mVHN8ytdwOh NAuyXm/o9Jx5CiNMVd3vhSqSmbE9UsKLugofVtaEGABQRzB4bn6TGwOo9YYNetudKmNUAXzZ4wfy F+/sXWZJRPB9bF3Dd/CNPXJyYGdsdktzc1fWd5Mfy4aekWGdSuUuzNhEPLrDJW/AMIDvcJ5nRweN cfwUYJohOAEgTxRjHxMECOniv7s0rcl3LLv9fYhGUpgAHayh35BsBg/H2IITTWSio05jUt7Fnd/t QfExgmeIXc9DrcmE3LnpWZfeAHJHSbPRG/LgWY4IeKT81F7bWWd7AAneBkhSeSWCUZX/t983G+o1 UBZ0BWTezYqLtwQHKRvGWCHuqZP9JG8ZmRSs0LGtE1isZrNxzXXF/0jPi1JNDEl35cZwiTZjG6N5 MwKZDYZGDOCBo3+k0HaMj7VsozmotPhGceOpRyrDpJ9SLjfT7kif2pFdZepY3r1DY3xMY8ltPsNG iFaHsAMeYENq5C6HWq4C4GzrVBKqct+swTTWjN05mssgQCnJ4U7+7uzB3iWKLTDS0P0ZAofdeV7u 8xUos+710WHqxlT3OFBSAtL/tWHygg+pyPkn41Bne7m0Vb0PlOhLQIlkZdQs8qNfCltdUP2mK4DD citZcN5qlJfPvX2YVThTM3fn9wuDksIGvBXVthkL2VhVjHBTxlnyBfb+SoyaCd3wyhiTFhKIB7of 75yoDEdqn2bBwrszYXBo8iHmoLOfc4W9Z4PAaks94CK7dNdxo0sI3JZILcyTzKDPvrOso3PcpnH/ W8Lr0IepUtldyyAX7zD2ccq1G3ZMSx5j6hVw64dHrEkO19MMtsb+TOgnOI2ERLLP1MlQ0cZAtdoF kSGIivqrem0iLEBkZ5mH7bWQOvZHxsiisaxH78JBkbNjVzCZBD4dsBGneO8lR54NOjDCV5uSxlcJ oqbHbOHoUNcENHjvwkQ6Qfe6nMEpj4gzvmvDjAWfUfdciGaWE21b+9CNUvek0wKEathSLXm6L1rk HzOV13U03Y/vUAsb3vO57mEH3d/EuL12TgW1/vB42P0yBPnWGHB44ibMI3hcVUEAfqhFdBauW6yb QXEhoFHEVsxaJ72NHmtxo4x3zZoinVchiaeIWWge6XTcLeIFcThF29EBIPEdhKNwAHTY3ShhZkU8 VIlgHJDPNg3TyOeVdRpF9e7n+t4hUtw8cEsg0SYqWgyym3M4KGdNmN4y7SC2s6c3yLgXGUtut+3C +TrLO651h2XI3FoZOsPHCPQZ/iIHHmvMWEfDYAiZxBI27Z8H9DIYwnztf2ouSDVlgkCIf/vb3Auk VmiKvR+wYXviuT54y0w7QAkGaXpf6oxcRqkkX9e6JLO7tLsCYJXiHUVEAGqUiLxyGgUrpdYQRmCm rPc9EebiWjryaFLlj6KXZUR6JCPKBh0vYTX3XGL3BXnqEMzhyugmZCXCK8mS80svhpm+o4waxQ7p f044eBRS1f4EyqrPNsq45YR5BC38F2efm7/WMF1oo7uphLNAA7pIIkiy1IrH04C7q5jP0i/dRdfr 7KEdtegQ9oyjwHH7id4m7giXerbxpw1aIHXUafnRlbLaWm+j983kiogRW7DMz4zrcWOmFSNMhuIh G/XZYzvv5XaPOEPmsbWJfHf78IGH3LkZVL3K+0l1Iom1vDHZHiv7Bl9X7UDSBa3bH1xsFAMKXUJ7 op/s6Aqk1lfXtsjB5AR86qpY5p3PfU/hrdG1oRXqCZshsrIfByJhFtLzCrCnZ15odVF1USa9q7Uq MsKTHl31ioA5jGg0Wdx6OR4KKcbZDvSxw1sz6x+qxAN/ucqgQM9oQZRNBOa4YPyb0yD9lQGdRcbJ BPnycIx00jY+hcgA4VbQnMhUZ0SlaidDrQIQ08gBpZ6hWJLjcqxIo6Yb5SQe4/MERu8fJB07dCIx TwrLoAGxaJFPjFFWcBPYE6nhh9VF0uGBEijB6perTADCiZ/drDVXdvvIO+cMcvPP2uyFXEiUSWPb 49sGRvBobC5MjwcKLuC8yhl0O/66S9orlvueH99YedMmaPftqVkbxxYBGhcmf78ffIALEUydXTNk m0W2F1k7ljj7zNk9JtdyHZ8b+QzLbQtGwFvQJqaxsV54tI0zLtrQhWJT88Al3viB8LIUOhlUmMoO CbSJsPjRzRzcE0r58QfhsJhhpGXS8qEx8pArVgilTtoCMoudeEMtcERbc48bFSVKMzfSHUKou0RD 2Ey0u7dc6yPnCfep5VLM//ZYznhvgKvrFJirADhSHlA3RbIBsfxrr69fNR+r1jsSDhJE+X5Q42yK lYa/jxF4QDMIPcvDRqxU56Qtgc4oNJgvfOSzlUV/jFxB4+oCMqLkAc9tHYdL+BXUfSAJz3KrNhcW vLpY9rUWbJ7PM5kKhyynoJngx7uLO0LroK3yogLMYogqbmuV9+0Bm/MYTl3uIAJcdxr5kgydhjrQ k1rjkZFmyvhLSQZeVNDTDsO1lhMyLSAhihPhlL6uKw93dAn0w3tgR9hUj/lWl/TC7XpJTCaS8KSy KTn1tiU19SIBDB0Uh8NR8L1Ps3AFXeHYqwKYqLjv6JRRw/qBEqnRINQlQCfVs9jccrIun55BRuT8 UQkdfsVhU2ny278HzT08x2Ye48dGgdUZKboOYCpGwYmxmvoO+4cTtJfPvCItjHJ1RjI9hk2Ijj4T DUfx9k+M1ISvNfBWsmKBK3RxyPvu8MWv3vQErG1QIk3+xMErl2BfLlAK4jcpfS7OtRl5f488//7q T13xiIqDG5pNVpX4c/8es9x5/BOd5QHD5e6l/vrIc1IPwVoOr2unJi9caR3EGZ/9q5AM+5EhfbHa Wpmoom2IGL5RxqQgqD98/AFGAfnxXAsAwUcIk4hQx5matlLvoHeEGecR1WTlEbC6pmY+nyLuY5xH K4N1Vx2Ex0sej+Rjx5+D/f+Co80uVGXlOPd6N/29uUJsYvTjVAUmD1Fdkin02BghLg015IcYe3Qg XDJ07ytjmocay8yWs82kaPxcbz6vfLhVOiwzRTyx7tu8Gq8xsXSuyjLqGRFEuRC5wTHDbAB9tJdO TUBclzvN9pG89rfCC7+nxo7EHCg/jsb4r6ueM9dMvhnTUJ7WuA2j3lmgEWlRF/blUMd7ly2T3YAu uuQV1sRUiTKeksC/olg/Bk2QukuM6YftKL9jqaZ2P8mkkZP+CE7Q+ybXSXA3azY5jqU2WN2VphgK MhUDzzpddO1+Din1nIq9daUAXB/hO96laRSRsKB9drwMXigcSiU9y43zMPynn48SIvfGEZY38eLY fRwe9008TXTarRbrQvac1mn119fqcFVNOIg3+YuKjV5kPAcMaV5UtrO1KTf9jtr8LgLi33kttxBZ cIwUi9CJI8mRXicXcmOZoFWHjiN6Z4geFV82tA97vYkYM9xg8l9aXrj9x8ulA8fNarZ5Hpiu2oz8 zTMRbdgFtkN3UDo19/yqpXVfYqENN/5EM5evWLYnSe6o6iH63jJfMlqcLFG2yVslFMLgnUrL8g22 JLL3SPxynUxycYGNlB0aYDHOp0X5sUAm20ueTU0CiMI5uZbMUgQxJ/cAdi/W+LjmMGzD2SGToT24 76E5SBP0pj/KOINpUj1jQfrQGrRu+WYusF34m5TNOSNyW7At5Jcfoloizepm28iIceBfU3J6YvCZ gZbtvndHFwyQiHcCNzljzdfmS/r647QCJS7uxZ8NRb5rlS+WWax1XilX5cGsfgALj8wln37u+X4d vvLOzyEImiCJRWcuSJJg9vkpwuPxu3qYp4OHSZwFJdllSao/nIQxAJGM8mzIq0hB8kltXGAXxBUj vkI9qJ2oUauTS+E4E2VhnHFwYyohFDAlz0e3NAhsumFeQNpu9YFsoX3RAeONHM6ajn+bCopon4Bw F4VUrs4PxWTHJsJD8Z+/Bryc/iSuDP01ryq9Yi+uF/SUbq4/K8iHphcwQPZ+drxBhkdDNSwZDIsY FPdX2bi1IKgueq9Xj+7oItz1w+XrafVeuRsSf62WqTswWAxDMiXw5f34Snh+BvbL8i89s1VcQmX5 CPaJCpYSrAaSze2Rsrs0pzsvw74Tj2YWaBHESrogDP5y02nskfyn7ykqPuccnwBZP/RlooIkkn7T iX52mYzDTN1gNQgtDAJtGaC3aUc40Rmi0g845i34PjoVQZOQ5md8vH78l+wbtEBdFAze/MrQl4F+ w2TJ2EBkcFi5NkKWayVnWVlvSFb8facXoTFKSNtslaKY1WZPm1wkTRtnAuO5xCi+Trlaj6D1mcCV yEclFxl35BVro1XKooAyZUHJsjDq88FAJuGYOf6edxT5q51EHeg4UUawq0VyVPSQbdW0fR/MgTs0 Dtr1GW6ms/1JgVqf8GwW3imrBw8TrEf9YkiK8AI54r3qrNNhCQydRC3GjoB5CCHGv6boGZScrcSa yGHJqy10iAiGYzDZzgKIBADUE61DwwbzoGxYTJPQuoQ4t9VFEwUgNVNirwmyJMMJ2eGIdYXOQgEB /VqfI2+3h6mBsh1cRe5ogO1F1eO6WaFkrNd71eKHTiL9Dv3YT+NJn0Vwb2c1TaXMObo9qkJt3Ric 0swbeHyH2tIbrpu55Ovi1L3IAMW4IZHa/FHh6rCwoIbg6HpaCS4Lm/yrQ/BOi38s441UCAB5DoM7 x0QBqwRX23z9rUAvJCMjRBoCuz1BnQ1SOofAQ1MwVIkg4CE+x0wCfRE2cMTF4i/KgtLn+vY8473S QYsSFGKbXysCGBis+d9BgUOTtQkFoGsPWQNPRJyHuLqN3ur3CKvnaWH921sxkDjFEcHI4gFAjmmn kHb9gnopMyeVBidk3c4rSTrdn355K8g7SnGKLLNO7BB5qTidao35VskotZp7mwhKL0DzliFdPKkS tFp7PZeMrw7GG2BeFm/OK/eTrxutebpyAaXD3rhnXRbGuPxszelMaMc27jeFcqiJYQxFxw5fanDy 2/pvViH7Q2AKivRxISUmBeyNQ/qZ62lHdVj8GZOY+gpkiAHxIaJhIQC4QQFkoWb/thh9P/H8Jooy clKBah9eQWsVFpljDYtFMTPzB7vuG7Eh5F9U/rlqcEwHtX8XB005kY2XHObPWMUQXKA4KLsVQ51L IwAAqp0nh/xtijxvOieN/mfJaBbChQTuwCuM5HVU/jP9LUSHGt981U5xGZAiJsOI2+mAQEqwyER4 G1aFYHCuHx5crV306+gBUpBvZ5/LkUljkAcWXexfL/rji81RFCeBlAqSJHTdYIJhnY0ACLR32dlX cdZkSqXM3KFo+Apw8bgJlrqAWGyOOFKrFYzIQLNeHCUAsVcijTm6CJt5Swd6eQgfnCptBcBwgeWD WKA6WzU8S09uMyMOy8ZZnVrVldzW3WiSsGY+R8D9HEqeZ8ilcx2vg90fJNCypvdrzIUvBCAEt4+p 3rnskucDqqPfM72B2/uJXcZfPf093hAmXDmgcyVQ+X4SWERlYBPmGdLA/7Rfd6XNOcDgpPPw7wnb WwEu2y6sKZtiqP/dGwRL3EvWIvW0dt7+719qBPTspTNkdkBD/CJ8tSNYdTM/WLed/Oa4hdk3ptle mIeOxiQ7fdO/oIMKOTnDC6H/ikV/vFr7saS8MU6OGS2GkSWGKSusuygAbqYXpZnkCUIEj2sdurvx Gy7WyjyCIjR8q7naDZKr1RcYSzvgIxfX1LJs03mr8yWgaNOOeNtmj8ogMRx3mtWFbk802QsVvzyC tkqUn59LuiloD7xnoH4cH82AaoOuifmtyFzPgxII7DNELV/ilX4YZIpnUAbLW8Esm2QljbkTuw2U Qn9WD4bX7mFFfIhM/QzC6SCYn39R0Y1o2PjqC9HxAOBjHNFFPhe/205b/48ZgDNbVnV1UwU0vVg/ GwUnYWeap7s2xe9MP2Q7znpVe5lCg1TEJ2/WaqrooWecK4PfuvtW4l256xRRRVCM1E8+BcK0irQH UcYjgibL4fSQmwu+AfNhcfmrYikT2jJNUS2Q+RstNhxnPO6qjEF3vylccZxISqGXM990HC8UnuUA cqzU3s6I/6m3ESLTl/jVyC5+7hiw/QkbCcDkYVOaz5z5eSyCb0YeYiqplohmpAk9yZpeHk2BDWZK 1O5WDLTZIXeJ5OKRtQtDQQRMor8IBqsYSwxDm3umrCtsKiChVQmvO1ZW6FIWuoTm3Am30LsPTDiC lqa/X+8Ka9oi4xBF9atXC7OZDPG18rVmC+6iSh8ZKAvUoIuuGFiOgc5TKSkcR9z24yRkvbP1VWqc UYcXVaZbxIbdMxOCujJNySnhP0KX7STBpccnsKqcj6tJu+yjqQZFVx6kXSXt0LicD3amGHmHKxm7 6j/01Uzt7RpYG8u69vezgQFoamr2lMmSXsRkFoQbCoTWHNGRqekErBsAp2qp1DDtvJtSCqXkLDLM PsuDbnj85Gbme3KSf03sa6xG8JjOT9ykW1/p1smBMzhVSvSfvGVHC7fzDebJbRIyQQpntRVqrjEb quSVTLFFhwYx3i7eEJCMPMqdZLIG6a2+qhj7UlTkP4SbdF6e+nyt98QDg8XWurlgKd0AyiWlrUnR +LrvbtGdXIKmj0CZvl9oVm2H3h8eTkdImjlng6sFJflOAPvuukS+YHCq2MMHKLNW9t/1SxhSsVGx 0mC/MOnpReMWS9tdYVWuURAD40aHLJ5QDsqBN9EbZp8SgQnaPBqBbFBXuYa/DS138S8P8WYuzyS2 piwSlmz/UjCFNgVySZ36TGKlLPdvu/P1JOLt8uONiPycmNls1nMzMYYsbywh/IfcugfmbKQ7C2u8 3Ss06/nuHBUogENnV/ad6k4c4yX7gDWtZquiGhQhYCg4JLyPxZrxwKdllxTSn2+93PAsGFaUvCcG e4ALYADppN9k6ZNAN6IaZCpPhHYd4qozqJGcDi1JzeiI4ntnxLCqrFlQx8A5hZx2v3efJYHkgrZf ZPvjhErdXQEDL4WyZW7AbXmEdfvyAiucnKZhOmT6oIT2JC/VH3GU3bJ8W6tSfaKllsw3G1BAZvdt FsuzGkIb2ijaZHVpcJGo9plbxnXQnfyiIA5upX5SGLKosNAsQhhewMbG/wOIBNg1kH/ryoRBOZ4d ENjDe1fCVcLgtj6kNvQbYlrV13fRP1DfEBFV8Fjs/tKpDcZgjUFET6JW0t4b8DzLasw24fplQbzu t8XxFcGb1P9w7avouNucHwTCiE4b0rRWVeJkTPRtV/uJ8B87KJj6xjmV71id8AgawPKHRc+nsmTL zdRqq/pJ7KKd+bnCdyIIOp8I5BUifg+7XukvUkUXoPoJM6XkBrT2SeVAhB4dlmroXEptODPYhAOn HylL3kRM+u+FaJ0xUAtvObNBoK0f1GcIrCMoYCiXsf/73nK80Ve+/IKNt7Q7kgHXn1SYuiFcJNEp o3O4HzNKHxZTP1Y2yFrGQMa4gCyfZ8BZtHOY4iUhFBNR5zu9/SY1JLSO6mqkKgCZwieU8i2hmIxW 0wsoo+iuCRDo+me2U9OxwJX4jeljkewoSoYqhu/A7jG1xlZy0MwFDMXMF+tsTBx/hDOP8GoS9WYP cbdDMiKOYIfegNVNT9f8sthrgX22zipZV8INRuDxWz+AbwvzEKu39Mc/Y5hANozzSOf8sYoZypvv Z3ub+pUev0P7iJKs7seujlxBYlKR0pvesuG7J/6mrq0b0JRTJ428OB+5Kr12rbV3E105SG3rXugM Ei/IWNfE8pDeygfMEmvVnw6hBsrMLTU9rtrLIQrT1+mn03j+GA9bxeyBlh79VxS4wk0rWe/5/5nj Wvw5gLpH1ttKZ9pHMatd+xbTxvDsGLrkHvExWYXoBxbTdQ2eDBP4AUYD5f7JmUPI0RKjbGtm5nH7 3Bljv02qlsFGwXaixFX9E7EYkmvgP8sGWPOvdE9DGjRkfFjPkrHBVvqJnTHbAq3FpP2wel5ziFd5 rU48sprCdXKvZs6tc9hSVatswn7JJGonpO1NNRrEYPy9pCGRSw7+NTXmmwawgpbiVf0zf7Cu8mue 5yQAdrzkGHiZmsTXjduKT7cxcjYdMryjCYROb4b2M5sAWZwPL5unHGkPX4Qqxq3R2gFvEHNi2/cw wj07EmZyVV+pHt1QsNH2yeZ9sCizfGctrHh6E6znapQZfqLdR+HXLYqait6oK2IivIGl1d0RqW7V LirLeU1Fa28YpIibaxN19EihmJfjtoonsDxsP+Re8P+pZ8dv46Q5jdh86zDpeXN29W4EFwAigTlY XL6f5X0MfXaBZeelzDmB+SfeTY+AGf0NjdAUSPXg1JqlhMusIhkLRyA3a3U3ymlTQtPpswRGFspG +Upn2Xg17vONJ1P068KglPOsvHtkvJx2SvBb7ID/ZCiQ2kwGtATcKpOVV22CIfFXR3rlT5JVj+oq pYrICZm4DQvk2BI1Jhl0FVjNMFGreRbMXg2p05WuUwZHdEw48SCgBxpAS5i9e42Kvl02HB9Z1cmo NtmtwC+MiqfwXvlOPV0EiFdCsQGqicr6gNxNI7J+mlPhAJ6CEu9peAKQVEn8L7mBvxngwXvIOPpl xxaO2Y9DIbRh9aRAzQikqDeRpPYwhI4qICdAiKkeVMrrLMeE3Px0FWZC3HfTiy3aaLbmWqIC55RQ skBIMgd6q5qd2HRD9NZtwGKg2vorcF3TzLcIikwwIS72/k+vvFzu0HV/L45iw3MJhWDjqdWap2Ib VOA6u5mKe95xuOY4QjKOd/PYV6ghjTLJ8vnspoThUBPRXTUiB+0WfeRy5zUK/vPBD58Rsx+Vlz7n rvLKj0w8XyQZ2Qp4rfRZs+I6yFIiS+i2peN30ie1xPXJndxsS/C6Wu4rVeTiF89YUggIwK6Wh3RD TjSE5d0MGNIPfYg5XYlM60fU8WQF1YTMLp903wsyCF30H5WQawi1sDrSks2LpikIU/EOR6Kfj4lP zUytB8RZShx68N+UqYWDpAJadp03nCsAi1hc1Z9HTNbbrb+otX4prrzyk0d/hIDincO7qpnQh75P D+iLGnsNLdBCx5RxIj/VSSEzLniOyQWeZlQWqcg5hBD7tQZ/vs35J62zMhKkF7AJMjE31hpeP9N8 c+qyJAxfQxbnDFSOeuHo4aR7KJPNAhqWMvqMS8N8tnlcc/i7XdtSlDJANiBfb7/VsDqsbpi9gwcv i5iLPv163fwYve5BYbGfaOomr6cYDhtLxmmCcznO7zN4GHn7ONqxrQu77NDupiC1sEVDyeJbigdu kxoAV0siF2w375NhkHptaP0YeHUa/qgtjGT2v46wMgGYqkVoQh75a47XY4CEYcO5yyOToiQLgDLc KKsgd30KtDnzsI4dSTqPoWpQNEjXbiBUm/LdyYPwiKq8Y+f1veIpDC/GEp10Zv9qKe6Musrn5lyv SEb++SQeuVv7zwuj7RpU/L4+jTvWWXbsYEl9ppS1i2OBfzgTyN6if+hVDfnFOltHtoELHpP4/HIP u+VJfbTp56Z5tPS3z1DogaGVN6PTuQVRxWPW7hIy312CgfgdiohnBUwajyc7swN7cEGKMivzsUfn 1J7pfiDXJ6hLK9tqFvK53XzdqV+RB380/1tpFa3XBhhHpYoQT85C2adIysDHe7Lu8k+YmoHuixuJ OAXs4gOav0YxC3rQKq6uHfSm50fBllCxjAbVecDlSF/Zps9j7XIIbQ54Wr2ePOFyJ18IPJGxJIW4 7M1CUrEAPAnlCudahC+EXqtLxnomIbH5QOyiBA/gNIBTFxRhu3zXkI2R9M8upcWYNn2HiNIkaMIq M8K11s27G4TIHeOZANokzk++RvEWyfzYIfaCAZcHc87G/YpesYl5viIFXL08FDwhbhMzqVEE0/iu FPKM+Rl7JEEtjRi49kVyNEjDQxis9ZeIyNdCT+52RTuOyqe/GhAObuKNmTvvppcWEd2li55VOVO0 szbSYXW9w+jiTI25gKpjd4ZuA6BhBcIARv3dN1Y2f70eSsL9VaqCgzUZNo9s8VT4Wr08Gbw9hTqx HdlWnbYDrQGr7AEtdm7s61Utm+zFf8THrBV38N5qSGr0jdtW5VScaei4aojn0P+bJS8Pz7spSbp9 TSZMmQ3HbsBwaedtbK++wkGQMwYNyEaMuL+LToubXyLsS5qEcQujBsDCEt53VwQX8jRSCgi98MIk DMUOAE/ykj94aOqCsVe13ei1zAx4IZBU9rqYR9FvEyXzOA7/I8hwEn8Oq0wYDttdNHx9kz0M6BbF VSu96h7m5E/fJG3v2xkknO1KsInDjLMwqZlMNg/v/lsTHXSVZZv7DOgrhGg+j5+tHaGKVWGivG6I I412gaw/QL7rl4N+Y9zFRBS3dQp6WcWfgBG6/7lZ7r2sGm1fSqtnAJUTRcxeB1xhvUURfKSLPTgY L1AmoclFRBfEk6SGYppuYwhRaggQcd78pxNiQhi1nT5orqPeTjbhT7UvOCMl98PfVBYy5BvaDZtv WrXVTnmUA5GWPf/CaVEXjCe8HtRdeI/8sG6xIpS6uOQ8eSnTo12gDsOgwHb7O5hhforw9ZisR4J3 mKqwrdSJlMwEY3lmwQkzWa7odQ6wIaU5hy27unvgwKCcEn9riPic0lY0LjJ9rBHhXxZlLpHFc9qu KhhiUj+/rbWo/hFYbvzrkLJ441I+U+nR920ULoFi3u3WMHUTAk9p7QMr10sAqlAGfAjDj2Oi0FGN vJq11Lc9zr2gX1vvcdPnm30hIHtFhoZBQk1yoaYRA2XJ2iXtGKwpjY0w42kKzNkbjnNF4BSDiDBy y6rdhxlXO0IXXlYPzYw83DH/BhnfxLxRI1D/5e6NrTwcFPDs3sFKHmp8PxMn+Z9B5AupUHtkMNjg 2ZcUpUreCCshVWo5qpi/ICOuEgQxnjM+xTrZwu0Fqx1hm94jhgf4JHjZgk/KT1qjcTIDMRMuThNR zNM0PaW0CnR0loUih72mHcXdvnUbw5CTkeD7Nt+BV99qO/RqSXYgIJYBfPtGvdtLLlchHzLG2yoo TxY6rIw5SdnZ/rZjLpubQWkFUEm4fy0yFpoWGNT8+WnTmWJGIRzQcPbDCQ9UVKFPXo5Di981BeuT axOa8xzp43r24FdC361jPgq0N+szz0Ip61fSPfxQlE2YYbCNMd+nATJ9PjWB/APW33pgPE/H99Sw yEcKuW8fx/qW2VEERSq7GUk7BI2bpIATAsdvzZjVlL7YFJJNk8gr1ntHi4OSLGN6BhjpIJwdQlXv LyVhzW97PY+m3sPg8/j+hUTqcw27ad1GPUGle0crE3aCfj1j6AenfKp9G6Ngg8LGMEB13KtZssuM 1yQukI5KFaVi7VLARXHBFsw0DjgBYwWFsWNgbjAknu5K+fUjm3yRM6PAApx/fv7w+n5kuWjZ0zto UzPKpdOy7rYxEmsv+J9WkmOo6MPHB26CtRSeZCsI0ZeiaeBhBhk/8wj4gq7wdd2Acdsw8um3AwCY RaocFex/jXn4N92TZjt31LX+C6sw4R8SJouuW7q4aSzjIpwPBkwIpdMEkCKf3pRI94Y/MBnW4Sv8 2ZQZ+CWZ9iQMSiKb4BezpGbs88kBBnCCaKg2/pqLOiCN98GmLTjvkIYbBBER/iz52Xy7+TCdwa3A t4bwqKWskzJusKHizlyF3nrtRykninUyuUI1aBOJxuQdrYAGX+iVc2hsl2SPFTrognFemGvDiIe7 Qq4BSkoBMoTb0vJ88Eb/XwioBsaKQQiNsGFvSidkOGPosRkchc4VUrbFE629fLy4g3W5R4OB5ml6 hLoybhngbZd2SsRZpnErqfPK+g7FA/3HgU0bagFx7U52l4pupN6yub9xjpuCw2eQ3sysO650eP4X zVuDgcch3uZx0iNpPkB+FovK6DmqfuRMh0tyKl7E1O1pyZxdU15UkIQdO1csxbv8AmklrKuxH1Rf WpOx/3Yd10XUFWYuQPlqDmRxtLRH1W14MxrGTpX3xH9lQSCNHnjoI4bhkzXrTZ/u/ZTM5myyHlDl +ibwv4voNtez27po23YW64tRis9i3dRREk15l2Hp8RnssD2lEMFJnzJIz4fNp5uUD8LfRMqmCWLt AappJbFLer/FHCMdIrSMMFKlNa42YwswHr6cxns03tUfNbSLg2N9/qbUrLkVvq3ijrVnvFkaF2yu 0+eWgpIGuAMydf42xTgRuWZ/FpWjcLYk2ND1OO54F1uCmI/XHLKiOJhWaeZRWCWZLDsmwz+24b+B CvuVFytFBXez3kuvKV3sM0onhIQ3cDiUy9kyU6yWhnJqTc7VToc23PFqt2WsRi4enxTWYPKJ3oD/ QjgD4wsIzbmu5FtPxEG0TnTRkaJUQyEAq7vesveWMlYTuUrAE7F9uig4l4Y/MGkNwUIEvN8CLeZV qPL0e6fzT0dhzYNMlBz5oN3kILcKvT6zsykHXuHmFVHHP2DSPjj6/yqM01aPijVPJpt52nAugmFQ u5FzWColabD8Vh7AWHv/tJWC/U+x0cjiz4jAkVreHJIduMlmN2HboZaMBLLC7EGC5BYplKt6WdRi t01ULU4Q9WzmOJg9aQtoX8oaCQ3oam8OZZAcS0IA8TcZCbxPZ/lbxu80j1Fq3d1/43SpIgZz5H1b dsSH4OEuHvXo24MS3n+wmAdM+TZRPQvoohz0ZJY0kxmcjS7vNu7v7ZbC/LH9um1QHONlYpB1iMCV HcTc1MPrwykaDPeTmhMb1wgQ7oxakufa/6naQd8bte0BX1p28Ugt6+DrRKe8gha7ZJ/J1KNZGpMx gjp9y78oWd6iv7SQlMHi14REtV4CIQYYFUlpKsIHfYade2VaUJKYou1Qf7oULviZFWnFFO6A2Xaa GuRKvei3TCNR12+DMimZFQnYakvPq2ShTa4CMqXCiG99FPGWLOQnTP4O15CVNSXhttv/6M2Sx5Tu EiltE4/+VcnrmnpTuBsrjjXD7zSlMownWDN5q1/+b1cSfUPMkLXu25Nlq2LlJtONpDYNf/yJNZD1 qsHepQonOQZaVBgZSjdo7zKHNlr042632m/jx5R5pk3v6RfWVpYej9Sju9BdCT2cwCnVcVIXI/bR GDL1tyIauOt+qJK8OLtTX93+DwgbYA4YmtZA6oCqDUPNXwWMmgWDdNFldsLZODW95bAidiSZcUl6 3uMzNSCywEZzm1ghelYyO8JLpRtKadQCzd2Y1aAuRVbWPEOu2w11qKR0/c52mIflCiurJXSCWY0a bd1NHJs1ghHYaT5OkeUVqOjqNNnt/cvb4FYAWO1vuBQEwvSOQTrFtrOEZa2cJhZ/XTAlXwwkISnL 634R8U5tbE6V2iMlNmbRk2SvNLfYtGnzDuVCLpsWPzR3LGoVoYzvm0sXOODwT455YAMr9OUj/3Hh vmyr/eWEPactA/JMK7prvrJtnD8UclfOQGi7om443GvFlAs1MYIy3MeJ2GZfzn88oPfb/YsfdQ8q 5rdcsCfRzSeB3Y9OrfEvmhMkEo+ZHmCJPc9AFuTdcbRKh5z0cvB1y5kgYtRxVbOPn2BlndditioR vMN8hInRhZk+Wz3eIz3s1+4ZLFzbgC6qKZ3AKdMODhljDszbsk0fTIBrUkr+tki2qQsD9I8zNlnj SVmhWweQdbMdT/ZXsPFkOTlnEBtX7DUfbSATBAM04+rGiAXzYJxYpQqRJCsZKdMbil1RmwlT02dO fmkthHaqua+dbCUa9+gOsYnOIYeYAQgkEejYkLUwvebGn6lKuUbQP4ulHB+8HVjWYjR+xWugqIBw DLGDlZHbDdRxmMHWJqrXSroP7QpSNgmL5w7aqcymCLzdcQrucx4LqibSLMvJDMr4oStkLe8IDkbz aEuyinOVZNr63deULBYGHJbpItpay9Jb/6UyKaYmnd2McBc2RYz5M5iNafkVxfrq9X7trp57DXhd qDQdzt+X2+XUKMdZdfV6uwl2Gt37tmjEClQTt8evAHE2KyLYDArTp5IMh+0CdH9gxdQ2iFGdku+P n55UN0ZpHvLgdf+QwY+DupcmOmL24pn/Oxi9KMlXevHEyupelglCguPctqi/0l9R3c1bnvvhUc/x JvQ8/vt14E241v7snDc2D9et9DTcrKGJ0LYCM60rMChDlW5UQ//R30lhWewBrQydM/PxljZLsEy/ u3YYWFSC+AuGgdkbfC7XxtT7ejNZgYszWEirlcQq+C7Bjzk7Yrrhwz+LB92SJkgT+t/WC9UmVE/A lZdlXEXdMJ5IfEWEjfdVjZwvy04vyGqUmCRws0HJWhDvXFpnMw/s1fnT3FNSuxJoBzsFemRkkOPP LtQGWu07f+iF4DfLLAllrfWNvm2k11jV5UGuSTirLuTd9htDuOTkQ2fWbjs3cdbQNQWxuITjMaMW xbrjQzojT53uA6UWYkSUtIqpo0YGpw6Bn7ucjurO9j/PpcEljXRQI3tagKEewjsr2dyd33HRubYH FzuH+Xz+CMBMDFR/wsIae7yLt8vPjy3La/0zjqBQTGFybwQC7nfOrTIklH//j7/9K/1+eU3FxzaJ lC5zYSlgdSH24P9z4faajSMpN4r8QQ4OzhvHUUR2PgQAcVBa8v1aqJxuDRB0FUyoVFOMKQ+WGQpm iWKZxefIAIpiUTvGdX9MxHgosm5hJ109PU+ciaZdPOhSUtcd8TnkZDO+42A3ebu3Hif8B8XcIiZZ LqsQI22MPLSQDN2G1wnvOTh5YfrT/8OL90pg5gtevvQ6E473ZpGpottG6Aw7lp2O0EYcZuvXIvvO 3ISJZyudy21+6btPAp8Cd5RQG4iFf3gFxs/gYrqmXKr7QrrGyY92HGg47VstX7dOvWDNzFD+hChb +PNVRN74gNAVPjBDnvl/vXq14zrrUbONlL2Rh/xH25LHpUqzhTIkzoXbVAQ5cAGUTMbMWanXKKRe cJH/4vnNG7ByIxFs75D7l60lmZEh7hQL9QosnLwzduHj8YmCXe/WDW8Z7T93/kq/A/n275BEb69B mWLTIi6CBBAAf4HsqziXTeU1+LoV2aKrNmKzBNOZ4W8kExABLOEgu480sXfOdcEE0Pg7ExuVSotU 99/tWmt92aJqBSYUP/QemALrfeVh+EyD6K3FjvJrqG6GJfp8mtbnJHe/mFaI22vGjDm7uVEvtDHA 2uANfzxZUCiYnybgw519j4AJDcCseZSQgED8rfFkif278EJ9dztwJJSpCJSAsPL4iZUhuG7H7PhG k7QZsiwj4F+oFYHc2aIJzX2qMOhj+8Eoio+vkUldOX80RBbnSLeiFevKQAka/M9GUOjYArq842u5 FxCcmJMIFlyWr/ivItLmw4Lpo445jgfRYYJo5vRhyjsxnzCZ4yIbXcoZIz1JIg3+ODrFXWWGuO6t N48iRdopel/jLrkdtIx48w4l82MPF3+n2TNcTNBOn6iso8mKkchS+LlWBj03j6+TXsIuPs1TtgAy baFDE+50jw0Sv0yEm57rVXcipHESLuZYzuDI6eTW0SdBpbflATXRPSXZi7u3Dsh7yf7/1iRxpYyy RoqPDqAsCuRH0iu+cSeaID/fs5MzTwClZ/j4gyM3FQPPNYwikbs2VORur2H81/Y6PiXb1SmfCxyy bU5eC/xIaFfhEDH+ALaLMU1AodkCaqtHgommwtuShP645UoumHFUJTqsnpBdqTc4nLdb1gou5oeb a0sTof/b9SAF0CagvcB80qeuOZZTs+fjy8xFjx7mBvIdN+M3blWt0UUnfaVh13/8D/YbND9USVIw 97/qUKB1Y66+5yRNgEFpV5+UH9AT1vvq4QTKsWlgaMBs9ayoPHOpsRkwoeNK6bnB8DaSzUO4+9uZ ojaj41/c/XmfLavq9q2PRmBRjnv6/MwKff7LnltHYxkXVwzWmLHfP9gpTmz4BTNvXxfn/9VTfo5u nSyrzMA3POiPx8lQ5LDx013jqjq7Of1sGiayqQKFvhSKMQ7wqXMwDT16YdXRpHqM6vtcF30shXOn QkfsWZ+SOmddAntDtDQuQQbyb0+e14iX7yN869ak/P+JYrDVbl9uWVwAkwMRQ4sH6fXKjVBs/3KY ftCGuM9a39bYljgltRk0vS8BKFGNWKVz1ku20FMzllQGl0QMxrtYWdypjS6rMWHnfWKM5ZiMUdZf V0fr8D+lw549ydFhkiCQRDQNv/Y1mxrj+GHubOPIcu3b2r1KzlTyZljEoIjAGzeF5jVAZjBbbEj9 j1AHCdrucsWwGJg7nsmi7dNcKiW17pBKvm/br+YyE6OYFH5/Czqg1zoGzPtKVWOccbuxHZwca0ka LD8SAlfxO6OSDSFKfxpnIKh0KaTW+O8yfCSB8zNCF2qJqzn+97asoEM8G6KJso3RtjwqUmsKRYRm i53++INk82X9cSIaI9gSUuMLMl8UH34zOaw+UW3Bdlzrj1dnR/ezIfJnQ4+fiVC+AKLAjCu+nmhl zLlvGgFlcMJLBJPBSQa2IFy5Chaq0ajWqD+QMzdYr93KSPKdvxxFI6BBY9FxRw1oMAUpncsInQF6 QQBQjNCxlnwW/z5nz0VE5jvTHGnz4MTavJzipq05cb0D4/GGNRsCRlPGP9QHIHq+r+4baBdZcrBo /fhTd/6icybjJtxVG+PhirlgdPn83UyKtfmV8EUTu6eoaV807QyBL4mp7UyKSURyWeTwG0dqdSwP 8pBwtCD7CX9948TS3BzwtA9nO/JLzG+jUpXjHOQNGtegXYBXh/nm+Feavbml+DUhN7IekZ/AUmtQ Y3OWzicIYjwv5cayKPVPf8Ly1t0FuqMb4Zzm7+M9gbLIEEVxP0YhX3cs2HW6k8/s9omoLuIotP5o 6EwmdboKmy2sdWQCTMKgHK0TG/l27kMJ2739/q5Ke94QOvnE0uYKGqJZq9af0d5bkl6TSJxdxS04 3t/o3k4k9VcHPyd3U5LMU9upC2/3IbaO+AgDcsx7cSetChmOa285CTdlUm6Ee3Z6jgPJvfeVrjLW mI7xd7olJxlC0ZZjowdl+YFzC+irddlgurPaQvcucHaKRY1KLvq+bVD2QLK6EtESSNXfil3oXs8S N/5etow605nK59tyY4h1tIYlMsmldxusKwxrEqDCtOtfI9EjSeo6OGFJSs45uwZwdI/tYwSEzGRp OX5vkeIpN1FucX5iA7WkeJOyTZ/5qWgnCjJuIWkd4GXqDro0Q60ICly6K25uJVN/ZdG1mhLN8ue8 Z3d5dhqBcVZPld88ik2tuqfl5+W+Pek4ZAh+sWJJ114XPx7wB2a+WWqn1Gc4+sIVL2RTNMjPpZtb F4/1gtw3xQSr9fDNy2g5eYyLMQAy3wroFrrabvcOF0Cy6tpK6kmmRUmVzq0qFLSizEMTLqi3BQPc s7WDGImGRWD5A8oQVDbaLXW5h0BlFo06RWgYCCbb6xluwjJLvx43aoGWsrXbqJxXtFQGSfMh5PCk 6qiDEZHlZ91vtgNOtThnbXZ7dEH1+amDjIYfODQtreorcWAEvWlFj2+s4A8kr/p52EnM1GMXfzNU lVS0UShwqBVWBIPeNhrdbd2v6G9kAjGrY1y2ZKlqZFdCYqgdaEkHegtmbFIljiU31sYsiUD8mL0A 681RK0MPxtWhC/KlhNJrs9LcB5uB9ng8JXDXxykMwtGPe3nH7c/N4kAUj6FnITjfp9Q00faG4CQ2 UjvB0GEgK9cHyfHHbleiWOnuvAHEUdUXiecLxahbdMj9Btq4yVWihA9hZdApbg4rBuM/51y/9B/H Lgw0t6KJm7j4OV3Q2b4o5njeFz4nc/ZHGHzotrvsecidFqLWxeU82yRTiEhPyPZcGzol5wu86VV4 3AifhDEgPf+IOFJGvlA/2ysehXWgxHC8yQrSUp9Il227sZdrYocNGgQ4M/IrBtSPZwSgJ0ClDV5R a7+bhchgSwLCvPea40qmJaUD7DfUBEG1Ci64qDxZyw/IVX/30sjAfQuiTpV5JpE/m171gKAwCMu+ JqeE1fHtNA3MIhHOrb3lpnfbOudoEbh/EAkPFv8NN1upCw1a7m0ajM6GEdPBNTMX5nZSKpf9gZTH IZNRfBu9qXaKBYMwMc3j7qNi1Y+4pVPkZ7Mpzgkns+ACnLbvcgtyB9CBUqUPim9bw7Ix4SncIwp2 bh5LdFfC0/cjfB5O0SWlCvCDHkVIqgfGpgFAOnowBwxrLAa/nPnvB4qbyKGpLDqqN1Ov7PCx/sj3 wIMw+pPIGaeHeoR4hsUI790fsLijOtSjcca7lZwj5hHGVaZ8wJjSYc5vvVO/7IsdHvGBHQOt6u5W RN3F215aWhm3bh2aE9RSa0vHz69lZ+bTtfIdF35qSkz340lszBbkI7FTfG63nkXHsOfom4dE+zGT o/GTnOEAgIJEgoKCiPfRhMHa9cRheOaQHXRdujoHZDc3344Zo0q5G2QmG3u9OG36WFx6c76htxqn kT7aIjBsQU1YqArxM5a/PxxpXZCc0W7Pvj0shqW5P7/aN43eTR35TI3QRyEiixJwjGxAT5idOhr1 ghOnJJnVJVvQ9/1TxONcaM8SHoc8WuSxZ4wrbz1wa1dKXia5SSxs6Fl9HBG2eugOAg6kPxedhVHW O+44HpKyKXuTuQoVNtp9OUscJc7zYBTKrhSPtu42vueprY950ZoLR+QBsh6bUH8RrGK4/92ME9Xv LbN7f1OfczWS19yPZwey5li5GS5X0ddj4GIWCqxUO3rZ4weTeyP6mr4oR169adaliPaKvAI5rOyN FrtJneqqfe/qudjJ/QSZVUhWmYzgjdljU7fZz39NtQ+xrTfPFj+NyuDXHAtB4ZhSUPJStC62/PYC jEhMoP7+mzWWuAPGmnnRoHoobsceL/D5jRuz3b5WYOdoMgmkiJlKCOtQazW8I0ORTcM59ixWBX/O gy+8DyFG3g57UZooM3EfMlJmux9Zl3jR/icBKchBoabPcw50ctHOk6BI3bkZ4va2gIQxBag9fyAA sGudLna7go5zTXOSAGcx7PnMrFezx0ypav1xWj2l4ngsFiBJrenRD3QT7vY9/BQ4T6+X7fIHNHOC WMUzjc3lP0mQi1GZwDMC4eQ1MQ42n7IliXM9xG9wuAQv3L4OylgObRlohzB0w2ZlmYf7PmlN1AZR Qa2UrlsaauWSyYJxkEI41r8O2t1Lrs0qvRHfDqadn0PADtpmxCNdD0/cnl5jRajGL/rc/nlTSYzz TlATzkEBBCCA5he+NgXV/HNOP4T1yn1c5KXiVigHZftdxhVPWM42Fih2tl7x0SmFVJnTgH57PH8Y W89KdAyCpqaXJwmGKz4maGrwkrh++By5GBQScnttsX1pBJVr4C+IuJREUQMF5glhcHds4OtXxCUD KzOCb3bRJv/DNKNUxj9WQh6g2+QgERCkGPKIA82TS8YOmT9Ex5j792nAHB4vsOftXRV81d+dOz/h 1Pr6ChqzmbCfHUSTBoYIH8ZYJs/zkSPz3nwFTdnDa6rcx0CiKStY5ol40DT83rAjj0j5fyk52az6 M94PUegsasNBA56UskXs1lI+ZjrvoP6PkO3SvBoLupFOejzoETLREtQUJDyXrlUpo6Y66vwJ0ebW 3RblC9JwYSZuJcV93j48gmtu4Qa9Pudmjjl01PIXcfdjttezEv5s9+wJnBR+CV0iTSB3OhSNwZVR 1EBvz/00iq/ciOpzVUzxvr1K6xIfy71WG5SNb6AEhT+3twE30pCtNYSMIEpwmlsrUZWJ+o2vPLLv +NZRzIbxOWyS5jgYzAEANi5G0AX4wpmZL2jb6tRhnA8F9uvp1WqAfC2FzpqEJv7fZisSlUZad5Ev qX6GZ/tZSqtlfwnX4SB29yqZpvpmQ+Zu6lZndX0za7zbI2T3yUOohTYRPilN9o73fMFPWrWollks vLhSuA9QWsUtpUwpI8qa89t/n3nZUqVh9DvhbnaVs1cQw+Kq6xlXPhpV3kfNqdlct+ziktQQUgqA 0mwXJLnfWSTw1ct83jvXWYzsT9CkRoH6rCS/Gx3FoRCSO4kqBJOe7cXVWcgFgh9OgP/nabiOHCTI qrticn4bg8D43aTMLgpY8fVc7KT6NoGJl6oKqPfDMFlqOFONFDwz3LroNiB8V270mojSCAQ80xnZ mtYwnrSFueHQjS+laf3JRQKzcKxg5FgokJuIBJwu6MZF24allAAcqAzc3pHFetNsEobFcy1OrRQ9 wYVzSRXwE9HxEnz+HZdP5FDq7FdZtVK5DNpJgjIkT78iItbgWbTZlgB8nzWIYfnsrCVIARMB6baa eXMCfzeN0kZ5JORBenzxA6Ub5rkDwg9refMtu4/dBbD/lYzSwdWSTyVoTmjKpGb/S2gvPp0uqZrp zfWXKncfiDgg8/kFroFl422F/4Iaj2Kspn2cxjSvS7aLqhEgZvGp/MFIzW4ppXQLiBufpTXQ2yCY mZxGlbTQ73i8X2r0wZdZSwvtZtQhTZXpYSdkRMQYm+VcO8PKWwnLsKXfSXHzs/uEGDsV3dL+CLYc CZSQn9Tcjvs3s3ALg3DbInAILq5eM4/e+S9nOQq4PSOgs7WNUN0LOnjQeUOjPBha+ZtmiJyEVBBa Cow7JLQ8AT3jKXcs5sVnc8GpTesd4FwulVb/1WxmJnzf/y4lxxN3b6hhwtUIVew3tAg8RgSeXDoi 9f8/zOTLOdVCEC1k/YgbeN96jwR5PDSwa1+UIFct5/ZGvEL8u4cDb4y7NiGAG79QIzNCKDDsJZxX e/55uN0LSDXLVvXA0LvY4glqqVaFim9VxCvAFnM4x0mPLkiXwRA1uPprxBPSTgIACZYYTEMdDWTg YlkntYqMkZAUiEC1sQLT9CzcE1CPtx7vqgqG2uPRiUmC99F6/uy3fDUpJNnW+1WjVkgVKD3QHxG7 UIOXcPn7ODRlb63lfLxlPm1PovG1P47cPZIbasvOUWyD675jjKryFW7aspzIKEHPdlVCiihlYUGs QIT2CNE5UoSr0xmA1upAxJY2LJ3A22RJWHTpeMk26TlQs5392VE3i4uYIprN5tyzuJOxsUGlFMll uC5QvelJJ2nFBjGYQ4YpfsQQLmLjFehw0DR0X9i5K+gyyMJ5I4aBQPGyWjcStvewjzTcLBpCdyI1 1bo9CTR/+AzElDhJiJziHD7xHWFowJWPZWnhRObzX8O51MiqjpQJ9axA9OVITxXEMmTajhLa8gCy dbM6g/JTI1xmkJUopFC7LK/lHsuIIzxz07slk9zfCf3pUQe7vFQTyZWCh3xjTaelUZNiJBRM9bDe cHpd1C3G6PNoUvulPSV8E4cY6DpXIrcLoXhZRXk0G0tJkrgoscCvE8eLoSBt+y+2LYG5HL9hbeSQ DbLaPJqDs5EcJ8/Lliq2h6TaQYW3BO6LGq0Zk7ctJUeBd1GotIHoS2VkGDJ/CD6BtK/G4KnbufSO jzRRk8n1CQfRsm4F518RvjLkcnLQH9oC5z0l5qcLm0cnmByhc8h5GfSXQRqfmkrfVcjLy5M9MDxX J00ik2yr9hO6F4dSGtAQKENhV+OSjig2MhY/IcKtV+vLmfC+sFxz/28U2eWyUawel8laI81KgC0T Mtq41D7lm8PoLQptBipoAKJ5nj9qkXee4xjHPgQA/jXfzcfXF+a9wUMFl29vUzFBrndTsJ/Ti1Ce QfN15BtHEigjSuFLOmgPOev26Tw0pSlJkNFJc8CXTXVCBb9+LyQxK/KlmH7bb36I3I5mMwe+ZQVp KXgt+1XyLXNL/B1oDcy/0A4YPhyI+Mj8EpBYhbUPGyLQ6vNhdSbCHm6Uk0r7xon5C55G8zXJ2wXL AVHY3rJ4EUwf2aICnRV6Icc2ss0S8TINWOw1FdqgAZD0xSv5uPYRD+EUatfr49tRM1g9Exr+vuiT wIU3/FYsbvgtN7vONyIKMS62uTmgQIhI7zoqcL9QzucV6q+a1syf/uOLmPYesoEYjRdSkv0WD7hS 0TVnlLpdxMTFKCNsv2wktrypKhlq7j0nohGbARZJH/5L0C1VkLyeJW+sHNoHbmQ7OBxXmb5+blNi IbSkqwWqT1LtGFhyhlPhAQip35TpKH1wPt5/BhClOprgzi0VaTQ7uiQll0xhOIUNSxU2KBESJCOB S5y4oyQJ6EPtXW+Aa+DjDhE5gAAjPkF6KVt6cgS2ujchZP/VVBOKG0fOqTssgAd42kI1ayB7ATPy 8d86sxPGtnil5Zh8qkYZzkaSrE0VkqMBwSB437wf6hZNnCh9hq7Q/0OH1oWfVclGN1RILVGSp/0w I3Ln6azvisYLWFBZUQOZIRY+E9RD+ueYDrYaLTBt43KGRVbhgStTUjJb6fDrY8tJdvzv0VapUZ2m tjILl3pfBd7MJ+lbA2xZS5pAU8Cct/1d7K585RPNz1Fs5Vzce0hGMZUDx1RnvF4i34ufEd4skRiD H0AbWn3XNfZS1NTdOh5m5Y1Ao6y0ZIT6+KfUV4Rt48f/9baD3YEZ+Ll1J7iU7O2hXzgkf7iaZFBz H5yfWJ2O6uZbmxGziV5I+Ptc+x6dvSI/EssAuE78w5cbKUVJI4GMSnG0Eojly7e83utY8E6B0zWr KF1WEtGLh8wcd5MzmyB45L3DTjiDAZN8pXbXLYM0P1MZtkdnvOi3i/XKE7064K8eBBHG4ax3gYr6 0t46Rpl3ilBDCm0pO2I+uS6tusiAkAlenOZDI88PtiA7s9rAYbhIVsdDz3YN1rVQqd4yRCH84H53 7y1H8VR0PXOo/UreSRSGeQfULdYEEamvwV30W0PWZt+bYYw/WMLYgDl0PoyCfcvGhbv3yxBX9DSI BDwjWiYMWFNMjg0bSQ3X0EXTLHAwnJcfKii88eqRmKE0i4tcBwcHIIvETTJVFIGN4dIi/5nmU5uT hgyg5lrhoRZK3Ujr+c1hPpeG7PKgjo+jHC6yckqLXJDqiH+8Gg00d1ap71eoJ99cRzCI+GF5WSCI yQUYpe5n6uvAFMfmQ9DvB8KgTrnAydSZuTRrCyGfdLorLO9vPbb+0nXjkyuwEx7npUE/bVOJQQi8 /8ELsh38jQ+HQWjZ41YNS3wqx9wDTPhkQ0/94XpfFZfWm7UMq/jJBgSxpRCYcEgGATSfuCbjav+9 mU2gnPxi4iG3fmeLbqSCT+mzsNRcFrDZZMs5uxEoe0rPVo4vFG+7xdLiAU3xKqMOq8p0lJehXdM1 oTnq8S8/aGkTBBr8orx+DzuA8VhvG7Bnd15BBney+31inilKhOOg176SEk6ae6/Btaaa6WXGMbPr A+RqvItM0EACBNt6UOeRlBNbIBSzXn86PAfR/SBC2o3qtn5kjl5F04K8Nq4NpJ6wAWmWIqPfgadt Rtr88voNLGttb1DGbMhLX9Wo8/F4KgcofQ5HfKtxdVzcj2CrjpjWBeMeqkCUlcJrXmuF6Z/yShY/ r7+FnubZJ+kZkpIlyviqAIPrA/4G8EzU6D5LYGl1ziGEoRUeAyC6ntgEof3R8AEl8zBXvfHlVllb jCC+eZdbm4skZR58laeIbDoiOo7HQoiY1Tt0h8f3AyY6Rhybk+smXStz28GXYkqLnTohEqm4ageR 2pJvnXJJmLVv7ck5oHAiFb7EXoAoqwCWwT4EawnqPEf5QF4/IH5vgFLxnD9ccmbtGXQWD0VvjBA0 AMWrMsRGfW0QuyFozK6aYA/sJIFsrc39erKwRDbsuGYilT8Jt6LYVuyIdhFTi4ocC0xPWQz/CnNI jto62ybFEYeQX+yBwcF9JEvLmSuv3I5SxU/FNGKuNAiZkA5vqtr4MktHSjWzGxqy4Al+ARffT28W 1rIUgvhjDIYqAmfJPpgMhBwJl8/j2LkzpvHn102bDBWmhYeIcLiV+mUmfVYX3buHlJOPFpuHvHhN HAfOYaAKXDhQDVWamgzryxmbpygp97Derdyq32m2cdE2yUVavuamcEPlO+ikwKrCZbYpstWqNZLR geqaaLK7vY7w8O58+GDc+pJ5TsXm4PyD1RCfuUHU/R1rMTp5ALwytRz00Qlt7dFY8lDGSRPZDhNH 6yzTFiqHFBS7ol7fKZhAhuRb7CXJciPyqHggVvcXeTzg0QRLgPSVCsUo8YfmjWGxY6eDOqzfmApg o9PZUQ7yqoBq1IWzWAIzWmVFC3z9SgX0qn8EWcRVXNb+euj1f9PTaOJqD2cQnh8OYvmxyg4/29gV d2mnTJ+OvlkELFiObd88Dj3iQ9bI0+u6kt3ISLNf4YOoUXTJ2S1vFETCYimSTSPv4kvXTdmRw3/9 oLUK98GtDkuJk8iSmOc7VJ1zVxf3ttD7Foc5xP3lBD5XQu2+kwDc3XZHvgB2/19uvNtr4CsEVZOS cOiqr/h5BVUq/WAS/4/aUuGmBJV1S9QuLY1L4Co3i2Hq7VNiBN2pUSs8zzoWcZT/j6tovHV4s0z9 jgPhklt7RzDbbYiUrs2OZlQYLfrjuGNn7++orXLbgJg66n+FZ6xwRNlD8bs46gnFhPPSQRZop0w0 QUy9If9sHUcMaTkENx8vFIpaSg7TD/+sUFwCZ3+gAI0GpA2qTVykKFnC7jdWYpj9SbbQBkHO55w6 PYoP6ul5hNmo2YhRDmI3FBqGACSUBopcHfhrz1IaBQqLlzg7d974xeo7HT/HolYozSUBJSWPYLKY aqNnZ/ChNBDP11XHwXi5MGeWtnPXQKSOy2XMkNE0nwaZFrg8p2ICutpJw6MP9u4eHexNM6CDgi1C Vo4CkqwHcoVuTIPWsNZLflq8dhX3OYBr5DYx4Zpbe5Izx8e0169d0k1KOlpGQQ1XlORVQnoVB2+H wK9x9y6v2r4v9CTMwBevETpEIThi+aAiXUeuEC455zxDwm2OY8WyoX7/8u1IN7fD1HclS95DUNH+ rhu8LReVoip1NIMwm4XK/CG4/A5ZY1m30nKC6wFpc3Fipq/P7Pxzj4ygdYjvt0hkujB1n9NRrnmb aKcLFt2yFLDg8g69tuACj5Cx0U5zdvV3DNI0aYqbC003LCYIB4f7hxcS3tlm/273EMUMk/nr+iiR VsRgq+ssfXpeHDdsV40qm83k3FjsnKG+Z/diSnoGBjDEbedYD0b64vWQv6jWBOjir25bsMPWjqXl fnJOokITTf98CXevSnDK/N3RRA+mYi4xfz/WjDt3r7A2JNqPBA+VCnHmGSUFQebPWEsqDPMTX/D0 eZTlQNfX2MxdcmnO7eWbw5JL5/FciiqMV96nVgjEWbzf1+G+ir9H4Z1W6mwI1ag2SSfeKybxNq9w fF//2TZvdj1iRJhq67K81Aq+s2nlrCFuYGJJLEFiXC+nRFNAdgsWQqt0GE+xa4LhRSsoGhfdVwno gUE01ddae9iKHOctQuxzE8l1nPlUfjik/ed/8DWQPRyCpVJ0GKm2enUNXJm+Fg8IjAol+nUgupCY 1ePafl2pNYwF32yaTzKsGJegIXkZD7ksJ/LP3A7dx7Y8TMDVPBPVMRai/+MpYUZFwiPFx6cNhNK4 YD3gqGH0XY59q29UKlrB8AGsjfPHZULx5nVAIIYDS06t4itLMbXhrDJrDHv429o2Cpr6Bi2JfSOP oAJrFS0KSF2/brwC0Ccim9xuCV+9TGyePKdAIECmoWrt77RJFQHbqN7BfX+8P2qT2mTzrlWfUhc5 xApDg44oJyVfQ05KoUBoJUTBFO1MZM+Rp0YIi/t1zN52xTkzPnbrxD/RpgoysxZBh4oNmtQ3IFeN RrjQE6FgwA/OO9/zPtbtbU2uXsKV9ROFd1z2X7sJOTHj0s4GCZjy5KC/+yeH6ekOkMH+nz29fjY0 H83XwhjDtRjCjUEoL9QnhSOurF8wYO+JHFf7xkjmZPq5dikk9+jR3AVBRTjUjZuama8ouLjR4BIn 40l60XxL7PYenZ3C2OdrbPjqoouVufdBLkWlVVj7C+L9gSdVN/DxcIkKWmFQt6dN47gD6/Dhmp60 tI+QpzK/X4tKsYnoa/aJZEfM21NEDKD1UyJH+98ovz4BLWG9qHKC2KGZcq9mpqnLgk26QClFbhoo EJQEhxOhMrm+K849KcaKbpPJ8NAbvUK//bi6ijIaWbCaelFZgX8pLmSuYaAAcuriLVMbSJ0iD0nm mDOOAsO80E4BxCXQijoJqIBS2KT+2PbbFOk3U29pAqFHi/WjAaEYRQU/khG5tW0v4WuXo8DDUQhO uhsLZoQra+nPgQBoNMJ+IdSdP2pkB9x2pGr/rbSX/QpXetlrA1qwBi9gZLBYTos/daM30yN0Y6v4 pTqGygNd6M2j16R7tPYfyW+OV1tzZNMGKuftOEq0IDUbCX5U0DYNoU3OQBLSLT0tB87KbcVA7GG6 TxhEHgRpSoe30TUz0lgbG7TESR9Hh/DGADvP8WVVJ1MDyJfrck329HJGFxQDW56MMv0NrUwhC34x xT+4GrCrr7/g6fLLFI1rc+hyp8P5ScCWZAPmLHo1Z18Qs288LYv1uoXA9Ao9V9ioAWSEqrwI8Xz7 rgR1mpHOMj8PDqVzL1FC2UI2ZnasB/z/o7VqMyQzPqxtdFV6f91jvmCHG7ZAdqZrt/zyk29paLEV GwjMK04CC4b9928aAVMAm/+WJ4Y2D2CeiCiQmI950EEt+YvVcLmJ8nU/bdjm0H6cQ3l6TPvL1m8Y PGAmsZxeiI63ml8uC6ks0WfScuro+z/RKhyoMjtlN07apX0d8gZhGNHfRKTJelolD5Rrdcu7AxSI gNK0gsS/H6qSqnYC/Izpo2UT3kKoFRodprS5D27DEmjnjUyDEoxniZJKzCXUMxEO08+H0Tz0/Zug wf4FPnu2feejJ0NLvOAOo/bLdIpbPkD4/iFlghec5hhtT2iNDArOc396wYXwYhn/yrDuh47UhDwN /RrCNWdMgSu20qBhSaY9LBd7p3tfm2FrTjiIcED2UF0R7Kj3tbEm9Y0j2VGkji6SurHa4Gf4ju2E kivGQOCpFDaqyU3IGUyxTIq3aBGp9TZGpTsdsSltKZ/0VvW9h6BjeS1NqGo+owygjI3xBsf5Ew0J WiAMqfCn1QullNXMt3c7esRW8hU2gy3Xi+TZVSAke6cOtlKEzLzDYz42PF8y15kw0LecdlNYb8ft Fh7/wZ72e0D0wb0eaDE+8756gtbBAcf9jv0nxTVeVSsJgyMX6nQgNeJ0zN9EEnVpmctr66qgigXG Jw0TaTLfo0K2qeqC4NCPvYCPEcSzQpK01TFp813ToZDBrw25kKJDbp0EkjJV2wfuf3R3GEPEJS9q CIcE9bcmKU6+Vih4a3LKhNhVqbh0UUmxj2xhfuqYQO+tMQ+6tspg6C/vprwW3r7d/8Fbg6rDns9N IT5ZzbhX+JTONflNTX9Zy0Mt+poPL0/yY8hRnZKMq2Ggp8+dVWMD/L927e9QEQ1EdptM+ZKkSly2 evJVy8l9WohL7FiWOMTgcy9iAHtyjZN3dnYBMYtOP+pOaOsefGusiTzsIqzycVJ52PMACRSI7a7m qAjIURjrsvNAaENVlzvWZkeKLi+s1a5nV7r/IJsbCDq9WuHC/oQh4ygZ3iu1Iwlhd4UrWRpJXN1c MF13LvSNkR7FOeme+Z52os4x+N+4kfYr5myHm6bVEA9R9ZW1Fo0Y7UaeuCNr5kZURRVKoMeKaWzx clYHeoLUgX6/XhIZVZibh5SQUlL3K1egmuv0O5fKX4Ttnbwt/bEg1PFXDTb/XkOz8PX/OyjhIrrb aNYwHKBauA4mHah7DGNXopsOvQVvipwcTaRfh7aNxQ1z0ZcUlEph76NFTI6VqNZFw/2dkIDcLR7E EgCFJ+H4AjMlqZ1gY+q4h8Xt+p+iOt6uPm2OgvTovJN8jjyP3U/W48XrbBvf6euBhlam/nLyThNk TwCIMnlNFOUt7OjYB7Q27a8O8GleRWQQgGA78VHOfyriONc6dGD4pJiOL8KNsf743AzyUMocWdNw lOYFlsmzpAxoLH0SrCPYChqI8W8LZVDRZcmT1wzzp2ulcEKKvyBlIjBw9QY6oRG78B/koqkXtxHL i9/K8mnFyVhnwSI4G2kjRhRG3NXeYEBXejbly4p9RV9075HEZhj6s/MRo4jKpunGlNk9KZsxmw8d 3GiSbr80Uy1/absJ4aCi184z/sL6R4VCpoWI1OINsNeBF1Kfxp57+tJSzC9i1j16F1OgnRXngO0q TKp3mDUH9qwLOSsYQqyesoPdcyfYg3dyaFexUBzAVJ8+hPtYnKbEnTP6ecHxltLoQqI6e2+xvmpE JRLRG0G2ztv2xtStoqrKlxocG29ib+1BENsloAlYW8Yzde9Q8O9x6FWZ9fmCY2nEdNFRCS+ZXW8L gKLr+QOwUyHa4rb5P2Q0HHrMQ0rdvjjz6TqBpV2scYJITI4s9YXB2AWW9fDY41lfrd8pJ52IZNRH Zzs3TTo8HQG6uNx2Xx/AJhH9iQ1PvE1TSebdG4rXdNLky0X3Mid2KR/uUho6wdrDdZthidIDoKRa IOKKNhdBjDeZMWL/Dat4ysTS7RYHWMH5sXgdoux0JoZFobffw6mJNdiS8K7RpM52sWnxEBvXDfDA q0OMTSI0xizkwokF3PqqpZ6ERlMrsURUYFZebYqh8ZANpEfuEryaXNXRfU2WXSXReR97YpIxaPSZ xvhT5pB+Lxs8tmM2ADcnZ6RIDv9HMju3d5eKyTKZSyulGR155xg+RZFSvZs0oH8oCgVoNQtaHX3m 843m2OvqyWnEMjOfMqyEWh3oKAjm356+bTN3NA5G0LPXbVn5CuUfM77bZg+XVpCUQfYdKVnF580m /zM3rlmvStElVTVFIxPDgUa0RZ/SrOSGyzY+0XJVtCNIMJdCoLjwrHGKuzAbNFZEZCZvKZfbDSp8 cRNXaasFJ5YqhN87CScR7/9fOY9MxlnxJqaU5hgPPCQrqJybgVNHGOgyCZY0kboOANc91949f8At eNPuRRx5fZNma4a1bE7gNRH5s4ZYQ+ayouiNJJdELWkbMKDWFEF+PbZB/+bWzL+r3GaSI9fhYMk5 rhwCsNaJs8aX64zkdl/cM269cdqgshCQ7d0C9NJcxcSwVLEB/VFcqm0Kk1VTii0ozVydvX4UHjU2 XdItyzM5bCYPGYmwoVfOOI7QXsRey6zB3ZIUFCAAmWEeVLNCX8XUwBtZn+6HRaBDXa+nObbhNtz2 yeS7ntc00mi3e7NWJ78iYpE/OBhE3Lds5sNUEoTbDDV7CC5Apv2A4I++mhnrA4nJopuwj/0sBiK5 8zS7wdDXMJkcp3OybqvQxdsYz9IEf+6/+RR/h5JGDYDKjD4svEPwj2aAMXtmuh6UChjcsU+UkBP4 dV+GwUnSgMxkQVpIOVi264N6PKHo2SEby79TsXzSodBdGO4fyRVccXgemef+hqDWL4UWPirq+vPO C2UMCqWw6/sJfpYsWKncIwt0PRZbtdDWUKitcnNgdAml2aUV2AcuDKktAM7qMduuwiuO6sgdtY13 ipcdw86zb6DzHbO7n/6GxbzptnoGU/hmN2e59iSZSRcaIdo9jFhUfNhZ41Kioeeukzwg84pLN7JW xYVHWIWP7ni2/ijZUxVk2tCdu3Op8fJtUpOe6pHNv6vz8+UcDAUD3IFZ6t4ADmJPOvM5RiP4B69I xSACsCweFG5RBmidWipVMdXrUEFIe4Rkq629t9m0XU6iEEhb4WZrod5jtB3h15sBCnCkO+1unbEJ WnBtiQa0w3QbbLx6nIK5pUN0zOg1u4kj5YjAf/D/nKfzWyTqDSblabZ0W4ViAaL2RvXgNoXb17gm EGDHbiRGrMinxskeS3GQV+9KzRy6orC86pS1J+JgvhigKtTSRdKReMEsqlwysC3xVkbSY+PvWukX 6+yBgY7G8zsPNpXQbJyyxIMnkI4jqzbxZOsNbq9aQjazirGEJSnQI0iroKq08JiO1HZZkduEaQeP +RpLhSZaia0Cleo3gKVGHwfHORx2FahJuSwIuNmOGI0vxYIsf9DUAyaLUCY0VUTGJyufN+HivDd5 GwHOjtLS9+QlDHDkDGDZbY5jlVD1cmcsrTWN+5nOa5xofTE4yrFpTXLlcU2XDNZncXmbhkKPROAj vMtnDlmMNVdNy3tMLsHmvnGm2a5qwJg5MbeBsCUkz12UPoHaXA/uaf1KvJQxGNw+2EGywr3VXwMm ssZcJt9jq0fvkFZ7Dw+8V3BLO8HK1swiwK/xDX9Oj4crmk7dw15VQqGyKlCm1k7++oiZp9qbYHVe h6l4cEDFowB2+eEq5giUmNcCF4Jw5lPTdZ6t0hDADHzk9vp3aQ6BqTvTywlUINdyU4HgpmYTRkrH Ee7VhYUpnG74C4PTgDuYKzjqGFIKmc+gtdBWwBUQu1SEqfeDBRnE6q6UU7S1PQK692BZtBp9WuVg Cqs8138YVQU2vP0fRie9qL1u5gy3HrxwNvcL/67w2JA+R5BUAaPUPLQoPwGiOhHBLAgNZ6QU3u7f 0cZGhGQJDw4hHyDabajeYbZCEYzmEGpMQXJey354Q61BFbw27X3ZyYZMzQxBQUuoFKUy0UHMxg+g jD2T0LVqD4B+0rBn+U+BgJEaiHUV8LPn38jD0oFPIx/QFe7qrtzKuxQ/W8cZ8WY6tfPycJqUHHX5 kbUNDqH1Iroq2zswOUsvdgfrm7jd0Mz9fv/9kJ9ZxdZ5sPeMtFwOUtiVgtgtAByzaPFyJd8fkEAG xqwzN4vmzg0eH1H+96rE886GpNe1oMyRbRwcus60MZTSJf3QWWWCysfMpGR2+hG6R1XEzqFXEOHB Y89FAI2pd5tk81nXdYdHbmw+jChOn8D5oJrbQWChm5+BKIv1644EwC/hVaniqH7PWEWfYaZzYtTF LfOBScw9PIhwGD1jPfZleCRrF2wsTNF48TfsjORLc52cCpofze7EIuelcA3mqDt391YEwGw9JQRC wz3pKB3u5xwdUXdjBG62jHhYaiCP8YYWYR3PURI4ZN777xXNxPpNs5EqUSavMcXjuJLH6Ox9vkp6 ChprLaM4cPpH30FOO3BzFIeAeO/2udbGgbgr2A7UhQE2gWpQiBQKq90ZytTu4DNQPpS2hRmiMssa YrrpiSADtZ42f8urDBgQgVVnXh/69MlaMCTx7ArGittVVkVo+7x4hUQ3lC7Icgz126lojTBUx2Y9 +DQswGfrJb2kjv418l0BSTrnKxMG2B2Ol3GoSwM+ogHHv6bcGcTMga14JS+Ydhi56oHRRwsPQBkp 7UQ+9YC+g+w2XKMZrtwbcN2pWs7VNIZiZBC7GKXztjziFoaA31Xv8tgyL4w/LnsNhCoxLRbuRWLw ScnoV+DQIwfCqjcbpNetxEwvRNfA8X+O/XNIYGPYr2SIuPYCd/fWukonRPCOnaJuNfX0vi8K+Kxr dT/ftjR2B4BLvhz7rgkVmoATAvlU+AQE9j7wsG4Eg8PZ9Eb8yy0vchoJ6eQ39/BSrFdzeXkHnloC BdYCSShexft6UGVL+GykV1xtidJHPPn+zp52htAK8XII61g3YH9je9XaHTW74SYPRa46LEUbu5b/ FZ2SwtQL7h3U49AetRxQVHuRAdsSu48q7RUCA+x+1aL7M3BZXnnbyVYOcZTx35T6PuYrVcXFvEhD GQzzyev7yoaGfP42wagGV6BWyYwB8vA/D/qgXWHjmpvIVcfMwl5EkzIzBbnTfFGeOAp6z4bSGkPJ P0/Td2wmkrpTkKxkVn8OWbmkQXAGkW7UeBYWIdRldhZTn3B3lqv8nhgnBL1SrmS10aA8jqnDDUWo XzgmbHs8mYWW+1HIbu0FkSnHYoANQsOiNOL0JGTEFz78Mo+D4nyvxVBAiecK/OMH7ya+n29D9zg/ tb2KtWlaHFJKng1JNbIMq5kLb7l/Yd/APu+aeI7ZarVWj4t4CCUdM0P1dXrALiVZhLl5t4JxMUxa 8a7/+pMh1vlH8WfAmRePuE+h8mxteByl/0vA7bNXd3FYzWwjOoA9xKxP06DmDHUExKTV+r1Io1uO ZQIIe39mbXZdq1yQYuGZva9rIrmojgWzPuRxBNMQ+EoailUQUx6mgZPH4Gs1lV7LFmC3Cgw2sxdZ egXDE4V3VoY7sjJLwWKttZxIN5wJGF8V9SpdMwGi4h6lbdI6vtCMdrnZXQqLxXNjgfg6x2LNnLQb NVAMr5W89uyan7HhQ4Uywkc2QxO8V/g2xx1HNurAnw5AKJRqQ7eJRbQz0dzElv6LaZZjzI1GVO1l HZypImXdOfLwnZmTtjT7+MihZOCjuwCfL0CBgEpKlEZimyx6feoydK/vLH/f5fCyUcnDu9NRD/ub ITceZAvYtJumaq1mkk4D2WXd+efvJ2RdeTkicj3jB7OiMmT6N5LWqG65o0GyyGL0LxsVtAIGPjPr nDgWKTeettIt8rzZ3IkRYh3GOrpeNcJn5bZn+6OINdyQMKlAEWNWwxMX0v0ZDJe+EXJLlym9hXqO UQN1jahlzY12VN65THnnuXHFKf3ZCjEDS7LtK11HqyCgU6i2PjkVjdJZbhBvilv2dQZwPN/x0X1n ueDSm4dq4h7f1Y4Vi7AzZg0xfRyhd9HD1UFQtqvDovs55nBfrcUQwjrCMOSpuQEIZ+f8SL1LH4fE E0un9a9YbdmiCnameNHIRqmbjmelyTOvauXJaqWJtUq6vWt/YTh9wiZrNijMti2fZWVvDh4vp3WF xrS2+OHZsm2teWOPOvOFmqa6vmWoolXLDWvsetmTNr1OOL/dNF6JUr4F6nKa2PckZMqvuZEBuja3 88wPG/8wWhdoftgQLIwanIjG10mVrbQswZpDNO4QkWmxXLM5NkXDsivj7mvQRh68zqEzqG+uAU76 GFpaQkVFum7bEGZ6X3RhXNTUJgvl1e75rOiZWo73n/1WYBeU7BGR0wCcdrMz3Wj/JgkMLzvn1rxJ VE/FqeST9BrQ5HZnv1AP3UnGPbGuC5sJ7vNxVX2/k0wHGMoktMtaXNpYV7jYOQIp5+6PGIy4KjiI 8Tof4WFZiZwrKNsACYfj5RqPjq3oHV+HKaLH4WgK3j2ULotNxRrcEn7UfdGfUrfuC8tMEHrna4IJ Y+a1jbjqojqyd+NIGe4NRAMVxaDM0ZQ4zIesiSMgpwRrtrFw+TXcSpiVoI+8Mw+f9a9+ABqIZbad Yzxgr00xOl4cEvdDfRabVbE7t+mFumc70l/NKreXIJjhzDUySNanhZ+2WLtXMoTOfJ4EuYmWbZcb qXWyy7aOUU9Snn6YXRz2vieFW0iSoW4HRLj2vrzO8bDwYyKHs7M0DciYQ17Gb6tMBTwU5n8Qw9y6 ukipT84871UjlpRX2dbDcdZvPXV9eiIHUlsI4ICBmfs2vZfkDrxrcaVZaYYIz3B+Rw5w+kJL4HNm Q8d5eB2B9AeTcxgnf0SYCOHFiIyOX2jDZLlgtcZzkTmN8kq95TSMdjPK5rVL2pch2NfzerOnT1+t LX2B+p7L4qnUdMaadhk5bqfMyKvOwzGcWrDsgOfbhSv4u30cOfDuiEiTT74fB0PPkNEHK3WVndnd 0BjAMJzwC1kMp2Qcs2tGsEn2WObr+2tqO61SdSjOAMU15S7uAIMX6kBw0niIw35gcRU62lIWlyTP 6Ri8L88kShsfml6TFZnQIiom7kCp95g/P+KAGJ6gr+KC95aKxTNvuKCuoXPpMHPLRd0kVE0k2UyY W8mwJvyMPjsLGx4egqFHEqdJPBtY3kH3Hh2m822+uOuYoFjWJLyjBpcdUvmENRuKsx7V3ySVk6c9 0qYas8ygtcY8B+/9Ih2TSL+u7ercC2i2Sa5Ethyi3gdMQqCq3+aFwAtdVcT7dG4UzL5BnsQTddCB PdcUFUGlqqccQkckFnJwPrziBoHfWVVsoI/Aj1pFqik7u47Xm1pP+w5oGWdbozgTJj9dsQb8YEIc A6ZvEv/2qt7fuOIlngfnPx3Wv8gQJN1rBxEfaNwusd/tHgyMe+mYTXtRpuICQjvIX5NgJSW/PWw6 B/7IikVqqzlnOglMvqkpXhIm+B8k77SYug1/TeFoGzB04BCxQBHVu4nhTbvEQHKQ232VR+pEoXHv obXFohZHL192WzeHDlYsCBqq8wLc9FwExwSlSTCboYKUOs7Gn0y/R1+uGSN8P4wq6SbsThwBJYYh pyuearT2ZpC/fQHuoEukQkTIgoZPy7Jm89WkG3r0H2/N2pzo7//0EkjcI8B0nRdusb540IflH8P0 STESvqJmriyiGYaixma5edsl6e55z3cNhj2+FyxxymQNojTF7asd3xwgpUpJL1QG1tBNy71GJOGC 7v2xLIwM8fvjOE6Ow+nwYYXoYBTcF5v38A8LSwzI9s6dkuCibUnAj2UO5tRKmFlqlVIWuCzbMqEx roCeRZnItdh2DiNXR2s2NUTiucs3yxgmzUxE/4sBy2EZft3yzP0SkcVi56r06P9UBxQKldRectWl F9itFc9hyyQtJVvEAL2mvvig0ikpxuEWGTK4h0Bu0bD0Mr1jmy1QPY+V8KToExyXAh4Jl3/wgvD+ u/ApFXP1wjImKw4uPB5vbgen1qXSbAUCnxFdZxLkmGgav4IDwZG4bm1LypVSjxURnGfnqO1PeMrx 07BzbmiGhLVRwUndm+vG91RjNMBgXS6C2qyQfER7TRG9OW6MjnciDwcNU6HKgWxQlaCI/+Xc6lYa DpmoIX4shR+3nI3aA9KeXh+oaSiY74nqRojrwWCkpf77bKk8FpIVFemWpkcLfe2R6Y2nly6jDg1t /GDW0xg8SrAPd9TgjrxCI2s2CJwBVth9XiylsxqCC0vCoe1dEpNBlJULvCjEaQd7xjrhGXnMBj8C 6NKnW+g/c9wWy/DiBXLVvzWbv3p96tx/PdFQ5D68jrZI616XSexeP8695AGw/6YSW5Nhv01UQ6/W YLjF+9yMoOELFj164OC6GE29ZjCbdt5vN+2uWdchsk6lZ/suAG7IXXeBNKtoFuORrtTRK7EnkAaO R+ECLUGPWsU2OxeMPUr0/8dNMSXNt2mA33U8nYxC7yN9Mmg6sgw99H5Ibv6zBBIbedEsveI9z5SV oUUyxwNSeu/a537N3MMrwYfyLeYM9u8IlAKD/3LpLmhB9HEd7TnYUY/+JTVs8GGuB9osdHsSP16u B/1ekHCWDbXALS6Cq5jyibrbfL7QFwLle25FzQN9ngMwzVEhT/2JYfgKhoNdlGcEMorEQk0awVpq L4fj7RsX7V9SMHxrENmaQ+iNrcFfvKhUbWwxd5SLD26CmG0szAhG+h76xb2pKvtCcZAsR5w0Uobm jfgHuK0e+Ptqg98nDs0+ZxvLR5cVdovmAKVq9GjCv2/wNUYOgW8XCQw0qDjblvxQBPWp6XRwUbBU YRbp+AZVsUkK3s11of3mrtnbcz/uxVvrAvarvvgj1wb8PXzvg9AeaRfl69Fqcz89IImR+2FVd60a zyYrslZWVBLCKvdbENo+O4fDMzpqnguOb+fy4/cnSzdJbqQweUTCsC6V0ByzGxjbzHgYQm+RBQel Hz8Dbd/m25cm/KuTWwLTIsi7EQByl0WDTqPqmqf1iUamzyAKkKyyWGcVBfydLVN32Y7Mjt6Purm6 qm+G2hwWTvyD+ITBHLlOW8f53AnB1qW1DihCC8I6/O9NgBz8G7WIGhxtUmcQoeSAQQ624oM0q5e3 p+jbennMSrc2VFuC+7v7eNIBc5VMISqVMzgMsKep+d/oOyJ6l/1XoDo97Z3U6rw8Pc/1kAO5oTTT mPceXzdRRuhQx+HqXcNVqgce3Hkb2MogPnjZD5J9iMSquiU3pgTVia7W6hny5elj13GZrq9zjp24 g8hjTZB/ofHOiA9LLaNHUEIUUP6TuwBcaRyy0lzi8xjTgEcjywz+iLa8KhoqOIBMmzs4l1O8ExGK XWdg8lKI2sh9OEnvq3Pp6k4TonEj+g+p4OyvMdj5woGdOC+TiT9ScguZShJ2Tp4vgJo6g0J0UzFt Mce2kOpRCajanqUwEhp34Q1Gy4oPjLQYS0/H1Xjm9I7iBvdEr6FCdXvtEKlEvfoqJdw28Ma0SNCX F2KsGXIJX5VHAtwF3mVs8gt7gHfjtIo69/dtfQkbrft49VKcwK65Sz6kjLXNE4GjShghgPtkUxBD qSE5kfyk7WV1QHBlkyHDGgz8DqUSRQ/UDZAKls1qLtOz/Dwmaurs+9LJQ4CP/y9bD7pSCTwNpxvq agBAYrk2f/ZFVUmImg2r8e4/TBOWPGgiRqtU8BJuKvPE9sf0/HDXjZDdzxzQvg0sq1Rc6djeX6P7 KTuTU0JODhuRUwN4Ktku+uygRPiHIrZufL3Yw5vSOOksZGM7u6h2v3aQownNf8PBVNSFqvUJy0/9 arChz912EQt1VTKri41IhhTgTtHABtHM3/4IsAEVOwYOwS9a3bOMKxAHdpbDizB8tKgTw/oAeRHu Tf8kIslrfscQGJZMVYAzC9Z90S9tliEQsrho80Uvdua4wIalqDuUj4iMu4n4/T76N9Ou2rHdV8EC eK9L8joOa51f8nsWOM+VUu69BrCRXNHKWvhJdek1xY/iPn0XCkFiJfSKWp2WHpVeatTlpPKymuqI 7vjPQGUchIdt4UbzE6w/tBxI+590LmcA44DSz/fJfckHVtAATbVyfDWGDj3eYdCPQmJT5YfX7h+c NB8y72oCP5em+tu3557WHa5KKK6DDO+mSDwdmYA9MDwHo6Oe4iqh/Iy3j41YvXgJzeI5mtr69ECJ k91aBLE+rjOzX101J/ZbRDc+u+Gsl3RvWadA5Ks75PRdZ9EJWxGlwTCck244MRbxOWkaVzwZDhXY zMtq1/z9Yfp0BPwADUaMUfugUReSqRK71ssECqACqCY1+kjpUqrZpkdJde7jk50skHe2gD8/H56y wuG9FZrVl6D7s4FCg5BvmI9MuS1uzRlCAq5sfNGhbpf2smyCDJdogXC7WnC23UkddkkZSI9QRH9Y wuU2c6MnOAH9mIS7S5yrJkglyfF4g+8qYL0Yi+YeGcmrgs07YhmrrlpGMojYuDF5f17jyJ1Od5ke +e3NjuBDkweGrQSudvFBL4LIV9ARYlNmvhlaxXSz+NfFGiJbnyrBsihcAVe9fIE62AD9rOXToFr9 wPIjb1fzRuqEjvaglxJA9SXSKGWPGArGA7G12xxtn4iAbYyAMpmd9BYyUybEM6fPs3hFsT4p60zy FTTceFRfMltE0mAK7X+Ku+17Bw0Z2oYgkG7+mIvtjNCyiV/XNeUYJo3Z/Ei/jRIj293QZNtNdRcj ItxfKDVOjvmr3MwnwVR/vbBT3vxwMquo7CjIP3eSDFBehgYajsVW/G2s+02qeEx7a28QnKsIuhOj b9hXz27+FOiSrU+MbStsm4fIxVfyOQVkBNPUs+fvFm6B5hb+N3ZV49s/RrspSiOlT4ljHXZvcOXu skvdOq81ZiSCStl+r+BbGmTryvPK+xLQA+dVxb2mv5Kl6Yjf9TqevHW5aIyJAIZwWeajdkm8sUc3 Byhz7DiwVner01iicd2XzAFelX6C3Qz3Bo1UTRgwBpwUebHxVZPRpveW9pa19YA1EyfyLn906Iln cZzFuQEDP+kOfDZVVJZtcs6SQ2MQGAsxi8UYon+zES1UYaaJ670xpT/VGk2I2aVvOTm5Kox54zO2 PMzqSvNbGHyyxV+XHa73PAJCUTvsNh/wBn4Q0rP9jgSaM6CJle0omK28mM5PG80MU0GZVcvaep0r GdNvKefLIxT5EPp800SoziIO+gdZ7eGuQxl84RnerP5YF/p9Ds5GQJUpu+qnX6QEiiSzzg9kwNNB X1OnXwlsWyOs1EFmQp2lpNBXpROAXa5hmKDwOP2Jnahp6tbiwwDHmixBBwru6dYstcugMD/A7k2H gXARK28Bw7l7ZtVIOiYR3j027OMKwu9XDbwKl8OU2+W+M0W7/+jbjvRB9n4mypFFTNDQXbrccM7W o5ExP9z5WMvZlfJaJ1fB27g5DIHxTana/4vYX64gfELPak66GxLpqX3I1IQK3wWasTdh2FDPaQj1 QIElExncVQZ13Dp6F0QRPqs9tJC3JhubFmjnWSdvVeOHAI7mqtWzkRAZXgL6oi3wPWY8x24phnlS BiH5EjvmLO/X5FzIwnleweUD1xvbD0kk59sdkGDPCvIER+Z4ET/NLyj3lYWl1zivUNdNo9St5eLe gA/v3Tg/1DPuEo92BzpUsxfz7GcmroZi8cedwtITdPNsZ/aLF9HmgN1TEjKv5mwtFuruBMmD+Weo mqaJdidGOQ+3P5HcdTjhA3H0HLpKiH7AphZ9wPFyMJsPh12dXYGuEkjK8Gj3vW/k0c3UQux47BwE 7lZeG8dQTpCgMgAfR9hwEpcDqShZ6ZFgRA96b06op0f+B7FwioD/CerUl34XKJ45P9QyOEf8g8aU czbI3Uj/nqQoyali16W7innrDp7fXbP54zUAHmzg4/RYyUY/+xY8zfxA1+/Jatp7bQGZlPEdhZAB 2lOlxA0rvRbDPEETbk6lkY5+Y5SW2Y8diOFIeQHfHg1yvWluuUD4pd9OFWL+xhLNA0XrxtQKNjWx 0UbIkEatBg6TTM0YitiOnp5a1SQp8FYQXYCy3sp7h3omXSZxp6J1sQY2UGh9w5vxPxCaidVu1Ybx gzebj2IZxSa5y7nM4TndwFINkMrdR5Eu4l7JUxCo5MaGKMIspKvsIUTf8k/mLWO4GEvsEo/FvZLj EdcZZIiL/XUV0EUvU5L+wtTDxr7FpTSt+TYR6GQ0Dv00XFvpS0/QDbIrsweiLf5JFfX5DSAA4n8P n9EV+AgoVBAjV9vVK8Z829imIT5ZogBrSvcJjt+yUMDc/Mkfudt2FFx9jY7BrTyBb7A9QiHhrOuI 5K62GD3rXZQrjWD6bISpFz5nZw6yBosrepr2yRS+jLGOsTNc7dPCIjb0fgqwyjzIVeeFqWCsn3yf h47srtrhGQHYgrgsjgBixlw39+CJYvAAZMMW2sKE4fWmWYTpL7AFBG+dDIJ2RTM2BJ4jPdcNP9CY XxECITJO2jVdVQ1Q9vYPeF8oKhS/zakybRyz40/zpZVfNcPJCePjuPMzzASVPJeS1vINsmq1d2zk c6kXT8/dHriq+wMgxqR3ncOJ9dH/0HD4D/VWacTN/ALgRCNUrisFHS1Z/uELBtZ9+B8I0V5jsV6a Bon1ACazxK4toYreDfWrRml6fCBYRlZDzRedQULRAUjCdnZou459eHecKlFmZul3VrgIHanVA+S2 4M0+yN2A9amcF5xA8eQuTeN9YBI2XZ/HSI+DymJ1xIPvE45hPZnCjNZClYVlAHzXxAau8MSvX2Uw o7Rvj5hUU6QquHHWNqPVqY+pXhxJUUFIcSScXAcXlieGInWrYAi0e8e857UaTkcJo0A7Zzeh1WAD JMN9KNc8XDfWaUX31e+3MHMCufs4xoUp47WNR+RW/RSpu8pme1RbqQKTW/ysmcaGRCWtQcnpc5fa nw4ISJ4fQyUNZEmPJLuOaktjez0QtlhTyUy3ESumkDT17cpkSr/LuqAzhmuORaxM7ZD9roG++s2H 7wf1w38zY3cXuoRsyTjUEqH+6X+c2ODw/Rl0cm59uoGG2nWuczfbFRuNIHG2a684zvaChIk4gMJv nUy9HBgznw/5QqqvKTBD+IsG5mnVMLVJ6HSWmalDMFmIIX8J2HxfNObT5zwNME3MqM8xdTLb4jSC YrjZucf7eNIMjptMzfKd18YSLEu65fwkTlwZAM/9ztOjyCTNPhF1XN29sSRSJSRtjnEVLyF+WI8J bG1ml5IMYyR6tj3z/5Zk4mHhCfnnEiWFRIywIhKJS+y/SY3aEkV/U+XH/J078w9dihh63l5ZuWiW RbwhpDCiNHca0glc7aIp748s5GrQh5SwY1lqf4m/0+PKrnt7FaODACaCMZXtbq4A6VGefiaUBFeQ S61Lzf8Z41xDUkaq1ltaDWk/h0av1eVrtRS90fLcd9bksgXNQ71VbnkvFhOICQkG4C4kz3Iw4gqe NWYAxLNdKaVj0cXtvq8x3p7wNDW5OmM/AgqBSJUeO0pWeVRDroLT5APvVGULhT3QyRjiENMCDPLu AHmE2NS/Xo80d7c221qlyc/IDuTIx2W9nFrWdEbvhQaE9k5hiDKnjmljw4QgfLnQV4EYWbpT78Re Iem00Trb9AkW4KAVZsBzbbYp+oUn2gurVrL/4WkeGLjdIzxYjiAPCkN/vWy5Lq/tzwhtuV6GvNj6 kPole0Ke+zJtshUDQ655aHjY2xtCliSxrOhzsA5h8yafAYB9Y1wDul9+dto9Rdgi86y9uWwVRJ8w Or/HFp9VoNSvN9QW1aYulJEFxLqz89yHE/6S91wbBMbZr+BgYm4fTZWaAeHm9h2KaZxFuJxiAd44 UQOok55to30l/oR5e68UDcjaLY96ScS96dbBce9k/6oRG+oOEf591GWRSXcV20o01stVt9l/G6Mw i/9bbSFQp5102180T/QIpRLCkt39O51kOqYDmJ/VjyOJhWDZ9GJuHZZx/+0kMYewSLrI3WgaeclW HK0ZGdtuKoc5QYIQ26b3LZLnWJ9eh5MV6d/VkFY4aBQ58Ha8cI9CYxugyIhUlfMBoY+1TrrWKgJq 3j7f9qkTDCWQW0g+4HKSZBS2n9J541TJTvqI/dnP783ARSY0NOthR+Mvix3TlaWprjRqhFxM4OUe JOcwXf5OhmbkFMg8IZZdINRmBEyWpWDp4uTjcheNC23/OaYchdDKrJzgn2BerF2QOTxcj53pUkSW +9GTbjqAYf6CRFz8s2/YELBV796NoBD4fRiUCvNlRwDzX5dRgqtZ9bFVvnhp5KiY1omidzNylkFO Lju2EnVkWwRPFWo8OGP3hStis+ZlDdvIUijFw0K/S1qZOrLZQN8TJYr+u+Cferw0ncyIwj2G9/oK jar527x9Ctn6oDBIUxjVgx8+yImwUiMmRSmmuhCqIG1pgyPFGWpGgGMZdEdlKV3JzkdLL8sT6Fem KcIs+p+XlusDxhRLYd64tfiYoJ/TDlUVg9jAiaFAMfxTRSGCz+HOXf1CCVDe0yFXJMZ8A9WucG4r tjXoIP3xAAyHtnLgu/dvf1xplPYaRjt6v1BYGHXVWU1cHwvdFYdqR9Zczxy9aO1guoDl6iMW/Qtr m607JM0OSbPiiwZODwhKBaTJrKFSJoas/+uWb7F8mIFg7NNgh0dJ3toNWAtXkjnU61oV0hea9C8K dibv9EwEuyixHqrTUA/7P0sogFDDdSMyFp2Ny6zwnB2Xq6yigJxmmQ5Z3W8/YlD1JUzWq57BErr4 TZsXSHkT3W1OfsXP7jYGDY+IXxyS9tgBZv9ihA+NTC/jHsy1hmi3VHs2ZFEbzxfd16O73B/fzQES ti2NmO/sOo8melGER6IfsLJS2Qo7iXKtoejWmQLjqgn6F2U56O1anSwj6OxA+AO7zkuGeJlAL7ng /aLu1UaprBiwnOOUUwDjuYoKUnjc6Y7Rc9cIr7dltnYeMBfjhMITmWQjg/u/tFPTa/Dl1IUN7Vax qWltu+aAiGd3Dr+9Ecc0FQreAKkekJFpmhw45Td/Ap/hrH437atqiK/4sE8MotMfSGtY8VixLANN tYWAZQA9QNGVRlmd5ivuz80Z28UhW7FgdbDTsINOPxYh6VcSdXdQWGEIWfXdMI/mO7oD3yHSAwf1 sM6ebYk/yrrvME9ljActIUr/1U9QleDsh/PSZOqAZ8l23cpbR0ADceOgRaZSPmlcVVXDDLv5WBJy d2OY4eceI8IPIakis8yxzOcPZtGgAoKCLiU5STZadGgUzTvxwUsB2gc/vsSVz7ysXf4+Hx9N349N DusTHe9aH78kSjU2ysfNqfsNszk43mGYbobMm+t46ffJZ3q4FhFmEvGgCKwcGiy3JC8guS3UHYOy xYHw73eq83fVtnc1rNGujexOO/dvy5KuJvU+D5Ep65U7sgdlE7KWfq3/jXUlj/TtwT6ZsysON8zU UKiajirTTACxWsFlKYtwzno3NKkNjNCML5vYNFIbvkLbMgnUFBbe4+ahB3tFmbTlvzX0ru2n2fHy De24Wc72CKRtEOpSEtq8+RY3PPgrD/6St27wihCUlpXv99RQOvRZJ5YfYZP0IiU+IWHTi/tb1RVe AYF0/tPjM42o/xBttv+zq4JGvZpoyZTKTjeKEyaVm6eXjhwqHnvGZ4eRuJ1sk6DMcTMyMByJ1yxl U/sVaZJ+y0RFpGQVDvvSd5ZCHZkLSzkuj6yRNbNMxIlLEvdVauch/OvS3q8ZQfuydhn7B4Zr5+Ch 7GOcmm8C/YzYsdyXcUDebk5EHrB0YsMD+MEgwjmvUszQ60B3vq92exeyi27QkdI5nx7EtpHI2bB8 4wBNZX1WmQ7c75dHg1ITGza940Gj0tYbj2h3xOmjMyesSWIKqmpqYlgzn3UnqL9u5O1iMOmYOMxq qid1C6y7cnuWEGSG1o1mdeT2VBd2q7453jaHjhmNLiYTAqucPru1BDwJLQYcCEOpNO/ckVSLRF2Y vcwKzdmwiPzx/34BuCkebawFej+g66VMf20PRtI/qQWFTnvGHqCicUtnsesD1xJgwv8iMcR538iY Yhp06e8K5DvwcUtcywMZpTE4gaJd4MHsaABgzxgc9J+MA7QGROU+an3Dt4nWPASlxREFmYBT8ZHA Czgy8QjD+ZT8u04yMvMGuYf9B8Z/BP+/YgHIrT5xgnNEJgCcdodVL30IOfoQt+zJyjkEV8XEVIhN mdROO6LzNx4LIB+kcvTysGEFAlYgS9e5fuuPsBx+Ar56o2+9MZALTT0luP/PTwEipH91aAUzys9S ALRK9YngUdXDVC94fsklRoZZUpImoWbx7MZ2EXR7ixizSE2gDYWhxrdhNHjUrn5+uxlfT2UfWj9k pI2fE3weFkdho/QyzJ2IHdegumsOsNnV36LBBr9SnmL+CgmeCfGJyUU0p0Xh7kI8i9lRyTKP4VfY GNQ7uPaNfVpaty0hcdII7/khzUQXXVi/1Wyj5ZHODU74SIHgH2eJXpCXxobk60LPuaAsdguxUUlz dya4nBPdA9V1X6gwjYVHoBbn7uIwBSoON9sAv+LtnxV61hgBtkPIhgidmqUXISaQKop8EHSzDYRa QQ03wiiy/bSM5+y7A33bKx91EhgOz2iV56cZQIWpwV/tV3u+xdITzAL/ngaN8vu8XBVZnNbJDZ52 nP4JuhfgIULeZSpBF0j00maV1SHsaCMHfdkKU7kBJrfxtoZ86KkGNnZ/5V69veySNUwu2Ho4OTdz 6VPvGgtL7Yp0LDtsxUxuDIM0Xx4BcfKoHyuIsIWQHGLZbUpqDs0EBe+qgG0wsQqehiwMquivySHr 4fF3z1e/mh4ONVAQqAS8xU22IYTrfop01KNea9X7tkG390hHDfJ047rSO+jteajC8sA4M0UMzDYm cU+cRdrH6DgkqzF5GCGYKCpFss671Qj6LLVVKX0zeeb29lK6cv/82mlVgWTQY0zA/vFO63Gx9ImD 0RDJy89iJ0thn9xv2iuPtJ5GdrtmZv2FAbcYBG0XuaDGx37hCNLTvlqS7wSJc0gV2KFSuzJg7X8M JYHDb15pvrr2ysV1QTHVfbkGt+QnwbLoB40wgSqcqyQAnk/stG6+Aj8oaLfDVpp2p43t1hckklDN ISHsL0hGl+6sFOlcuD/avK04QWoWky2PUptnN1Z2L0KUBs2o+EgjQzoTIIDKqAxu8DbE5mLjdC5h 5Rr9wIDW+joEkp5QaZ0RVblKLZCbaNaSK4R2PREGOekulQ9sU0VfaZBzAIOIOdPNfJq6gXZfTuy4 JzTSFHec4J6PN91ZOnueHSPF+ohwctg3AX5EPzX+3HiLs8mjkbzFWRnfpgtehdRI2QGKB9+pj1SI IebuT/iQoMPY2cf9hsQkTo2XG9u53n6LGpnf1XdIivVlUu3s14QC8ZX9hhH0ysvdHQsQLlK1ZFLk D1x2dNpFdkE/zR/YMlccadex114TQZgJzYvlQRsOnN077bs2kCTXDhP2zwFywWdhwaf3MdmAfrix zt+Q6rJ3GUFo/aZsIKB6LdbTXXMFzfVKyyDU625Yfq4JEHx3Zj9Zp2ew1iFQLUagwObYOHsCu7hz lpVnkazjAVg58GPILElkMprqFP37M8FKhCJ/MJTtp7tNA7434L4I+gZmdM49uZ52K5hJyupkW/yb HDdgERfNU3hm4gZ8wrMHAyzOjxwWLgdpUkF0WUIWK+UGEi+ci+aZle+7O3BCy8XmHgUehZtpcGQp u8R6cF4wHCVF0S/o+EmsjOQClZIOBXaZtQU1Sn2Flvgm6G8DLjueGG0WLl1RL4xxJjqDym371Czp Dic5KPhwlmuzUdBLwz1oNF2zYL3m5fBX/3AmbkqNzMpB7XgIPYY1xk9qUxSRcl32qTaXZuRVa9pl j95LorQ9ExM/89M8/fn5YwYqlQs+hDeTUJGB8zbdiQaBaAgTN2xU65T+bW6rRiRGlBNkNh7VgQRH V2mYDbTCFB9mxWWbz6fKRYs73xsITHfFTZ390ptllRF3GMbAsZA1lnKlbdGGR5Xfn9wX4wm3XD7/ pSZID9Gdezx1wH+i4H32uMZ2mOsHVz47ft3VaKa+wy9oU3rSRz0j9A20pk+DVldFTEYhV0Wg7BY0 TqiNt5ld2xFhVBVhqT+rEUhJWL/bR1c0BgCDkvV43BXCSs5b+h3eANFEebhyJVXYpr0/32uA1BO6 5ldcvIiKuon7TgRCyUcn/2/okdv/M/3gnx/yDd5XmXzo8gHFs2mgboqhzZhG++OQmkFBkxWsAM2G VPoLc+7VT4KITtZeGF8WJsBe3tOL8P3Hlwpu8G+PQ407LqFMLwnK3Mo2gcLZFvSTrYXNFR9z/qrT gsXR45Tn8MVHfPCHTrEnrfyyFCeTYkAnRKFtz+/Iu+fbTg7SyHwLGbKEBsEerqxD0dvQ+dhLVkdR Qe6NyXGUOsjc3hGafmN39kb0/MkJN25vC4ZPBPM9VRA4W7nYYiM5dFxLSMUxQDYvsrCcMX61g5yQ bXYS+iEd1Z9ZYlu1jq++kPzapHbQZ5IsyM3sVxJtyV/5r7udL65j8MmGg/4D/5bSC3CZ3TrI7UMx zamzzg2hgLzXG3225kWXPSOYZhDY6u7BI4JC6euQgDeZ2vDz/WQ1+XWIQ2vBdBCalLAP3anSynij vEmzQN/bZYzIP1AJE7ERsOtIbm5fV7rxRfdlBkek1+TkmUBEtu2/3G7tn+y3KywdWNf5J7ySm28c pUDabxPQNxf/csgzyr2lf4yJETo4KAQfcWFOtSNmb1GweOeplTovS31MlmPTyVQIlIdRZXar1PZu QIfRs8bXMMGg/mw0RwmPKUMgNVDxOHWCQU3nSuDjvpFxmld2mKXDGx3LIsp+RgQ4wG7aA1KsmRbK g8c1q4IBphNUpn/PAWoRiZEtXbvACjk53FY7tGHHqV4pyok6f3ROxghERvWc3HbvvX5laP9Zq3PJ U4eZAM4B2xDIu7QN/+NSns2Y3S004aI3E7giDgd1xJkZ01JkWzPpDycQJe5aGSgDYfqNDNQUUh7p EGXtULkMNKLp/FYuIJrfQzlgyYq0xbA2cA+yLed8SwO8PfHBemyvMr/Qd/TgiGqHWUqwCdvBK9Lz jedKbTo5uNXGCDB6hTenT5DW7XWr/gPg4XRCAUsA2FRv+8LYxqYc77qmxmhyAo1Pn7gvtEr52LMa AquBRI6DgRphrr5bS/cklY9eVIENVRPSr2cQHR78homNolxok4FTBWuWsvM7nSECHTQKADOk5mFE 0qBcUGiFD5q9BSMn8NCZ7bc8GyWa6oq4/dd9/ZZh2uaD9dZQPMEHywSQuaocrUf3eaQM97SylNch zTgHrKmgeOxfzQGZueFNd2P6TALqmnNw3ZbTIfwn6o06l/MDqRqYESxDEzMdW71/9Twkcs81n0op 0PV4l92tvSvd855+mO99rFsOSlF6tsZm21XblMwFSalBHUlV8UVcVYw7s+w0Cz0mb8pJ/oc6TsX6 DHVEsalmpxSqCLUzuJlRaCIjghqzY1vWOG8W5sMkxyX4g32LLkqvBkHR0hJLJTiDmpzCrZgfzXlu sEaAHot/DGMY94TqkbZgjPwmyUaSWkWVBt1G+isGLjMyhrGPsfJpHLo0+hUtL7EiTYjuzSHOQnjl WDoTbJKIW+ubqjKnCFXqdsq3Sb+3f+XJ0EvanAatZQvgS26ueDxS5/HRxwLaaUlYfQ30cWO0RU+7 lpr6mVM0E2iJ9uFCFeDmSJVIvKnNbSKdFFZWPwYWMBM9z0a9Kh1pOsQORUTTDLPVl1hc2njyNpvG tGIFvrCBCVQCx0Bb5jUO7MuL5YBPKDMwMXHO/ZDQeD30IUJ4lUPuMpRpxQPBjOekYsnt5AXVR9Io +XaKX/qdAOLTltnIp0r8IOzdFhFV22ZQQe/nLjUUT7Wwy4qwxz1MOaFJF3OGY07MHbxMLKa3egM9 cdjP/2Cur26WjsPbKwvlN3QBjV7FuaYLq/2385UM4HWoD7EpnGeBFjEPu2QNhjCtw2HUVcR2N2iG rEGOCd0vFmUSYzNCYrjfHbLCz2dmwAjvybg7Q8XciPGmvOS7wEZXnCukO5nz4O9Gl4ZmnfxW1b1S YqDUcitA10LrXxUzVmMCgafnP7pdvt+GhSXQn9PRlVWr2KqZHAeOKrEO4bWufqP4YbiGqzIOtAV1 BlX+wHZvRoaBQJbz92g5SH8UP9nJTqFspTVQW9MjjMrl4pkPcUwgJ6Pbida6QNQjre0eeLkFmVnN WRhxAcVShM6/vPru5u4X/T3FJDlJRQmI6rxydL6HY3iwdMxsR73sMHGauL715M3HgZmtz4XUFd9r z8zdsuGCZTrYWWgb7ky+nUik8n/SvacGhr9PTIYshpZlFP7ePIlLDR/RRrkit1F/JWXzVoW4rjBb jGGt4+uVoLLXif1quyqKJwofB2EVG6W4fB4uO+ODbzO0lh63XJ9gtxvaiE0FmNLyK2WNVmVmRkOV J8t3EJT1xhOjWapgCTs9NaJBbYRPApmuxwjj5EYBttCIivcsP/Z+gS5KpPJpPvRbc+o6XZ+317J8 smmseRefHUv/7GLVCXbkJDGfnKiDxnC+bE6OfOSvvP8nYKMJ/xQxO1GUK+lkxl7yP3zAe1+TOEvh mmhAFEu0++W2c1lhZ4eqWp4VRktLXWf0MsWUBBi8HRWZ5SMoJVSXREM1N4slqWLb4KCB1YZIMY6+ pw3KlQJC+y85mXUfSta0bZj+uq5ChqebW0xVnttUn71dVOFM9S3EuGX2A3Zzzf/Ut6mxPJsv0Inb 4Ae5bYdyksvQ4xz5zfsfhz7M3HPFrfU2FkT/v+L7L4f85mU22Uz0LwdDKpNN6RiGCaRDnANjNyRu jxuKUef1DyXvpUTynOkOb0/aNgIIek8+JwzbGiv95WlrkvPsFPD5cYPk6FQU8Jl8pgn5AlwtNHZT yoTIP9aCFU9FsuoQJHgvGJj4v4OsgKtC/XEz0Y38JyihzsIhJqu4XXYEJlSkx1mT2pF0QXpmS/9E 5j2bC52WKn4tjQ8xaAzVpQigLrbTg2596sO5qE6sfeQ8wRgeEpVzVHNG4qmOExtTTP3vFdPmOP5K IfQnnS7b7Fa5124SrmCLTlqcAY/EwPGvKrOaXnufLqDVA0NKy7SrxQ0Ql6llMX5YcW7TMOWiO8KK tFtFuMCX/z2COxki+k0rHqWhzwxb7CIYcZAwh4UbDTVnY6No2uI1TMo5nP8RiPJthUSBy5uBLDpj rSZUJziZvCpiyKfsHz8gnoSBzxpCog+MxxUTqGt4aUo7bIwI07LU0pI5LE8XBNvJElXsgfodc026 umCPyTdiPTY4C1QnUy0dos0OXCup8pLowaoEbOHGviucuoeuPv7iqx+gAZfjPHdIP5wp8hXIcgbh vAAoIw6kK3MPK6MCLfPJ4uSiNOVKq6OygNKA4JIBv5U/4nKqh4LwdNhoth4vF+IE7XBVKaBZitXb ADSS4acBYW9pMb6LDNu231qy5nvD9j2ywUHsY6/GJZzZLAWAI1+hwuk9mVtF4S8IncrvrCGQKslv 0zaiY/iBEIbEjWOJuTB/Zt/gn/Ei4aJGJ7BnPJI/uy4BYGtnQjW/BKHlweyMJ3dHGLTbv7BrSb1O CQTZ/jku6BJyo78Vl6+Bnin1bauz6nl5gb7gXUHe/JeqZR+4HPEI8JZvog0v2wv7GSIFRmznGTzu qNILIqDD4/xbY8CkrO5kYPbV88npr0FQPQfaHEFCOkOR5yHcHHsbjhn0VNwBgXNXLWjJs2Ptb06b aNmH5ltIoFc0AmZ3ZFk4D2yRmMbNEprj3w+Men/7qzcR2H5QsEmAA5vDzJLDIyzGxcKckS+YgIJ4 o7QyaZVUXh3XVjegYMn9HsWzi5IunQOYaGx4Hitn2DLVCW43ESYv42KL/mYgmYU9gTCyEakgRCHu Nft398J3VEZasIgnp//wHU/IlyFK75EbsE/rlfqi562HtZwUKHH1qpTCxvgpAQDRlQA/v4oVlVyt PIObDllt5/P/M7K4tNgVmMC2Yppzfd/ubuOd83+uyPx6b+QYjqQwP+zj8Qn9s6BU9Pk8ttW80qam d+FlKqAvuyzT4SjqoFbmlM1i+lZPOOcWE3dNVUrM0JBbjWRgoEn7E+Swu845StTluFQ296a2j3e9 C4Pmgh6eSjaYlNNNY1Jzmu8DEyhOR6WpFpG6hdfPPHC6BlPMAdJs6zGWt+L8rvLbzmKZDnC/Vez1 R/Q6cEEeafdTk1fKBS22hUlZT/CTTjbEBHS/myC8DhXSq/sengnIv3ORSaAkh5PPJuatrJMo8jI0 AkHNJJt7jEZiOGYMnZIZpCR/aw+crj19vWLQyMj4AdeOFPZMC+EJYXJQJ5AH37EQ1VBx13sHepkU d/I4EbxRb5C79rKaLnH2uUk93OMyd4vWgB6pGlV7K39y8PK8qlk1k5dOGV1X0+LSpZd2plz2l0E7 +Q27TnhkCZbVzFVm8E89sIBXopEuMzMeUv+RX1Prbb0RulcydqOL8imcSbnZmAen27PbqcHFWAuM 5o/EP+F9CmezgpdDADJWTeSeR7uRveOplkl+00ZYL56D3tG17jc3dybYWTVcGVc7AZoxq3exttsf 1tpvMquKExCo2tNIFpXzcCsB3S7Dq9hzaRZZ794KzanpKWb4Z03fXkdkNF84OiEijeh+/LigS60V YP3A6kuTqZSyf4EpCGnEfY+fMJ358JCH5ZVfS5xwvQewhzwijo0ttPPqWzBZvJsXhQ2x6LcbCVZ4 xbZ+OwKyTvYMUnV4ejueHYz0xuitCLZF71ythsy8YWpTlS023y06mFRcIrTjnf7odUQe8k6jEtaS XoXyufCqJ6FmSLSBfxbYtxD+KdTYYBRayDtt32eriF09CKiuzEPoMZKCURJ6IFH78qUDYix2Pjd4 sMTDOxQ+T02vK+zIzBRvAAlqcbkEZ+2iVDEIaqhA0T9MjCuFLhHBChfgvYPxOsitVghRktYD7hpf yZ6BLQbKTdvstyObb5giMRt4efvjL8mlJj0ROV8ma83sT3YcMzYUMD8Kd4FRWhAGGjUvSsp9M1ys 5AGP4p0bvLcrauVkorSSxgmBXXFrpfT8K/G4tr6rtkJDkDvOkgPelQx7HVYfUs6Ew1FKzxFNI4fZ 325KwRfPQPUyF53Udphf32TrYv4XoFTkS3MsTP/IYKrYBjn6iNckemC74AnP9i5ZOz67ve3l6pU9 VjMKgmgYHqqiYqJjG6eRBYaPpK5PwimEk0gPV+Tpauarok0c12hpfqdF+d+VXT77/5oTSO84xXvL qPylgYJugdN8AFE0I6qSADo8erLVTKvjU69N3e3wsE4DRvTgmDR5i+Pubhe6Npfw35NKuHTatFB8 zN0Z72Dhi1OO74fyvlGRvCA7Xj6wHdpyc4j2Zd8NXUgllCGp3IQVW47iYFUU2fBn3dKwkm3T+ICP L6P2wZscbEXJoTYvRZx/rBZVioR+JSmqtIkREk0MHMFJHEk2hg1r5NPV5S85VG5lXtZmtGmrIffr By9VutlphJ9j1B/P2Q9FuRwgHvL5PJoM7xLwGdz6TYg6LiIIReL4aOsSqMULRHJKQ7RfhEOPwCKJ wbAKbSMcGf6+DRabKwgpMbeckOFcN4HWqXLk6TJk1PK/M1TbR/4KxuUTPQdvlURna90T64AOyWTV 2awe3k5HGwP01HA5lpt3DuwhUszuErQMw8r5kY/1AWzX3NVD58nlHTufpx3rv9arUldo/P4bbgYU KSnVkbZ2DN9hlZUVjbhAWJsUYwB5ZcqzJ6fEB69hQmq1dfxlRwRIj6btsrqxNJSrZ2Fh0vzuE0fM fJ+h6PJZaRn6zHZgyqPIzjZET6QPunvDyLiwxWi7oWkzbaCqvP4ew1ghWurBQQpdNXQPy7g8LFWy mtd0hF/tgGwByvoYFErWAbiboKi2GZ7pBdIj+25xXjU5dwrp3kkLA3Pef7CPq3nhijKGZGEZRpUo PWvp1WKv4NENGAoQRVls5DClivtxfjTYV+lNAC7l4DVw6GgU7o1CDJsqWKgjicnXIvjzLRXocOnX PcZGmI2x4c9OiL1G9GgIlMX4GRZoy+4RRWbmu6Sj4JmP2LYcUlM8q1+tKgREEA/VcfutqevHieVn TGaxJBW60ml5S396crNVceFeAE5M4Q4+qgNIvYwNt/jAzC/L61xn7KiKkK+94aeGruoMwqvBYv2l PcaljkE+xfQVBfRPSCyFKYMD5VrZoLHM78p7vTap+WlK1IDQZ6JM88/RuBMZ1m7uG6GjebdxxjGy rlgOO3i36SwiHpnX/jjMZjhAfZn3d/N8qA50SQbFhbltwpYqfTzeZNtkjWTa57PBRW3J/CtMWhbT oQh6XtqBCQYGp49ynHEMj8YC2iaBEnLoBsKnVSEp/6j51TE0XmW/zfYxMIMxLBH2aENnb/PYEWv8 9GLf9mO+zOsflON1yf1/Ul5gwVm7qgFjqxTM8VwxJiESqk7979rfx05O5f86IHL7rSAo8onvqaVz hQwmMZQWX/Uh61Iq7DE/P3u+IdzXnRE7veUjngq+IlQoSH6FPPNuQ0BruUVoHHYDIDzQcOFs9S/c MrK46/c92N7Mx4p8e8sshwjGXd6KSd3Uqdicwp5oqeLFVkOVg9vFSxVu10efBFrNsK8Z6nPjXaxe SUb8kgEjKEduXW+aCexjDj3jQqMSn9eu0Nh4ELetLrElEHgN+dSKGltGqoScqx23RLZUBKcP0GKw HUu43YXFclhpP/qsZcU4BlcvNQiGAoTZMhGcABebA/75u0QKUWmj30cB28x6dBcmxmYuOVNN2Nag qcKrWI4+cZbdh94sKpg9nblDQPEdRa8w9KrfJDdTtyPK58kcjtlOir2ENGaf5rmyREqEgiLQ/8Gm l2WSpyiOZNPDGIXPxglvjH6AbYApKAfQ/FRG6ntOwIwnslL0FTbPzBYxU9YyH3k10LZNMQQNnv6l Vb46wtB/t7G5nLdvtd7TfaB9RTeij0lGYCaLtGXTye0eHjgLyHtG/3sR+CHdos6kTD7YSwyjhHJ+ 4vZhzm8R4AM3OogRLzEkrRB7VfCyiwo90NMX9Obk2Mq7UiM22XeP8zTFJ9FskKEnJzo+kK7lH8of afL1XIfsLZadPV1b+c0UveKWa3tHg431cwP5lqqMw2X7Rr0abXmD1jN57u4cpem4kPVWLkcBVmju eTqgFJDzYerMZswGaeNm+E01xNarweG+UFXYniz6n4qVIL3A775LK7ipi9UCcgNcwj8l22919efk PQ5gAbCJgIfcXm4yPX8rOQH4DZRQHNswU331LXzmmEpyttBAnfIGl7FUukEsvjZuKcM9vPhoEGta EbO2fouEORRb7rTrFmwUK/SlC21EhIhmdft4sRlI6Ynn0gc1rmM7Y33cio++2EXf5r9x9Mx4THzA LMTcdvDazRwTlHw0yWXl8/87nfITfNrPSlv0QyqXsyxwLy9Va0jWh1T7KwS/mVUYE6bj7potAtWb IGaEvtFdOinfGH1d3AY1Ao+4lGXNtjSyJ6ZIi+gKckt4Mq4JLrpiJVWGjLluPZk4oCYytTTWHarQ R5jhjnu5KYOPYZKpYk9kaDx8K5nVKONzOf4+9+rsltD58vURkOfvZGjcfAbDGIsLMtOtPOSDYkAc 4Qb0J+7RDb8us3u3utdYTcOb7QxKeUTbj4nIQhVV6hQqTMKvKIvU7y6dDwc3JYrc3SgxyRASohsh 5x6Ot17DO4DRnhmpghqtmrSt7AxLCpekiMAto5DMAe7cDpKsxVSwVojLsg6rRa+Ki19urgJnhS34 teVObDwxs9FBe5bnTiRXKh2kkKkBqnDzbDxUyqtasMbXPIWSeNY6Sl2KoYOIKgvrVSlhSKEVGaJa FrksT/XQHqAriwvEKrfL/TdJWRcQpv0GSd/dNUi3RZnYx45FRLQqrcUp4V2bMWrltxzwBG79ETrS GJjQtkN3xSpp1UkNbqmuIdUgf31eEE+Vc7PHOqd6Tg/cYQeJctNxoUDj7U9/bugq9xFhfxzcFMKd UYfFvMq/4qcAyLpaBBR4jsCZf+RoTm2qAg3COQKgT9ZU+R9RwNE+rBMkUA20IsAa3lSylFYft6Wl hTjK89xQIMwF0NkyjX3AfvGTGryuU3sSI+fncHZzsYb10AxNBFUkHYVqijpFUNVa6l5KYBTZkc5D 07vjVG1v2troS7RfayLefkf6iJkjDeA5eJsQMUDUOqzvFFYNhzOTBgtxbk7Ao4wDo3zFAcfDZcPo GG1WITUqDvTvJw1kNWSKTjs4C2WlVN2iyw1y94OSfPqt1b5E5aglURl/efVxa7WljGV/73mWzekY baiFCRYOrEX2INaQycDaEak0GxeNiBSAe/FlEvzgMYu2X0wVusOx7QKfPcL8IJqG98onBi3tijM4 +gfdQ86IIeNaMFMsqtHDiWhTjB4gHocJnSuFetAvC0F8zffOJJln/OcmONgRcDdSZM/o7AcNWnio HTNPvqnQo6oeDQXJC5VS/FmlQxVuPL4rXaOA4qDw3VB5UBjfONVVPV4ifkALnw2wXWkyq1K6b6jC KK9LlGS9Tm+RdtSnmiRJQeUV10W/MK11HVTHa+UpDHyN1c8gsmd64CODL8SpnvByDylCJYPTIjSr o4651ePMiXWMZKeKJpQittDxRMh5TwAOXdsiHrK2/fGkTG9b72fKm3gUbi5zAE59MLgez9ZB2DX9 6WxsUEQQzUFmgCVKPcNX8fLXJqr/kXa4xJ4AS1fnhPkFwRC0J2xT3UPr6znuohXT79MBaKlIU2kK quigC0wJnLCK7DlmerrZKCO9N5ZS/bJ/aDtQGR0IOz9EpXeYqsZOcCen1CWX03YxCjPTKe5upUep tBPVFqT3um8z4/RzjR1AhKJbCCvEWdyIWA961zWyE//i2k8XHABaM+5nwPDcf2150trik06GKL7r zPDP5Pq+SosACG2w0r/Lmy5D05hjnTECAUX+3oFz053Yjg6DpnJqahv/jy9paGz0Skbeaw2xsSWK gm7Jk/eE9AbZgMb2320x74Q5WMh/D5RmPu8Ga7pPeLRlQ+cCe2LSCh3fZgi5LIAQvLN0+MzXfNl8 uq5sYO9JRWor1FciH9ST5WMuz4I+OClLmoudw+cfrraRmDf7fv3oIRmnEkXrlVWcCiaiFXLsjuV9 JPcH7Fan4lukZ+OKS85GQFqmRPOqJemQ9e7nVsSavpOod5yZBGYGFK+vI8leBwJRRQ7iLwUFe/SG PQuPqqzSNt7sNjldOAYPPrxn5hrSjgrgJaPIzbJgWDDcABGGmOV4QeYjJAw2X1hCRCjO0/gtxW4z JVSDnVVP0UN3NNQa+VbfXAffXzWTWGfY5X8i/BFZnfs9B2f0m8Jhq+Be7NTEgURorgFGb45ZT1z0 Lrwe/tc8YbgY0Ly9hSTKqfugczlVQgAnyIDgGdKA05Ac1mIHf241NeD4qHX9Ss2ybs1Fc9iFHITT iHtPXTaweUQeTc6TrheZMSoBVgHqipd3G9CCxg+juIajNmtMcSiDQ/5+Xdg7GhH03H9CVitfBZ8C kzFMdjVIqoyQU1ZBMtapL612KAvr2eov8cBt2691X6KY+WBhugiY4rGkz0AaaV2DZlJxybRrZYp5 im+tP1Ei2klNYY/UFBxXzsQ75E3C92Z9wzt/OClv5q0gOk21LAQJF6w5JWdJGd4djV7OrruAV1so k8b5Mqhem+lYo6Zqv5NlpoCU/JO8L/wqK7fU7vVHlM62x2vUiRblvABAlA/DWSIm8VB7aketVfaT u1xzkT7YzZuZVD5FKm6HpH4U++TF0tjUFNnPcoFSo45OgGMoUCiETZ5GfArWJYZ6DoGeLacHfChO nqSuWZXoCTfUOO4zfgiA1Da6zxrzo2JRwDGv+jAbywI7+18oqCXM1IOM5go5jOZudMzSRnTbuTG9 NdEvrupoh9zjCNWanJXLN1A/MamuxdxX41JEI2eRqmE/hwHIFXKzFpWhhN14pqljvQztbdVUgcaN JXx4c1YOyI0fz+oHpIzdn9Z5L46nmOQeH5KkVUvjDhlTt4ICyQI7E+fWrR5kRU3V6C4yumPBvl5t 8IARsYm95sb00riQ/Ig7uJqlf6Dn0L7l0uzH2yn3Ixe9e+P7EXfGjnXsJy6kEkopdv4efDKbkrZw 0k9t0GcAoSSpwDhcmQNjRrkqOjji3QxsRYpXLD4uPPOnGIdEhnKf+aYNs6GT1dNvI+rnlFFIwvEN CQlvViavZUKTcHQCEBt9jC1CiliiqZyCrLIHzhT6EPE0pijJSC7Nn3cER/uRBwHU1xVwH8JNTeyI KjIRLT4QcAB6KtRww/Z8k5FXkmGw9vuLrqDwXpA2UHcNMUHCH5WRh8MbEfGBWCdd2U9TGsf3XPv6 40wyreKc706EHMTp8R3NEjUqFLrn3rm7c00XKb+JLTxA2PUXPyptbmc51Um8xDb+WFJbrvkTGFke zcRJqafK9FQniD6QJXGYPFMcXOZ71Zn2P3uDOKwo9ft+dUFxC1jEn6UVT2r+RNcv7xs4qFa81LJp Z5di78oGkJ61QLMaYl0YsIEwj1jsK8ttE8norlbFcgpuoytYNR7V9M+0A3O+Bd6RCNGL2THg+eIC gp0Nc5KlXYIWpJ6vLyH+MuIEjXsaqCp4C9IFxvU2fSjy3850S9NepKU6liR3Bu194P/8tWmU+U4W XIZpC32RNfcoc1UtitjVkQcFeP+cPaB8h9mjbR3bTIElgJ/mNQ0raVosm4XvcFmiVM/pEJ0oCRPY ezeBACjNTb4KuFVMxUZjYa0gFYiZDPbOT8FXRom7aZDsqtW/PjsDAXxXRhbyiKoFGCjD81sEY0QC yn8czgD1b+YXUvpb0OdHIEeNimAi69zP9BLuXt2y/jS4CgPiCHePCTMSgtQK/sP4+CyIHivcO7eR cFITMOop4cnQaU3S70sudl1f+0y5dedaQTpQXBPoCmusFnxhBD+nUpwBSgQTn3zI33box8p55OrK +rsppvhchGzHAkJn3C09oBWCrxbvfTZ1HNqV5/MWe/Nwft7dMrhI0Ta2e0FgvcPlI7StmjSoehG0 yWk3FHH5B6vnEYxwrAd6CNSU7P7nwqyqMSrufHx5+HPU3ZDHU22Us7b10J39EDoFUdMgyDFFitNE Jp/cd2D40yUNucYHKk2I/XDkurFhJxAn+fpEE85l5CaZrqsLqSJmevp6/8QH+VC3JxZcYzqJPega cA7lU2ASLDzSa6P33dd6HXs0qJmWfHiJjvpcgOjZAMZcICKn7Tm+pifM9kpgyTFLJRLEM6m4shBD u8rXGbqKerHjUzBo2SsS4euySzb+Xv8Ir1Ju1qHwj+x/8wjnVIyVBefGRktnOKIyaCJ9HG+iVFWw fLbvNUbV/OZw6I8sfHX8/reIlzmxUTcj7pMxzJt2luuTO3Oskyec8toVm6C1/o7464nGF5NSQuSd 24Vyx636X20HwpqXg9CCC5knB7nX+yrMXE5vzZFVn+OXXKNVT1N8ttdLOD+A9Qk4lgtcpptRJOn9 mCJsNsZLOeiBqYlk6zJlb3YcdsENI64zPZ/pnrXUBg9krHh12XzR9e1Q5gXXu9iIBpB9qaa4kbsc j4yC5y2dPtjnyupjYOB8nAZsKjd7QB6I91iGf/4Pt8okbctbcl8jA6ST6SjuJzKkiUv1+0dncBQg Etr5DFYU9yJeftpHsHe7nBFM42hnk6twmdMV2kW9i0VYAP6vlU3h4IfgWfBVYupTdyJQLqnqF+Ct ySHVG6oxzEwMLQdUfZd864KaOjnUX06HyzwsuIoSpqMVnbDDGGe4CdOpgnpEfFf87mjGZ5tTECx+ 9u8d/dSa8+gpz/k23gtvrsPf5vqylSt4eOJytBlPnVnttSIwcuXU3mYQZtcvxcMHFqine2o0YEFj aWNwugO8GeaJ/oiu+3OlJVcBYzOuympQbSPoux1ge4dIikPtWj1qXe+r35opjcoxqvJR6sNnf/aJ l1sdIUk4NJJOVlkyJXkY79KILHKmIpF8vqXyyp8cdUTPuIF0X9DR82jCxU6J8jgCZzHmNhJ3SaQv SK2+4ltwIDZvaGFNatunOMGgBSJOVCbqQh4GyF7Mjt+9kqqwb9OGlflQbPfMfDoa6Bd7QXY3jtWu +DVWzsRWqQYTF53X3AeCUpBcs2nMg8Y6QALo/PUjYxIYCw6sw08y3kON++IW3tr3zwe/TVsv7FeT tcmZnonMAFoKwJY1iRyM74szot1M6M9BIbtjPL7+ymfCahhrrubi+Hq8taTVJbRbecqVBQGA1Wl5 Zig1KpK4Z5H3Kaygyq3auTz3Og/JBfrPTftobhz6CbLvykvKEFXzjF5s7dxlyCrak9jZxPDFq3qN GTEwMryi5Igc/PkCkfcfL0L1mvyis0AE2Huyreedg5HDLS/JxtRO1eFHe2QJrHczwQhyg/sEU6fn Gh8pSgtTk3ljmeCgd7R1xQ5TjulaTQnOIoRn8yxMdbBuK5DP0X/Nr6mDcKM4nJJnweH2BToHD1hr wsLazDi2xgHFrSeBaJ7XV5gj3OZwlzpmrR0O6JPIcMFDii7aGRiDW/ABBly06Pv/bgzqwn0T0g+R MX1yBzfobEE6HpHR0MBdmO+PfZIuMxFdd6s1+DQulW5pQXajgVwvFA7p9HYtOlSOZgfTAww2pQFH E52N3XGhj9EZUvSjSjsk3p+ny2DilTtWIRXJgPxXZYpgw4B+3ZPqq6zq/whnxIulK09ekT4EIaYW KIZZqyfnxZa4Uv9MX4vSP0NyETJJVJh9LxiYyjATaLA7m8iR4dhOivKxn10rqB63bJmzIvX55HNK H2oajw9M24s/98gbGEJV0LmEcAOtO9fwmIeXrZWC7qhdufxIQ7wC00OhMS0H7D7yRtmIfbN/9o/4 3vpV+mhjxCXYhhm/RIH+QPPAlwDMGj3pCYiIYqUV7LZooJ0ZekUWaLuyvpNMDbg0R9AenpXHHU0L eYBItcjlkrx+6b0bLU0KM0spDWyPqS4EUSHs15Z5r5jFHdta+mBSmJeIgZMw3Bgtp+1h7U+vFRWg C0GmAvUCOKGSkns65hiUrpxNgdLv1YMLlSC0ga1DGUNMSRcKCCVJD5jVfKhKtQy1g3sxsjAidgdQ FgcP+bxJwRdiKtcGzitrqPuZFKoBiqecfbHybpDd440ZYpCnlwmO5smD6twGncwKnFjDhY1R+fav fXRbEr2P6sOWyaOLbYrSej9OOLp64hAo8RN6n6K2YTykmHYAi9niJhrkj1HrTo0jWfu86FzD8fGj L2fkTM4Vc9Gh8a9plcwubzv9sYZRFdNwlePoRA1WqrsqXQm1xAQ4VwTgNz4XarVgjqC9Z/TYeejv e9/oo9B1LOav49tcsYb2DcHHVSUu8Z4cnCfZZXf+AXJO9+Lb7YOsz7nocuyr56y6A6ElzdZMq/Ls qvCaJNsYWVS+kKAHkXm1pXtbi8hV3tOSdsW9mUXizOW5ziy+NjYeYxXJoSV5dGZ8nIUbCXTWT6nz DoeAKud7WWTvuAuR04OPpgULb+nLljL10pria+79svmdAVcl2fW0vKVhLOASzGFTT0hynQtKkDyo ubaGuAGcHPBt2SA7GZWo5H7zctzAxSs3UsZ3b1cSqPqdbwqhJQUT0oEu3ZbW0d2TCcjA8FXfgC1S uih/qc7unYuku2ET61oQzI9Amt3MEVVCEG64gCjrfhP229NU52Z46jgLiz0GMXRzrhXDbHGlLOPh g8VE0s1uktxW3WE+LHkil7mwRl3bhhfccFh0fxnQNLaCvDH0Rx73BGumA9IKZkD2O1TsgSEqIp6r i2B5mcGSaQSMwGkg88ZFD/nqVsjkp8gJyZ82pU5Dapp5S9Er/ZNPSDnrXIBIV3QSCOMqO+vE6oQg WHzuRnEHKwvLq6yEgecuAfC+YFBMwpY4M33yQwThipU362VZ3a5b03iMod0PXsvffehg3uz33TgH DrmCque39AysnQz1WbOZpPXTGARqM1NWhfV24YNHgauIbr/ILU0dMwPb2AajN2qIps5Qvv0PHjCM 4XxkGLAEpx5XzrWHhuAu6uauknxUt3T9mT0VdpP5x6JsF8yCb1jXmZgUwHBevEfFAiY8kQB+Gfqf EwsGsGfSH4wU26s5+yIaieV+qFfzIjCmy0z/G6irOol8B5azzn8SdYYP7reIdYzE1Ocn/DSxdZNQ k446Loy94KO72W2ST2FCBLTbX7Qf4jHCMX7ZIXsKjcrJMNSt/pAtdGnT6K3n76BwElkLp6BQ9m+N VDFIC+bitBBEeW3S7Pnh0vDnqmDlGcCoXQ/ysJ46ylo9fwq4NRsDowPoZC8pzCntr1u4Nmt2rMaT HtqVkbqAiLDFncjZQh6vzwJmZTb7PLC05yCN5wzESF2dKqI+Y015K/H3ObpxDKWDWMuem6T3M/AV 5kOSIjRAa+YSj2nWtWQ6DJCAvFeu2ojBxQ/Q+CEg+id/xONcHgusx/oKXbb5Kf6aFuaxwWn6Xg5f IdrU+IDa6F0DYTf9sxrF6QUXE0vu/WiWCdOzz2DaZyZApE39c/ty3G+A9wfzT6QHahbDSK5/S8JQ 64br8TX8OaOL23tPZbjf68Jt/3A4nrYiwU9COL1NDOQ5ShlKZIcv9LCuCARwseWzh0fd8VMXdZvZ pXTLwwMX+WvT9bRNney7Nba7o1LmPQmgGhPuYZiElT6yB/ycHYNIRrvyJS+52uIdhgCghRYqhUjW qCnP5b6O9O/EZPicPI2s8Uh7HxAnO9Q5Z9XOF8HLsmf6DNzMvG/Odnu2BjIJ+snSP7NNJUaPNihy Yz9l91sRce+W6pcM7r4E1XXuAwTytX/g8mMkKaC3ZkkZ46EJCskEbKc5ODhuLMZ8ByI0VpgJV6Bc tuTYwtccn/l/9Wv7KhNuKUcSING1er8M5/VD1efMH5PG1dUTsEPC0cgdSY4PfQDbGzF/EaLamJh4 NlDpXoSesnR1GwyfHZW156jexgamBk0qRZpMdvdCu/cHkilz8ERPpgOTjHvfnZ+PLV+XQkBXV0tP G7K4d6A6/+RBqX2/QnYrHejkGH789lBR6KTyz/tdHobH+XRR3sDtYDJZwCAZU5DA70UHL3od03Qd nxR5gtsW9VdwmY0cwZqKTcZ8JRnzC8ucy3ay2bP7YcPVEwVeIf9g5oVRXZp5cON3chRJQ4ijplpO c5liFpulSw3X02aG1R2RSUxsPEGptH3pPn1vPLRpavN+Os19XzM+nZE0J86xoHfRdWcgjQ0ZX5Uj WBu3V/WutWoLso6IqLMoF+hdlxknfY1o9NYievDdP7WCLdUqScjpdOfNikRn6FkWtFEXBvti/OBq eaJicJsXct3gQOcSxvScwkKOCTgjuR2fTGmymZxngMSzQmwsRexEscg/bgr6EbPpF2JughVRJukD cB3F26dPsk/mg63jh/29gT18aXaTknNOUFVuSnx6AsuGlm4SOL9ea98rx63zXYtWxT2fQQhcyT1w THRVENSL6rD27Ya0Kp6ehRe9b+Nl2TwM6XQo1TeKdSOTes31FEUVuWPQ2YzQSjpHxsceguCpZsSG j/E8GAVyRa+VkgJt57XkfHdqPNLvdgd4HzU5PnOiAPTDwH9zLxWEM9gjL+X8ohICXjNY+Dv6CoI3 nYObpqSLd1NhNeI98b/Ne8cYvnol0NAUp3EnnfyQlR2XkXD9iWmRasbM1oL1+BYrK5CkaTm9F1R+ jgntHC+iShuUhKpRwylS3YCkPAksabpUc/DHGxE5s37O8HIgz9IwzG/HFEI3psTbUSJzcvhHOv9I BkW1ORT4/4S9Rm+KZlQ+aECB1kuG328B7Dt5F8JK6STJtfKjiKB9hwlq2QXr0hyrqkgfzSOFiRmG FD7HxNWyzulKorBp4uuQr1vm3/IiWNrA5C2IB9fhPn/4Sjp+xSZ0t3pPG5OW2/v5iAvc0ITkbqPI KLs/w2++AGp6jT/0XHJj4YYsm34wNNVtAu0DBPLPOc6npIlVmw6hPzeXdNXG7jZQTQzkHINJhIeQ zXwE6Fo5DT7qlQZs4J/z2UiZ2W8KMlnwNULA0X68S8tPyUQ9VDnpPl+3YZ70JUNVZBJjPLahfZyP xcfs+iyhGRS3dNYzpLb1JytvSaDlibOenwucAtqeBfFxEIwvOtzJKSH4u/H8GbQY/U64rJNhHLNK ZInlyFRB0VH6tw1Gjju6OkkjYIe/iw9kq2FV5VdTPE5aJ2VR5B09pc1Poo+gzldQtKn91+EmAbDn 6uj45WWExOkB0lrKd5zh1bspCPB7/odvLDNlRNEjsqBi29ms1Sgdu8nIn6MYE+cKWgp72Tc2Ywz6 wZ6FL1RuYl3/xL3tg/e5GfwkAV7ITefY2sLIMwkY65zHAy+cRDEzl35PQyB+BblcyUj5dGzKHV3c 7sqjOAhtzhXTeuJpitNd7v4h5rl+W4P8bSt51K6sfedKUFCgIxafzIGTpcE1IjzD9roV4Uto8RHF pWWTa7Xmxv63HMeMKrAQjt5+n/PTGYebJem0FSWAxZnEzrtSlFtxXkJZuj/pLtwHnNRWrHDrV48r gxHkgzrXhukr/MZqy5gcJz/qlQwbqQAo8TTtlHKZFTzY8nZgkCzRkeFXORIXA/wVvIZ3ZTlKkLrm Lugjh1aSSqlA1sZ52Hk0/XVW2NPHzZvIKXbJNsh1yBAYQxIOdzQCB1h9jbiFH0rvWdzpJCwKCjyD 2cNizqtqzi7ebankSaVixJIaEwY7FL/aBP48ovEXYSw8lowTxJn7yI+uTMzcnd7csmwo1LtlyD7+ TLLzKstwRL6VXdgLNn1rmeaFOsJa+JdbfxoSGSsVYbV1GogPowRvPPwe+X5WGava4csGdE4RMmVA grUxyaKuW80RtyOqkhvOFshxtBU5vGU3/nH4lM1S/wHpTZtOmVNlK5k+DXah+RpwaQ5eU9BUFWd4 GuuZM1Q8I1DmvVJ16gi0GZ7zTKvga/63EgkT0ISylQ+1ymaByEmVRS5zMPIkCjGeQ1Hjruly3uod 4+nB+Ofn4OFAURm6DN7PEj+KJjE9MxFQkjnf2fphlwjSa68cXFc+uNAfxeFn5wZAZ+4i1ddNcHwV 1/XXzLFqT2Uhg1C6eFL89gGbihlAS4KQTrB/5+J9WRMh9vwdq7nEYZQgFyzEiFbYDMz39AMuQYkX sZ29RDDQGgNsUQHDxaiM1q8fJODdOi5oi6JpzX+19J/lpINzN62vza6E0CMv/b15i15p2mQyt6aQ HR81kTi6zen4fzbZsYCrRFcJiChaofuOlnu0tls84SuaLsz1aYH0yzd+GDZyNMeCXE7qQc+sX0r1 inZqt0/W2Wcj8pK6HYaVIc5YPXOZh1tWRCiPb6Tw5nNk+1+jX+D+nfuYI7ZO8ixpF+95DvehTNRn /Gex7G90ejG5N3/YjOoIp6nSGLBwB6Gi9b5thy84P1ZVfpqCD5BTZko0Mm8QoSZWnM7QWwYpymVC 454spTjPTB1ymJyaaimWZWVmiGJbUwvPBtLhWDwRYsI54c0hCW2iNZWJnzxgQGSqSjvXMw3939Rj MZ9BFqAXuydbOcsgVCd920dAgPjye5WOzsZJHawVxhW3AwxpJTOzZ4dmfmOMQuQST89kKhCQT3Ez PWE8CxfyN2XmxYPBARcA2qdkrzF7aed3a3lJEVW4XebEI2BugFJtWS7P9Oo+xsZinZ4Ch+kXaygC pGCS7cCdxnoihNKnjmQnS+XVU7NEtHhXzfBYqIDIy1H/llk7/L4hzUJxzJtsExf1nOESPruaWuxK uGrWQz4G73kpfQb38PNbcipe0vBDz7qDTmkQXTH1EIetI64s4whoWmxO00GybSzSX8gxRAsjnPVu 2RyQSKOEF9xQpwEom5gnL2dLTZLW07l+sjhqP9pwcSALLYlLx6Cp5AvCJ2rREfBCqqSr8zSlp0CV Hz3G8lvYD1wASALykqiDVcBhiW8tFza0Cw51X8Rl69ul7H6++YRvWAdQF8i0y+qPgIxFK+93zaXt bYV0S5Oag6YUCBHcjx1/HX2BZ872z7sKb+9W5NTyZEKweprHmO2Hld0Zsr+JgR3xksBYAzuzd9z2 sP0+zgTILFDnCshxCSprPxBdqMzfN2+CaZRo2v8WEvBhZ3iZ5oPD4NSNn/C/X+cxdp/2fbyy2mjp KQ1iBZfV9GlrjgUsbCHRZ4Drft/Lo1uw2Cqh67TD1mQvbQEWRAJgU+Ab1oZuevYsa636qOYcudPU imrskCZHhLBwCak34sjbATlHZo5alYeODa8kgcCrlmPL7HBk1qtL5ruCWvKcWDPpnB5neKqoFq20 mi+p0nKdWQ+Tof3GrZeWVp4QMT2smDnX2cQ2ih5mur/+xIvwVjR4rI7aYdvjhTnQOmh5Z0F6CQWW +tM8ykBuYFo4E6Lu77ViUMxl4Gt8g6hvi1r+DMLA65ZELdnLoX+FJo6lI3EXaHRrl02o8Qj5OcUY eK1WUOuEd+EQMBpzTbL/dS7kKC/fut7FCqZotMaA2sVD6aeTXf6ewji0ueiWDABG5IFDS0DlqUEG ZSsFSNCNz7AB8IK8Idkd418DiDw8hlWTjDAu4mrn6/WM59VzP7V66afaBBZy+1KeHTJxnSzPNzlJ Y3/8CbiRYHBu2kGLDc8RwxjAz0XTu1Bygkgh2FZn/Yom7Acvbh2iUekrz0cHaNNiHUBfSW3bckaD wJzvadlJOBHgOwI1aVTH9wnuJKR0Id9KCVg9o/i54kqE60HBRRWL+MvLNNkVBlWSVEijiLDac0Jo OJq9LjrEsD5EAmPTSZdGJUcCIt59vCyM4KSNvxsGnLo5FV8iF6b9iQQth7lprCDWPixjYD1vTooG t4Z+yHa5Did5a3QqJu+CWxNiNwt5lbhr1Ars7bT6BuYG2Jw5y7eYSJdZy+/CITSqAhuuRgcq+i9r yPzEH9zvo69pb/Ws8svDOTM3/38rIzaSSDUEo75PsE+OomM+jYcTd6u+Rld72C1qlKzrWaAWzkeL 0axuxa8FEboyJ6BEhh1lPb9bs6KfbKEVSqA79/XwWf1Od8obcvmgmca+yFM9R7i3HnkKP+C4Eekj TQExesBh3bjDfRwwJEihtdXhvaw3GpaY2rh8CxBDcNBf4piKFPwoJZ0xGCo5h8bq93sVrUvlIuy6 gQOdbUKsbOdWc4j6T6RvUVT6/cBYxmhOY00qh8j1VsXOhpi9A4CBYwvdbmg2dSslR+IxZGIaTAng lCvvkLwUkrz8WK73sg1mimsz5pcdWSXNi1NImIQ15khUcN8S7/yB7nJiNZyuVfPthTCeICGWgG3t iiU+kZvOJq4dZT3Xtz+wbIVwOm/h4xttkk53dLNMfuJydSEJOmVIG2rh3LrQeT8gI9MowbSACt3+ d6+FYmP7bJy8mIrdDxDnRwNe9dMmoqbcRUFNJGVJirSDGNFDZNNTLiDMpaWee4vxfJSo/nymflRe JoOZ7fKqT0AbHII6S9XRTPfcxV+14EFnhN6xZpsIGj6ONc9B6qlg0ukdR5uFLibfAGI0IP4/XK+z hUSqlUd69v6rPGP/KdGdiaUi6wZROnaXZ7eZxZIeGR66VEkIQUAADJqoRxViDM5uDND/R5V41n9G ZPxKFfAlv8HBeJgvQQ9Wq+fJEs5EZB8qe5CeKLdL85PhK8VxFoE/ie0DYzDvBASmFZN5iNH5s+Dr jWApj+ft8l/JJEpV9opbpM1ctDfBQf6IJPOpb7sU1bF04Fc3W9e5LV4nfqg6kDp+hXQBzluvVCeV 5NYA/ct9uAMB7O/09EkM+vS1Sbhe5nd+ytTroH73so7MDUGoKKKksp6nx+/sze/ubBp8WVe3gBE/ I/JbcQvFHF+Pe5tAfME/KADx/FTckK5DH11r8dXklwTqqvMshJH8J44O5TmIuLfnNyRikGebc0a2 WiL7OxraywJTk5sHwRMLpLrEjNJFvHeTGleaHhTZ5dq1L3HPFMO4rDKY8bI6MjupVQq29FcaD6p5 aZXDzRX5uf+rCfilXJv0/F3idKaXdPp11+LSwq4C65L4hIij0fp0sbkD53N6737KFxNDuLQfwLaY DcrzkFsVDuNhBiyNDLpsSdcqkKxuELg8Vn/83AgTqpKnbTc5qNPGfN8ceWqNqdi4dR3km9vco9tV hW3IZiZhtS+jc/ZZ+V5UHRJbfhihoJh5/rIEOqOldvd4xphNOUv0AuqT4vzJh4d+lLJ3ZuiBeFxL SbOlLWeXgSqHH790/NMJjtQVvSnqAWsj9j4yRA8WLLbQ06s08leNCQ+YM+4Af6qWrjnWu5BfGBPt YX+IOqR7X5fXrGyXIzRBq2ANOdtRn7aD7TITznsY6/ILdPaxpWUteyN6HFApu1A5FJMcj64dn52T CeusnjsIKolv878pmLcb1FE7JTFRxuqHI3YMyi73r2kVKTwyUOKxqPUR4l1jgWi5aLGglRpfmyrA /te+ImPRm+msuHN2LPqN0yCIdgbTtva3jeU36tbbIgSsBMCCuyKNgf2uS+fGxEhVjNmcGph05cqp VBG2p56s+n31DVcu9riaAcSub/KPk6kDD8eJlhf66cgiUt6DXBi2Mg38g4BrDrKXibWffK9g+MUP UYDhZIcUwtGYJLu9IqCkoMdXkz89g2EbWsXt8jdCagB3LZ/Lh8kFZXJ7TdQTou5Htzg2jyGbM6H6 S1UQ55vKhyr834QvQQFmp9rqtmv4rAp+04sopaUmqbae3ERpSgVJuDnuxseEsmzJb4+9l5LdTc5D U8/kh5B/BhjJ4Z2m6I4aU5uCGTDGBOab2foE5j2x0sg8JHWR8KOFHh5g4YB+ahuw+MQgDuciqwhq ft2W5WwsaOuZPUvO2/D51l4wqeWOKcNxPwaaD1T6wgNIBVE6J7RZUtQnTSeC+WZ8Z22N8IV52pGo bfuTn+NXTLv+gKGDx90rPBVfQrmYe7IboVgJVtcLr8iS3k+2Y1uiyeG/yf+US6jmFar4dliyZsa0 Y1INiiLLAoz/rr2EFxXVPgMzJ1y8kVebVSdH4m7slzbEJlBqJRjWhdPWFtolv9Gcf6DsfZqv9Hom 8Skflpj5Np9cF+j2p66KkOHFculj8V20gbol5jCgKRjZMx2l5ONDFD0RlZm8mWPvL6kfooEe9/a/ oETJNJ1RUL+xSDypu43iZFU88ZY5cZTmdXUCCPmnrlepTuwP/MJ/mp9lcJWelW/TPHlj4UyeZYMi x2+Si3pDjKjjSK47Z5gQ1Zd06WrMeC4NpIEPSUOzj36CA3k1Za1CdQlEm32pZ/GZHOiBjfZPtK2R iPXYOdphKlOY0Rz5mOLrElP6Xuybv6Hj24usKRx/kgrs1LK8fFGUGF1GgS2D2CNV4/VkRSRmvnIn 4tNUZPw2d1FpSdoL9biWWpZuPz6Dsb+unNZbZM/MJuQIykuATgeqDTjb9SSYW1gZgQgaPwMywxRZ zmdOQnSmNHhM+uYfmTc400rqIhMoS4GG9O6rQ08ZyI0YlrGdfPmyG6QOay7j83WvM0+NT7tn8Wn4 iuql9+86wuxuCe53xp7JuR32iR9jO0LBR0Eu0U8qZOjHvk3Dwa/hFDn3zo8O4VtuaV+CsC/+wlaK KZiKFdOog836XxnwrLvGa1/CvXcBJX2E9ixwU6KzjtEG05yHXbxN8SGmp4e4auT0A9CFffgZABUi N9k3Gn02ETKkOHqetA8K3mBr+M5OfQGWfuWEFBqMMv1YMuugegcwLFlb0yezeYOlOtvUX/qJw8yI 5KnAM2mURUp25uZLftZwTEJQoLi+qSZFNInP/QlYDQhC2i71O2EnIHKbm9pPyH+RXVI1Hj16BNIW EfA3OZGk3QU3+CcUEkAVyq1h5EoOHl2PU3JvWRxkP7R5tETrglxbukb1cfGx+Mtm5K6NUAmqXxx3 ST24UkSLA6Ge4esw8wg90Z5oxqokbrf5jHMgdCqNtG+lKiMqiDXf5vR2iWEfrHRLWoQV5JQSflAA EBOwEMv8QEjWfuLAONt/oO+zvALPcdeZh38dGSlLSo1NIB33px54FMWs5lcCuJfgPxHkVVba/T/a NSUS/GF8V0IH6y4R0ANW0Ovhdgr2f9kO+yktgqO+hnXwp6PQS5Q0MwnG0g6GX7OZF19lT0xpBI+C +c49L2oZRf8NDQHMXIRxebqovfI+8Ag+KnRP4yE5QbEoJda9+Coz+hZDyuJTh1QNWDRHRFjOuAuD V/vjlzh0+h/MXxccbsoc5vT5fDu3/krPcKshU1xiTz400pi8b2uxO6dRBZDeoutE7gi0fVUELHO7 zNek0R5GoMDl4eOCftydgJn4Gu8Scb86cnR9O4pwh4+TkINrJa/0N83PVf2UpHqS5WXgVM9Wm0ub IS1o4oByf/NXIgJx13JcIxa1Yusbr4tfjYw9pEEGXuWRW7R27rtCcOsRfymHqbLWOuNo6brFQWZr ZFXi+vi4408/qykNwTIc1Ln2tkQRiMy9x0OT9yvoYiET3mKV54k0abvbfiO2hPuR8f5l7A1GJ4tA rrJtkPGV0/PpCqKlOVHssyECBkmPvNul/e1eEDBcXUw3eEXMwKRFYN6c0cV5we+ZhtoNAkeKVZWu ZN6XF9TfcxWYiXnev4VHQ5snKs2cdBfAFVaXJoWFDtzcCzSFxn1ym+vt97OGY2c+9GXHcIyy/Qdi tf1x+6niS6mEcdNnHkGwJYGvxiyorqvSR0+jF5o5UK/6M2ksUSspYz062xdxO8+PUoit0TiKNZQk aU1gmZ2qMOMdq1qce+Z+5oiSg/fFCl4xCwkcjm24tvLLSoFe+g74SNTWsPs8txPhHBcqMY0KGZj1 BNONXOeIkeK6cOLEW2yakbD1YNJeuDBI+IryPN/2DML8D/6m/jCyBfQTo0imFjqVTgDxg6E8KR3x peUNaSTMcIpxETTaqGDsi7bGnEstSyQ7x4RLhqIek4/pNzuLTDYR24ekq6d73KqMX96OTN63HAOy 3mda1kZkD3eYLqq/isVU2blfcFrCvzNZUfXHnfVT6O99gwII7Y7Rmi2+t5rLLswgyjWWuvpslmNH 1Ge+c18UGk3PVorpTL9oLBzL5v9HziU31O+pHn3ccYOrD7ftKoWLgTZJvnHnytIXFU7FcP03tc3+ hM1inV4H1FUJCaMOMoO20fBY6GZAmYlFCF/FSr8/GvsYGhttYT+A1cJJSpEwgQBO1ujHSCNwyklO sMTcgj3rpaTjXIzXaJVFYpsZphCqAkJi2jJgPwOOGqov++Tz8llKx495kFC2+z/vq9JVHWD7rGMk 6vcxbmnwvmpUDVp1fd98D2U+i0/cSKfpkVVlTBDmz64SB8Je99NjLnHK6CnRC6FSOl6kRcry/yMX tnCgnGr6hbvSf7v0/Nj78et6FPZY4Uqnns4VrIjtBW6Ibnir4FBasXny4EnROFWF5IW3NGp8W4Zs KmYMm+j8MF3/oLLVQq6yM3Yu+lp8XeYuTewUC9DXNPLFRqzV+behnWzH5qYLzl9MavCYGl44uBnh aqvwq5Havcj/1ZErhNUUmEjNh9dFi3WgcAnvmfE6gY0D5ZQWWtJLZAzfqNSGwA/5a+kyOdkOX8gI gkcY2+fJ0FjYng02oHL4soL1R3HQjHcyI9nGw+9RyvyGOrm4cFDjOZBpmCxmTNurYwBuzmcmz1OJ TueEjMqYEJY9eb4Sw+KfyNnbRyB0iWwvEbYt2ozZYr55kaJ+gHg6akWzgd57XHaz0IJS+K9R3wLp 9ofm2+qyiRqLkmcRdxcLUlj6Liv1TRIhzMGOcFvUu6tzxwebN0LY7jtfJRlHJf2tkRIIaQ5uiTsR j6oesQE5FzKL4+P+zpHY/lGavdfCQATRW5tuZqbn7hOzxdNfz7uMm/kXNR2EhP/0MuqHGyfDcbsY ItdsuJc68HMeGlqUS5ic9sAy3G3Xar/GnfGcOp/pGy7kb0tejkHCVmkOZle9+NiGJFWA2cp50YZ4 l38FnkgIeF/uDfRwPhyoxeNduJnTWejy3LJUpjcqLfj8vzoRHfK6Rfp/iBKeBhmhdo/6Kecon8nK e9zsuz0eRGwWD9K5CWKXrnnRRoJVY+oHsguOuSJwTEzpZUJP1reSygGMcRkBpg6of4bBKyCU+Z9E hYGA0x7yxoLkk87O6FE0+bK+eKw9X40uJEKZdXuogmSA2T+otGVZ/MJJC8lZrFTh96KkplsjJteA Q5opWDVEWfJa+bewUJQjkP6JYbYvXcXESAinvEoZ3dk7/56OwOHayI/gsdOZQzQwJ7EkQdduf62x xU2udmAFQ0bo1FPpMppswuA6DXITiXR3/HiktEk0mohiK/M+9x5aa22DTPoujXJ9IlwRtcoOvcO/ HqmeYvQMi9MNCnG030xk11NrpVzZziuufm1M6pnt0B7wsw+34bq1X0eHdNhSCYUDwVQzOlZud/Qm u/mjiMiS9Zl4qy51ZY4geS3yLowrzX22MT3a8gLipwDmNpVdDy/3Tkc0cdDeV/3+R/SFP5JK0+WA FN33jSVs+i96azTkfn/1mO+u/heBhCvGR5ynHEiNsHl/yTz9a+824V9cV/iJdwJfrD9Yu29YbU+J pIAliepPR5jhnjDb1iBcqiXIsZnnjEGElBoDgy+hh3iqTKMqUBj/lU9cE6YUuUFLPS6M924HZs/n txaSRiPGmlt3YsiucY2sVYAKsSL/2nmd5CXkiAFz4BxdeT6fy49rjaTt9I7JqtQ7YMENp4WRWjA9 r59R7+g9UZlzWXfNh43gZjipMbcIxqU0uPgt0mz5VYSO1fkykJDpIypoyUx6A3DvlnPhB/PVOLJi TJZh6Oh8kur0rLH9zhz3Odntw8+c2QWVOuDrRh5AR6Inmy+IeW6akLJhLnwY3R6Yues5aIEe9ogn 8k5hFBeKg0AHCz+1/XihOaLTK1v+Y/HGaXEJSMmaRWWSiAyjrvyVGuPshIvCPclEbjmiP3HN7WhU fe5dMsd0blIpik0bzu4vgVERqufQxP1XdS1101ycc7TcwKa1uzzSjEgvGZqEQpL7mHerN5kDBOg6 IP6bWNmE8H9PtgWq7w6DNezAu4ohdq2qvSubp/LWovBmISfEAttEp6qUCX1B1mgNOyI12DaD7V3o MXwo934eMFIE4+DsVImRF/J9HH4mWtCstO1zO0i3uywdLvFf1iykzBwxJd8Ty0nWC4CN34+rXfMb lrnuokOy6jDemjEZ+4v35FiYxcqPvH+6ovRjA1DPJfS+7JcSRKLx+p4GLSpbO02pq4n2KHSXWX7B Szf101jLlzHkzAQ/REriwx5mCAmdpjQIYgmIH4UQ9G6iEHDegf19UP62XVW4DVWpEcPzsMJPGgXy vIcRPa3JML7/wTwwMKBaN6NYI4kUDDjvNLUbPardoRCmSr+/Ygj7vGb1PZsSPBKZVKpivuUkna0g hgf+/xWmWCI7GLWeZ5KSECk63uorKgbz08KDnxKmPRSGJ8TbOaMFiFWnJ5C5IXpTHQMeGubLgikv 9AqnN6z7ifct/SIdFMDpFe794kdgmOeOW1I1LnvLdPL1cH7JGT6TA94zD/Ancgn3fNnkCjSemYNd NElWRlSKibMMHDAMRmdtzwvdSJK4IG/d84MaiiDHONYI+EgoQMKmoTxPP+VDTjm5Jxc55LekWht1 ReOIfonoFXu9HjklqVaMFSVP1PyL3FDR7EiNX8cUM8fcY6NuYW4NmLmVCnueYy7C3j2e40PVkei0 CeIG1Xj+z3ZWnbaYefS4b8jN2mwBb1W3uGZe25gdYZ34iANE5FAy+EWddhobHlRkHAf0QFTWPDr1 nIIsmdadsUuc8jBMDETEbLCAqJsLrxt4wIVoQ04Yjrl15BDUr1QdfYKMpGXvOAbZIjRzdawQcslA 8S1CujwlFq2Y2i2OJp8UFUnCZvbadaN0Q1ukwze1lHxjqGtitPwkICHbva/glKKo35cPHZ4N9fBN g4bDaxD0k9wGv8nr2wPoIx/5FB1Wt4CcnVN+HXiW0vIptZSYQpyw3rNnJWV6boFpB5lQ90MIqkdF mr/wzUedZrOsU8yNOaJml6QPpQTkygieOXao3J+urkzOH3yGptq1asL6MUX2i28gfHpwz/HEzMgt F02MMwwrHoZG50o7vVwCgCbJSCKKUkDCZiWL/3TDQE24TRHkKgftyhzND0DcDQ5frDYrXzIwdoE4 aiVXxVYebfEfkV7AZo5+NLKfg095tv5wUEMu4l1Y09H1dqTruoCU/cqxcYkiDfB738vjBTTpolot vaPqsYorSRmWMnklauqJnzbzNJ5Gag+MKW5YQ79Fy6PXcjzeUeS6ArUOleWg5TKxjQG9CeFrVkv9 c+UrMRjAd3pVNZc59NZXFI4eF7usu1sUJopFnUVVPqSGXPgChRKcKCiswgDvGOPsLcl+ZVz6EjZv NvAtbaJ+zthLz6C9N5MET2MGPIpdwJ8jCQJFaGMPUKXlxbxn6VxGKI+avnyAUiQIvkfgYxNQZGnG gbc5pKp1J8Rctf6SVBPSsI++R/TJota/ivJCl5w/yHl6mhkQTCS460NmvfExbRIM2RlRa33nPrRr hAh+RG7j1A7N6hRdYRxjqhafiEroKnJHMAgRSGM8ccIhANt2KfP+0Sgi6GrkF30u53Ig6cv22AV0 iMNm4Y5ZbD4JgrmTAldV16AMMAh9DnnQHAlZtU0gf/peH2BuD9SZu4MK6a0ldGVh6t9k5ytAyG90 ov7SME2dcpDHhJe3vGkhASgwRhvMAGz4f2fvDW2sEHlQ0KFz9o/4oPWm8lmXy6kIQYvK6dq4E5AH t0v4usJAlvBAk2tZgYZFHeIAjfkjD9m7kB/4l6y7GThkDv56IJxiFHRtizLFpSzIFsuFspBdKgXw C0ETEoPP4pdts/p/vZYargd0dlwDGcrgxGW/m0p5BDvzWBKCu0ByC/+7k0OpfzWfdfhcB8C+olBG HQJntn7PcliAlKEyCz0vl5TL2NYUfV67Jf3Wg4VM157UDLgdhpz8wVF+KzalcF8XzbuhgzF3WPAa lJaUHbicl+U5rC7iQgrxLclz5FTClqzlM2rf7jWV2djk0V9icCOLLdc7SqRap6GdNpait5HescHr cnj3/HPVbGlQEH2Mvyi3TYnP3oWj4OcmBf2YovUF4GXKSWeyrnCazheM1GOjWQOB4y3/xn04Naon GSMPLsCsaBcPM1nub3hmZio0JRxCKVShf8DWmy30gOPyR+MnTlNlx1TXZ/eP4JOIbCG1FBtDFjvZ cMMleKpeW+skM7oH93/DQXSHiblneT5Tszy5vqkPsyXjPqnUnk2OPmxiaXCeab3ITOTfrA6sV0qh iDSiglQ486gTknuLEa+N45ieQEYTNjflPaQWdMZK+uS7qPzXDGsJWN8qbhSBGodGGYXNkKPqb57U RrjOU280SHZirKPrVPFMnekTPKqWeF+N6fSxbUmWgzuUxn5Y0SbwHcPOAYos1hu3L8LmuZpT67eK uGyBfzuGCRGG967RRV7su99qht+Pnl6ctQqwTTnwbVi3L0tu4N8aVDfiWbA0pop9cwoGT/9w3wHt Hs7FuCnZ6Wh/vElK1pui2lJxjBRLmZwVg1liww9dGuHTJbqsHR8jtERSUJ2Q1+GLMHTPj6W8hFxU FtJlQZ2B+sfoN5vY/BdAa1T4VaSskYxWkYVBj+yyNlVUvc19fuJ9swfW++RdbyXNrzMbmjFPd+bP XUhBjGcr6wHhIFDEvR/w7R2QEE5/9GZhOYuVcqqtI+EkQ4aeBWtPMMAUb+h4TtXTstTO0fRoSW24 /i5Zc2HKkzr3+xHYp+lL/T9Wh+SO+YeTXClLWH34dKoifhgSWUkau+Z0e5zUzNn3UWXqNpA6U2+2 P9K6v/RPcQnjOifbWgujS/YC9zY9escVnKkVS0a9cMULL96VVrZUtKEcPHazpyvENsZxTU0e6KoZ GetCz/DboewkySTqQnkeIuCEMalhgkUQehN0WcDMNLQW39KVoYMkjUIJ7J7o+c7bTWVjI2IYJvBp 7EDC0mfw3wPSVznlKTTLGadLtK914r9VDOeyz9WKfuYX8dmrhnfXS2/FoTZTcYSvncydGzHfEaYG Dz0vrkIzxJrJ5CpZjSr0P4ZFRKrkaMPTToBZTWIPZ7BQgLnUSxA+w46OSlD/81jjwcdBwnW41bLk j0ve5ky3mnU3xFd6KgH4KcPTX17uYKldkT3HDuRQuIp2M+wutHIzvGrD4lTymag7BXB1IhHLsXyo Xs8wv97ksZv8nBmx2SXwAorIQbsgP5ibfvuhZiTTyrFMxVN/o30ExR1/CEk+Ke9j/TDrpaoN91DM YTI6WgKMaIdoUaAmbG8cXbm8dtFbRBAwk3cCOorI+tnn2VSHGKNDvAe1ICmsVbZZqxpuxrymCZ+Y bgCAAgNgHkC3bZJWCfCmochg4pdvNcySoqBBfxEM8sNgabem0qI+Fb6RlSekBjFEEywp3EgDLND9 hsswrI7Jlk+RJyxinOlN3+2YwDYRwDR4WuwF7QeP1/C7MHpMj8kgVn6k76+mO0oDzUivYTpQl6ws bsh1khOpbWXXmtIn9dcSejULMTHT+B2D2NH9G3VMPiv1exev5Yd4COsH1TUV31E1njPCS7IPKKlr LWgj/SmuY3QeXF4PWMSVKdTMD05f2j744BvfzqngKWRFrd53S32kMVHA7v5qtUZcnZ/jK4LjiNMh HGRRVJbt5dBjrmdNPJnyL9z9eYiAP6/d1uym/wY7Tp+P6T8CXfnA+lD5SQZWTFns/ua4bWtVj0pQ mJ3A+2FVz6U9QaJVz8rDfq/OLeTFl5+Ntga+/QPde+QS4RO+93A7LjxHqf1Yb3OKOogmK4WAwcyM fb4qXai3WpBRRvlOD4g7OhONeuCQtPlOCctdGv5wptw/5OTz8FRGrjxnmjS8dvsmoy5bT8+LB7BY 78Ny4VYMM8Rit9T+mihjsoKoiywUdFra/JEt0Z2hMH0H/bQsGm8YUBM+bvl5svCkGtTmXv5HQaUj GYM5BMwSzSCnb1oIO3C2FHzveDJAwmKeBxCqTcOKUzx4btE3Jf27106YS3sZWwLfHZudMt9eNhju AIZ/wMNwatZVyM6OIBq1NX0jrGkaLLAMI/Ftf52lxLSe/gJvV8K5CniouREhpJLE4C48fw7e0Bpg ycD65T5b4npaQAAkAyAKZUhZG8CmmCUKfmKKJhhijcmV/aHWlvarWDRLnk6fhVwpDvK6ZxwuNVGs KyvydS2mypWTGaDLYVyYmpGxJfCaXOyDNI32GcMjhBY4ve4PIiKbrA2yt+32VQlJDKWGAqoCkVOl 1cOcJJw90olzI1ae6qnFTn1MTmlZNL6rIx/47DQPFmYYAGjH4SG7tyxRz8PyKllvYv52vMavAmlG lHfunPCnBie6M1iNpZg1Nd7jL6TJicrhDpQzL8XBX7Z3poQ4b9R5nvf+CvbR8N8rSF00O/fRjBiJ 9hyFmKB8+j/QqBFvQWYc08O7NhNQJ2VMcqISyb11TiGHWGgZ/HBQSlsR+Yi1OXZrDnQJkdABYyNM 26Q/StW440nTdBkC1AMRqPI3wa1lwK/x1dC2X1/MuQpEKRkOe8/az6pe6w2XByw7LeQF+3s+OfNM 3zxHKqodTnX4My61m3mHS+UWkAQuDBfX/GdVolhC5lNBBvWXZ827EZ6kuPWgy0RKSb8F4pls1Kwd sryQFkxfXbKyjtwIUalgzK9h/21riAI4tZhM4lyWJ9X+FQyvI1E4dGl8M/PdTLmFtBs86kB96rcP cOUVF6pNCn+AjJ1BfMUgIdflwxCZKa5gcB40+EDXa9Vfga9LupBTTGTal2fjWu8mvB4y1g7SuhgC VcE0MlTNfnqOjz9n8xv+sNkDGrtPf/35VZVyDoDubC91gygaXQR6BkGIvDa3xJerg+/f/NbFwDA9 dG/RkM44k57/eqyoeLhCvSvLxSC4q7SP3neOu4NB5F+YS61QsccIm08/1Kyhz0o4ALlewXt/iLiK eByhi3o04wpO7KslF8E3RWoaly12fU6iFY/yoGLNbQjt3a64TQQkoOUAAbncQgdIuDYSPM06ITvg +P+bxttzwE8F+COsEX6apss+Fh4y0lDhb45yP7opAiKA7cqaxLdv78jSbzJBhMFzJYqX0NAasFiq xOT1QjWvXsVogycOvD1JNeIK0p79vuW3KFOvw7T8FSn5dqbd+RdS513KWrykaFsSp61zMut5Kq4v 3VPvdYNrgNQDPTHHvwNhjx3qdO7FEw75x3zfRALz6bVDtpLbwdi8K27azYEWLOIC1pOAjtgv4Cjq 4TcqFX1rdDVEDLY0nifR1CWkHHaS6Cw9Rzc+63c8uv7kH/MtAHYuVK1B1Jugv5sPK4pWBm//8Mi7 de58u3rurYyrPrEwcmZcxgn96UHNtQu48eLu6zQ7gCL9fvE6/x+K2gODau7YKhikZvmJFE8RlF79 JimwEYfrEcJxXEmVMWhuf0wm+3keWiMM4qqYnPobVdK6It75/XjnC13C+Tl9g9K8NOy8tL99UpLw MI2e59dkZ1lb2GE419KsqlhBu1353J6XbKfxmU3nMD6JJa5/jsfRAXvxLTdKvCGlzKli7+u2rYn9 yntwDJpnaHoUy+KzrziqD0eu31y/5EAjx49rERfnI+R3A1V1xWfFILHYf4A5rrxm2m4BKfwHIrfc mTwUXEjbFdS015ilX31og2bYxGvHk7Hj7XKwyxq+md8z3RhHtaMX5tgneSS4+PRFjA/M2LkaOc+X WjlYDgMoPE4UsUIM4CKnA1LZcbH6e/g1oTFzpAdOdCmkp8jq1sB4a9kfDr91dorsgTY5GCGZJMgK +eWFx9PuBlSuAG4WPNCz8gIRtrn1DYpcGDMy9UGWJGGIB4ZSR5fipeNIQsXYXhTIhG7syhMij/uY hl0L2V7oo5CfRDxurH6wDF6otm4ZoxXEJNGyQAq0pbYZlYrECQMaAaAD1Hkd4uR2ea5D8aC58LSw mJ8So1LgJz6cUoAk3p6f5UrnI39MZ8d+luISzlmjzK3FynPki1lAjCAEVF0hXaXIlQedjCazcny6 m6kmSwFOdmbOdU5TXgFV9m7NB6LpGl/bVOXFAhWKuYuuwxr8OFLyg5w3BEUlBMQD9L7tFdtkL4By iaMKJd1++CrEfjSwnN5tT7wD4l0lmRk4qMsSBfg0XTrtMNhow2XOFgklZ9dFtCZNv3Htc33ILG5X TtywwwDV1mNBnSGq0nXQ6ssdaGD0yOkkjvvLmAgIs5Che0qU+ltC2dNnzQvyQZooaF+3E5lbG7R/ 1ryDg6DHpf0ZgWq+8AktPhgz8q33G+IbvzapdtPBikwsqfbQAA2hB9jq/4vozepLeVYUm3U4Qth7 WP35IV9z5i80sET7oxj7byCjAJcMv+h9wdITzI2DNhVApiUglcF3TW7Xtk/7qZeioWGJUxGlflhF oHJ6jprp3JyS22H8nRC0XA4d+8b8sSUCP3WTZ/Vq4rSIqAO5bjBc5zlgRaqyWAVWzj7FN6JA5l6h 4dkLzTY4M7pWprzw1xQkLbGWZu/uHUjvtEZ2yQfOclw23IUbZLSV+BIhApLwlBWELbCy9rGnn/3j NWTOIm5d6q0fPceeWIkTL/zSjxhWBmNJ4zTef5cYQjR5+L6CiQgmVIcRwTK+7NNPkoHbrQkTEb4A lcE6jF5doianrvPP8oz9HHSqsPSHKTfPrNk/8AISrH6gY3FtS9L5vaHTgbMV/NDgDyDPREelPZUP XTMWQzFJZ3hLVGc0G9hB3Xgh15MK7SHvXXkBZ2k2kqde+VUa60nNRN3WuQ9Cp3V4e7SOVMOtsMp2 TBYBbXW21hKiesHPLTc1rZ1E/mBDGHLuKjUb9ZjPjYXRPYEmFtnOGxQpU7w2W1Cvjy25mxU3mfUT RNWLT+Q4KxXUKgZhHG2p0ILRjxb+xX4pBiSk62zc9khZoLYVmTVuJ1lQScdq3KGp1wWEB3+k6PjA v9uWCuhb9o37MrlIe0c4nW1Hqp7Jt6Ty2/TW6YgWpEv1reHWqWgy6VpZVpMnfvTase06EQX9MxCu RIzYLXeF7TaiFb8w+CJoJu9Qhr0E7zRkJz2/707CuZ57Q7vX1B8xWefgIGE+GoKSWuUmWZbpEYoV 4F++/IeFaGDbUFgeiHv0PjAURgHtxQLsu02ZN+IPdS1ZjrXdAmQQG44Kimi0vCKL3WrrcMOBVWLu 6VI/bJ9fXjlzuqBSQswi2XjIAuq07CDxVoKeThYYsDlvKGtetlz31EhO5jnDniXDIXRHAvQRYgYq mxb4DEbWmBw6HcrORcAMNzOipaQd4uzqCKiBZLoSX6qgv4v7VlFKf4nJi911fEvy/rMEqMk3DhUI blg5mG0UuTojdvZzVYapTrCUOkZiP/0DxXwF6/XwipUfR2C84HRtM9CEza2qcQJXOYH1S21IcZdh uQi+w+8S0kcRqBKU71qzKCxegFsrubQq9WbqCjrY+Ge6wGd8ZcMHJ9YlTisG/PkUJCLpdipSZ0Kq PlFYqUj0hGnjscJhZRxLwOTuwyvnVlzmx8uKDd4E+dVeleM6cwb3+4SS6kDOOnCNcjAlrnus/uJ8 3mnXknevEZsAa1EEP2tJfIGdWyHSzVYdg28UTITnbqoQHD36VNBbwyjOZVhzRNcroR3KtnN0DP4P lpUncK2Bj+2njvsbYB7nkCiWVIqfcxQs88uQu0rIZ6/MIXoIJRj3skFxBotijGHH8kRUgRi45Bpw yebZgI1UZ4lJU2vDgwdmFVLM+PqUHNB4SLPJ4RhGVg/Guhndgn35mHZhGBrLKXxXP8WPwboZrpSl JkoK/6/BgATOy0Jgf3GWPFWg+zl4SmfPQaORjt0Cm/ToPyARfFBYUP6KxfwNhQLSrTCnqfW+uLyq Jdci0Ar9fLqbIgrtlD/hMMywTNOyndcvNfRQILZJ0aN8T13mtpYmuwzQmLubgNVGo4jknZ0dY+PV lSRDHIBs53qOpQSf2sNzXQC7WtfU0zXPHU/h/7s3YnAX1AIZCjoJ/7zb2OxpPXKJC+hsoNkzLfHP +ZQ9PlAeUQ2QNKdIg9+Q16pehYWTeL2GaQq3ZThFpP6JNTNY/dKiiVwyuCWTdpswH0c8zmAom78/ qJJpJY3OsGkGXwqTiD18uy8MAe3u5EwF63ovPEpzlwpqxVq8L2tJpWc9oJxhuJPxFbWZk6ke7FtL 10YSTqKnG3RkWU5c7ehkAI4eEHR8SOmMNc2ilGZVmZYA8IRPmz4N6cxgOTnNpZrdY4StaJ4x3Esw 0VX3ov5jgyOSHLlrZQA9u1RQgq73QITRG+WarSZOEc7RHq3MRZNRQrsD5P9PLKP8xWIpKax+bBdO lrgj1V2+T1trDkVm2mEJXW7r6KjptWNtyFF9pLHN74XsbY8tmZ+nnwQIdNOLCQUE5FlyV5lgBCOG hHbSo5uj2/J2qnXAYs6R0xIX9GwMG6WT6H1W/mgfJW6KE00cGG2dsgmmoh+5ENg5AshyQGShiVjG obZWsN89wawsY+XSkS9EL5DQbFTU+DyHcif8DzKj0RA/qur8Cufu1Zt3p+aZ74ClpLj4EOz7qdBA ErC+R9kB6MQHPdG+x3tJWwrNa767dfd4krkc195px4l+WN9yPdFRP3LTx5/cgIkipjgp4O8iJbHT UvAIrOwNa3uooHRd3fG7rt4+AzVFHE1BxPyfYRhvTlgkAvHoPXxptCiD4xtv6R0cVV0Ne08sF4yQ BXT0iYRzg3IWdziC0saBUBgB9O4LMa6gNZpTV7nmSkA0vDnQ9EzfBseST3oWvCq7nfHhcnCZyQIv /gyugaew5TB8eL5v14kzKF0F4trC7k7jeK9oNJDrb0GPE9yZQX3G8kT+jZJcYdseuY5Jf3/dhb7q 9AQAa3FGp7Skc9whFPimCZipkxfJhrov37gSw2WKEjKBQf8D1DHMbF8n9Qh2+Xt/Fa/aA0McfYfG mIsEg3lO1/Wtp9L6EhWN6OmtWUVnyO46HxSAa7zTNZl0/miMbor2wD28Z3hOxnI9hi49pJK/Abwi QphYBRrc/buI6y4B01885IwtCSC1M/+hbbN1fQYcnlW1/x9M5xeSUaQuhXIob4GxZPj7KJ1VvSsI faYkA3KyWYS/9PZoF4gCNKIcSPfxTZLtqFW9Nc6CoTe7By97cHBlbdx63EKG+Mm4uuQS/aVmxVx7 iy8DUqVvTVFb+Wwq/zRWmOPV/xSr58o/uGE5waovvBvsKSOjyZX9xBDYY5MrpHj01OipcrwmGHpy hgUryYsm84Apy6avFOfalijdZCWAESopr12NGXjjsyjpJ+7Xuy7kW33Uy9Xusr+sAQiGpwm1Mga2 8F3ZeGda8Z0K4nNnMx207i+vetvjBt2ITULQJxfBqYYibjOzU7z6KID4fpuH5I/h6C3t2Bk5kc8L GPHiSaufBSQ8qaajKG4vWpQ1vLydo8/BUBKruHpoeW7rCIUNwSgYNfGt9rNX9BpmgeE7phIxkFUf YGtMt1ZZdRyCGHhCE98uGqIm4miOicyRj6ZoNHy1smdPapC1qAAmDbNu0879sMWfktnud3T7K0e5 V6Qz+M9KZbVx5/aVlZTZdfxwZ54WoWhSJcVtoMffah/qrHsBvBHlFphfGMbnK3++EkXlf50lv17E g56lPCitc/DeMBNr1BaRSTOAcmCJaDvUEEabIoG7okNrIFmA9exmGEZmxllFl9x6SXqla3xBpgSd 3Pq0OEqEKqgMJ0DNHBm3MJCnoEzwR5LvDQrKCh/4FdMQ62XHezfzUvM/0UfVzt0GKrfqRT1FlF3E KBN/YeeVYwqKm/Zkrwz8bY/dGVt+oB17FCgP5aNAvLMOQ0AXxzd3ztIgfN9Uk5Y1qqe7nV7gAQJa QBw+OjEn5I3dymuuFtpI/GevZcMnWXndtA35TUCqolpX+RicFw/qZW3RhUS2br3fjp5rIHYeU9J4 x49288E4DQm80cuQE3Mgh0pp+sHdXysub9g02bP8wMhnymHKPow1ypktxigBEJoe+/Cr14zPTM/f uzy8RqJRmwKmkF3eqUpU1u5JrDHnomwe/NeRdjxZCExipofy1BwjDb09ah1OS+REFm3wmvv4GX8w nhf0jR2v7WcQkVeqdeQCGQHH5Bm5e4Yr13eB3Lp4kjNs63t7yIE48KYauzNCYpeNwiaYD5oGAgR/ Z8d5lvhKf95p4qFMyKNuDeY99z5GF2P8e58dhSWrAR0Y1QqLjPAUrRpRgHM8T1vYJQP+AmUm1Ip8 rgIGHmFvmTATRBsPKDtEKjKU6UeY9PSpQ+Y5H6QQRiu7RFIWtidC8jkEwFe5Uy9vL7bwO5HpbUM1 6ycK4yStCsUoi8xuv0DlFLIZSyhJy+n/RkjQg0+AertNJbANBv6Revo35OT1b+iMlAX9SSp3JBVo y7UktHD8uypVd5vBitOLP/X3QZYGfP4SPPqfwMYypl3L+vnriRdCB+G3vSm0lzPiGhJgmHxoKGMz E6Zh21ot6i+7qIEiABRurkOg+X08h5C+hZUFfjnSKO79a3iG+GdyzHcp2uFiBoiwbrkd+IHXi6Px puvDjL5I3dDa3xLsi6voG0MmJqlsnbgSQKeqvrmX4ZuQSzfyfWL54ZmVgZpbcvOw00P0xE6jpACZ iQwBQVkD/5AavJwwN5T8KcspEeH6bd0wdCiz2Ujk65voXDms5icJVtizE7izPyChR/pek/wvhck9 dx0bmg6QFrtfHaCwm5ni6UGuIP+jNSrW8jbdJ0RiV6+F1L6v3X2orjLhD81EmydAnSyHd96KnexG m1PpnwOJnGf9L8s/5gKfM80i6Twyw1jL+sh5CCjXUUkclwH2+QUeF0soKshJPLDeL1EiElgR6L1F 0CLu9kHl0dxK0qCDMOVnWur2YmJUGGTwuF6Efe9iCsQerg2zdN83xlGQIKr+lyN2tPjrxaK268e7 V79RkgXbQZCm/cXTmqoAXwfvA4S0DLkhcQyg5taYLl4MWHiWyia9Rm38lofP4S8ebmYj1jSPODLx Vn6lAhthyjQ5VkRlrd2Uo3Zu2u85fA667sCwS2BFBdPRUuEbVN8xV5Yd12u6kbR5SjIm9Fw2CjOu bJCgRgHBOiFX53E1dKRXT8Hqh2YBpsA5YnV3UW4IhahDhykw6dXl5uNIbDvwg8xbdpo08U1eiPSA qVbsTh5fvetka6nO6sZiVhJpAKceezBF7ZeT2ARfQuFBhJ0yr6b/VfMQgiUE5gorVPu8FAtJBinO rUkhwvgD7GllGiv/Buwu1TzxUjSZ1ZM9gTDJNInbAoi9wRW1xKpQbrtRrzKEQ34JZ02MZOO1hLL8 BBvask2AG72TrTAid8UGuFU8+oV/f94rQ9aGVYp6fbofKrUVnAIRxGkmCYVAXV0T/sN8ZlmsAsEE AP7fOM4I0mHmtGMqq+oYKFxoEmXXdNv2H/4zoLx0qqda7gUPi/R3d1cBhoR4YKJ9FBLzJ0PseD5q 6JSaSfJilIFZbpRJGS/Q3ivHKMGhoSKn9n/FZZ1mL0WeGk4Z0Dw84A/CEioCXUmYENEWKidncWkV 19SXKU0Ve9yJdbi3SPpgrBom1CJLrKaie8RQEBeloe22XIN9J/5hg6UmspduTMLe91Hl0CBJ/4L1 +DwzdsqFGeGLfiAp3zA8Mrux5NN7lvNxa1Vx54gTJ49wf6Fx/H2sB6VSkm6bilnGuFvrTioU8m2x vXJz9xysKezudWUMqV1DICJui7q5Rl3ozvmXswxL1e1BTxtfvaCF/vBg6qpVKFYi6Eu/5FHFGpNF 1LoKXilRYGFMQGyz8OSLqaL9hQFsNkpR5vUt0VYkqxbEfyPthEiJCon4VfDcCAcL3kWZBncIGw8F 2/kRjbMHYkOx0Agnl85LF9Psmnbmef2eoLR4E7C2azHaJarK9GaqSfu7HRdHfrCp94t9rG2m+YZx rL+GtHm97BAH/cqeBAExkiy7HxGm2MLIfdOWm7vAiuGflR8Sz1hdR4+OjKaLZhOwp4xcldWhP1cx S6ts6GSyiYn3XbPl6q6mJHdYKb1HZlnPyxRA3fh+g0DZSxVcsJ+eodNvyPwtAxz6mPxIphsYoeMa ACKqfbuGEYl4vOsKCgZqIqUwtm2owffMMchiYVsYNCXeNxmShhRl8I71W7Wir/1fcEzf6BOigR1x zeKTJ7GqpoGaPk9KNNcJH2hRx/wpggyzYbTRm/XRC7i7fK83DmO1Av94Ihcpq8tE/M4CbKNnjy/T QRlyzstUnbtnVqpMBo4WfZAwP4PP2LqIQELOTsQHXntNHETa2fwD/5e6DhHNG6UllJUG0/pO0tT7 UDEl3DWjm2YVUemRgbgzA0sIKAWAzwbYjAJ7DGfjfI/EoDy5CjvZOfSkls/PkEhT88+Ry47SOd9+ BckX9tOxRFOCOGIYfFKVLkbjBJBpReNZdHt5RdZwuPO7nKOA1mzfjVJ/43DUA3j8JG7D9a2B/Pmr 8lOG4QK3gv8buZAPoWR3Zd4G8PlaHl1Kk1GBe65UCNtH1O2PtMtOmCdwZPtFuFJYOfJ0SivFL62r r0j/d8a1Ea5NNwMZWJU5sJE/8i6tWufCQwW7mxSCOdTk1nVOpebhRQciw5hBR9DKFE+6mVjHDHbf UVY0w8PL7PJtJ+c6VrkD5sgui7fBnjveVUVDZGGSxkN7+7QLZSPJHoOJ6JKbd+n6A4/myz4Kg25u e2PpXkborlkBcC0tK/hFn3vUUhpl8eYCAsb/Zr4DcZFQwYZ7m/hMQLF8taCPYax9DwtYpMWfcB/M 8dpdX0kJoVUw2vddFrtnQ9nWC8DijPzooGkGbpF3OFQcWDdiuZmMdqhRjLmoqzPMQBKpjCHdAdSI R0hYlSVcfHrDDtTYYI05VACLFqOeptUOQPHUZhiL5wKMpAUnKDsT08D0h9JEKfPOWejxyZJzRufx 7lUNZNAMinwrjWnRRCL/PMMeq6CW/dGnOzUipIXRBcVhmU9cqrv3C0Xg36Dz02mvYdKrad4dwufP dRH53TZEEtNWuH9GXr5CXWvI5zClO0R3xzCaE7o62u50Bu7mxM95JJmsgfg4XsmhmmN5kPaF9z36 JlSaBqUWHwkYdYpNzMuMJLShmdL5XXOHbumXC7/CiC9yApbyENTeQ8ifTeCoyv0bLvx3x5E+hHia 8IQQEKeHt4iqQLmaglDdyhLL1u40Ewxww9OQ/KZ43zjAip4MdAQywxtQJVzma/AQ4WvNxtBPsJch nouKXvMf7IoUx2OgOf9/ABcbQ+CJfR47vO0UqbrIkwRi3gZ167bCbWhNy89b76PKJ6geYIH26Zes 0rvTxxIODGkYsr5TAMu0Behs5G1l1E2f11aCHoY7kggccXqkX6fnU8sBv46G2Jl4DuiHf4uSqlEd EVdCaq216mefq9ktz2tBLn9tHoK0Evsi7Oi1SFWL8QCv7P1XMIQOed7mWztQ0oegfMGwNmjPT1o0 dCW26F2kdSkLesaJEjbylvbvRd9mN74obY2Kq4xyd7hXmNGN/ZEjeSLp7X0+C9Ztvl5zXn/4ee+X 3QQq02TCYlyxNKR+xt4lEyKNIFxrEK8HjQQIv/xhFy8ghniZWFy5rKf9PaFqov64jGMlrsHk7OH7 a+OliS5Z8Fwzf1+ypAXZxjfP10kU+BU2n3xxL7j9HWjj5Wuag6SoOk7E3jq/anLxJJCCgrJRLeQe ZifGO631Nv1a41lU5AUR3SStPTGzJRI0nmmgUS2MmMzMM5HyvpqNEsRl1o729dlLSAIEKdK4NmsB N4DvaULknANPuBhxKp+ug5wZIJhikG/LZDSh/3gYBpObEcZ21Liaa3AkBcz7TXlOBVjkVcMrpJRk M3pI2Md7n7QSupvN4Rxc8vulaCLhWSN4bVJeqrArtR+94pQKbOh+2vamLDz6s7NrY/otAn8WNxf+ haBAMC0MOJJweX0gPTcyvnNivXz8splNbvRVkrK1V36ts17wSMFd0dG9K6elNkUooLB36ZMM81ip N1PQRVV3WINz3MRTGqlzTnDCBy3xhvEzOOiIJlyMVqzE8VHUQ5ccnOMPRzeYDe263GiNgmINayGf lv7hLljTVOiDhfp+c9hrmLDsEiBKz62Qp1i+bjyu7btJDwUHYwyiMg/Y5MdX7tBQ6Ba9hmBnccZu Wg8tIXtRw5ElR2xL5g9aVkijYcV+L2nXDZq/pTSb0K3xBYzsks+nMh7E3ct+40u0Ecw8d8Wep59o y6Z7Qq/Vw0fd/IoaTLoCMBaCOBxLpsxzy641Rd3YHdJYUZ+Q3KEdY4tJfv7Pv/aLjbHGdtsek5+P gsBmlvPWnsQRcGbNHcarPLzPuwDmnL6AzG+DCP0jSgzxE95wlbZ1CfcePWSFqyTQ97ZXj9L2sb2D UMLlmrPZC9+X0X0YcRZEHsdQA4ERc1DxdMCEjSF/Aqc9O4f+7MGnBsyHh4MRv4dh6Y5Ly0xTjtUG 16JXAQGajDcYUoqSB3g0YH648uEKAVbbLfAqITDEpbuKjGKy4oiQlYeNKvrGVw72Yf/A7gtk8erV Q4uOCtg5JRAdw4y1oakjjcakTdaYM6o2aJxIMHiGBfQv4mnbifRY+fHIVLuzf0JaiGI/kAC+SmDl Uj6qyawyBnfWmQ+JlTEd1dJzQExwg5aI/IUt1EoDRMYCyflMW7wev7WC2OXHolh5mvllpWczU4N6 fNL4slFY1HpZHK5wJ4QfFbJSSnLSlOpoXr7c3qIv8QZW/6hNiL4SkOVCL07ctqndbCr9gGt0vJh+ J/zmk0mrsSvbbB46cYbPzLF/wMPwcxQCuSntzPmyQ537gogF0FkBS0YUoiuZPmOKom+z4hj6cY8U UDB+xXACr+59jNPgjOuiqvOmp4x5kSAe+w2xnUJGSAirKQmXJ45Eu5SSP01XSWrAHxnjXOf8BvZs 4H8afSZqnps/Ky/CDUOH0P3zmpR9CQTKqRqil9tqcTFamDkE6Jd16kr1TS+SxWubbmvlIEIXqLKG qRh0wkpzx7IJPCXQNuL0D33jDhe6BQiF/1VkVIHqReYDYHKQZ0c8jKlhlir0CdYDOaJxrgt3/S0+ do2137UWbAJvoFPrxEWGhu9X7tmj6KBD8v7O9jxCDQpGTVXW0wAVNoIZ9zLWn3hiZ0YwcVLrxlZb S8pb1PBjIEBzBaJJ05q72oESDi73MdYg+Q7bhW0qRQlCYm3HzxSRAbEMg8/9epDT1Hwf+/ZVozDR fADjvdYZbk+OgE557BeZMkVtUsWj6KEPBoLOYKjUYOn7A27rdWVm0ww4tYdptmnghXQgM4nWnuIo 5xVV1StsNndJibQNFsIr/uS7ms1ttijPRzkbptJymdQ0+XNS9zhxdImtorcPrR3hUVTqCfCvoMHu ASi/ciY0WXegRGRPmSmcs66A+6FIhafcxgJ4687iXi9OJuErCo6aLv3cDNZAU2CSc6nVxHqhYPcT /vRJRlHpelZYPWovpW1THTwe0Pw1UdFQwr4UKSy4K8T8EULOHToTr/kFG6ialsatI8L2cSQf1P76 hy6IQysIPH08S8MC3zhMhVJ8ht2GCBZvfnM7JCFfkNbWId8FiRYHpm2sHVu+VWQlsTfBZ/7uZ7sZ 14VyAYcijEpaj3WeyYnQo29wfVWbthgz+58cMUJ+ARTD3L1Rwf/9xDKp3FNnYi6nNibY/uk93jSz BAO7uq6TymsMEzlbY63p15+MTDyUilBlfNieBQsLt4vnS2ie/mkFPqHpwS0A3zVBXEFCWkfEDjrm BRUTWn0mf0pKoEF/BVpGgVeDriuY5ovMXGXSt71fU1YgvaocLMiQaS6lB8dzb1J8G+jF6QTExici +f0b9xy5npN4q/iayc6gq+c6Ivx5E9QwYOPzFyXMSGfMsqjTSgf6wd/pavuc3WpbX5NqcDhIzCg+ Lk7OhKBsUJrSIrPc5PwrQLY9SAIy0b3QQtpTbFSXgLE8gy0myLppWr0OsGO4nwRSglvtso7Jl4Ek E5mIKDDSStI0EAJz3EH2x+vAIGFnlisN8yOkMzZj0CnDs8w+jLozbBAVzS2TzxEewZA+NRTuvfJv P+fkO+WDz3jUo54Zjmy0Ej8B34EOOYDg4gxRMtDgQ6pb3S6XhmJBJehrfngZ/NIeJfIktyWwj70z nPAE7BkpM9ktVfNrbC8OgGSmoOfYixB4F08q8/RtCnSSLxnqRkUFd74B+25uCT/SdZ/fQy1/HQWa eHlHA7yCtK8CRtJVzL0k7jVqXFOR2iHgqobqrG17LsuLTkZ94QfofwSdSLa8zUKZS8IkUNqyW2UR wvD0dpsrLCipu0dz72C3U2vzMvWZAES4zvbUClZgUUsxoi1nXMupJcI49fi1s+kEZ8RtX8xX72ay +7OlezcAjf/TPwfxjkTcCj6ZGNk5I47DLUB40LlExq6CmEKnkbhue50X5Z0yPFUfz2j+2UvTMlb+ A7ERuBMV6L1ITf010xIbmmI9Dlc0TYEZ6MmOgpuAhB59cMK2wwUcEZABiNINPDRuRlxRCGmJiJRi 3KSlw+TwePSWt1EShfxZDZs9Px8QPeW48ob1Yu7sNdoLjwUtcjJF2Tcc/i5xBttlx8fAd7ifEbmh VB9zwSWEv2Yuno6+1k0wl43R4bVP1WlGw/ctN71ygpFxYLKyipMvWBxbp2/N65JTUoObB23/oDa2 Sg8reB7N5xuLMebS6YmCPVfjE/wXZYV+52xAME14l5GdAAi4dVnhixfSHgiwEun6+r584kmM2bW1 nirs7gxFRnjneISaqwqKtutJrONhawuBK++XfzDNAlhT+ff1JupCs+7215VH/autp//HgOiZfK1V kjLMYBZwGmE7dgAfx6711LpoYgA4jahA11DrrP4t30vAfYOK4vQxbwCMUGF24ADiP3lsRHvrdneZ ioypxJKeUWoS3Lazd3YtRV3jzZ3mhR3vA1mRiAEMAlEOdp+8lBXJ98BOORr1PD9BeD6ovwoZsQW1 HyA4+FGRjVBXRiNEDRK2FYqgzcTgNB7CaHIxBnfTHWp0FvgvCNpCZFVobh5Dlu8L1nld1ab0yOmU xbtoMbzmSvUukILLtsPIj+xuWhDkXc8l76QCgkJEce91+JAQuhE3wHEkvgTFnJ3WNGq3y+4o4Rry dpVsTQh9kitEJRnIL6rzlx38gEgaJLCHipLhSnYrbQBBJhr7MpFqlfh3Y0mOHtLBooqCty4i8gTX F01vJYC3+I+S1sdrXfP0OmzTwq+n0sogAy2sxLDqSB73yujMFgTJBCAQtPgTtrtdFWLAWNp6DC0i epa2uaueGBY4caddG6fLQLteN15dDocSFl4J3D6KdQFqyzChh1v6sQlf/72VK8HnQ/YMOiFXFbMY QFbUUNjrOpRRpfzAO9+UCLy033EPE00iqA6S9fIR06caMe+lz2zED2dTdN8r8km48khyHoUd66MF 1vqquFwN+CjHygHHOB5oUVqPUgxT6alYwbuTxBT1BFXGZ4fD2Ws7r8w4bfk5xdCk+2uKv3C1k9Z8 zhChOoKkw1KE8/gGoNMMI5ByUquQeRj6tm7b/vGMfhxwhEilTAt2Qzt1gB3TgBC3Wn38LdBDTNnF 2Lw+ZHvhLWsBWm1H1FmEKh+xS0idFPWXhnhdKfUSORK+USKaELwuWxy667XGjz3h0rFsoqx9Xbht 7cQoVSFEnRZsHJ/yaZ/HFxqG/nATU9Sdyk+e15vTV3dtONtRMN+jZ10CXD3Tslz4/0Be74YSHcsa r3Tn2zx1t8aRiflUV1kMoD1/D6ERS8qljRb4rGp+Uc8Qmbv4nj+/dwqC7Qz1NL+aOb4vyB29kJkK KaKbtSfB2zMp5vj5pe40hqxxPhiLn1HKJViRftab8eCmlTV8m7ra1oHXP/FjyA2s7fz7EXZlwMsb J8vW2V80OxVdIStZTIRI8zi01wbCSDGi5aUJO945p5Fntlz11zCwx0oIW414nEV2S34HW8OH3qO1 2u4u3uZ6voxAa0eui5FacmrtgjWvDw1Uo52+NTwKA2gRsQ02cy5kw0HoivIi8Q/OJAnIMWvOmtY9 lCefKGF5ToSl7oOwYGLLL7BezHIB3VtlTQhePOTOfuj3B6XqX+qK9CeNkh0q6kjHrrJ4tIVHCNpa q1Hy0oLU72p9XOPViT7XfHNxFsvtkVZw3sy1+M96xUMSfPLjOlycY7rZADhTh4DU8/iMiu1za94o qeQ198cwXtrAycuBiYYkcsMtEXKUSCImuztHRRxGffZWOHYkumQqFZcbIEE4Bbz+TA3Ofy4VNCHJ ZqS9prx1QH10YfU2souyGsFf8pHvfs3IcXVp8ci75SDRWTtKPGqc+n0ZDBB7mW+6CGi8oZ5I6Pon rI9MJPE5SAGdsVY8l5+IAXw8xigpQhqL6VTYSjogWU7HwiOcNUkRZsvEAuJ985VMNDz1+l7xDrAW w852vGPtQzFIq3Vym2TUCWFo2N0b9F2x+ygyrsEfcXxpXOBCct8lAvmp4Wjo9S5BHEkLfrnxr/Zc su3LqIVc/fHigB65lx3QCqZgUVeoJIob1sHwO9z0A8y6sHcPmHckxw9YztJ+v3VYQ6NByWkeWrWc 3GgfAG7wEbgIRRirgfgyTWg9aQnGfUipnOKA29I7ff/UgqmWyOt1cLxYDrX0y7Jm8ikkmUIy772b 1VPoYRvHm/zvgoXie4sViVda0/juDef6ZMBuSC2HS/clgPekmFQapZpgEuILBbu1ufxkUIu1ATkq 9oZME1h/+mdiR4JWMLcMImMnSDEjH4gdNSmUcJLeN7/HvphPAHSXCpX5zaUhkD63YTGYJDFzqr87 qMam3UzWSqN/6Y0GZmGDqiS/XU0E5ExxEyWshZav9Sh3exFRgR9JNbYB49/pF2OaBssMynBe4N5o qe3X9XEwtdVr3dzztprgNkg3EAAff3ZHLmd9dOoK9yGVAltAqQRngK6sC8b1Z/UrZkDEaZ+IlZ89 7bN9SDwrOUvzl8p2tWxQrlqbtx/+oZDtULINSYPcPfu7ubExYImEhlE5XINQWISpgBhPSDWaL+uZ RkGXGENgKXrmcjwGvFqGEwi8NvfrkfmBtbXMg1ZhEsh7/H+eaSth7XTSeh6WbCtVqRMbMv+WyKX/ JculHutKwUs7bq+DHKHejRC79ymOEd9Alqi52GdW7Og8sg/mqBXYdDx3g0XG0PGwAt5BjTs9M81S kXOgirPzihwIVSTmCzqhxwFjv9oLxjTEXUjoSHDJSwwLRnS9/zLKK1tmQv/2Du8IlhOLPsDWLcem zXwyBZf+Fus+FnFy8ww8fhu7eo2vFG5DJdT73igNWouWz9uKg2s6K/3pCH5rS31g4CnZpWvbU6h6 nUY5xI2PABwjxwATdMGHuNnPX1SQ7iQ6v1RfgYTPn0qZSWJFQrcDcux2hvxWLOGCETpOXmb3X8sk i0CLk8DyCNP3QO7aXOrsn1aY6SoeRn20Simye14nfK5wOvTNw/ejrS2BcAT/g1zL+KS3K0V0GryJ 8WOr6LlGHEU2aVNmV+7Xp+2MG3VglwqsqbUjC+eWqs2P4fFNvDgwZ722ZWQWhSqtX0k2VoArx5WR C2/iQYCd9JG27AjYtVAYUdNNj3gfT8XopdI5X88/FOlaB4TMhOu/xNKte9u1wo03DmokALKtftI8 1UYfsG6MFJdkPr9YGe4L+s+KOTt1eVmyDrvC3ptnet8sOlcy+B01fMf9m9VqQp9UeGJiai43EoNm vaQQRl4MagAKTWlR/EqRwvKSrvczqtUxjGNTqmEKv7dH4xgVJhOlM0Mxx5YFPO3MWmrYPLGJWqi2 91AlJNyrRUmleiod/w8OqOWyIRBgqGkvNY/g//LidiK+i//Eyc+wRy9ybT17hBRk8YtRJdbccIlb 0LPGAB9uK3+2XAPcxrIT+sEWI29nbm70WefyL0HVvJzjCtkkItg2wTLfE81MymN2sGOV+9iJhEFI jhVjFcHPlXWkg7MFpIFhfCZVq5Gax8UWFqlxY6vveD+hd+zJrGMlkpp7MkZDspcMZj2W1keNOqup q7mm38S471c/u/RAuHC1pMIqPJu4E71Ek2KKf0Qe3Fx9SbLcF4dS/95K2UFWGHMVBBybGuYrM2N2 a6JTggS6E+HN97LAkBXx4mh+9eKuiZOuUlWGijPXYOZ/Z8Nk5wi5wUJosNDsQOBIlG9PEVrGsDvN ZK1J5Tpyg25/MeRO0YNTT7TCL1Xuqyt1lrwMAyiBXd+71eFtEkEJ/7XI/Tq+nQz3b+g3sYJGWmUm T7GQlRM0EGUIawbvAp2RkCs4UJJ+e0jt2kavIkPGDVOask0gD4VMsGxkGVs3pg8axYnF6dbsD34O qCbv1qj82k8CQzBP9jpPpJ32KiTFFjZvg/VuO+PYikhD8FxepKF/vMWZ+FDT1yk/cUljz3N9p0EH vnVhU4zLnNapvA4EXL3w/zV13fRRczlQKDwW6CHdJuf23S20E5gPoMYofhV0j3MRpR0jXRfbE6As nVE3Gp1ahXgi3yDJNslF5VfOeE+VPPjitOj9UdbiO5JGI2rmLcS1zL198ljfHMbdspeWrjxXrxPF IN7QlpVJmoQ31XKrn6ccwoKaUIfOGgT/X2QuNEkpCWZfadUW6o7dKyy2cUcqKNAh5Od9o+0GXeVK Q8BRgsYMDrPZB51wG6e9sMautrmdGpv9NPG3YnFEhYvxhoob3XqzoiivRJ8f6P9Ebo5SpfPaAQUi v4fHOPa+fQaUog5Hv1cs/pAapHgEcd0GUcEmGzM+EnAq69KlOc6Hhnsa1tLip06rMTMe7w0GcOuU HifKj1WJ/xOeYyxLNdi8M9G3tuf/EIl+LifmJ76v9iBEZJd+nA4SHI4cqZr6DQb32yi0THjjEs7W W8HggCV6LCFnSMGs5yaEDfgc/qHZwgmu/ZOwdqcjQczqE9CFMfzH1VmR11K/GIV4h+tOMMf0LRAs e1aIyLMzEFjE0SsFWQWlAcp5t/ltyZd/xI5I/m9lIE0tHiEQqIbYU1yMnXcQeYeuv5fU0BfP4+QJ NLX73d15U94ITx/w2ebat86unLjL/uUq5mGm6fmM4tQQMEjgxHJ8So7EfHUiIpqUuuhxFtS7h5yE seygwx9StU6MEJ5lIVsyyo98rWIA2GuUPegHURr2Gjy+FdORkhS6O6P54k0FXCRc71KpeHY3vVMY luE303YTeaspNAkUNilV6oT34xMJ1DaLOXNzF+kVG1dT4DXlE0vR9w6TePXr9+pSMD6NBZcAPJx+ EZX1vC5uW5IbxJXNe9LdrpV8oUht3TiQPeRWK/fqweTeHxGq50d+yEhI2llmr0pDSeyUF73er4zC MUHehynQuVHv3LulbZlVaETAwlpqJbdY14IR2nMb0yJdt3uwNLf/WLTgGZKmPeWOPakobScPVQ8H Ab7sJczdyp85hRpLQH0q351+pXVttx8/YnZuAZ7zWvd+vqQG1gLpTyce4+WC8P8dkU7y/RKEuuQz G5SfueivJLKmB3YQNnp9cQZ4FPlUTrLFb/Vn/e+bYTIcrAhjmwfFyVgj04VUqVGFT7v2gRS3KR7x pdy6Fqgz5b3v+9si6PbA35t0hOvWDvGfgE+OVkRE+rWRrsehXQTyfJCY+9Fx4FUyG/MIJMObwqQE ZatlipC0tdXkSrRWLTgpgh27G4pM2C2C6lieN5ww8hL4qCSnwDPDg8TX92JoEg+HwG+uBO3lLPz3 5I/VnSThjEcbeqW6ZEj2iR6HqWz/edhWcqkxH1FLBnOhnlG3ldWAjBqcyqIE3lZgA2u9TmFYeIg2 6riwb63NYcpcZtwh6wpwDTzT3zpRdRqqS8Jr92bIWu5C+is+is0RsGWiMSDI6F45L6hDLiwY4B+e uNK6PjtZAd0g6QKGxEub2yENOrHdqybXsVTgmvUABBGzJ/qGdtI9br7ozMBHm3Twl+BtgtAylE/d YOW18swfHH40xm3KVb27Sc5CatZw8jvXFO1W14Wm7G1nUVPmQdGVdRO9a24XCe0L975icLwoduUm 37dxx1ByAoU7arK6bAmpIGK5HAJYmdNWUNSGM44dlWRHkgr0IWUdk9lNvv1SBiuk8vrD4dmBvceS tSZNe2EVxJxh7BfYRDRQd3BBSwFZUUawSiD856Hxl3WEcvSjJ83R63HjZ7AokGWd2nyT9/I/aix+ EDyDwnOapMGwVnCtVqTEcNAU8Ctsi4NzgmzMeGjdBPS7vYIlRzkLpGlauDMNxEdVjBacf3R+cGQL DwX3nlzil+SPN6XF5/1MJCupGWTuDukxja5xj+7NAlIryAVA2or0gUk86NfgsSQJJbUN5JtD7h37 CaD4Yj0mEYfuE7DKyj7WhcQl4qkZAcxUVqz5Cnz+T5+dXYlsfAS+M5qrrPT18xfu8z9wwP5ZFxL+ +wc/pkVZbz0pAhyOkA4iSPBVEZyw9Yynt7hktHxo9ZTDIl8kUZ+itIolSJ1fpstE4vjjH2VGtkc2 1/1vTPixXrIrd4b8UZmcUMSrMuDOCXZhXZgqS8U1xxjnJ7KdovqwlEU1+1NtNqBsjTLdrEFrR7FZ 14rmbW0xjcF4+XZPWhPeRastTs0mnayL4dLRs4Fc3BLtOl8nSMpipWnbzcI8luj/J98lwwEro/6y FHv+1x0TL07mQvZ7UBtHTuPg/SHK71WKLlIJsQEErCP9nvpMnxoyQP+fdjzYrePrB293KBHVMOsV jAWGLHJChwX7EI5WTagHQohqu69+E3vpBxBJyEAuo1DgcziZgl27+6amJWpCs9UfljmuYfuuo3IV e3arHxKRONqArhbIo4vNrLbl+J803Az9CjH//Y+avuiHmOu/v9LssuFPtKMb46X1QEy8voovfBsZ 48fMVhfxUDAbGTBhh7YprjWXNXswE99Dsp8RdSOgJl3Dc3zpF8OEqSAV7yIYguGUSSXqNIgm9J6K 06jflQfa8oQKkOYNEGNg+BWcfDDouEeKHmnq17148BPlZEL+b2OGrEkqgWFQ+hH35FKqtznIupG3 bW7UELoeYu2KBsBinnbUH1HRtCi4dhAW6uXOUWZWubNrY+5MrxxdXNOfY//bQ10KSVGyD7vb0Svv eHTlk+M+lZ04BAiaJohAB8wrXvB1PrMHqlvi1bPrgyki+Fz/3KT8xS0UMxNZ/LYxv8zeACfV1bYB Bjthi12XWp/R1OpnJfySATOm82waL9WLmG9hJuH9KEHSK/4wrBs5c2Mm8YuAZ8oC8qqlbG/og0Id OLg3mgL8EU+k4SwJBgEtkIt13wboxXUkyvkrNJ5AFqSKD/IMpjEqzRtIP+get6MeVd/BrEatQUnD STlipeOmM4qQUaZPH3SUfr9dgjhlHcxlendjRK24a44gLj+cQhlDL5U4Z3zjVvYG2XF4KEUExJiI 1fQ6BXdS2g0f3ECg6JppVUq68/GcXYzIzuY5SRcahPhICjr12EhSLPKXbjWnkQdhMNPUH7vWkVWX +r2k+h0vMkheMATLmN/GNG58xlHY8/rq6FjBhelI+Lld+EB2QtrUvjwA9YrwhBlZ/cwm5/KX2c01 FSWFuxk/DIUMp/ubl/5uJcXHcb97lLu/WnwxKTVOyQVrWO6om4GuIXgTrmvktp5smDUZru6f36dW Fg8QpQ7muKfrO8ks+/xLJLh9/OhnyCh4MSzzlMSRc/yGeKdpJkcVwuPuhYvOzmEGITPu6Wj4EjmT 9Gk5jPHOG8iuUaj+TN1Wp+3qhWlWooksuZa4qKRBlUFFwIcsa4VWPBcon+p0B7+zp0/QV4A72MK9 uR2gleabXPHdB7ZVWvaUTW8uykIvRIYPoSh995WX2VRzICIqU9oK5Hj3t5CWdx6hOA1aZml2Tnfv uvySdvRhTqaEzB04OUNcKJgYwVSoA+77UUAeVI0V4vLpLNsm+T8ia10NeHQ5JM+pDYZGcE76HKth VF3l6oQGSt1fk6wueWo51jS+xdwczfyBUhuyrllHodpOUm4e6yBRjTcHbejKoiVIC9T+5E/U4cEI lA8qKqLPCHHkgnH7nGHVe2+Wrp+oXbix+z3J+aZXBypGXQFkW7ClhgfQ7KfcCNhshssKSXH5Nt2B GL61Uy439KMGqFpF09tql7bMQgO/i9twTjA9j/76qOBIv+MiTsio5GiIFSBG6xhFmb7tEn5Cr3F4 70oJaCbjtdROK6L8jPr231HZP4SkTCE3PEp4MVuhnwTl39V3r8pg4m3tBTDmEglFgX1h3YPYp5Pd aswJwonqZwSklSWgFFtHzWrdODEDSxLkUrIGk8mopRnJX4Ob3AP+qLF25J7bWt3aCmbX/ConPWVw k0myBGLDhHCDvnVdZImxJYYlj1HRwaoeSDqYSuqtb7rD785dxpqPGSoR2vyFtx98SYOoXQ6inBLw cKpjgypPvGvQqN2QtuiN8yBmbTNDIszeOShMdNb8X4aeka1HvSDP0lXSWirHCwv1W4oSEmWfIftF Aqk8gohinD0/46/lKAr7d1Szh9fK7TL6cx089JNcK+PuhKp8Kf25M/62biDgjvAnm4bGPGxE4QVI kEfg67I8T+ZlL8K1EcOJ7TeHeFVOsLvcO/W/3046FDJ5WCrH2jwnUgYQnwU5BAPUKgVbwHx8G1+g dq7fQpApmEBVIXZp76aVxiH6fjzYF919bANnxesnhwn1iowdKjbWyftxkur2XtevDIvphRwBiem9 VGxlGbuZ+dK7lR3KN/tatiZEA/dj4xvnXELXLax4c4BCFHLtoQsyjKEK2Lud2Zwtuicux8r1qGxv tGjstxD02zgsxOCXSiC+4TDYKtYdq3iNaMD16txuVHo9ElNweVsdnWxe15zyL3444HBI2zMLnjdA 5hOGjKhB8bqHsZ2jNoa/rNbwUVfNcpVpAmcSn/3yGV+xTLsx3jTB4FQSdytAawTRM3iOMiUCgOGE +wtxz6oBv8cTijFUchHDchPcVCHMjaEHdo7QXeVFm4yPsvCTnL8BEB8Slf/uqiWGrufejW78FyMH b/oDE1QUgbZQxrPiH4K5MzuLET2ZVB/eTTRNZU8REcEK3lfpvMlG/u7yObMncHcEpTchj2I6pay9 NujVRPmi0q1SXckuLoM923RgsghIBYKfmkLmaEnVVG3DTrkgaOJVKhsuVmWAak0dmoIUzlF5R1UM /jEfOkwROXUpr9knHdESdbFtNobV3pDpU/IiTxS5LSv7d54+rrEzW3OjtgUNvJDuACSijOs8fK0V pbZj47YSy05GtLLC5eEDjEBymuave0Y1iNtswS7vKDj3h9axEGS6J1ablLmUi0fEAvuL01nMss+/ a5WAA4M8KsihuMtXjFsVLAw5uDjsUEJlxfgqaKq9MnTmMvaMCvyWwSUIF5NtlyQOMbI3ok15+r+o PnWm7z0MXs73g3ubx3rNNm703DAAnc178/CaTAwMlUm5GALZ0n9XqPMuNVqM2IEct9rI5uQhnYTv x+vWAroA8ahySy0qh40l2XhTg7HRcM3+BioYZuvqQkcyIXHyLNRKiH3zPhRLOUFoDG9rEQeXtRWP qZ1MvYZ2vTbPmIojDYdWZjlL/lf/Mx+qsGoKYWnDOgBzVKdJAJfPXl8ggT6XwhyD8SOvgQBNIyMQ JYBOpUyjnLXWIO0JsQ3PETf1B9nnYSlHtzC9lawPXyhO4pWLq//nRFVxm2Ow3OStXxjpVLq1fEGB 5Lqn+YVg76xSTfwvo2S4Wq6hOak+cPs+cTdwHNH7v7sw6ZCe5hGtTUEXlvx166/EofhWLwyrlkJZ crvp9vpFzqZm9Owizf7nM76UJpw6bxgZhQH6TzicJQkoz5OqDynoZPltXteyT1ipzCV3qPNzSEqM kx1zzU8eM5qa/q9XdMbpfiJKeTLQbCqDGcWPyKSVhfNlDnW0QQxYGVijgdOm7+5mxk/tBbBgWJSg mfRWttef+QA3xKhmsgyYh6hsfgjqK/yHUenAX7lsMmoQ3e1tU1WuztoTmOD9Tf7rpLvNP+Z4hmxk w65FFccy6lbSds+ZS1Nh6rgZ8SpJwB+5WdEmVDd0o4AseGobVuOXykLohzFvGNeN+NCnVdx4C8cX Eb3UXT4GguKZG+vptpJ5FyjFPAI6/7LbmEsqi8jHNm+Os/y4sKqJR47tT564NhEXrBDMpkQQifU1 /YHXusKAm0cx7Tujfu2HazTV+khN86yexlZZhiraPuB18e2ZG2ti6ayOmrNtXzbaYgDbpT8DaXSH 3dIbJSm4INDl2UicBTFj+KIiXNrpNBI4itfBfXVBahL3itl7XO0WKvXKl2lHkNJavJXr/3r+BO2K uboa9/Bz8NeK6J/jAulMKd9thFExQKVXi5o3c/avmm3rzbBtCWbgu2SVMi8DTebTNpsoKnsKJmR/ hg4MKWzah5kmzn0mooL9NHMo7qeTHoJsqOnMZk/tLUdlYjqMDV5TqUgp/GMT2IwGOIj36xlhyacZ dWtDyhJvXvhmbTDdHKDuNBZgcaNkKeXODzz2hA8Ef+LPuy9sNyYBqPrZhjiokQzlySeyt2Uzq5rt Xz6OPJOigHS+ZfWHwdGbBq7fZvABTSkzoyY63P0OlRummHbxS0rWqIGYKgBrMxyTHk3qMWWIj76I e1y8gFze3aPIY1JfEa1hVGWXzPQ+6A95FnfLLXsVMAmT1baDl139q0lvMrpRrWjOHliUOdo1EAcj fUQ+EjA= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0_pkg.vhd
19
129958
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XoDvqNcsUAMVrCepxGZ+692mBkX+rCE8HMYzKPm5R78cJ+RMc0dkNWWZsdClXOY6y1T5UuLnfOdJ 4pIk+MIfbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GQd6VykDj7htiYnOl+4WVHQM4hKgz1J8Md5aI6kr8/Lamm+PnYCv/9ATHhzH1x3ZwU/+Hk75nShM Z/fTah2o7SNlXBmxO/TZV+Cu1NdyZPM9aMjSfxhjbc4DdKhbt2eR/JXlXgPN+qqN+l8aDRz6dW1r rhTiAjUos5V3YtoS0kE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1H8fvXKZG1QF+UJtGmRK0CnD8bm/+01l6RcgU14qYFFE8GVuJpGQyW5h972p3ANLjy1WRtjYQ4xM /dkbNa4PXjLXaYaHj221vfSd3lB0MAvfi3uUVJSvclNp9cIhjsynHt6eX7sY3mGpxNDMKipfks7Y 7QsvE6SpbzMkIaxn/W/Og06vrJaRobnXPbk5O8bulSLgRIfqtOFawh2LDbI1+cySFds9EMjhPXGY R3cSwZrw9voRIz0AJIAvvOBrLoxc5eVp/j0gskNHjRbPo5Gkm/B0oz1Ia6kiZiwtS5XXf5fYsvSq 8ip/JtlfeTs2FRpXweWaPr5rFOg0LxkGg0mLCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7F7hPxr1ObCyOsY3iC3Phcz4OOcedLcCp9ggSn92l+/8vc/8WokvA1XgYsChaRHJl3lXf2X6jfk OU2I7E3QgZVgyd5+syjWVqouw27C41FFBeCuGD1GtzyBYnFEqdtK4Wi9fPab76EJM+QSrUTFTxOM vNsxaERzJOCdVgQoGH4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DAf8RFZxkL4Com/8UijiDJflLxIdfhDldD1zcH1XeixMo5g8/n+Yg5p6ecx6wthzScLrbvkfxjSo INrqjZhuOy8JD1hgSySspkuAnlB/pYzsB41QYrTQXDdhODLQLAYA4QNlYnc0Hld5QRA0QsNa7b9I jitn7EoP2gA5KtAm5w8Y3SJ5GziR/wWC7+Oq7vo7hHrOsipiX4kUa9vhXNaEzGvrcPOJN0YgaqRR HJt/OxiJdqU+tEWkUefOFMVnQWevf91iZ/Fb0oG88z41wfeJt8eTwCR6ZrUTPInU5uj9Frdns/GT RmMrsalABVuwLraRXdip/IKnMD1dw9K3eH9MHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464) `protect data_block 2zuRYwXehK60EbfEjXk/IhjjCf4/17ZyaZAWRiuTE1oCJyBty/xOkCTHB/axu9y1I8OgFF+tazm8 TYZ+1tPeQwkx0qOmr9g9EsK07yAt6xPWFIg+3wp9d45WjXCLNeork3yifH/LMoasGCff9PPXNPyu 9h9BSUInZi3U/O3l2rmslZIPRxyKStDEVNLGg/uB/btoZ7tXthYwWCDzXZLQFEry6NOPtaTU3CNe 7N0nLHfnLofaZq4U5EIjvRJFvAoG9Ehw1CQoggxd8pDYqri2p6PjdWgfqiLsGhhmrOctkbm1M5h2 tzmnH/APqLYtLT2ucUQWX+9OkAP0VIcbXsxtGZi7xoF0SKw64YtMMjtiVz09zcWH+aPXm3KpUMAC Q6LRYx5BJA2CiukJ2WxHxoDM6l1d1GtsmCoRHVuWmOJAOarfIZStG2yGR0iV1ZfTH4TFM0FOblIQ iMEBJMy89K/KQRi7xpAAp1qTVHd5wdQSnHRLhy2omhAasEed6+gxvv3fR0NOBr25qiF4RmLNOucT jwSf5nvgBUU8FucsZ31LLFLSUjpX9rKULjDtMqFAVm7UQkeuK5OvYWG9Yu5ETOb5BaYnTSlt74jD kan5A75GmiroqxWo/6FnqkbkdWEj36C7zC7LeEe6cQdD1lThID1TyGxICHw04Iv3xvZe3NurnomJ U1v3oMPBdJtcz8P/KHcqMKkWFkM6Ytxe4mvOPp91LnFUcfHyWmpQIJIqjBtmusRoPkz+3HyNSXSw EoeI/w2+UWJlFEn7tGcJgnAAvyBnsG4Nmtfdg2wSl7aq6OMeihlYVQqWkhs13gaO8m+1MH74+7fF 6W7W9joBgl143aqdEGA8dfGYt47KcYMULfS5wXyZvnGVaIDIPi6QfewcSoOAZMZfR8GA3paxiO7B USpGvTPsRVqk50dB1NY9dCn/AAGO8/9vP3OXhqwCMAQg6nFSKe5QUs3zk/nqAYqlQvZzbkzBDtzP DZHL5t3pMPelrLJodn5TapGvFQsCCuKzJYDLlA1IiQT+vqsKdxBXHk+P2Ndbn68DX2v32ZAYpLFr OB8/GmbA9gLZxEgwqdjZZyBSrgYZqehuGtIT55JApWtM4DBjDStAPAh/1XloJZyJxgGni9jjyIYk ufRZ18YIa7jl1Iuae6tHtm2qJcqgOHiY4mQTy9F8Kc/NUwikgwfXWUhX4dKHtDveA+IheKrWw7pV 5fHnh756126hEuUjSbJNjaPzOVbQcDaHaOjq+1g7D9tQns8DEZKfzuycFZUXWQpS1CWlmByDMy41 0tVDDSFF+/JxvLTe2/XNdZ0SWJX+IEljOE70EBX0zH6k5TtQe1+yhtutbzeK9L65tzG/87FE+gsO fMamqx7tgdB731Uc8rGUFxxVO+xMOyHSs/bILT/cQKwkwKJv08S7croMBvJ8J4ZZwWmqkq9apfiN XG18gN9n/BP2oqX+hWYCwxs4l78MPj2PDkYraAFFtxiXK7YeCAcqLPiBzODEyXx/GcNQADroErHe ayLAi9ZmL6llqFham6vLHil9A/sc9pEL4VhyE/9QnKHw+OjXJHvVQJETHdYcA6cE7GAECy8Q7XvG M+kkX+fvdihehS8/GmAju9kWLVNJDqHq5Ca1tqj29kSuyoElS/cgkJXOoBydKFpkUnwhywdrC1mh b2R2gEEkEq9NF7g9+RN/rD1k+RHmp/T8BS8JHkOHfA+FI3sVzdmhdVgs4XFmwDuaKNWI9iZKmB4k H6kXM6qw7LB6m1u3gJrGtqlfHFt/jYW0YUvgmR3f8BC6xGzfa+ZweFNLLyFEnhLdwbxRvQ44xxhP qDfOzb9u9Q7/CVYts2TBpNLxgLVf7nVEtl4mY+v188Eyh7wILCnyPFVGPpvyPHKVKVKcc9UDnFhe hi/eP/JMVebwtZgHYJSYinQg5VhmZuuByg4MoXN/vof5NCJzTywJscnFFxObgvZUFrqT77kgDp71 0mKIM5uNif+r3xqld4QxP2QiFAVsqGUD8sOTFqHoMKINZsbu6Y0i1p4mnEp2eVhG1ix1Wg4Aak0S TEmPvqXZ4xowHL2y3IxoRbazfSyZ4nrWGBtSOtI9weB8f3OMDipGZvNMs2OrsOt2ITblcA0LVCXj tpnHAeRj/Xl1QTdfo8/9cPPD3cpMll1VBBXpZ3QKvPjg3RJmh6Yg0AeTU7XpchKJcO/Zg2q0bicO p69H3j3uV5l8F7npFlytYa+t8fko16YGB73CMf5SZd7mt6HQQ8zot2c+e5mR8OlvhGBK8+fHlEEb ccF6EsrT7yxfEcDuYT0IFWnh9XTU27TTJp4Zyo9KzMXTcJ2sQnJFK5KlqCTs0xkMJq4X5KK1+Y92 MQgebXiu51CiXRIWmkhAmQvkdqOZWpERRxnaWb/uMNzCvzB40tF45uZTc9K5SM9qmqXfQUM2jyeD McTsKc64wSEwQjKB4AAs1RpoxrjYyDpM662wlNEi2dPqt/CCrC8qET6Z7S2sjL007+U5P/YCe3rf dI1EiiaikxxR2QDbALlck8cdppXzJseqExmA8N/YPeDmqyNTHNsiMBOAxHZKwO5+jEJwucan6bOd +YF1eeIDlng+oGc7Xl7lKKI1Yvry1Jg1oW/TeDlA3JJUjLt4hzqzntBUraxIkDji6dZDzI9aeb/l AJWGrZlus7rbZsDMPKndWGfMetWoUw572z22JYldcy7EZ5aw5k2oPm+ukkf0MOrBKCaqITDMAKA1 8k6CvgCLGyrnhlOyYOTnlZmgR+0IlZ93lJIRfu1/Nt8EzJLvJQ80WYHgtUnyX9oI3Iq4uTDUdQ3y lammhmnZQ5wiY7JY1vXpoOl9hHd+WjSAyNgYibOjjWGeKLhRxUvZ6GqGLT+esPnlLE8/qT4jYwcH BbwzvAe3F47wrlYOZKwf9HlAwc4JYi2B4tFSjEQSw2eRyQ0BT29MDAUDV6ezTBwaeKd+OKCszVnA moJsZmn/+hl0zJzGOkcBUUW84MOY9Db7dcv53FnDG84eQF3TQ0BB447DBDicQ+2zqqPqTF0VNU/s 0dJSd7ig+nLecKNy8HFEYO5QuO9NrgQoJx20CWGu1VNJVXYs9TnUbY2FcJWwj5EIg7B60HBqybBs bIXdKPj0Cv+FnCa/sGOnPMP0C8sb+lN3PPiVWIbHm0pfQXGUmY/eM+YZ1U6848f7wAPPw4F1AHXH LFSO4vVXbZI2NkHTnbz6Sqsiw0yTy3qi/iOby/iOs5AJxMybO0H8BjQLHgPjIAQnVvoQxrFaqR0X Ktw3Y4HQYc7t+ValNNhNED4AYu7KI6R3F3wAjDoFkUMMotoj43oeP0DSfS8vu51hJiUcpSt2bKvo KyRp3Rl7BTUAVfesUADj5AjZJy07ajZaJZ+KL27NM5jd7zPQxfmJdxqdoF7lSyS4Ys+jFBAFTDHY dcGSx+n939P54PgTjVvEiU0IyI/4TmF+j7cW/EriYYugzC3ZblzeIi2RmFcvi8VOgF6iv6298aRX DjDOWaAaKUZiYC1+axs+RFHWwnZC5OkhXDICQdRfmOjT5QU5Y3mQ4LNQ0qjyjf1QpCt1m5Jdj2bP DcZgFIO0hBNTJRXUVyZKKQfqzTAAybaQpPyk77XMdvNEnDiY9QVEKnPN01Bv8rjYCgBUZuC+uIXt vj2UF1MFzZkhvxw9zVBly9gcDmHY3qbLEIOndZ8D9nUOIPv3TXRL65V85TgiLLVLDGl5Fm8nbVGr VpuJE904lijwRm5xOYLa/ZhrOmKkdUXimONBI8LEGyYXR99coeQfKxb0ZUKhc8r6uvxe3BI0E43r fzWwD/wS3RquUDFK/nkDkIbCkPEUp7SX77A93QbUeNJAi1ICxhSVM8lX3ZClLjmqUqTE4GOPZLDT LtewU/YtxYGOCKdQg+ra8St/8QqUU4yttDhJIMZ1Vd5BwV67jmkY1gHi1IqDMnq1fpQWQwIsMuxJ esozj1NG8CBjzxNUFHx6yvPjEppDdp9g8SdFnAZI8QXy19fbknLQavxGKUt6NWapbDwLLeVzfC+J 1PR5ssUUcKWfYYvMfwWflEZxiZczEc+BxzP8MqQGMe8IzG6ACAu1RA55SZAWxdBpjCSwTY1eqGtU XrOsWxrLo3JicpKu+rngOvZSUXWok05jjCKaJYapJxgySNv+KkIisVgYX/c9nFld4wwfLFc7ZFtA 0JXXu+e9x0sMILDMf7PkzELG2e4SzZ+JiZW8sVXf/hZIumgrquvhThHoEdQKaj7bi8BO2clMPJge SJt2jR/rM5WtNPKgAkdq6zKi7MfJi/iGCsa7Yv41TccODQ849zLM+pnLffq6+wgbfM5OxxFa2WLI mKOqBVYBE1dqklP2g7Zk+zqjl/AsdNJSg2bqYUC9bv0cC5SP/4usykdjv4vIAgl88TveiSB/+NAs p2SsPeAcGOSitiBK74jNdB3ZMpBchuQsmn2nJDiOLjSM9iPQmWweBLd9jBHoLjlXwrQ1QNiluiBP m7ZX8HGrf5e5aEW4UV1L5UMVD6X+/P5GmNpPS2qcYb/ItrmLignelDR93+9sl7qP+6XmUf5i/Fpp BMshuQ5ox89mvU9H2AhscAcSYYNOinVysW5hl/n2LiwZr4/RzoIoO9npr1+QzE7sUX7YnFxGcSuh uZcpQ8gRiLJSi45A0jbqZJadaenbhE5OINmjWBEPdB4NA2BcJyRuC1o7NfbBevmiPumv4eo73iko +wWQDJHu1aQ/In4isYNLOnhFB5+0+yaiYd/BsMRgNlM92CfD1WP0d1YxSwUHPb6/JA19jvE0je/X /alRvr0dzhfyA6K9NjkDs/HKM7P4GwzmxBGoqcxhm54bQvqIHUfrkzlf/09gUQTGiZ7Dbcqyg5gK bMkKoTebP5VcAr+cSEFtstQlDipPBb4d27lv+WGAWgCZZgji4G5a2nnLq/ezsK5miza3tYqKSBvB AasYDvXMT1iapO7fOeExkRz0KtGbgrIhFdyPeh1Lrt7uygmt9NGFyMGKCp95JS+dKL2Os55Uv6Nv DdMQNmYNFnJUZXUWM5xsaPfqPKCbtsuulqCNS1e3bCHiSr63tzEaFUMd108nMK0oNNt9NpKbdfEf rStDSj4735V3CYACm/YVh/xLdF/j2aWOGBI4jTBZGZ1gxP9W7Yfa8ih33LeyWYUpVOtrgEOgyHwD dNkY87iUqM8ct0NENcTPWWBIwxxLmHQM2+XPrDGjggMiKRnR29gKoG7Ky6gjU9DFolmuvgsqihOU xz+zALR/ZIrzKeRJHUIr8Q7R0lA1adJtXeqw21czPcMkQxFq5+0AZGis548WNatpO79egOHb8+Va 3+p3DcPOpqSavfIjYZIu1+VNU/xiQQbrrJq8Rjft/YpLNqLghNsG1neSc88SGYcelqOD/aco3zgl Y5xpHWVEG5/KHfmvRhePlftXH11Cu9S1ft7KNuk5wAHrhKdSHv/8+KpuYCZ3E5vCo+z4eYcti5Ki l8Bnj+WzjCrdDqEPlISkxKBD6q7GqJUuHvA4BfZO/26y2Xe3josEXhfJrglqLWGLPKWe84ONmUIT dCi15+7Lx0YSxnoC3wRmxbDFdTBcguBGkQ5QF3OAk9wagg73Ab60OyHVJMk89w2LAfM4c//DbGsm cXJQ3BQIYUlPKsPWB1vyuxFS08U2Dzhy8VEfsKAb2NJF8ejVpgGFsAUIte52JXjKheLEPy20D6tB HfdIJVyXO2Ok4onvIHAKkjWPNlwxRbruUTWn0CpPIOeNR8ev3lFFGFCv+2KN20+ZBVqpAYsP+1id z1I0iBp2tyWmL1uB4442DCaZ6f3EIiotWuQdMcD1lWTyc0mTCY3pVnBxlM2J6LVxvCYPgFivrILg qtHsJIgvyxaq+4hg0TozCEGYPobUKb+TvZNuFdAfnECJDaQ4v/Y2DpOKl6hwIWQ1iv5GuKsKxv2H Qar+j+LINxzEQX5FgCYo9teL6HNLOdvAnMQVfpKlfH5107nBIn8FcQXacACTXlBCPn0AWiqqk1u3 lZu5ePeY7+ecAi84SMLBJXpRwmxgHqoeiGU+j7jY5PNrekqPIZ4loXzAh9ef/wR3MLDT8rfGO4Zj UmEPN+uaQr8SHYmLwyj8WBYgwfjvvBXvalQ/duGG6oH7K25uPA7HoTocMuDSM/JwwUOaWBndc7Kh rYkK4Gv3d1wxFLjEoemjxsnxqCTX3uj2x/NXZcBN3MFGHyoqg2PPdJVSrC7TpfNXr057de499QkS 1QkWgfkvQ6EW97CVgSEBtGepfI+pDrnM4RlaiXbQm4+SlLoR3jaKlT8GvHFfXnpHb3Yox3qO2ynK qFqxo8thSLYQoTIrEwxGn9Pv845tmiawvuigVn8EpiSgt/9CqKYljspX9yiSTeorXet+9+e3vkX7 F4TfyETNXPEk6yfgcNu1g0G6phz6XXSxUnsr+OS1FGo/Ciqf6OMZnL9AUbaKmc7MW+uFLizTaRSj TYIL3WoQpUNyraGAn5YFwWcz5lHUYrDc+RgByGUqgg4e+Eyxpcy0d+Odd8am3oVvcXC15RRYvlfd 9LEinWqsOvKkFqMdpuQdhRdqt41RFpAnCvWiecyeC4DSO5T7FaNZUIxyBLegUPsSgs8/G5Ro/acR 2uJR5IqOYQBUlk9eQA1S+1NvY+UoLmbsYsJlqJIfntj05tJl61qHkF5zQNj/itm/mxp8SeJYQQif QuK6hbr1DniqfP9c7k5iBY2tgkWczSOZC7ZQc3OctYoqEYjB37O041bFziWQZHT2lrdtWuKtY1dF BoMd70epDy7leniNgXxdQS9pZ8SkutDLvi4P3tHleBRr9TG7B5NlSKOjGOIc+0Sxfk+/bXb1Ru9E LkCrNW6k7W8oralnHRXtu2ySUSJNP7vidje50g41LIoZTZEDbc6KaOYlMw/ysfQRosVSItM6ytnd 74mYCU3eOb+MkqxVoCCHN8CxIoqDFT5G8tg7zGIOrBuEMVWDNX1XEMqJjP6N0ReM7GxTnaJWdnUF A7gZmmptTAeV4o8I+VeK+vxJEKHKxOGmGOLSObOQtul9j08oP41Y/4i/f5JnS336x4y8opvH5n/Z U/apKhPZdW4My/wqG/QTnk7GmHiYY8nyKD4a2gJoJxCLEbNPe6WSXsVvr1odZ+18EW67fFCGckaV i1GhyqhC93QDoZ1ndnzbaMTxxzkdM8gOY7xyu5aRGzzNIeBBkc+EF1kZOg9/2U6brxf1YDH4rcfZ +cNDlfUtCjFK0swAG3GAQXlXrgXVxnOuBtK4uB+gz/oRFGyhmNamX7LQoLUC2NKFjlfzzia7fxLA TmB4vQIMu8/2H7LZxtPaE7/WTmwvI/QE03rBvIUvPyQ6LQuGnFuNtQYsLYa1qsdbCFxsNgP6W7lL KAraC/BsH3CN3Z5EfkERwo1T30jUo1rb4zIjpesibKRe6KsskkLLGHyKsxRBPLf3tbvrTT5UqSE0 flhWIVPzevB2XVNuHdtkt1iB3krus7RxcDLLimCI61s9nWdXribl/PKFTcXYLcIeexpm3qxAEL7B f9CiP0j+FuRjiYXX8vXODxrDGRpFYT7n/PMQEgnZ6M7/r9m7QHyIweUwOYSy57XAuCyNygFo5nl/ UDC27OZtEipl2vG5Q1m7J+QDxSZ5QGw68BM4noWvz8Zdn/RjZGhCP3MxTsYBvpl4ipwcCRQoY9js crjcLXiBXoJDCuJS/SRG9p+lpaLOk5FqI8vdPrTLO2Sn9lQhgmijQNzaybOAMtjTf/38tIG6DT8J MoIoBBt1VZrA7HypbgstpUqDNtYWwHVGOfWVKzrTfQ+DV2CbfZ5p26Oyh0lirBQnhxsNyQKvSKnK D+dSIsxH6k9a4ViTiLothfPdq3TegO82HixIE+GUD6avnOm9kvZSLLS/mvDTQj8U4EdEa8MvH1aq Smd88kYXaH7NLkeash7RIQxzjGd3TntXU4MZx8/N2haN6ozL8kwLZ44bnujCYI92o/n/BxC0rDWI IlSj6SVBrGCAZAlmfez8tqwLrCsKCTDglLyminOQX6NaKpglU+YeBnoEcpNA57v7HawGdrmHwvkR 1w+ub/uC1U65uEPl993In1ohAw+8HuCSUBVe7CPjMK0Rb8sAC8n9dwISHNUSmyIapGZmoBQtu0Jn OUgyrhIVaK7SXKalMHUZbakIkfTJQhLnDz+L+CC0EqWaa4f5rNPR4I9YAKgd+k2DtFuaIwTjyOUS FI7vXs0s63CRbExzpVlH4Dp4jTUwBEglxAHY6QXGinC10Oi2RSNp0ImPdjLlTzGsRRvicRXHvXt5 8+my+LNqWrTKoC3q2jWabQQYKmduNdE3n31jGlE0g+hnpF+W1vSOaT1W39reJd/JvvidfQBXwUIh M8khTENOi1MRBYoqSNbCVedR/Z3ek2UyE3iHjruOXrkvaZ35Z5ApXo1T2yljht1Q1/xSjBEszpTJ a9QptXdT4GZ0hO92vfg4/5O68558wyohWDJI4wFHUqhUmLoUGI2nU+OiM5hL2wfZ25awuwheUfgW KvssFipjTryO9yFp7aG8KWMUgxCIy8i+BBgk8/FjLD2mpvIRgRoeJ5MuYcy//2IMeq3KpdowllWg PQxcdZqNxH9JCmPSscZeUICN0PvGii3dh6nyYCaBLGtZmTE0valsRVNFgBwA9FAxV7JyooFo708o Hjk0RX/+KvvQo+ofyFRXCF06xks+HYe756l/QKtimR+mBNNdereH2EWGEp4g8MktnaKDEJ1CNnZH hm7hgfvf/kafTOvrqy4IXtqtVeHQ4MbEh3Q9u7KPK9fmwToVQEn04EawlhQD1DbXCsPbvDP/FQUw v44Iys9kpLDpmS4t8MLE3wGomuvxSDy+hgLvfOCAB1ZEoyYzda4frPKZ2PZjA+rBM86Ug6EqIp1e xZ3nKDleOHgYWviQcMVhQOb/MyRIOeGAUyoIeCQqkQ+kEqIs5TByExWkvH4frOiTVQ8WvyB2zWr5 EunqQs4eW4rF/lCw/cYR7wnHddV+X/ygothNAOk9bvawf0TaSuEHTMqX1snlJmLoCxzYNo3tr40R INCUGe0JCbqpNBeTpzBBuCKDUh2zfvwXUb/QPCvW4F/bO1FvhLqR1ucRd5JcTJBSAE0lPKMRhK2u 9apqmrKWcvbOnN6h8SqNDToybUlpeJ0q2zur8Ty9DYT9vo3M3okY/VuZnB57/FL3biG5Xj0JFooI Korw9+urv8CJw3geoIRXtkVKWh0YlGvKyvD2tVY3LM8d39HxECpFTxL1qJJ3vk+Lhzgswe242Ha2 X8Rk84YYo01Jxg/ybeYAipsFvWUASYGmvvH1f50WyrfXk8n2RfZSXSdb0fHBS6eUaenaqUdi2kzK mg4z0eClTOjILDjTmOuVFJj+lP3e96H8pl1aS7I/jRw1nH73w2Ar2lhaB+es6yNOcvPxpxBuxlIf Q1A1CCYaRxWzOU1Ut+qlwk67NNSxLRhMnQcjXfcLejZsiloemYF5W5j3cVIBjlKsbPRXYGnQ5Gle WCXSHK/gMpROOwx3rRqGkfntzNVZOJcnwN5LO4Ozp9ISqUIBeLBOyayxG10URdOjL4f5i8Z1M28E q6XZHfQigwq9JtFGFY19yPgDQgHBFpOB73c7wdMQ9CUOFJmlVJrDbIZI5D2g4LtRXIgSG1Gnw6vw utAwBgZgzPBQfp7pmE+pRFy22PcwKR9jwbs9LRa2UZCHh3+H/s7liNtCfZujXbmFDyamco+50WDN M+kovf28PXKoUlJqgGcPCorGkLL1TGZoLYIE6OzEY3YJnnK14rstT9FyXjY1V7jKmZwE7Ta7TEck E+J4QmPOT08+M+NY3PLqzTazzhnEjUhtf0FPWdoz9MRm8J7u+aoU+HyoZnMgVg1eV/ts/G9cr4ts RBvBAU2/nUBfnYj/CuZLYhtWZ8Ews9Cye4PUlE812hdxzGEqH45t0k7kZmX3dkCoJJhcGXS/Xja4 MkCrIAVx+0HzKedKS8ZEkuaZevzvFEQo/fwl3R+ThgvPQJU+o9LPy9kCY3ViTpCCN2YjjnNma59W 8ag7MCIe3a/4mZs2xdo3xWKlSWbjII/CBa7owMLM9gyEvqvidKhyr6xaH36QnVax2tXDncxTKQ/G /6+fedy7mMtXnRvMqkeKuTs7KERaFsPiQKYwxL7GZuE8mP7sJwnWbtixyTDq3IhQX6C1vdwReQLF zLQ0crKpAa/FEIx93qf7SyKsYErsUJ6/Bow1rSueSKD8MKIfovXgw4itRfGUyzjPTYdCPnTOG0x2 /I6OKNx/1McihgLHLRf5vC7mI/fjc/lHIrl9/KwCKEYKJLBC5nyrjFJQmSK0knC5mMaQf8Srig9Q Ox3PA7OSK3UcOuqjaUgGWF3YZ72Xy8tE7u4VBgMzA6sid4vgKMVTtUQnoQAYuvSpXVV/Quyyy4O+ +1E6EtbkXlcvUqXI+ymBLhR9yw28D+4V9rkb/dU4Ljk+sAN4BsZaXNXbwVGvkOhwETcNRCajHVd7 y+z5HtBmhfRlzwovIc/6ME6Z5qbwMNdZ9qFGy2UVbox6/NCbPCUgHYSs7FXqtCP+FnGWbUJUCMfZ Bb80vJ4WmcEP0fJk+Deuj+nv6Gq7t9a7w4Q6+IDfyB1RCVmAPNi8XFhLJ048YqXK3Ihf5IWhThfm Rdx+WH4uruKRjGVrKjqit+kR/+EMhi6bNGwLLSk0KwDlwV2ZP4/e+LuXL1apjcW84YgoN6QzreTI 9ByctwyurEWHlVkzYdvlMygo7uu2dtK0Bc6p2kzKLn06aKXhR4drNbCnvyN35pQpRynqCCPrjeaV nh+rsafYkFErfb4oQ7VSu2sQzMTHSdcVUj9rNIiR/ULu8t+YUxSLW3XGLSCRncJeIsP50tn7vZJv xOexJ8472AHUEWcomH+cW2srKUs2pvqcqZmAvtLLcm5oTiWhre7dsOH2udj/EuGeYinr4OjUcL3T HnQCeIK19PUiQdfo5WZcqhb17O28VrpnIMUf/ZEPzuWhRVJ75f7fglzNnzxyg8Ziaj2mmS3x2On3 ybuV2He8T5adoDxBEYQAt+H9qR7ANYWGHkaNMOAo2b5Fnj/838peUm1QaBsnCHcPY3fTCixvWnY+ +iYoXWvHpg+G++4yatHQ0n8hMqJ+P9XxwSy8LTA7HNGngLlipkAi5gAkPzQBNMk5zgfCypKfSkEY i1Uv/xeLODgIAi+5FJq4J3HSUFVPXB80nqw0HRywgykCf6Nd5lsSk5jmCRa3GC6jb65wpqqW+Wpl NatAhGTU//d75cOnSLxdIT+k/JnV/pOo5lC1e1+i4svZWNUMgjtxjMiXF19rQ/907rsTjgfo/LVt /VhTgen2GBNHg/nNpH/ZmEyWl+LYe3ZUqOcmuh8uQNwdH6r1oBlFNmNtP7c5DJKZDOFYnyV1bgs9 gTVGrSXrLTzMSzxssek6sX/jn5lLaAUmZdVg+DrO8KxH3bgkfFg+uI/5KUEL5EDV2EoNjQ2f/qGa xDheXLcCJwB/FZ1xwLMr3qbjMNA0IBLumGNUY1YidnlQC1YiuGXhv8pcMvd7gb61hhXLE9/xl4ND zLurcbIHNwJ6MboYpj9Sg6vjH8oOlJixnOs9ocspLb2p8DjMSZvY0ZL7UpUTLs7TauUfw5Ma7+nY LIh+B/qjUU970z9mxfmi7f5Vc9UPM7nYOm+eg9vdSra8aHQEqPoAEb6784ZtdBnclWRQzePcSoFi e5Zj4n1AGyndL6khPDDUyiAzDtGfuffNWPTjAT4VX30CoZ9rIYhsVnJ19qiRbjMr2C5adUbZwK8p ta6F5FDsG/l/MlOhrogOohYa1NjwGQzgp1Rvxdj3UehDXC5r64PDFjpcQubdCmgXfNYPkBbNVFnY 3pMYaa5rgmD/GSoYYIsd/dnNSh3i1NyIVWrEoNzgq8kUOfcpr3dtU0TQq3on7yVFfXykUVc4DHN/ UyToaHjL8lPRRx7oFvBS0ecJq7c13eTX7GIf9qOaIlztkcTwWWAJ0bdde8sV4fveNho3pyyY0n9l Yd8/9Uw9dzG1xefgaiJ4Ch+geQg4PEdxQXNT57Yxk86S0NvGTWSnKk1yuf+8RHd9AE/d7LWIqQpK uNIGKvDngyW8FR2Vnsy1RaxG98XIO+fv5pI0Jamr/kQH677oJpQmjnWKgTLkaBAf0AriDv8L+u7j Ffbczc5rqV7bGZZxIkQZnVmHLcEPUIoh8Cts03j4VTdIVMGQIwr0eoC3uxrlMlUjGxd/DhPzhBEB A2z4fuTt4yo72QUA84u07JcIEkHzNHLibkhGYI+DLa0Jb8zhigWNC6t9TbRWx0bT/K5Wo3DigFQn OPmjqH8GfxnEBEDDmyg8yBqWzjcewnIE3u6aDgt5ievcvgzMzFGzN0SdbvQGuoFR7t4mfCTyIubT Lw35YIZryPBgZgZd7v14h0v8+A5H94im5+L4VpgnEe/qdwaL9GwRsb3LBhx3FhCNhbYNHSj38i+x ikM2Pn6v1ZkySrDJ/RuM5H6moXAHBbRxhS2bkfaOkxmpcd94msu/jFNxu2estdLrMLgxNSXqbJyz w8hk74VBWmkuk2CTXG5RoaqQqzFWyLPjBQg/7+cSGkPslpIoR8RolZvt0FkvT3QX4F3a3pfYsIJX efy7iXuqsR53FwURr0+P0KXieWFplWGjQXCwzqMxsHTxn8Nm/+tDfInJ4+ThQ+LMyZfbkQx1Vz6/ FuWqRFXQ6iWmvlf3gsz2S42Bwac4Iy9zfTSArXKUoLVd4d//Y0EBAaktzLhnwTZbbDFX1JTrOiSc QjnTfzmBzm3KnPA3VBCJPHgeXVTpuRwCJcmBePA7i9FlK7/dwbqsHsgTwMZ2L4sOL/SlckzSx7Aq KtI8MKaDg0KbZOH1g6aShw0J11XGzFrpCLIKKYIGmt18QevB4SimNcgliuiJtZgxDes+sAB9bnaI Gu5kmq6rk4RFNO9xQ1yLYUpr6lJ4bUxgF10UD7IZbAcdyJNKAYKfuNwRkTAj31z0qMgV+Ll7dZGE 0QIqUxh1ldp+0HxpGG2v/FxplZED36TmIsMofbHGXkIw+W03W05fkdX/U7QSdmqjM52n3Luh0jTe NSSAtQCKGGXlB/VusMWGNyeQgqFhkW26iz8Rwvs9bBmaQgtXEhEjWQGVVRFYY3QsYBBMI0XBJN+Q H02mfWzwLoZYCsRk5CC5IX/kWqEyLyWMwDMjd4mJjemyPbqUiAJP84b9EG8hAp4iJUdLQIb94rNP PWY6WxvBZYTZWRRTRVyWkC27zfgpKJlHmERFoxg+5rRu4+a6UznLQI3l4ALZcFNSRJcNd5tvryDR JrAIQGyAiyQ0VXaEYNy6icN6ezeVqNxb7E1ULWdt8i5NesuDAUSz3GK9Biq0n2+WkvwFJWVwBFzn wxYsE8nPwFkIoQ7ntCR7DHfSzsjtH6O1uDVA2Ju0PDkCcskBZ1O59HeX737VPdi7irQjTIXPRgpI PPz2NN243PF/n5W3CjnXkHWqPF4OvGx6hwBa3v4ErTDNik6WLvZxkWWLWSd0oji/kLMbVlCy7i3a OGKEQa6gmlzwn0p4IDqpNHuZnX1OZWx97rwX9M6Z2qjmNl+TNf01LQ8LbIvob5rJk8Q0Ds0NxcyZ aJmIWAUvoCOob/TwhO5IuLGdVkWTrdFEL8PTsgqJThssgIFaO2RnIPVKNrz2r6qNM/9FmT5v/3z0 zLlNuUX3zckERxPrEzoCA5D5yLG2gP1vvSVRqmw12aG6BIkE5H2j2bsSNEHO8o+PS6F1WQcij7rD VcN5kRhYlSmue4t0J2JCQ/Ff8+rT4m7GTu+nyFw259dfXEaYd/kZGrxLALTZ+3Utj9v6JA3ED210 f1HjJAYILL4zkMvf00tMutiDcSBfaUfX45yOB6r0x8hs/AjXxhTYWTFk4tE3N/Nv5U8WNPeqI8pR jeUOy2iiCivNSB1qBrYG7X5Os0rm/bKK46/hrgcgEopFj6g03dQdEKWGh6wOKz3Fl3UfV5QWA/fH RaoFeHGOMJR8okMEwBa9IiuiVEt1qWNpRPzsUi/m3BIY8zaMpy+rZehbRxbBvRhMwvXVTncUKvSR yH/KyQRSwdVPK7RxFBRihkh2pRTyb+k/wsbVOT48Gi1MnZ9Mj4dC+akeIV9MQVXT9ClT1bSIQhz0 qpX2TCfsRLQjD95c8h+Cyu79WLIxyal1hjVhQkrd0K3Z/W1fudETRZaeEHb7goICyFh0pgXzXLi2 /Gik0peWNkUFWucS32w+tU+dzKPJzZQjB1oAqVd4xJ7UGvSBnQb4DHUezkQ5lKkuNrNIHiaRt9EB 2aKc0/fNWkRsyb1QkAfQbNZGWPHTtt8Q5zGjp5Lor4U8Q2w0ovccEhlprdGbnPqbtEO0zcNnxiMj oeRRITt0EA2EtnJJ17Kjoc3OGhj4wKBnTBj2l1ohW/4kFWDHqXJoBd9gFH237f8a+9cvgyAQXFbw hgYfm6gKk+NgtMaP8tKgZz8+ZHLWSqSFpnU83wJ4wy9sWX8NfuG8ywbe3pxqvKyG5jdwSmJTB9mX dJ8pYLrqo653SOahnaBITpChxugR03OUsEgWXU6QJz/KcPb6ymVGzfOBgpSGDzWEnQJp0g4t+jze H8ZMCvdQbIJPKwElvh0qnPsxyZHqx0YeIxmKgninA/ZwOMVJg7sV84AfJH88ASSrX/fwFULE5z9T tiT8u9WoB3IyCc2MFaApHkBaVMyBz30QTxw4taOv/gBGvIxkpwtBYhPD1eRZ6eghbzkLxViTtRh4 BZy1M6q3K2fHmKDcmUNQWM7D6P9SJ8459HLLv6GUBr70gJKx82OwOjZf6hrEjfytvr6bfYMrPFA4 GX6vLVZSteYMojMQ0Pwg/VGqf/ynjS0zdMhfKsHAlqwfXi3YD2QoXmz/w4zLc+g5sZl9OPQG9MF0 rtLocOzOSznjaFZj57e/2SeoIBRc4bP74ifsmJUtLZbIkB8fb1/+vfz9dfpk9MLeUXepdQVD+gqL 0aHovTnGZq22Rcgai9/gKC4JNJCpgE5/GLC11ODqDcLwQW+lGFL7a2SvT5sx6hIt6VrnV3ol5IFQ KppfqOVFW3QvIlsTm/3skffO6KJVQO19b9VevQzs/brAtQm6Z3L1+DcMc0yd8bPGIJ9xnVpXL1WA FqZC4lqUMbnV+dgm1GYa4elXhMwV+4NOGV3UztVbqy9IbWujGa1/Xv0Y5nomfTLb9irvke/7dUfq Jgi/27RR/b4omQ0pda0kBWGLjbUYpI/GSvRsgfdpFQHvvhSeY5FqeN9pYb2iwvjSVP7E38PbPt/g n1MZUHgrNbL131otdPJvB7bFYc0C9oaKtnmxwqu48RT5Si0ru4DnDqDgee5vrPiFYg23YAxT3ywF +oibp6jABH/ZyyGGf+R2k2aER2qaaxroXWp5rV9mMfW4kpdLI3xIm7mp3cdVF8y0MlJAP7Nb3PxC XQLE3L+KyUva8Hbh3ax2nUdVkoFd2ejuTDf3Yz3NaChVzVqiOb0LzShtKt5hsjNgGeVea0C52Z2/ PvToOVhaFFrFYBLz7zLD5xP89RShk8+ctXuYhZhVpczTmOnmZGXfgYO/7UNozK2BakAyQH62dFDn W5oAgY6y1lnKGhwr0Za1vJ+qGQwYTRFTJHBCdvl9IrxR2Aw2MQ7sxRzDi7yq0DSBqCT9zxAzbnA+ 5QkI+D4ZupSWLRdurbcKsC1ptJPqMuZafdf7kXlhFnODI0Pt2Ptu65R1oisH/FYwgDhk/bV0uJDp FgODpheVlY/hUjk+A0VvzOquWiHVW7kHUsaZApUBr/7Pjo5p8QwTUIJmzFPoBk8TBU2yZDOQS+Uv SYIH48bbIpNMh9fuVCMa/1n2ViC28MWrkGA1FUUAbvMlcMqsRjgk3rPPWu1ccwb6Z6w5u5KKp2Vq Q7mbWfQV/Z43qYfnJHT3My4C2igF18gkOsWa1QpnF9UM/4eUM5iO+V1GWvFLIfyJpefjUtllLGtX sWe/TCm7mgq7kXHpdMsg9T0R6Jbef/4jwNYBN0gG0EHARHBGMVEtro9HULdDNdL2xyP4hEIjOTZ5 rAEdSCkTt/VTqRYsivvVRS/7tHB3NSHlfBs/JD68tW6+iildgBkgaixJYDyvZ1Vw1sZCftlHv1mE SK3EROQ4Xh4J/Aw81V2LCwxLigCfaU5R+ZVIhiK8m3AvZRobY9/5Bw6xp/tCHmt5xyurQTPq0Fnw +eXdc4nc9M3nPOf74D+e5zWkg6V+OsuoWjm/me8bLVsLDoaxL6zq/cBIVIrGuA0iBAGnovds2Pzs K0udMkCYaDo7a0+vkxGrUJu+Bw43sOqh4uo6jCV9gAJ1SKonozqEj+6+f6CtHeM/dPDJ38NM8aY2 auQxTq8rtq5jZRPAmxyb1SJwKAPO3CebMXJXWgk29Au/mauKbLqNvxazS5FDsogDBxGOAbppbiS8 6LNhfLUDfSRx7ioFeZ4MBx7Sj67QJ+5sX8gRG9h7ZF1yFODAlwWIH5J+32ppkNALgetLQjX0j9dR bTF5mZ0c2W2y5Bmj3WDCJ2crBb14eWzBTfcunPEMS+wSI9wxm24rLM10Nbk88+xZgm970x1sMHwj EZbLYxadWHMjFGaOtSp+yQbUvDzCEATB+vgNDj3piBqyuULs2zg+s8egWBUWn2wW+QsS+wXAakP6 R0lzOB1JvQosxiFsc2YBau/GL0u2xYhUpae+nUKcRiWKq526hjauKkC9k+kTaLIbszufvIztTWDc YIYbxSJUypRk7kaS3f7gFs0rSq9CbIufGxrlNIrMesbI+G2CPRZ3hRSCbAvPNW0JWRXS8QsYtPsm 8EQvJ0qqpAgM8XfHd9m1WAXIAPsOhroXut8LgrvdTN7VlmQ4f0xvsusQQ8GLx4XPFd+9h6ncOjkb jRbeCPJMelxz5GhLvGv0TeI2ujx7nEMDVektZIE2i+ZUt1aqLmK8PjvydIpB2pkIR2U4X3ZYbtJn fHTMePAfjF3PTOZzTFA6S6fLFB6jEvYftPtuJIUqm4pGQHrACLMQcYT0Lzu6qtfKfThpaTJe4Cev tb2O75r8DjhsHP66P6NydOEh83X+uN/dkAR3eiFdEOe+QMkJ7Nn8p9xUj/LJzTBU6d8Pe5mV1m/p Qm1BYhm2aoZwnrRU6kWn6RcsVRKe3wj8xSSNuxTLm94E6X2zNuqtYCBzqsst4epoN9qe9IMzq8AE B+fjPB1HND+TuBGzvzO3pkd2qYhLGH4mmLxc4bQ+0tDeRpGcM8vOHmQJbbUudCkd+KmgxrTin3x3 efaVT708AEL0xbtkVnVkVd2Mjlo961zYz8CAQxq5jeMmTFB7z/L0aLVg1v7qKkS3W3QvA+eVxpZr ifvPsEDSmOkEXy5ISHMOrRn0fAZx3ewjlHvjeMvEALN/7PwSbAtzRTHiq2QkxsXAyaIjmPe2D7FT DhDaOmCWYFR4z3li2JZuJie1kiWZ3ikb8bAIqoqL7gdgMBX9m3iuNO7Wanpawv2nq+gfwHdsbB16 NEC2RMrBRMWyAJh2G0YFRLQjNNp0al3RbeioR6FL7uFQhHnChvcq3mcTMGSDlUIuXtDnXYxwKiBf Ud6emh6eDe9dne+NlRqX/etK2T4/mjOAr1KDRXzMkP/sdn8YbXJTzollSaWS5HjcMEi3O9ihk5il NcMAMo+PuuONlLQ1m9I+1T3IW7OyqDp0kYWsz+1vSh5ICRCKVQ24aHe4D+1wfqPJ8/0zxIbZjPTs aFBCE6hsyOyV6xuhSOfB4eTmNBZO4JjGX53Ig+oIejSCE30AZr7OZABffEjWxokDyeeTZ5fInfQz ZuLz9Bxi3sPnv2qDjG9jn5RzodZ9TUnjqNSGxUO4k0mVu56+n/kBzZR+wAA9HeR2I24beHWxwpxh dHOagrRjeoMAmeYN72JU+eyfEOOrDgSItZRKQlo7UfG7UtGFQnujnDejbcp2bVdO680WfRVn1+BQ 5bN8Nso3U0lcXX9FjqOVmei+7FVkS3UVvXkO7rPp2/Fcax5UQs9K2scw0xl0X4rWYZBRdytVEMg/ HfPhn94BggmxMhMhCkI2XDmQmPlZoFAJ/zKln2Ll8jyx+hLdR65lxpz+2wQqS4zQGfz5B+8eZvMh uwhpxUmdpLtFBuKeLO75z85WWa6Uy4vsihHGtWkNfZKC0T4eRGvTH3fB20iUy+rjFdVW9iFeWU1o VVms1y0KSe6vYcDVu7m6m6g1rxgKqWIHN/CVxsxjMqEZjnZ7MdMDjR5C6HRa5Oa7etj+IVGAjV9S QbFhaeVX/HRn+b0mITwKHrfZPgfZb3b746EG+ZbLuYYj+bBymjzlu2vdZ8vEA85pCOWbYFXEpskN zkhy8LPg7CqPTpB0oNdLUyChPWirDs3Y/caqw1f3w6Id/w5AACSH8JFpsYApkpZ5cTMn14nl4lhl qG4PtFh2rnN/ejChyJRQ0VyOLi5BTeZpaWxAGcnno9dU0PnFGTVy8qI5pVzQ9VUSnmYUMMKQHIPo KvEJT6nI94W2rNBcCV/4OjTeAWgO6uhMFiEAgN/HKsFF9Vf1sj2e86N6GN8wHl8YTusvYpPJIdkq kZQ+5F/xP7EkgO9zIp+m0Uc9SUhPq33wUd/5zmBnhracckZLMNfyyl16/u2LrJwpJNLsS9P4MkG/ 38PtdZXDwwfF0Nk9CJIgCICchwZ/ae8rojVypUPAGkobW6I2z+WDl1EMrRGGgH6ZtVGDgG2OK3v/ KFApyjtrHDRSHTyWhxeMvhsNOCYJUjO4JFtNNzVu/NOBKGYr2o8QhRP7A6NcjA8GjFjEEXQV/m+6 EOkQh2VbFz47UjnoBxNw8BuV6VNTojTYJfLOFWv5NgSa1s4Nl8gs0+Ul2uc6ETt4SSYKjjaIbYsX sOCutdkeEfnP5CFGptvd43/WuenXvaPfZv+zy4Aii6y7zaBKbXzOqYkym7CPJNFAbWdPkr+agBYb W8WM4MIVxGO7a09iEIovLIpNO5qnpG8gxGDXU+z5EsFbRSF5/zD3ND2eBEVlFWfNoJzJdI3ERGJR 0XRLm7vk7d4hxqXxs/uiJ6UsqVSasw0WggcE1rtRWijVkrDphx985PCn5snoGgVTFJDt84PWKtGa 8vNw2kERO7qLQ5/TGDfNzS//OnMcnIl9Z3g0klknKuVuUiLYsjxOCLNo9m3kD6XPd3+5pcyZnZOi MwDmdAenIjw0D12HCps8cHc1jnJdTwBGJRmmKyBYe697y122jQf7VAT+FjOzUu5rxVYlphLQtBcK jXeN+zPjTu0OiddsTAD9Ku0WXhh5QYY8lk3/8q7QK3UpJHEX5e0JjQNLUrTZcuRMrUkjJecrNppV PHJ91ceuEFlFaZMs44DKWI5EJ6VbqlEFsGWYsjFbLi7wxjmqPthBn5Xd+2miOGrjEgJPELbEYaE3 RVBD/EHHs1HkES173fENv0bmjWlvPr2jeA060LyefhRlnbXxlTuP80SuFHmobEIdHOldKVKGflXD +9qs1fP0HS7+U4xvIKDnc7iDeFMAE3/Szs/pqs7jZhMTphvmtXX5xGwjIdzlCvtYAcGHSMgGv7hI 3UpnsC58I4j7uqRgVUP4M+AGKTlWAn00h6AAqXGDoEysEa6s1s13/M0r5Y8AnTQ6X7rxW5eL/I0i ojtF9nnL/rUhtzVtJTLKKlA/fzCHeORlAUN72LMF+EuzAOvgnZ8gGqIvhEXfNBa9jivwd3alSabB YBcwym3QcSXTM2LBynrh1CnECK3kdcEUIxd4Lba4UYx7AbxOgvQ9fUJrFS+QbODJ5GnatsRPbyHj UPAl6vNBzLSzOyqXQNGbbSjyoBH5B7VhaXSLPjQ8iWx3caM7kPAKqlL1uDWU6KeFLcPdsUFJbZ/C Y4hpnkpdTlVSG8OPIzrCuJr7dOJ6REhwi9AVZ8dxJ0a9T38gaaOrCGoC912Zs4EKJbFvAeXMi6xc op3jdEhMR5wdHi8YK54yJZUmRgIyHeAwd3a5KBIYWlccX3wiPpnEO6dDS2lf0FPV5bKjN+GuA7tW 3JF6sLclFUkxudigINPqMor4uZgdl0ZB+kGqJo2ZcgJL7xEpYZ0VVC/OM1ybmqjAE9a86hLGwIvQ r0ZGB5tdQEfeRIjWn6ldSwjh7/ieZITLxG9IzVdfi1VyMIik63FJGGV8SQDip5Ji2VYYqekdY+jn C9kOILAeo9bjS4Aq6XvLH9hEEKQSlSLOgL6PKlFqJD/S7DJyn2W0psnaDiXQV0dz1LJDcjps/KU6 c2HdIppxaUV6849c2yujCyhiifglPbRul/XHzeuYN+I41VOc5g+KujFizy04eDIefQzFyjwFh0BX RzmwnTufuA6lvoF0g9Vx+uqTV0C/BIrAhl/N6mRCOovlAw/ej+iVSuA38n3xi18Fyz6s3e0n3ybp Ntbhetvi2HIt/JGd+GD6RRh4s9eRi9DN8j9mKd33TE3YTt9S+AXfbrzWkpARITdwYb9YzCUrheBU ua2ur5riOVn1B368f9AisX0wcfhBQ0hvcYH1v0LgxesiHRMvEqEJp1SVwD+F/0mNHbKNJ/CMkZeh I5Kpk/BLQZH3t31LoC+JI5sKivfYKRWpA0S5Ly1ns7rB7MYv0qnNVqDJVy21G5+klPJxgIgPsv6+ nMOGR6/EuH7cJPX5sGLcxfIh2B3TJseuDFFgXgzsKL6rsNsMLQUjA3yOd5foLE+DXMliHA3VZJMs h3tzWbK2f54Co1F9WjR8KXaMNnM4XCYFzJ6nPgAyWDk4YWqDhtYYwRQ1mAuwpumdxVvk+M24nGG3 ln/Ixq8Pgu+uXvEPmvmiYppu7dnzJGJzqMH66+Yes5IU1IDEBC5Qe4Nb66r2gPcqAQ5UJcTE0ukZ sVeRCg8IgG8245w2iS8qXAwfVze2AWE2gKCCZdaml0/Y5tiIpQhy4VeIARSZmQuxgftN3+UtkxDI vM8MxErW/NzDV4v8YZ9Od2+SSwtKhVeamybNle2RAgVnwJLKRWEklwdqPAVpksZqhch2kbyg5BCl 9In+U3Fat9VtNZeiE/IOAbQqHsWvvr+lK97an01dbywAQKtEYpDZiTTJMoV/5pNnG6usoEk0aDsB 5DaSyL5iE/FySl1t036rPRyLfakulja8EMACxvZIN5A6JUMZo18IVM4T2p9nWuirEXMgp/2GT7a4 4w40OymI0jb1uymSXpEQS1Z4ayyKI6RQJ1jZ+5ESWjhjb7wtTuLkdolI6u+oTQe5bh8Vlh/IQud6 GrDGlHTd+sb0EUdInVTnjJ4r76r+0lJrp/SrtBw6PsmdGefdDaB2nYML82+3hzMZ1/Ctuzvc3dJw 83r427zlHEavHcmV6wDi5OipqKYjN9RtYrVFq/TfnFVHjSJUCqAydrYUsl/uE+lz+vH9ykna+NLN MMMoaFT9DAxFYYbACAAr4+XDXj2Whi75z5wBVNeYi8jFeSsMLTHtqkaBRiSGrIkdWkUIXJy2rhNR O/n4ZZBgX96dXGUsjOj/eJ2ya3lG6MVArXcYVPFZWdEhNmaL2VB1b/g/yl64mlm0RybKF9sH9yJ5 tuVy3QA2wpu4HYjF+UObUNRSS7jmwJ11xXIeSHEpgsPExcZrMFDCTqWtJPaltfZ5RxH/Hk7Y7j01 s7un5iXXdH2HVDhPh1dFf1stKFnWAUW9FGyEypxdenjpKt4ilHtmHwVyMwufM6vIzx72nHnsCf0+ gxhBZ/0hPgYmTGCDVcu0E3ECtLT0AFzA3JiOOdtdVXiZWAH4SYOy686XXMP+PwvZ5TmcvdHqK2ni tKQYXxCYznOGEiNRqG28S5Y64DSyBkXzFxy/8D5OmvZZxPHGcXMl2dU+NypOaigtajYRbE62RQcl uTwO5DwyJBZpKhbRViDOO5W+PhlkEo4mS8YF8JgWZgkyuaA3qHsby81LUUBIEw5DkKuj82dU3Xwd 4S70Pz7QVuZgdHBnpag+B5IPMN35GpA1hG2vWxNvddQHLbORFot173pKVaCZ9ttIgYiMGdOkTymx vQN7/drffSYJOOkwt0V5TPqlfCV443TJildhKRrClbsyTYCiX3ozh3TU+LjJ7Q802cIs83mg8/dP AlUq75a3TC4iF9nE4/MYed6R3UP/atj7AzFdG0IbZBJ9lwa+j4NIlN6ZxsscDpHFIKwhNlYjHv4M 0H4DTh3ZMW8aX8ns0+3s5btY3CP0rcemLmTJYuzg9uzzfylCkJS/O/53JWiKj6M2GLkT2llKc/Xa /wBuN97Rhr+tmcT7Ms2qzHSAdkJXLTRR6VJzV+N2F0q26upxJvdzlY9XnA9B85KN7wu//st0hB3A bU8Ikpbrptgabudwq7+iuXAXb4drOV6J2JMINVqXDZW4OTKuM+J7zWU8v8/jqTeqElz7Z0vjSXFZ bsndGVlduGpKEgs0J5PyQt2yikLK8QF7JARCN2u/gsrKmhePP6qBm7+stE7SZR0TY3CM9tVTm5EX hN/IJ0rkIIQcV5hwoTMff3zadgk76u+jgXfN5MZOQC+aZupYMlSEteajgS/4ekW1e13ishSO2weB hYySTqTGavSCoEaqL7KMOsMvoCTXcBbs71CG6tJltfL1pfSvVum7rPS48E2V/KgHeyXjiPVNh8OA qpmy34ogoPKO04H8nJGzCY9p+OfsmMbw5OchpsBHyCQLm92JnNShHNrEZpmmOCero4craTUED7YX Viqb4TiIdxzTd2TWGSD1CPkp8sulKqBxURDQ0mY5iSUdFBBQXHFBJOS1LqOdd5g+uI23PnSIyOH8 VokSEL8d89TcEu4/1vB93AlY0iazbZxduL2qYlccoN0+rilJbR/v73A2/6VETf42heXsfBYSaOu6 kYsIrCqi3frdqJvu6rHeUvbuuayuFdfBpuqLzqn2On4w3zjfMbW9aRKiF68OfkR096hwoaN7ZQtj XobKiqoW/QZaIn/su+SEnNcDlY06GhWiFPhuPKDfHQhvLINW+lnE4KmptCRi4uRmP5tm6tOl/Zry /+gUlPojqP1kGmx0UWaPb+9tfhNn2gG/iM8LtST+sEyPFZxcBzNDKDArTkZMyucqWThi4NtexfL9 TKMZOBjNUshchuW6KRqKT3aVblpaePYyX8etv8fnd6xETOLeMDJQF2X2+VYZseEypADDSKjxl0p6 E3WtKsiFRSb5A6Ru6cANUTiEfD298h1Ph8ijE/eALhw95VQ2Rqw/wVr3v6t8jS8pd1yUlZEYBG+G dU4ibqMeVdHX9/gTb8xHLVTD6yX9xKT0whMQ75mta2g7meeHz08F/Hrse/7VDG78tnu7r3gtK6Ir HMQBNFOoqV2+uEFTm7q4+ewT3FmJ94i5B4HnYB6mfxCVPwcWb8ltzab1itb0RrJTZnyP9q1rsELO F1UpBAcV3MOzcVZRJTb9Swviz8NWpKXjhpvzDeVNjIOM20Bt38Oi/B4fllUX3ueVqBNXCC4MBIff wirCykXYy44nJ7EbX6NXyJbqdoubNtfP3F26v9To6/tg5aKXFE63R9w33vey9JMLk/3X66iWL7/d hJCojFsjFVxdQ1zzaZ4jHcwdDaYCI0jF8fLbG9TCvHXAXGDxgCcc3+4q6JxRoHhMBUpg91QQSgBu Pn7X4FG//Tv7LenahaO4VW9bS5m9iI3DCD3IN7e4WzmSfOv3TjqX/6+favCJCaXCOGFw2GELGLLh GpEapRkI3g0C2ltVRBU9Zvjkirx9bq33YowBBTFGwGvbSXANdd9g9RHylhDR8G8i5v034nqRc7yc FypLWF+Y6jqTGNFAEtN+fionUe3Sft0YyxNbdAC0/fJ0iNYjPGpf5Om+OVc7hiLNirTErqPDkuqk P88xBcOg5fP1RatPjlvfyVhExMVSweXjnnPOQ3ZPkNq23VTllK8llTP1C8k5JlYnZL1x3i9FiaZ6 47AzhsDHIDep5Y5BKn0pR8ETS4qlkX34yMZ1BHQm/APw4QcxlFDJJQYUg9067iWIKtFrCXk6bdNx HlO6vCagGQmkCGZG7pojJYa56HDEvmiap8wTCssHvpug3xpIkXBlpis+VGzzOJz4iiaBRNYzh6Ei 5thA5Ac3VeMBC/Ava33HdY+1Zcni2PVe1wiWJ/iXVA7iKbFBSBPyfZMizYsOVatUoplD22np3VBc hCmnkMjXfysNcpOY0ZkHRqnqkJr0y8y286tHl87hKUvu4Gd1QbpzVYZ9kI5bAUeYVmcTttPt107y /jU5hkKUPYlQOUoRL5V0PPovnL2ii38ki7fA7Idn9t20zmbM0b86bnKNn+bNv/VQPiuncdOEjwqk lFBSS1uvbxWlNj1MQCUclORoF7eH5Pntv/sbbKxm41dub767+4HgVcXKKYrAtMCR1apI+MtfKnQj krZWecF6XIBqnDWeL7owfklJUVHLi3ZDA/9Htzr3y0l9v7dW7XuVegu27oEQHjtzSFjxtFq41P3V iXY2Ac+oxCcXMBUDfOzAszwPVxaTSCfkS57pyglZOWGSORry8G7yo264pF+HqhIS2+HPBM0wh3nM UQ6xd+f+F8qO7vQlq4kK1D/TPDXu7kVGUgOEgXwV02Zu0Mujh3M7QytMVfnGGcQJHhU9Scj2zAnH 97jPauUoaXpgXXLfQm982/Xo+SaCWcT4l7K7Ggt8czpmf4F/KpZW3il4SRVSDY19srNT8ik/vcUi HR3Rnni0rfQZ0xze0FLWk3+apBkrfhQayyVropbIGmPbNy1bcEvCke2J5y+WnQbDVbG2fBpoPXcp bRYwahG/yH+qmzAhoPwxtCWtaSjSSMfknfWflDsewr/fCycsY0iSu46NbMJwzBwTwfgBA6ipoqkM 8tF7t+d1+dOhz/8JpSkebO5NxexEAkiM8z2vg+Q/OTpixWgxkirH/OeKpQ3zNz84Aph6zDWeItbH WU0N6px7n3ouKZaW1h+inEcDmK66H7oQhdF1D6eLb4EUr5biGBHZtDSjttpAu2ZSrAsvZgRDKNB1 sKjbW2Emy7r8LYy7HO/NPg8Le1kV7DoxRZ7XKfOdfhUWmhrlyt9QdJcNOqm3i8RfmTGxF6adZyb+ NmN0JlGbSgVr9A+8WLwOAQ72ny5YY+6wADgekomMIsAUveouPwRTqwNE/M5bMDjL8Xlok8Y41kTJ vpPwa4V0DmtO+CI/9dOeSh1RnFRtfc+489ZKrAUmJK1m3XarNzDvNKIofPMl0SXydqjJJCtuoK1l yE6E//lFip8KZqyWfStvtcGMHAvR4bCJkga3RhYo3KmEC1SsctpXZOgaJZuaH71WUOa6aiX0lCqP Yepw7yBvk4C2uvyH3blK1vx8SIbeye+auOgR+kx7HLOIjwKwmHy3BDhavqT4c/GwUbq/Bikyczb2 34QmHBebywRy9K1tsjMJdzt+lia1WLY3sTH2PKrelC0NoKCuY1D5xDNngx9oPtOl0ntgsaJ3BUoT 6RvwNH4rQ5l9dH5kV/n31qkEHoiG/ijACdtPKcoUaEbPVJaKNrrdmV26u13DnN8pUaj/AXNdMoj4 co9hSePBehIW1QtoieTEmAggrtyEGUHEsVRES8ddM2ymtBCXGDdhco3er3GioiqiTNMqh6SPFWNA dx6c7CLOTphqGPKIkMsKYUrRnfO64Wii7GEIfxNGbEee2fMsjcMhC25UJmjLbSPwoOAlAoBISwF4 HTSOLhphVN8QmbOUtW6Gl/DQHIG3G8AYP0ZOK3UrWrJlLeqyIJf+SMnNVZ+4q9fw0aq7NuRj0j01 tCMEiRUEqaKR21vJ+SAXkPvhHbWDMcI75Zl6gN5FzlgtpKcV67xW5CGph0GjJQ57/QlZ0Qgfmx8I etUNP+3dMp+M5giqKhqSjkGK6KU70n2j+VPdzSbQLzxlIYGF28P38mCYkFU7J5yK6kULAHbvJVrN xsjttC0DGqHR7gjeD+LUedLpPCHLMdolYxkexlAuMgfRps4ZQIoOJ7lTnBAj7IdteeMmJXMdtqvG lzjc071cAme4mJllPheQDYG1n7FcmSqcCnbWusDkclb7/CdurRQgHfupBfV5J7MO5FXw6Q9rg4hv KslNM4Qg7USCLfyHMrxdqlGKOsHrrpFERaQ89x1BIDBL2kqwchC050K8pHkRrwyPJMdqk8NnY/TX sngYoblomPiJtSpPx82zEchZGefcWguxWEAsCVyChY+Xm+2ZBnHKy/ckfbkAD9qJptCnGz2Dxey5 hHV4MtT22m7HtvR4CsLgQZZRDcZ/ZbeDs0tJNbKSBShleqVM1wlBxLpWFYLc7ZvCjQxGT9qzDRIT pS/dEQDNpQc4Ox/Ud5Wt4HnB96mN3nkcbYyPkbWW3G8GQhwbUY3QvMCr6zsLNj1fR0FKZ4HY7ByM HgEMNxlw6bnMb7ajb326NSpmlMexiOSDGyjJ9MgLY5RQstFczRBk4tahPIjEYcKkYrAw2AYsOVE+ gdfl+zkazEfizXuMNSWfVudh4yi5vQcewnF5sI55ZTE5EhhL5N0UPrRnWEzxKQesSo5tPzz7aTpA XNpwuVTYnTc44/eRR/AVRCd/1UvTXGqaCcnir4b4Sa+wOmgsL1+sabIpuMhWvhAOfHwYOzPCqx/W grHYmxXUdCVz/xYRLW38X9DckxObCuiY/0bkJQOiLErNAezFxKXsI+3OhsFmRWneZdvA9gkUJn3a wBm7AmGzr51TWZrpH36GVCnOm9m5pMyNpkFL6q6Hxg0y5czX0yRlwCZ6EFxBD1YgBk97i6pOeaJl QeaTPKL0+KHZividUup1mewRPRZx6qjlUKvygxSVMEHQ+nRQDbuNWN7bHDGqK8jy/Mu3QpeRh2DC +UPoW/j7XiMMDFiB0F47nrkKS0H4ZC60jbYulIsMPcFp9t7aE/ntEtrxIbCNcAkbNgUKxscRsOau bTSr9KoRoIi4lejvURCjeUqiciV1EhVvGHE7GovAhJl3wsT+2+LgpHIC+hDlcBKQKR01owKz69Ls Pkz8N9GQrjfakdmZnPqky3Avm6i2/vPbBevztj64SGF/zq3KN9ty2y77qpkFdM/WtkCIPw9w3J9B +rKE9RgDTmUROKzgdGRgqN2Fp+vQ0QP1qwrIO/uKxNm8VoZQNye/Iz9u+vwahl6ZVZcuW1Jj1EzO eO3kB7YDt/PtUawemSyNlNyzgmwTBKLsyMKVDgZc9jdv8Iu/l88eWkfjOpAmrLoX9iqwTNNJLEFs Xi1CgWFqjXTkX+57Udt1hix+FnHdHm9cCptpY4bHkBHpimr2iqv+t1wz1VQoTmNYy4vLIQXG/upk TFzQCyOwMZoKQUYQCKCXPZtIESzPJigF8kxYPfqVejE+XZa/f5r2I2Yd5rb6XrCGAqfJLdIg1bMR 3G86QhsG17P8+xY2Pq/vjTbqt2Kw9gmwdgtXqTp+vOdlNo6IyzVO7rafzlbiNrA0EsQjfgeA0FZw WqE12R+Kw91YN64j+EoJTT2MU8gB4gH/Xz3dZLRE4zdx3pehHg4WXbrmLPVo+ho9qq9jVFUrkffo yaXVySwfEcsuKx8dGYWn3sXIWugRb1cxj9cmbMmilj1T5vkFcW+32BuMKT/MVFqsONaLHl//0ASy M+jCW7jRjzZNF6NvROg2nFfMslOtNPRm6hV2qjEFHhwsgGmHrZlDf/azC6JK7iT1HKy1GZVCp2mB ksbkf7W2DpersItJTbmQIbY5wM1pmVZfBvtHJA1F13BtLMPJQmISWSko/NQHo4w6QE6DeT7SU74h 3bHXpVqv9ZCHdwp+vzz8M8qtLRn7ZyuRariKAiAzFbeu7DM0pexWZ+RBP0mQGRe5XuDm4b0nGXbz ubSz5aqZ0HZSXYhwENv4ujjobEHRJfWDm9V7R3RRRJH2hcy4blfkFUcZ8bym2oeIfj/PAC//raq7 lLEixwLVK17yAUS+KBqbpnXwxNBkHBb5zBuK9C1YLffQy0KRSMOku26lywJsDI3Tg7ruwoqU3zWH 0HcoDtFVr9qqeXOnDtqltifrERkL118pSu+DnYB+hG1KvVgCFDZn1sjaBSk6yl5pRWLoVh91Cd1m qy3uMdXI5iG8EjPRozemzZ5yvehJEKQ8gkKoRqcPwdtshd2jELrfkaZSol1iaYM+in5mZ0yBgjoD 1OxQjWqF8PofBSUZAPBk+4gCboqopi/okyJr7X5+LHW9PDdRuI0V7BsjEvj6siu3qfD0n5MPfUql OTzhdxaxznnz3wSx1JLn/WWbkexUzucag0JOAM3rWCv2vqdr3gBFiNN+N3rXZsUlQCM/8H3OLS8C 7gKkr2Hwffoi87sw5xY/+4FEvpwcFxu4gOSnXH0Gn0az7PRvD+PgFV5rxHsd6OY6cRt4Eu2YjMYs Myrp+EhC8rs6di27ZccEDtmXQBIWQC2KUOSKLSaLuq77X2UbnrVmM4uaOT7kjW4ZpsQjHa29J2tK imKd6U0qE8plEl8dHk7m7ljnPkPqsVvH/Ihbs685jA9QfcVOwfcfG+Yme+mLB6ygO1aC732F74md NyIfEgkufLqNExUIYAdaGR1ARQgwYtpaR35D2lRnUf6LSAdQEvYy33S0GWOpFOTrGmQGz2Dj1Fpp 7FD4nOysvsv2YzcMc+miXQHewpgHRdszo0CCV4Ep46AK5BOHCXvK1Lh42CtgUQgEnrtyuBv17Hez HWp+m7MxWwclyouLiwm+d2Rot0OqTTICjqiVzFkIVYYhwFgP5c+u9i1+NoF0T2XGMSrxPORt6K2j 2lvZL5WUAkpW/LXauXa6zZcgzvKWZFCBMV6kye+Vb/SCHGsoTp2ln2I2MOMhnWTWmob1MDajUJ1O MpF/PFgfG1eXKH9ED6QFFm5fkacXkIHMeY90Wb52M+uPv7RtBKPyT7YPbU9KbKh6hPtKxD0nT8bH 2ZGYbvn/oX3QS8etOMs8pzLTZX18LZ+d+hRe9C9VhDjUKSxjy293rxL5MqCFNwuSufIrJcsC7VIb nU6O51HOXnHkCdVxH57O7kapMcqO4LUvcJlJlJn07JlclcGYZxCxcOOOCTD73IzsfCC0PUqUBoXq ZAtLKn+NFRDvyRbz23YEeUI8IGXGDIXywMjd3EeDWsh+SBKotaBCxqomuhOoN67ukm48ShxljH/n tPiJXG0mZV3c26O094y2+of+bdcrQBrYYgturRsqYR/cyJzph/sOHdeI6RR9we8hhioDluCg9F9V RYRMvagTQ+gesHrx9UtebSKOd1aNLxU5cKha9rk5QgJaTyS0bM2optWVcas6+vV+kIzfESpGr1tB +BTZNYsVtW1KGM1Ux1qqzikN0H2EDo6IMAeCqsAf9Vz1BKeZC+VCCMD1/x7Kc1AM4ZSfGkbRpogP ffMQpUD58oX+SH00PBccH1rLLbBs5cIaMDza9T7hyfmnkr6eqZkFd4Kp5fgGBO8D9P607OEgX3Ty cP8fckxNWyLei+f1n/3OjYnO2MewetQ7nqY4MTrtWIgTW1L17WmU5cZ5zzVf+3ppzL+mpvLAJxDA VeE/klzo6INjyR0a9f42UieU83kIqs2HFE2eC2BEzVRx06eN4ya1EWtV8IS+fsPOhy1jCD7RQIYk zN9gDsXhArbgiS0+rRdJVQLx9ALBrfG4/5cOgDnt6+6exUaU05g9gWJ8CdfhF/XVWLBmpddjFfB8 JpcM5rk0czc/AVSKwfmXEaCqHA1ZX67EZMbbYPK4ZqcEgXhIAHU+EKqWkbxPGbJt57Gojn+MpQl+ sHyTvJkMVstaugIqIeIqDsHTrcVM9eVVzR0uy2tXWny76I97PwLk5Zkl6ttoC4elZTWEv0gZ4iU3 LbAXEEinSNJL0bfCqU4tpnY8mJlbnMscUQsEVIAjpeFmVJw+DSjFq/AwuO8nkK6o9k8AMI4TNJrr 3fjAiieLz4osSdmo91QkyFXwXV4Iw8Gas0BdubCH1WljCGJzqyWSTmBg8evR9Bm46YJW9K4vTFt3 vFC+csAE+xxRvEeCno/aGSCySEApL3V+m9WFA/nPrqBI6839vK/7FQoTbJYmWPyN3Xajcwyok13K 7EfSBAjxb4vVwIE15g10SI5o+NaIcAv16Vz3YAnsZ2vikgGaZhIW/BQSeX+NhOVblgGsEKaV8rMl PnhDWdsB3DtgrjQqIAbqKwMgqCanlEw1v7bg4Zgiez2QjNIyBnTJMdY0ld1j7SVYO3xHRFq21JRv teaLUlTM9Ylw9dxbsnVPMXsL7OlxLh53SbZG9+WzBfkAKQAX2bEYKRmC4gmhxlibtZTZGPBRJv7p v1p1z3EeVHIj0sY9fDnLnrt1s0l/q7Vg05JLfkBAC5q4OJw76EFLa2NiSMM4tL7ejiwlNFb3dvYA frhrinA7mblvnx4sjbAkPyYx9g+DTmwM6CRqdMnOURCEOcs55EHiRomlCDIWvopyEC1VsOjVAyrq ZbWVn/ukxWqNIPW07F933C5zvYRaTgRACGjeL8utneKMAnw5gh8bxkr1JGQwMezX8Lg+34oyEqAd SA06sZ+fRtzIET0NeeCxrLWf/WftzYTSKJfniBWYrYxX28I2RzgWB+EjxsgtGroYf7lAN3s0EqRM RsZJWYcBwkuCiYOSD/jb3Z/13m5dEoX1WjZnWyl4cXyIEzvHHv7ABs0PNFt3rbD0duB0bSuffdq8 jpwyn0xD5fhhND2INc6OWqPCctNZaPraYKgR2Qlv/Nj9JOLWAwxv0y9ez88vev7v/H7hjkyaaEI0 7zXjw0ghgA+kjN/mCKDcNMIhpQLmrgwc72sJeEzMJty4XcgmAcLNo6i2G833gBGOSC6ZVTHaMpAI Qvl/7HC6tJfCFhr9E5rTrQnIZyXEOMqPsfza1Nt2uDIWxxFQLuAZX8RovOhv5E/w/gkCZcPUlgpB AYY1Dao5VPmyxPRIAThzVSRPWciF3C2QZ3ZxMWN05QKJ+hZwR8CuwNd70IPYbjHbXHc0v4TyknfU v9tsJAHN2pi9arGV1lZzC8iVyG3tFxyo8QWD0ssCODMA7GDs0JwjmGwfddnLf98A2eoVez2M+EJA 4RnhYQKHrszJIhdoNOqLIOfa+QK/EHI+9/x+0TwWZSQ3RdrB8R/ov1Arpt3x8zTr+qnd+MK8pVqH XPNEH7qzBrMR6kcnN635eF2l8KX+0/Ve47EZxPTbnliTj+CEuwNENRDrHvpI3CUGvwzWMXN+eJMC GC4CeRZQ64gFZDl9fo8tz28slkdW+No9kT0lYsnl2u1lpH96G6SQxe0v0AifMZg6wvHoVBPYuB97 G3ALGGuwYNLFqOBzv1ccgWwoHe7Zl533kH3iiR+9Lm0YN+b/6KytRIDzSySo1wIojh+7+LWS1j06 MTq4dq3YTFfKefviRBXW1/szLzzlNGH5ng7BY1nVJLHcCs0gMg3X8Ieue71ippzs72kqvlC+uf5G dApTszs7+uABGylp5VbiNVVYjFR6OABBWgq/hq8+vSAxioyHUMB21KCq0NxGhkVV6ZRAussu9OQW Odjyaolpu9kkW+wdEs3M9Az5LnnrX2Ip1gn09IPGuw3r4gIk5MqAKZOrzDCJ7oOCFidNQFMnyUtd yUbKqUHViYYv+8urVdCJFP4eeKf0wkCbCBiR8JNYTgH0ibt1E4GxqMpGOWlg+ENRb+V+poHzeoe4 wJBCzuDX09vhKe+6zs20ypr6yLU8N7+S6NzF1GNd7QjDd28d0cY6FwjCxmlM/NxlY1LC7X4Z3RIA 2vWIr6D1L64vJORV3QLi9A/wjF8uoDZMTsr5XBuEkzDOZGR9RPQ85Yso3JKiGx8TYgW/lISUtRp3 94aLD2//wJsC5ED7z9jTZiyRVkYFYVjZSZ2HMEYm36Te6Tn1WbSIVnJZaJLt0afp4Q93nVsBVLlS 3B7LMRjT/QVhOS11zrMUI1SovgOwUmAG8qsH8D6GuTs4PQlaWo0NRnkAAXfc5/T+aEfK/YiH0vJC nsXjxggZ/sSV1PtU951epPIUqA7pSSrQhsfbD5pAWzfuQCIENJpq118jKWJjTyAAtn+iNWo9aPmb C598o/QtGK59MtBcKq1zd1cVgCDeKP53McVoXMZBdN10s8uDPbKOkTERIejtguQkYq50lzzVY9eN MIPHmAVf2wE6z6ARgFb3Bp5aVPrJ7oAnSYlrOcS2jV+Sv+CDAD04RwNHtLRbzrfvLtnceSeJ3D8j Z5AkjBHT8F/6/IqSGmeaq1LEt/TaQIIAyoJ0yU+8gEdMp/DlIqPOt2QzsdkhxHUxHPYAlf03lfJz YvbM90IKd9rIAo8GBw/Izz+g6O0qPYYdVs+ql4wScmhQuF6+DoAzwjN4kFRfTXb+T1eajAK5pj58 BziuDmzz4NA52MA+nF79w9aMg6HNU2i9q3VQsGqY0rSTNjXpyUPBRVR0AkLHH85XcWDFRLmvRrn1 lS3IDx8CBttfWh1pdjaV8ffseU7TYzQxH81dv/z8IwkybFIHLJdNf0hh4bNIBbUQONvqOQFtadjH ESuEp/SZkNooQfGR5siRgoIPPHXFcCdovuuRBrEclHIjUR51bNhMIEVamqxOVas5RJd/hGDRRAYI XiiIxxWYhxos8yS5rfdEd/MWj7Pcpf6DNqeAifBtpg59OV9ePwCPAjTXasd/xhGKGmgcYetKcgeG FrYVoFIkLWvnkY6DjtD1+ZrOc374I/xEsLdWRF4+TnKe9fZpmyvgYo2lZtkPneuaWMV4TuhTzesc 0zt0IMS8zEEvhR8SmlY8Xja0xTktx6CNLLQWhlCLAiPtoTdjJjpUdcw24LAu+arHgxq17PeBp/Sp hvsRWbwUS8fZhe2bLxHjAjwW9t6EX/h2nriG0Km2kllFmDFt8jGELmxJSFO28vQKcB/GRqVnm9jI 8s9ouFHst9eZtCZCjOmwx/pi31oujW/gzKhMr/ISyy14iY0NHW9vPJIn/7905+j6+1NGJKBpkwm9 smYknyddnk/jytHMe+hcV7dtzbX72mV1vZ018Pt8MGs42fCPE4nGcsH5L7qiSit8Jomgc8uZx6jN TLTHzjvnxzXlM/qpaA2gVHH8PVQUt8AE4voz7kzZcryn5nA6OFgiO3OpJRPGFD0WXpSFmCVJpuSK ZTTB+PjJaynbvYWDcT8G51IL3IrJQP2I9W6k+Pztz0Fq8mcxbxQDmAn+1vonx302xuPTlYdLUHMv Md3qEbhoOEytwTVjOLb3Pz70N+yujFOV2lmbAJ9O2bH/C/OfjAp0SCHx/PN0XusgN/bjqmP8a9vL mQoQnQcKoYgq2Jcvy7YYcIvBGrlMzfoZeOBPo7DAbYjvIC7iPA9M9it5ShFX5BIVDqIVfTu30uFp a+623gSWkLvNQgj0/nPzJgv/6nzfmzVwyUsqqip8wtfeHuoeL2zwQkE3MRHs5iHB5Ly9uU7MziTZ AyyEvTb6AlDaKlRFXHNy2ExHfJ2WeuXGqR3UvFuAX37OcLU+m5k1wjsQjrgePTkyferWKsLCnPg1 5OzY34r6iVt+fITFMdFQEWCCwtSx6NE/v6lN48ArC+awRlTfI5V12Wu5vCj9kL6Bmpt7gIoILHWj y/mYqmOwV2V+617qsILAWmjrFOdaYTQdmBL7xASrHiTfdSoS2e80or4gdEW6o3QokId1c26hFSwb Bij7vz6o35WyKN+lpgsk8YiIUlfx7pHxcAeuHvIxcNFy6VYtOaIxPVlF7MklJrbhjciG93mNB2ju R4N3Fxn6ptV4b1cERmg+HZYO9J1wsiSEB/iecZ9TIdfyq2R4W0cmrzfKwt00tCL485tQu8YVqGt5 uOsdHSjmhqgHYc/OZVhXic384/sk7JeR1TZAZdM66E1IecyE6PxJlnKo1iO4mfDztWqTJFWMkMLS 6j8pvw0JkqkjLNY/QeJhHRVaraSZXxEn7mzYfKhAxIlYEg+HkChTNSa6gIcbba8TolIw+dVWwyl8 vlYSuVGceU+PKjaiCbzCjVX5kHKCWuM60tQ4rm7UPLc/HrpCUZt96eiDUZv7Jn16ljvLRpG/xvDs LAIFu0EM0KLiElVYeRkiuQ1w9G7bohvnBpbNSwsLI+H7UHw46IV+otU/Onea5nhdjPMw/WqLM97J re2l+Uqun/NAQ/TZSE4ghWX3TsYxFf6EH0KYsFhGixZBUJyfLBpTvuVwya4vxbthu26DxLCeKmjI c2aJqDTusHVxdFbQ1EhywmvE+huQ8rAM9HUGmmFaq2r2a1ZW7u86BfnUP2juPTaUHjcwM9oJk7Oz 7UBFMzqKxtJyRBYcfgdT0c6hBmaKZCjJvs2bA6+d6xzZqeMwb/I5saHeH5fomXe1DGHxkfVAkltl P/NdjFqKd9l1yE3WQFKH3ZoA7034+oIVu6cCEC05s7ROmK/9bTnxHW7D0vxQZXQBDBAQbDRlSGov njVYEJjIyf5lQdOsA/ukSgyw2anQr1VUgWYaYyacV8A/H5nDp9GeVE17nFlz+tQP4QAVFWcE4QgW U/009nZgf8pSAK4wiC47+6+iZLZJ3VLSf0ZB2XA42gE5xD8oM+VCb2GV2UNZakXJHHkyEL94bDQs QH0jqLEIoemzuc/9fCI9uvRWYbOpJtdW+NtwjFWWClziPymN++GkTeSNWW704wzb9Jx0fEhW2wcW ozrUs5912dOdg0/j2+xfbQdQk3Hp8o/cT8SvvdJ4I+6YkjXReMpPFRRPjLPxTcG4UZpYEPoEqi97 WcRmo6OtNvFm2VjoX1oxeaGmrh6Qlia6ofTYIqw7mWL0ArFsuqCGaZ4S05zXE7dZwZR45hFPxL0j /+5dcIHV1JWWe8rqZlB5JIDQD4P8IARnO8WS5955elwGayF5JaEvm0Qy8hBY5MAkKfE7rA9kbldp bOaJKlj3YaGe2qvX/xRq2qBrR/JxTaHZGvXOqjNh0OD9iO+snUYtAEzwz4g84fbcsnRGpszgHiSq xRF1zJmgpd5M1gFwo0kYDoyiUACTX3J+KYQChpQVKVVYqfC2cpP8zPbR6u0I6nxdajy/BlsHvPlD dfTmZ4yI7b2I7UQwXDF/rekj/h8dzolnV+LP4oSnLmrVVyLo0YFy+UdZZEbwTQTdt4Qy2LnZvJcH b4nPIra8oCP0LlTCYwNKZv6Cwki8dnXj0+hq+G39FNocMuGcOrWCcKhSRY0LGrkL55Ol4k6hYgoC 3QyI/zakvBVqOk5ylGf82ctcNrmknLhMSoyc925OHE4hCeprkGGXIBg9lG8TVkigqJ/XhV6QRzli 2ukr9PY+HdJOA7XJuO87+0QQJXAcyXJEx0MoU81nf7TQ57nN2w2jFbXqN8lKGFgU2cwXziW3NPzw zig++rTYqgWqinn649i+Jv0EcZvHB8KYQdTeMx+YSwGbxJa2Q2pHvLA7s7G10MFx8Xz7pA8vKV4Z u1I18mQkuVUXjkynQS8kUUzOzNsYDYl6eh3z+bNnjGu+ZLX/C7J+m0OBeHnCnzvklDsZmAcqpMzA SnwKyVZ/CWzG75WU+tff1QJX8Wg3Kr8GpnFt0afHrOHgEeS/7Msyyz0Xcjq05JNVw9em33bkjcY6 cwS93mO2x0ZkhABBoWdRWbfAXVNysBOSgQW5/swKsFecVz5y2BmLdSusm+8xOAQ//KeaXHG+V50f zZODVAscZm+mquFQ2hFUoX9IklzIMaSMEGDe3aqvZH7KV36k+eyk/TMACJ/BLhE42Q9MCCH0Y9ac PySPmoMgvNjEv0BD0NU0zfRWCPZsrZv+KYEDw6Kd7f1sJnf/QXCeL42iw7zihXiviG8k6CQ27cbO 26g3kdAaQDH82SL/3mY0QnESaO2SAWZzogSq/TzY7aMI7aqvlsrwIjQBlNJT7y5lxbVDiTpjhqlB wpU8lvT5cnyXprp5z3QsMUGWQydGq+IAeJxaQ6wLiWJV5CypiaCshb2+E7jfdqEFKzTr5dMUz2JB iNfCgU73kSU3S8nN8sPIo7cezCbG+wpG/9SktAyS1sJ87U7qaOsA3wc5U3jFsP4+B9KQFZXJS3fe KvoWf0UpWbGNkEWPNoC3lhUjLhDi0ojpx/CBwokzgyqw8hNN86Zma7JhA61BcVp68QZ04YXDgWSK q0yItQaZ9SHIdE4RSH8Pg4YAOQ2regiVU6VMvV2W0xhcYq2R+It3Xx1F0X4OouGzFyBSZ/4GmhFa Cwg0ifOaubcx9T/QYrifZYutXKZQQYBOX+ZN1mFSxHy/V6pc7sMAz2QyD4XGjoz2jANWd06eb07Q xIujBLC+fXKAjZn3Ehx2Evxd9ww6F/IgInosQvmJO6diKADQ/Y6aPt0ItKRUP5stDVbCVvLMO9EA UvqJ7FM+QrYWp1r7dryCfuKyw1KsQdw9NgIGd9ew61eHH9qbQEtUKtIblvjICk1Q6wb8SqmFt9AZ OeiLV9RDvBZZhiTv/ZiZLSu7c+NPL2ZYDQpgzQ2UDRveZvzZdcrCTHnNDGIV0XAFIXTwFR2on8PT efeBqUWsBVsBC+AeHAWx0ofFLyOsjD3PoAUmDHTsgfdfm4mJ7DjyBAs9ElI0w4nbTqf5xbfbo7iT cvFBy5WIy1fG3fGsIIcoPXy2Z6zbnJMxS5fM9fKIHQc0FDpTisKMCIK4pPCI5wZsLIMFt+ONDMrs NtOt3JX9B71RSKRjW4oP1EI2dbTrFF1tddL5a9AirfUjhg93IIkvMP0ve3Wo3ZryxteXJv6lG5+a f5R/n0xN7F4g6bXVISgKEWwWjMcBn0jDy50z3MSyID9X1UQEEJF6MX+e3lNcXuhjUNQ9xsB7Fo0G MndjXae4sdUSmBxCSFTjx6BF1JP3mzx7C56bSIzh389+RPR74PbivSFMV8f0XpSEPcwpfl98Eupw Vj5yKWArRr0gKsobBBaRVM+JwF59tz8RSV7oA65ya/aQ8RGfloU/FqPjVF13IhCBcfKw0FZ981Zu AbGaainDvu2euOyN+Xyy2sL/AHhU+cDzbh3Tib55jdRSy9qMV9GIjOjxptE5KlKmLrN+f/lGeRts f+4sGdW5JlbbW05Zd4sba0i+eOOho0EQQqIHaYXVrS6Adl4tS9hQ0RXCtHrPmG1CVyZNHHt7el8F oX3PqeycF+AtzPcWQ4mX9+39ZVi6TA4B9apWUw4Q7XUSP23esMHVAkLyaUgvk0IVD+5+TQjHQ4D2 nvMjB+zME+zSezZlvA+eFgyOWxw4KjavjDvtnCxXKJ7vJBWfMzuDguZF9tZw4GePvssEm7twtpgB Y9Nbq6G7ZMi1labvjPuiaJ9MZAZfwhTIak5KaCzc23INuY2IzeRFtIt1DT70fNBJg10j7+MFCuBc Z7R/c0LUAHdbBnsNjlNEaMu6Aya7W4+0SS0QtzmZ85FS9DEpkzSArtznyP0kaVR3vMdlgPbqq/l2 qXihed6FovbgbI8S1Y4x/ZuB1OE63hZUrbmrpNQwkjDjNodjFacBtCC2URM6Ynk8z1xMjMc9Om2u a2AAdKuCRfgUcTxHwAIi6uzVdmCS58sZcT4M/vcbHsz4vYlz5Wyph0sLnh4IkQ6sRy1PSjTD3mdj UtDx3mPAanjV2PfnLpcovBh+9/HLlSIu/hVVfodQad4NtAKQt4UQH81uAHn+xl9jfofROP+Xel1x ezuFd8GiPMccBtqzZML39is3T5PaxRQksXZs3J9496MOL3IKjjpv9iR+Cezqc5xviu6LhlKemZmD +TdwYu0bNutU4yXaQsah3QqE0JHzbk4mgwrRaH8H/nK7VfFegaH68bIPQDDzcjY+EjzlymzcRZwv S0AdVLlCVCmq4x/v+olF0DQFppLuxkPA02XOC/LxVPKBdhq4PBWV+87A6IJ5pvsObzMvSRRBSwD/ BKKJXSYVegrRdpJKj+hJ9nAwwR2RA8zqavZAhxi8EMDXOfxkAd4kBTgRHl0u+0/aWybP6tqDv9fg /SNbUMvgWvQvDpC0/SWDSInix4VDXG9pLdYVMurQ4/aWgFsqZDGAkbjXUmBqu6/EJZy2//vDZPTr RKcqS/8WMJnWoecHbUfd3hi5V8xaqfVyYGCQAm7knIVsTqXKDd9tjuVfvhvKijp5gEWWhCRqgcP8 hQZ5HzPy5aRFNApZm8G2U4AHcYZxynu/OT+6jN1ki8hEQtFe33sNeeNumL4Y42dpLE00E9YTdOjl Tkxl2JYBnxejNRb/KS2ouAx6aLw4yskyzb8f5M4geA+yeHvA01GhG0VAKcrAM1MTl67C8E2jzJ2+ hY6I6xmQqlBNEW94jZOoOiY6hHXbvEWzbPtnwxVktAtfWLPKYocdts9nd0SFkUqBSwc/W+CvFe8/ 1dJmZFrNr0aNBC6lKFHOyzyuqiVuIdCuj65IKgc206sC1TArfw8oixoAVsaY4fbWA60OXxrLn40d Jv4IFfffizZfKxzb+MTnzUcApBcB+rL2pskhNFaRalg7uxr9gbAnSEYZa9W0Fp14itsGaDLQNSBl RkMqVh8omm8R5EKPiuCj3rchByP104WRJD9j3U3ztKIQx17xvJxHUQlYbd6Vxx+jClIhgEh+QMO8 j9EtS1U/wuiC4Ce/s10CBnRhKj3Iy4iaHXL3v14YpWXsFdL7KatqDdjFDuCtzEt5NfZWGJ2Q/I0s 91WvaDa2IceKijwXgvoyMXO4bq3E0BsyHoSHu51Mhm7wYnJs6PR89yRlfxUvcxOu7uzdvlzl56jZ B5aYtvQinznywRlBAo8iFqVZnfKy6Ladue49LEbLf2QqFgmNeoIR2zTWCgkIpZrZQjTvzv55/inN VH0T0W0hI3hCMEUBXwe3cUGbO3Jhq8CjysshRvg3p2FP5KiyQ0kwC13aH40qsRk6CvvRx/Mpr+qR ZI6NQ+HXAXOdzq0VRA4JVdev4ew+h6ICWsiI22+5Vrwcr41jAuVHkyWC9078FG+HkjKxp2oCSqUt Mc20W1mmg3fpqmY73iTEQZQK1SGfk33qJeRChIAsW6JHl2BmMZeRSxRr3wer2dy/9GArPqyGWK5n sVk/+rW9wLBoEybJDOQOMrQi7bF6QPBj+dQ4Im1sKmhfXQlG1xPSKLRLUOrnhkq4zccmoZu7D5g3 vbXdMUnxcJY2hZa0F4V7k6Mtdr22ys1+68s78cZwI42wk1Swg1mp0Sc298gwtizjDb78NGk6Fp54 HuCHGSRTkCRecYDmWVn4ZldTr3EI7kEQ/ksNHiKwzoQutBOTtCeBWaoa04w9gThO/h0zG80mCywL 73pcKC7zFEOMGf7OU8Ch7hrFRzS5s7vaJtfLzRb5pa3swLm44kbeBkpLtY/gOYfGOwXTGzrrNGRb /d6nkJplhcvxStS0Rrzlj9d7E2fqvYSULyTehTEMZMeHTPLGryVgrFYXzmnHoWPrycR8XsPGCs2j QQFs8P2GLA+hTR32B/rWWOlfTKjHRf/QEhzhx9PcviCCfSXy0dOVn/LUcByvO8oW9A7tFaq1PUo9 YWGuiqO9gQ0Y+yIeAmZBVzkRPLqxCoqM80kHAGjiV/KcdY4ArKd3fatUm/90gnSDvTdnVwdT2Fmq FHk3goBEJ87ubmYs4pWQKrxyUCWscvBJnynFRgR3b8thANtZMj/1U9bSlG1Y7yY7iYYx+RARTS7I MFr2fKsEKz1D5aqR2FDR/lLVSf/pY9/GAaBnjK17haWgHz0h2VfQTdltG6T9KB24dDiyYvxgIEOk iSefn7+6qGzjfX8Lp8vuQvz8/pq/9NhNOO6XlqRTCgWMuQbTrCIRCqGiYP2ogdkCjFtwimGZcryn +WgvEEyv0KAK2j3/L+t+YiyBDFAS+TAOacnN+4YshdZz7JIyhhFs5XySXZCLhyN9AKxU5hWcZd1N nCqj6ImsplJaipK++hGBpAWYnYnpenifdDyDmN/GrWyuGU/6jIec/2NIDK8IZu24r84OqoW0NKeP ZbV0sqFELB34GExZBiFBjRxhUONI4dLPLBPYRYrsk98qX7j9GHxzsZPZSAVkTqYE6ud/AGlVck/F /LSbX+MOfYi2hDQzK+q0zBlkin64Vh7mr03el6YGCdsxhHVqgE4YagUuoTwgDz5oHaV0z5UWO/mz +EJDOdctXyk2sXKjtuR/9QGXNmT3PSfe0lE06hBW8aiqiwocF11RmiQ/cyfVXG0MZmYa5bIoEt0G JdoP4YqCwUrCBeM4vy53BMqxhfDzBK++ZMtAJdEVEozvyoMP+e6+cXXxFyV/ugvgtgwXePMFXFZ5 M6R0AKzwAlUoy0OxUkJWk1pKgtRkQqAdsdLflpzkoBZdVt7oc5gl6Fn4jDuCLE3SlFQ5Lb3L9hmz GbKraV/7AH98dfIQjfhQL0UWi/CKVSAKIIpOwHsaGpMmG4SK7PlU2gq4abVrioPTvD2xHkg0s+EI J1Zxe+iXuv56YZGLNyyi8vMX+cIrk3Lx3TNiAypdvQbJbRI/S+z+ztE1yDZ3Yik/k1FGskr7pFJl hSjRtHh8SLuuCfyXWp5E0OXu4cvvjkGcBiRFkUN5/KqNtl0I0fY+4WGRhQJXNE+sojpeQ3/lDW+g q6wea/p0/lGgcLNJWT6CF4iUTWCx/Mxd5vms3zjk0tSYhOZqo2BvMVAFGP5b6iRrH8L0BCqHxYVq PVt3Ru+amzaBHDR4SgagFHE+S/U5gBkfHW3WCujXU6IzGLbSPdUVQFCyAGFr7eK4V7M7YA6xsMmf 9RYHAGS1RfsiYT6muk3HnZvsBazbXfDadF1inTxqCDoj73tqO9Ywi09oeiOR8rx1LyhefiwRUX3u 63M6pXBn3l0dC32ua83XZs6YD6+k9toxjahmiuhj/SAmBgjPp/pbtxLExFIrT+tlhgy0YyvgpTbS BngyW14f7R03lkxCWEldt8EVWyvmQZrK00ESuzqRQpHliUf26CLqw8xurcfTahnKTOoHRpJMgaDF 8JqUkSsLqJTQr2Qn98XwD98hFceRQ7NYtmTSlhUAUiSSnkT9kmE93ZoqOgEn5nvjubwtR9TkDjL+ 8lDPGcPL3xgBJWgpyWmd5Ps3sFToL87j3JVyiCtJINfjEZqjGTQkwXYsUzINhftWXpb1P5iB+SyQ 9xl7Ii3hPXR06XQniSE6/srS1aEravxA/vyfn/MokaaPAdWCEqcKs0VIEUQHWwkrmwTp0HPTYaLl Y3ow3Bi5v2fzbrjXeDXjb/BkYFNSH6CMsS1acwU5nD1IJHaHAbXarkXYrcz+BVsWU/AvbeLN3aCF Ew1t9Y27znV0iHCrxUN0a0Tec+7PLpzhpDXXi6GS5ZpWxPOshVeIMsJyIxSR/wzk1Ow6FhNASj3h VNKDJ/0Reokyq1rxXpCKihmoxy9y52iN6t9WA7YOA56xftLMmFe1XAwr+vxXQrkEWyomG5KZV9oa lwBBwy5RUk6tbwwEMi+vHF0nJJkG+OHsGgcq0qHE0sTXoKFb1pqLCSI2dxzS4jYlIIlv1WoFNwZ5 5mQMWIca4hTQdUqmyRtn/BfHiEl74V6AWZnY6dwrEuTI4teiwQ9BtE8plqDhjvS7EsVtETHQavK+ 1wD8m4LtwpPrUb5uOPiDVangdHvRcgrRuSaRamBSGCNIW5/qVg+9Mfl6p0kWxTbBF/UVjPQB2316 d+wsUcWAuxBqOQcQiITA4W3dwL1R7sldeya5VirfnFryJwJsdQCWYHUFzDZNl7YssjAiJZLTWiBe L3qUU9+x+i8fJ0aDtJflxZ1vZnokxyIhl6fVLp+EodxXhUiw65hbwh3OK2uKqHQ6rmcU6KBagY4h vfkuAeWUZIyGLtdKv3Cy0RupjzgjkecikHxGKXNkz+CEBjykciZE7O0d2f7hIbHEJ4Yng/Ye5IUs wuFl4Pt4OGWS6poaJeClgb60eQidrPyA6HZ4EAEMumLURBrOYY/J1L754ikGETbQdURkF0jzdEnc hbjyIwfpUk1WJNcf7GRaWASbgQtLqlsrZbzASqVj/yQNe7+k/wuy0Py5yojXt2SwxPPnxRO29gKH LCG48qpv6he+4r7aHfeOGCAtDWBJnj+TbY76E8tTn148kRbN9KR6L24+MqVYuFN75tpHUhOM0GZY JAtxt5PHds19gK8U50trNPJ42pa3uIS7jVostik051FTGpq8/FpPgnTJt86JVwcpEoFLTMjJt4j7 H91lt4xTdvd8cBkmufJBZiYFr6kvo47h+qbrdnq6NfjrcuzJw0URXPYf3YK/ZnJXqZnEjwl3/oNT QduA3Vv9FwHunAdIsT/e1NNlfM00rBBUNs9Dz9VpdIvGmR2z2/gt3yh/CS+ILkJvF4tMc+cdCCG0 nPyf/dkwLlaXtibGP0qQemXYiRn8+dWzaMFC9F04TGQDuoGrp2I8MK9QaPG5UavNU1PHg+6UFl8d F3AsVJQJ1tCqqvDRQlnu2Ay2GIMow7XQlWqRx7W6W/g3DXPCA0qrqXOjollS6mO1P/3qCTaLPo80 Fo8kZ5UPBQie8kCCUuQPNgYJOPcJy7GicqcLdU2y4DR6kYxb65xMoKB/PKcz0T3p+tXIHoMj2xTR UT5HLPhvT0Oyc+j71Brssf3XZLwxj9JeopsCiX4YXRynKz2YFiLoula9xP4nOqskqbxC6OPiDgyr tvXx4bECxgZoildaZiiZiL3k00Kk8az9ZFyioX4pCxGyax3Y9oCtjYZk8njjX0lt4g24rBZfA4Yg 57L7YijRcM778DBWNuKA77NSW1zbvpkQ4Nh9IAuU3OPcRsnSGKbkTO5VU/PSbGVW3t6aA/bbG5Nu jjllNt7SHDxhYXs53rK12dq9TTUacE/+eSQqujY5+fX805LUhWFbZV+2AqlO5p7P7AiU/lcfR46M wS4Kvv0RaR5n8NYdEE4tTwcjOs6WIgJ7fFZmqmJqvSNK1Bk9MkcCfRZ8T+F5SlDAcn0J11CmNcOo 1UPrfETk6X/ChO/4+bPe1aFAFH8oemq+u8zP+xDjhrcCq7IXFT4o2AgKZ4sUYYHvQSA/hqg6w9x/ sPlYEPzgl5/mtmUTr7DJGwNXN0MQgcs3h2S5u+14i6cIO0gsC+qG9B8PtzUjjBrJgT912G0nhKyv GjlbsQo+HjgEeGEQ290ZOwibiAmWeNs3fV77TergHDPeLWIDVoKm6jshWh6cWIJzgTF4bdsI/VpV WAfw+y5TSej6pe1/ilBTiJre0SfMGvsDKsyENIG91Z3Cel55UySrvr2nkEp8hm6lA3xv+azSC5M4 LYGGnox5UrSYhrQHtCsn0Mla4DvZdEr5B+Uvgmg12ZUqba5JlaUwPVvMVOFOusuRjhQcuGSGbhit LYhaYrxsfqKvKxBbQ6F6e/j1tfYVk1oOtn/zlx+UEEB3/WmJhZHO1+OKe+KIiX+sleMq9eDfQtXn DqPB5AmQnt8M0EP7TxkxiUKUp2gkpZf24j007nl7U6xV7jnhfZmaN4JgFyCVSK5bPgP9sgaVI++z 1XXBLMoNSbLl1FSIU1eITFPUol6EnIS6VP1bsEDp3QOas/K5RqzbjabN1SIjNIzMC4R9tcOSXK8p LaAW3UC/1R/uk7btemVcA1YSYaB3GWptXJ6lOY5M6Q6sC3pct7ecwUXA/VO7u5+LGyYq890uNCLZ ZsdFmuV+GDKyanw6ozT24ih4fkf1/TEhynRmjhDhe6SXD84xSF+k0cNKRvHSmZTm0bFE6lNj6foW MNoW+kQn6tG09ZbWP61PPLjwnY6htZ0zo9ncTuTW75MLFt+pjZoLZ3wzVz+RWbyvKDBSMEZ2bY+P DIkVPZU5pPBX13j5zD4Ubs0/hJRa/PkmElDvx8IDyRF9XCj8z87hREdEXdtj/TS42SWbpnN4ACed 7dmtqotOGCCY8jnahn3teQUoI0RkTNEJ+VOVbF/bPpnV5FY+zTW2lJnF3DN3gR/9SQOENewwaWiK V0NGV0paXDl9AUAT5rcp3ElVGiZoreQZhm1wnb2gBF7Tht9GYYXL//qTqJfX8+MO/FtFJwUDm1zQ toxj+npdqj1sCpeU3clgkVfXfzZI1LmXaITTdzgwD78aeHgvBIYelHgwbJb5MDcda0xxkzw1PX1B vWFFvCDHvPCuJgRvPzyDQFBhH6+FUaHFl3STEFjpM7NJF4SNwzeCU1Af9kMscthuEfzrlRBC0l44 f082jsIo63FjM5EcT8bxGCg05kFICAgjt2sWppKzkmaU1ADRWDGKPYKSwxTGHycFX+IkNNERO+z+ 7qCrLKRKY/9thifKBJ7lJ0JjEF/lKlfKtCkMiBDrGedR6EgmfZk4IGHqpDRpvwZ8SVjr8S2TfNOh LUriEk1JhcgCmsy/0m7Jw/eKrvPbY5Pes/QVQ3/6p/TDLzCYhN58XJMLhG6SV0nHEAu0mkfqCWKG 2CybZhUNQfWYipXGa9ZgOI68D2TkRpSrIo6HBP0EFyJYO6C2HAGSd/LbaJXkXdbVDewSH5uD32bo k+RbwvognQZyjYeonYmrbdfr1ntpPMS5wH2Q7aGyknzTrPh4m/phM21GgysX9m4SWs1XdVKa9qhl 3fdIPRsHYOmjZ1tRx80+ofqDHeWEf2vmdKHHGO8s7e8NUv1Ygdygb16Nl+qcOnDIenYdCqFOsZMI /gPVuRAcvd6b4g+ewvS9nY1MXUORq3GEkyc8881Rbd3xnJf0DMIaczBwcA1sgWidGrWIS9fvNMgZ Y9b2qOMQFLcBp8qZCesQqDIPemWhGbLm39964r2PzMTe5q8I2me6teAbHTOKmHeyvbqQDK+M9Ic8 EJx83ZU0H+vGNSlbnK6BsbecjPeBACmavFSA8zll/AC4+8b6Di4bkvLKbSXdi6U4aH/gZiawuV4H vGKzxM+OwZAOQrlhx4KIEAKFXta/qAof8+7HxpH0Uf0kseLJQgn1Uc2dzpFe/OaW8kPMyTmyx/bK W5pMoYIroIROq7QUOJmrRXj6rycMmOOGqxAMyxs8i0YkScqd4m1b0hWH/eMGM4bGkA3jHU4axS6u sAlexDFG8MNNx+L76hgJa3N00QhFYZkRO4D/wwtIjWLvPiaT1K4nEeWGJLKswsxX3wk2wGz/yDQL nUvnBD1+UJ5mMn8jrbnaq/aBS8sW55IJwhqu+yVG3MlHxFK5zoGO9AUBoJMSgueN2QX+z8/FNsGx +szi6WJQVTGMIYmhnQJpnsYWWepZjhdggpq+mGLOTwrA8UWQDlGYUYuRXBqChlppXBY80Nlajk1U 1/OzJS7ckeOBQRs/AXAPwHOR8hz/RAzCh2RjZdc8o17tRe/J0/Mpp21w01AqVhR9qE3L1onOxYTW FA7vhQZLOmnCfEHRWXi2qstfMw96MumBWy5fuTm8RRhyQ05+bJ6v9MCwtyW7HmG9jUyC4WpCLqgK kLyz5LE1xPlDZKw/vfNZU/ARytkADYvAzVI1yPQaT1kIm8VGjsUp/wdLc8ByoHsis476LrOvOuHB mWK6hTOg/IlNtqvfRj3sl8y4Wud8BFG0G3XkO6d5G1Suo9Pg+udLXEGnJRQA86QX8ZrHLceQ0NaY Bjo/ICpmC/cF/zA642Kb759EvJ4tvvZN0JbaxKVD0XFtUiqx4V8aBdMISXKvaw8R6SVkPs+jUYnk SXCEUbkSEGdWkN5RdS90TiIC4XdPuKMySZV3XPgi//5RE5vZfg6wuZJOYHcs0UmBM32sxs9np7EX FKZusXSRHLLqN3ARuY7RAm5bcbqUJqXYtDShTllBJp2jxTTwt1G7wOSSiHCjsFO/JksHePiRRh4i lmfip5/5VycgkeDTbYNGZ/0GfHR8ojSrsixOiIIybQ/hx1WXfvle4WsONIjkqDu9P1ejq5H4TyfY 4TMiWVJok//A6rMD9JaH0JeoGTeFYUkeOF3Nq7LX4RywH76PxzSrNjCyLzlDNbZMbzO5H5a5lU0v Hfc2zm2KpwBnIPpmP/Ny4hk2KdnHAsxPduXK1v8mRdgCi1RglVu1KjcGmsgmtxAow+9wbA0zG0oW Cpy/VyZbspCa9uHxu18OXwIlPE2G2O/klmqxw7bh0G3H/yyS4+FVTaWACfTmawIvcboONQ01pj9U edARb/RF82/Y9KizEd0VAoMcm7PMApyVrpN7YyO9fpc7HC6d+SyRJ2vkb5p5RbXDrhQn+eJyAdQY zsr+e0s3xrkb1oWbqoju5fPWtZwrWxfFCtgX+3bPAImf3meMi+QH6lcKafNNRbm6Bk4RoZw9lMIv fa2rmjrqm+3eowudUQloAETKy/4Nyk29VwdNCZ+v3vueYM+PgrA0c9Y9aHAwQZTZrky3zBg+0ZUA shJgiv8JymfZq6yIeEzhd0CokWmxOAVDTlSOOp3MafH6G1uZFfftZSawf9yWVQDs1UrU3LaNFKgT K447qPvNcRNDh5fupblJP5GAjg6QoDLBHQQV0RtzZMmACCFBjxRGt8GyaiPJHlTI2pGGtJatE6X+ UgNhQlBrt4j0Se54RO8HEskRIYTzFaJFnm/0SsgprYimWmQq74Ii8hmhnkIubT96JLftTG51l2dK GwozVBZ8ylKa2xQhU4ABd1GRj21W5qUHkZ2nVVyIKHWmrK+WUCsWeumqMrY2f9bvE1YH4IywHeu7 MWr47QjGbkuQhGcd33KntmwXoTP+ILnE82SaN2hYS3hz3YdOJ1jQtllpWao2Z149LQ4F2pZRliXQ E+OW7ZIbe6eqWlpZgcZfhCZxKrTxZ38jbeCRXlbGGdDBTQUX3zzhe+RKpJYRV6P+7b6RD6GhGWY1 wRb9iX6E3wzIfGLo7rKqPqglWCzQHVbpmVMULCZJROQs1jEu+bK/MhqcAxh8yPqJbd+MlEYU2ulY BGqfSnJAUAP+KXYVeDPS4aTLELTsZwvuy4N7g7UNVk5koYaMgnDei5j5WqNKYQbpzlNpdXNbOM0P Tr1EfeIl2pSRQuByWbpopwtlk3NYj1PX6ZR0JVAwonEBuULqTA2AgYnyD0WzgAUpIS+FJ6SbTtGs HEhvHE3NGhijt6TPVZef4+zgjeZVBIF05zwm2jXu7aJ1uqhQCjN9ImrWtlss5WbaRZixqihsVPc9 A2I6lA5WfgYMGb5C7/192daKAucRtEB91nTHB1BW35uj41jmCmPOxangWCJbsgGe192RGfPGVm5F UQagewoEuBjQ6SFTY1ilZbPqxc1WKt7Ay3/I0a4jUazxtPlsDqaWR/bbtjH54QUDBFdGe7ydHnKK ZkTFiO33F1OqOj22F/YpMktFjgv17xO5FdqRizUUWxJrUZjOz5FkCgiz2JxFCBuiTw+Nvodt3f4T iKejQzA6Lfm5cBwh8jAt+9RjVAnp359ApewJqCDY2Lx5tdH6T2zOEai+k7A8josFkaFn/xH7+S2r SMh3MWcCB3LLxwPHT/tC9FaQ6IJQeywsY1b4kw4y8RMJna8v8Ex8L8XposfzrtIhJO519uleOe6k pYtQj7fxu+KmfNyotjJ7Mahl0YJ3rq+rZeAlXh3fSw5kXRRdj/POxYN+Xn8/zN+M8sn2Cvrfdgnh zGddrghTpNiJyRCeDOYvOp8AZZINT0eTA161UQNWNJMrpNNMyoC95DoZDEA6NHh1dUQLsF+TM4Sk flYRYwmr2/kC7hKY7fk1MOB2XbRF8KZqBcuHOg/0V+KmYOTfcwuEtHwf3/iu7gCfxwAXeOF0L5XQ Vdu2M49D10nGjLJoPlR4xdDZKAE2lAPkcyUAjdm+ZqlInay8+mgh4l+9+rVqnsfeGZmJLOnqODZs L4MkPyP6ZOUSCTzlAgTcUhNwNh6/jf/Efg1rvRNGsc6VYprk3FikTh6gnq6tCWbZYTaCcO9yerts CM67PQTN9BgRdnzZDoO2QYw6tjjnWMb9pXM0MvEFT1vd9Sni48oCGzAx+sTC80ebsJOrU5sBpFKf vCw8kBgc0Rt3xLhnG2vH28DCvgvSBdkUOSwCNnpRo6K0/x6DoYPiIc0Z+qISyY74YfYhdHqQSidO aei1G2sgXH+TnnHZG+40eEuGcOEpaMCQh6JpHPhcs4QZYLVkf7VLx2gxxPL83GPHdYnrlAdJH/iX UysJ63Vy9Q23ToTBSEpzdm5P/Us6hFtTulosRyjWWK6lHcESR1Z7RgX1lEpKYIYCZjePdMh/YYJI iAXQ4Wr43grmoO0yYRATGSLrU3B3VkKmK8bKgtAgGjKUCH3d+pF/AopaBHJaJtvWHbAKXxXL/3pe 1HonSP1UewxnHUCVvtjTYwRkG6IorD1pG8GQnGKG2upQB0Am9I8CxBzw4atJ1OGGXWlq9QLJdtP+ oD2+X91Wi0M4CCiDVAFvSRBWLw1KbVQCh4r4/D/k7qIHNxVQ6VhbXtZ/KLx0lJCO09RChjsbwG8G QPoRcOvY5CvAWLOP3D/V5OktiC1ZggXwadIMgsFAaJXZQ6jpLIcR8iOMXk+d7SkCNgRQ9KS/m6g1 k3hT7/g6ZGbO0Wba/xyiXB2QyJ5MZOBP4I/AecBPEmwdXXXsNAM3LJR9y4xxxVOXgMkoEHI7iGNg 7X0sYGvH1/Py/0d0kuWTJQ8fmjTiHnjFkvSFzogCTZHathWDHTQ7yotiZvalBVH+tAcZTaL6J9nc aMKdLAqsZSWYgxmmXTirFn0jjy/4pq1uS4dXj+tyPxHoYSt30uGIdV7zzPIZ70aCdTaBOYHyHpof F5wgY6aPYPqBR1NCade2Xvf+8DgGAHOU2S09naZYPbmhv/i/yM6627l3twkXLZb+Mi0QhKQrr61n iCTjQeHqStj1vS/LfqHbxwVVzaAdNw9SEikN0SOezSujsGdMzWqZNSVDhPNx5hETGx1Z8AqXka7v maFbe8tT0Pa8IZMsOi3S/ZQPNz+TfBWzuxKj8TvDkz3kn7d4KTsBFtT9mn3Opo2PCsthsU8Okw3q kEhlnsFBzs49Qlv69MSNI86voFpXUY1PTHek2ftIqLQ+kPd09nFBxvOBDI5pXjMnnGpnVsom5L5R +79pGXx86+pikdr9/LpkT2uci7m4qOXvTWa1UhSL1hvIT/wTgMTGssgQUovimM/OTXSV4CdYOQTw bCYkNpdlnKxHJSQtAejOiQ0WusBpAuTjYSlr0KIwWLXVY1SM/bT6lTU4FbCXA1po8XOZyxLt2NNR 7Z8/pjBXjri75NBXcGS8qzfsq9hRaklZ8fCmZF0pfudFNf4FgNmFGvXcYlsnPx1pICQuxDIKJUgc FFpvHguCfI+X47yUJMLnoFMKQ59LN+suPNa+prflxqSs1mtCWrf7ZdtxhBwVIBTRVHjAZXPDBvi/ sS9+CqikyWVTtrl+tYglSRmOLjbVjm5ouRAT+LWfv/iIMc7bTvR/sPPRtgKiG3QlzGyVPHk21gYG cGfgzbtJ9yx2tnHxuj7w44fJnZxoD+uN0U1LBfgvwNQmO1Xyc87GVvzqfSs1o73/gDUK9H4X8WiO vkR6l11LKKr24zme8/NK+416x8qyY/Qjnzd0PnTuxKKhwUE3gwzgLD90jXQc4CGDzL2SCuBjj3Vr diZ/M7BMhOvx8+DTtvxmv+8l7058sBaZMrvGVDoFlbaxH3b/AH9Mc8FlC1Ay9cJyEbiQHIf338pG MCAMKvvOy/VTkCNyfLpXqgkl3lH4ZoTfze1u61ajBKZGIubPFYD/TGvj3Sf3gD+TNIbVG6mj1h6D LXM+6BGt+51GEqZZfA8NL8QBQesnUeqet5rm/sWtw/aYCEDPg2dxpsTCB44DsvYn06Kxc+ZjgQ4Q sAYGpBiNTSa+TnXed/p8gPdsNap9Y89EsKgHOs4wf0k6g6TCsI97T7Ls340aRA64TVKVF9uvzOIX BePXNFjjmb9zoDxIDVkonQNuZ50tJhZwYwXQreC1KWrOwrED48TbqsWD6SJu3vN3NfUVNsdXBkdc WXd15nsotqpSU/I1yM7VPddKHpsNtlUZPALpdZ9R4UAnfUTXt38mSAc9Ct4wOOKPhRpDytFUQryl wZ1kBpkaLLPrOBdVVvvIldaXMWPviMI40e7Rvg1Kp814mpGyHXr5Okxw5xR+eQ/eAUKEp50Do3SM V9QHSJv2zSFk9k4humrtSnmmsbizVxSr5LTwepkpjvNy49hB5q6wrAPbMpgrPoiCDyzn2U3EdtR7 NHVrsauDnrBIFAwnggYRrP5sQ2FA1tlBVKxFWAEi/oepwH7J7KhphRLlrmJOw9Rrj2jrRAqFt3F/ oLZEgDb4rvrdQK575SaR81GQIzmfvIq1fm5EeM8um+9/jGFn7mR2zo8vGcb7YsbxHXRECDd1qENA FbqCzDL9Q73cQ5DuZcXixQ5cfLl+CeqRctS8Q+f6J5J1DOT14qIcjWz1MZwdVcJicG6U6FAM4K7o 0BV6US9tpNEu6+EY9i1ALiHk9lg6UxxQLBXYX2cdYJMem9IGR6hwKud0lQtlcPNC1Eb/LnEJ7ilj 32fkNTJqoYxPm6DVLEUjhxiKMqVDRuFZE+jycP5YixA0iigqcFWrA/ODXKVREhl6By1s3jG3m56X ENmayAF32o5NXCaLHQ+H9PanzFXlNw6eiNM+xoARR5MgCN9Zifcx6B2mrtCA2WOn2bXEFRhJzaG1 sdVkkJrwmiixw6QYXXDuntt7L08nMR1jJSKdF16l3rTTdifwQV2ndJ4bPEGYxrvPSB7SHRGAUJQI qXcwl+oGi2Mf0LwRM6CLkZUXOGMr2wedq5HmMape2frruNsotp+qtP65GF5yO8kqnmN1ZPKK1zZj lAP/T1UZcK8EIvMaVr9VsHsIAOB6IiLe4/hE5jgcUXEAJyJ2dqs5yy96dZT0JD5qPqLMpLBQjK9o TJr8Csih2EHvRfuha5Whdi6VEZdyflvxrKeEQoWzeKXPzpWBpScu46ClOguN4trM/Wu4uUhRKpOI mSoLvU1QRF9Q1gxBXjmbBapcWDYcE5MGRkhTHegorcg3hKiv4G/G3FQh44pLTdqMTLemB7e3ntGF tWbAtEkRT1KG4FebpHBB0DaZ69bNywoL6z2HLamFFmvJcLDqbRz4sci9TvDn5W4q+pIhn/BoMONz n5fOhd0GfRrCFseCinPOoO6BLnlcEyKCtYhKJJJeaB/fwyn/X+Gs1iiF4TpnfeVCy2vmM4EtvvI0 kh0FNAiW5HOTQ3dptwZUVbK/W93iIn8ok/uhjugMig16qoYG+RDBO0PNu5b5MRrg/t3k9GY/tnfw ho11KD+qxyQUdy+g+Lq7qg9DV1LnviQouG1JHdkG5crsI4lb3l1w+1DYnOQxtK2/D2Bnu5HC8d4+ TsFptEW5W9AXTS3ThiL3GCeqQ9YT/IYDjmwUju6NKGcXlKFWtyYoSib5xxeJg8aGI5dfRGCmhGbW IJc5cr1ivsouwayNOAYtb1ePbCVAiaacQQ3mnNhNf8OlMO2mwrWafv1nEOHmbjptURdCs+p3PfnL O0A6Cd0Q+6vpYcQwXLUESLzLunvDrD+ZWpnvrDEXNScVKrZoYX2W/N6FJMr3JxMK/j5CJZ4xbKci YGjZ4taFAmkIsx8at8qes9AP1NjNdjprfGasKmtDceBzV6GmGnkqGpS3OR8J0ttYFV6OhgfAOhiI VemkO3XcHzBYAGXvrALVr1P88m11LfVVoklgwGBJZzsHzjh0dp/10J9o6YD5WWn+5t+dT4Cu23ow 3b05MV737eCK5L+0yu2r6XrVrA69vxeOMiL+ZhUxR0hVE5DmqhRWSwKd9jLK2zH3u7x3zvvsX3Hc Twa5IL/88SmsT/D2b8e3IZBVtmZyyeVI6DbaXelUpxOVBGYsqXEipUPF4kIRZhHEcFe/OoVgrvx8 v+/4RKWYtvOEqQ+dfMxbWAAib1Kif3E4eCbdQaznBxdTSh6D2/R9TpAheWNkQ+3mI/llNZ/z/mxJ K9msNBVTI7LVNnaF4y4yQ7tab/qB272RaCUa6rlrX0K3ffDqiSiSQSqFKs1+973GHYc2Vjw+lihE F6QNBvbuL0k1x6uUUlo0pzA90HFYJrM8bg8771l8Ib22kvhNUDN3hhLXW/2Wql+wItGeIXl3sXsj qu+8Q9oZxcOcTF1yFEVE00uCbvPU0gnCwG2AkUvBGKKksTF5l6yAIOCAo5mFI2UC49kWgKH4ul5K RQwqFRW+JgXHnV/phCfZjBk94Hiu8ezc9uJGT/CFLkPruyCcASquYTToN1rTAn2HmQKVGUm4lmhQ rim/Jl795ossdKmzcFabpP5twYsURNbuBnunQV/v6hcec/YR/9EySDCe/qhro+9eNM3pgHcMtYJu NVPY2ok60IzDPi6GduIG7gt3CX14ShgnjiIO22fe2wzwvQg7XgDr6PVYK3KGI355okOP5atcDyjb EsWi5JBD3czJHy9gNjeNka1FbcQKxHeosbC8bWMjTFUBBV8vJ2R69ihSNXvc3K/5zHTT25LGFja1 FN85jb73C/T7vW97mzJ0CdZiMxcq0hQ9iqQ+2QBcduPlIhFL0/2uLSsUjYqTM0wLsSq6ReOrAKm9 Y7f29kTczjf15Ep55AMcBj7bZO/VezswlRJAffhlbSnz00dyNz2xrPY5LJ6AMeh7X4hvJrKdKimc 6NnPNar2Ssn1ch9daLm0jC7uURaNKvjpQL3u+0Q6MaW9GIjOxvTL/RvDmq4P0HAE6DRcSzcVgtqS UffsIFhED43DChhwoXuMaRpp/qIbhSkD833DIaeOvy8MbIvsJKN+tyCOP0Lzkfv2enatt+07McRB bQ4HkHprLCdi67hy/Ew1tLiVFR/mk1HRcfP/N7MoI4X2xSO46LUhArA48S6SiO4q4XgtOT9x/DGn +fXK0X+G2jGF2pejH48vNbuRvOxKifFWe3XP5KUOBzbsRvnO6NsOsh/E4X3E9akgCMTf8fXEgug7 cBe1+1uPKVX29gmKpOmBWGkI1gdY0G1apJWBTqMLzXU4b6GektXmwnfTn7x8KXeU7lTHrXyokLmb tUmWLrzg/SfhM17iZ/q/h+PFQ5CMMWhkYq68hHjvuzCAFzx6l8Ha1MUtYTozSm4pU0AJKn1+VN2u HISqk+dXnxbgxV3g/m2BurcqgIq+2lC13dyx9FM7liXdVu/xto2KAsPixo8QmWmwHTeiEhcxO4Zu lacoS/itISKWQibZRchNA3B5sbvASw3DWp4pVbqPSRkNxHR+yQGgG7778RG3E/xsKxevg/O3YzHB hUiHZCMNU5YqV8iY7KaDSmqxh5FEh33/GYBx5JHCTNs4jGDIS38264/GbHXtA3Uc9mmqAFdVvas4 MrOkEvMVlJR9rlTJqaAANNm6Fn0EOgRv0CuNkzRdIrIL6geIK7ZfUh4hQkZd6++QmQ1k+bL+LduZ +jAJUbgEtvh8CYLRGX4M2GSuia2YVN7xV8z+C6XNJa6UW4KjlUrUaHgdZ1Vbdi/9oIc0e/jeWOOX ER48nzZE4BY4CkwdpnLVCrTTbax/hePQpfWIhb91ayzf1611CxpOL9GCS/dtcXd+ZsfszoHLFeDn e5Ym01szbaaS0kwUkjLc1NlMr1rgIfaj/LoMLfTJJXNjJrKi+OhfqQjqf1dzI52onX9vNTYt3v7E YIusfGfWMufU/WGLkLUl0ry5zNdTblRMhHXQTpBHpVWXWCNyYzEEZ0l804grUOpFPKDzLV7unflJ U6W6onhKnri6QynVWAVvLmJ5OpTTllqpx5D193YQHQsYQVABWtybsr0i+34R1cNGEG0bviA2UReP 7acGE2LtmeezLyA+LwN7FaXa+u7UOSjNerSgCtsQgDTPjf3SkF/t2hP1tOwqywhIPwhh0Z3yZ2QZ VGfYHDhFiJCJugUbFKy21cM8g5pSHE4jwlI7Ltuks9B80zV0ozYCMOGLxH3Fq+I0YP9n9BthgCTz VG0aSimobbCPqDUIWu84iORF65XPwmZdGPZhg12EpXeIReD02k2QWruN8H4zvVFD/6mVuXD8CVi/ S+L7uSAhfe/PYQklwtmSsV+Q8D2Nw2vBNwU7JOd6I5LS7xfO9EfvfqYQCoTspW7T3ZLdFk+/+6Xs +Ob+A829QPPLZg41fQj9eyP4yc3wsPRIwS61hc8Ebba9OpsFxqTUL+NuKOw/7Tm1AH075MGmv/ox Q/MSKW2pb4mEidU7PeKe0MqSbBBfJSJ4m+XMI4+n+JZDo92rNGNQrAt9R8X9l1D0kAqTTtb6u2Uc zZ28o3DrMdfrnJKvbTZtP5xpaZn/EILdSFZwLQRn7JgcWTUYDIWfUlAh2wiPtGzwKvhjW8MdaYwq UCkhubMtYjiWyV9nfItpP94E0patzRgUsuWKRyptMTMvseNBQYyby4p3XCXebT88d7SeonV7pKsD 9qJXeoBNca5p3uSbFaqPIymYNM1yn9SyLLzGbuAlVw81dZg39oPQ+MPl7qddcrjgPO4MNhh+JcsN UMiYPshyYPvLB4GsGy7QP7THi3blB1PpZw4DDBPgQa7tSfz5K19+NlN2xmTv7hzA0R04EzAK42zF e0hq4FbpjFuYB1Kd62vDrgcQAvgpTq2CdSXil/kwx6BXKGs13ZEtBzRw07HRWHyF84JDhcHCRCOH uq7+Ka7r5/NPHws1VReMrxo45IbW+ieTLFPV7wE0zvDEhuJ5RpEy1SZ8meGwB4YSgd2zLzfwHJSw 9auTP7qjBuY4/Ez5s5r3AMh3zHPzITO5p+J2j1cAsXD8yyqaJLOn6E9ZQISreL27roJzVoNKvMSc 0Mc0faYt88Rk2JHrGDm8NkEbrFkewsaLcfgljmwmcMnnI7FLsb2UigAoS8c6UCH7zAqTzA47GU6t 5FwKHrmP3i9kbtxF73YiI+NtOVmQluns9DEkM3tVRWvHiGCwCTK0SVbJ2yUyYGAahTK2uBvhtdPZ B5BYmfLLTVOpVoa8v/qgvQuuQ9OnrJVrKgPrVAqeAv7vc5hSEvUadwDQwNjixeBNXX51DEAM5WrW baNe/ykpC1cR04+eB6lCIQOEyEG6Y0uxv2bZyYqgiY6ubrlEE8h0EfdvqhtqgTflh9S3mpdbKDf3 9IjqIL/M2OZ2fZ4rmQnFXt2evCYhzhUeHh6moBWdUV4Td5Fh/n//FqGlk3aT1StxBa02qZ7m0jMI c/KeOuBlLOjjok3og0vuFqMrBpvuRy8l6cp/1SPXX3osTommGttAZ9LfdWhIHrRH6RVYR4bWYkcK cPmpUcVJiMbLo5L9gLx1CLPwMQfGvbsKx1mkFIL7lfFHYDTRL9bQ/F1UtWRHMERo2oq5+RhiFXmH 3AwpSNirKyWsPWRIeJWtwtqpmcwhdZKWIu1JmZ0dpd+z/8l7Vv48vyM/vvF6bSVdS/VXwhWRfTEi 92jenVf5useEf8ZP085r1shDvMp7KWRtLXF5E+mN7G/7JaRTUSag+rg8KeBfHaCX4gjjk0YYJLyH J6FLeQ+sMdIz/jDumUL5LKewVs/RkI4yt1MtUXohYZQAgBrG8umZcQ8yELBXqbzbCbWESR5h7i/v X/8y90u9Qygs6A71ciMKgflIBQwKWOeczqI1fmGJwgRN3jnH8ETijhKLhfujPCX4bdwHSTtU9WrO cW+hMN+MujwgSj1F/+jWGUjZV/pm3RIu1xwpQzDeAAOxk5rjs5N8317RvU7248Glvx49DhV7kMEL NYuoXAFqMRl9zr1Xkw4sUy5AbW4MKEJBYrhBIPcPPd7qv6xWRNCzunyuAbMBzPt8/NITmiRDeqiS qF8rWlTSpAEFk7Yj5Z9N2/Zolhl1WrJQkTAFs7Zi3puNoXZq5K9DDx4ge0vcdMIAgvSC1Nfe2Orn p+kPtTULJtoXxFmGQzI8kMX9O5/sJBNKEeC/jczuRLabNqbT2wrBSID4cOOJjC8HL4okqZksyIt1 jPuFEhokwLc6EHPtrfdfpM/R4+Lvc6bckKrFAX+2/B/RHKnY/xht/dnQsW0P2YcqUNOdlBMcQRhA wMcHXUlbNSdO9mwLWVFvgeFZZ/VMxryoFA8FJ4O1wfso8IO8BDzJ7kLDSh534PthnfZePLYZ6sWb WyXAxgE+RxerbxHcnjPmrhnwecVrEp+wvlbRzhiWmfAWnkLd+hYA/K3Awc2/Iz0ZrwQil3IQtss0 FNX+s+IEPKfROLM0ntgmd/dtuX05KxhrwuHTX0nUubmpSYUggVgy98gQObM5p7AtWVE6LZJaGmf6 aCP54PiWyXB4WjB4pR6v9n5eo3K6+wCwii6UOypMkvctrQcFMEdmhc6vUY6b3CeORDpCH7wXC+B0 vyI7Mj+srE+kNdIVSEDk7Bm5mRKvn38XRnYUqdN0AnLtQip7plIGfm+wPU9/kecXqCepYIWm4G+f xQflDmzH0imDcz4v3HA/86I2AqcPNtoVjITmQwKSMaKBqRaWkguLcyKqgdjtO27Ai2ApkC5o7Lv0 Cnw8q/Ev0WwBLoU3za1lfFJpnMPLZ6JzgB1hmAKOND4iWUYj+TM/VU3Po37xKqA4nPHeJFH9UKKu tmMvAQLeN5paLpIW9d4JWPARKAbSH34Z7Q+XNZ6uCq5xY8wCvprc6XpOTjOx9a8pQyIlSW1+uQNF ERsSwlD0oURGPMPqUl6jRwYTuK8w738zstWheYzcLnpBYXFyhwbLTN5WWSJXJyLa8niNWoYPddKo lhT2i00+MSm/NErbpUSv2bRytQ4zdtJk76qy4opp7DzIHoDA46vKw+GUz3Itcw9aaTeuIWx/+zxT MGJUDbY20qb8xQgNQDfaujpSy2OOE/qMqyQHMBXsOxd/CgDq1Tlqce6QfNSZavJHFVX7GgActEvk /hos+5jeuhGbnJ1pbj4EntFHYTaakpfqDqIYUeMVH7NiY7E4XVnjtkgOCeYhhVAjBf/aaYsxWPYj DR2Bc3uZGyoUo+iQZke0zxPknfvlekSx6AUpCBKcl6CWlH+ChCAvDP7gjqunO4lsj3i0WqiCLdIf s7FpLpxZUNI8S0/vmgt+90UXI2QmOG+6+Xid/cpLfUDQtrgycco0boOBc0W1yDvZvsuskA8wmG66 hLK9O6npfhcFJ38FL92+XgeEQfUXFPxw6oyTB0Ks62fFlxCt/EBHq24kN2zM72gpW3hW6psjkCdd Fd80HaPTpNGhM1k4llwPJ1mWS2t4apjrHC9002OuZbislVyUUa+IbJIMnV8xDInSLxCvpLTDmbiR SM4dOkKjYPqpDJyqIpRkwXPx13jbaXCYRLWSPswMzsuptPcgEEOC6RV0LuBagx5535kbhaUuRznD UtLr3R7ucWBXFTHJ6C4YG3/KdFJ/jShndnczsEz5FgkPdLLw3HrXNNltWxVTbTXniMju+eTCVyEn 8C+5evkcNbXDXXYNYocvh/wbitjUv5HKZM4GgrFkVtHPD3vkfReMtGxL7/OfXa3omH+RJteiwTxU oCrag8kuM+Gesd/QKK9XAe9LR1QrMNrRJJYilBO/ywYfJWUakrYCyEy9zXBvKVMgOoUMBWbQf+Ri wLftOAe8q0NT560fymZ3q2n87XRcfV84z/YGtD+F4UKG/fgXuL/sW3zXrbWwHk0a8Mu63FMuLcwO ZuFstd9uQmBEADbaYBRLcDtgmdbPcDA/ihC0/RzOcvr2d8uR8LESraXWpPGsi31ImHuYEKKyNRNQ BgyfEAHM1f/awlqm3uwCChpB41rL19zU7K8NFOQ/mEvSCqd23MLgWgU/vLiUrJOlF24rrYLj1R0Y FZ8u8oz+eEcQg0OA0rnVxLajnlevuptZrzqd+oIHicGfjzWy2Sk0nf4AGf/jPkZpb53AZr3KkhSJ ZfUiMUaWrdB9YuyWpDOWNHUJiK4iAryilkz+9N4iISZH7t0jVKCJzzYVeClvZ7mWRNWP0DAzmbSu Z8Teqy4cV6qPucCY+4s73z51lKT6yg6jWx84padOIt5AJpwND36f7u/1woAv7dlT/rVnLt4VmEwS G1rqeDt46D5b4iyDWhWp/JQEfsr/bMtUFcXQJ/+vg8bAr8cL0cnXazLbjhp5UqO0GSNw7g1RUQrg R/TJwvoBCZC7qKzZfQ2ZQw56YekbnJAwOCf/K9LK9cIop7WY05xy6xHVic224cxsYRzVvxEOVEN5 SHL7Cam6DNvVUBAN0DvQtZMU3haW5BS4dgecmjLgfrA6UAn6lwGdOrXOTHoNH5ieu2D0B4DcZCcO y3SwvJYp/WWnrJtmUCg4/nyfMpYIz1xe5sUOpqF/qtNYjjv8m/oguP5a2aW3Sreoc2sxf9+H/f1z riwYz5GVNhqrFXlEmt91eVeR3OyGtj68h+D2bfIeMXysZ1PMDxOnygyGSkA5r8Abjx5tmjen7B+q 5xOGF9xdSe4gg/QF7bG7UbcaMTyL5xUw6p0otrASOMe9p1VjDtGMoKZNLQa6VHirr1N8Uk/T7mo+ 7y2wVKhqxZ6sAvjRBQBm+xfpSkrRye1mhKTvC1eNvAg9rlc04LfA1Jbsbu1k5aJCCoOcKQSb2e0S +1URfA8O7RT78m5dig5C3GHFkbXNDoQ1Rsbk7ZPijxXh5uShNWHt3STV5eO72NMdHzC7baujW/2h WvPXbDxDHGkumjPKevGgmRtoV91zuM6NCA+AQx46Dy2/PFr1pWRU/s3VpbIe5Odm3cS+0n0SXwRb QJhY5Wt6Yht5wxY6KaqF3rpueUGiKjowrOk2I3avAU8l2Er/0JQLkdGuRs2njEknfJEPdmqQeUCt otmL9ee16gS4bx0scL5pmUIcr2s+Q6gzd3He1Un+SEK3KNVNYqoKhHnVB8IoJHzvdpk8FovwiXZ3 GX6m5AiD6FNWIqxuyjmvfZAXWnGiSziSMuH0lVou84IQqCv1wFY6pZBQLBG7W/fxLvJzgRy3XH+i uQj3vh9+q03nayRENuh4Pm50GaUNCwDNt5kp7XoewEZQHbbs6SgeTKJ5aPw9R9QIz5cx5HQb7p2b 9+5JIUK8kqDL/pk2XcuEkkmTpIvzq8Tz8118g4Zak/ZnMsGLsNQetuF4v55ngNgcGVyAdIkuzBpT hA9YQwiBr50ulmm62w3a5XyKvh3TVNMhE9a1DRGAcX68DCybZhrYoVvTmCLtiJF0Jn6fBj8Dw34R hoNpxS1QqNZQjDwkTCED7B6pKn0FmMlEDhh0M0EV3MnpAjFtrfdj1JyjeKsqiS8XSWihQoFRKJnb mDTJXso7Sy8GzICosoV3tp04xWl5Qd/yyeVo1EdySW2bHIDtbB0wSMhVgbI68IzH/VnNHO7MTgHw 43RUDIIX0EU32axiJ01eoc1Lvaa1AP1350OVoSgWQRmZF5NWLAsimWqfRXYoF7Vao37XvIKoc4Xm /c9le5x8SFtrbJpcXtcmGJ0GHn3mvz0ku+xkK5eIz9CPABRUm1jYBDxiBvli6H2CJSKPMjhkK5R+ uStXPetCGK+xf+TRPXJEu1ak82QmitfZ9R3ZQ9myCfFajjf903fizJyFMCUYfm4RwZCI+Tb8MSLA mkCRWU4hC1ljcP6bh7z1lwbFu9o4rrdZ4XGeDZekT4+hFVsxoroU4iqzl8MMbViKHhN380Fch+ic PVgUjjAptBdw/UJF0ZRw2K8W0QU1NK4HC9Qn6lkC1vnQLkpf8jeLxngvSsqTnf8B0Cdm3mfXlGYG 8FXhxSLhl6A5k/EpCStyqe/xhe/WhBa11LgZDlWj4GZSGmhCUD2fWY55C6RVINw3VGn8bXRy1KZB u8jGuKyOjUDFfc5NBYEOOCF2zlrzPIpbRQQIyf97n0xyzjhmEDdlo3vQ/ukakFqdPPT00RIB5fIn mNkdJ1/Z7DmBSNdaI9ytbp6jO/R6lsab7VPrPFgcJeJMXivMld3nCZq1cW+UgCIUiUj10YFLxXDt 2zukJ5mHqWR94oI7vug5fUalYai6oyQY+Yvoc5b4pbtHsYqUMcBB1vNmuuaZM7vcsCvFP2OLNaLV GxuqsURkarS8ynOhmCK44e84Qjrh8hAOdyQw2ECWq9hMzdZirBDTQ3lMuR2KVfRrpWRqCrGcKZXK 2yPvvdZshwu5cybZzzBVuvMK1r2UAJwIk5tf+A+JQH5nEdyVb+zW0vD7O22ZTGwc57VDJKvYDxfa LPsK7gxA03pv6L57ZOWVKRK1Q1Q8KTGGmAT9UWXHBMItrOWpZlO6o888Xfjjfvdd4YctqGDoqhQc YVxLIDjVRzIG/lrtmEBpMtZAHuMGsjE8+SPmqOWOL5KVVhyq4QMeLzUf3e9zTA1IHC3UooIXEe9L RDjUqfkL5X4zqmEiPiohoU8CBxyjFDUnYAlbMthItb9fjtKzc4hGEKTXA0eCOhPmn3zehWD6oDVg ofWp4GkjkfzEVDX5+NSJKIQnUxH9hGJsxE29R6HJKnKO1za1wC8UnPWfWzCqSVKZZ/rnW6i7XWSw yvf5hCV/n61VlXzcg12jc41TBPIMcXzN1lLKPN2kpVAD0cJfcTzsgFUtNHm1eLJgHeDDCKOLxXOE 0fuvTOdZNdJlTHgTXHuKnrukfS61mTe8V+SaSg9HHT/x9mXHfdEKB3Uvf8Q+vavUfmHL8QGaWHnu 4VK53mTQqGQpk88zJa8VONQS6xWELlBKyNjn6io7e1uAFxyNmy/lBYRweEZI+YTP/wTxMq2Sivtw HG4uUW1Wpn3cAejylnrw9ttCL0jiQJx57bWGHHN7ToBwBgAUEDK3rLbZG5XsX8onAwzCgJte6khY 8u6mNPDnHohtdZHJkvVapSxsPr7hWbeSaJbjQwUkpRpy3BUf7Is19xtjBGUd23p76rs67QNxn/aU nSttmzhBGpbrx+kXs+kOrBHtaBHWv7MVLpyZ61ypxQhWMOK00NVB0SJ8kyV+ouX8SEfV4RIIEGDB wSWXdpDbWLC9lgr11WHecM90UIPV3zTl4AeOA/f/mBxt0RX6/8l5du2GXAwRdC5EcGCN6ATao4x3 TzmhdQ6gedl+XYLFMdc52ikNFEJvZrV2lIjOnjva0wcWbd3YHgQidlKxyR0uPkyvE5g12mmsQQ+n q01/Kxmu7lLFp0v76aAMQXWzqSJ+/CXLu89FeH4LanJ5FNAA+jOtaCXm2ZqJ7coL3zNEReNrVFnB i7ZMy8zgEYxwnyhx7qu1sQDIab4eXZnSDnqncaIs6VVL4nXJkfBwLvidqoImqCIq+rkItcXToWcI jZ4XP+aXidYbb725baBkvPxtHxV2KNyHk6Pwm86kagpGXRE6hq3uJydDDeBNOkBRkBkfXUyRyiVl uJXMoO1cC3gHgmChzzpEVMHq/t35mgwN0XnxORnrtDrOJuzdKnDUconVELhb8wM/pxXCJEVONr5D tTdD0uP04OWNoCJOVu4xobPxn2hnHUbYnfkfB134LJxZvLT3Y3ootU/0JL+RqdmKHA6geXasT0Oe TmsQFa2EfxwdZQq5B9JcdPaGHr0DFDhAEyrgLwG9pCJIZESXdaCeJuW86Bp5HQvfe4DJKQbXmeml n1vXrwtP/QSWYQ9og4BX4vpnnaCWK+ybCfCQzwxoHbtBKMnISLECh2Ya0pCyYhhS+DcWfovioqKW /XKPq9DG4Xx8PqYk4UHKtRm2HIgTXfTuNrfi3Nre0JOIQ45wDPszpHKZ90M1s12Eb+JEZReILxra ei6knNxDGIr5rkxoWWkH/oqxl7xHPBDOk+24HYmmiW5kIGfAG/Q49qfddtdDU8t/i7jGJ2lnDjxx 2GsRrYjechJmgvL7hgDSviPGeo3Q7yEFRx/ZkfM2/r2Oxq/JExSivQ48XwtJNp///B9fEKlvEc5z KXfUGR1j0iPZKXy72FZiF7Z+GkjC2P2KfT8L0OuPMuDG5ms7qSKRbRnVvP/qRfl3GZR99gXHG3y3 5yuiB+h0BCdTeleH6Pmq6HS+ElExJu0V7S8ekzmYHIwDUhC5sxZCj5qq6Bs1km6ZDQBBD52+KAhP ly+0DRrSgXe9k0PlPQ5vRMGRt5v2hDOPE+AJ4a8CehfEx+EtrEC7I94hGPl2wm35IK3HPIWp/JJL cuOjwRg4pzNGSQIIrRGpCN6STepeoSqGtcs9n1dmlKa7tS8+15nTY+poul8qMSeVQNyvaT4Gz5lN 6hCKbEXjjbcX0PRaGvLEY2cen/38WZOgmqzV5LH4U+OxRzHzfsbykIm4EV64VGdY41iwB4zBEFrq odziatjNqS9sCHj2EQcIml9J5TixY+qD1yU25C+GnwmjtZ+95kjbhVm82w/8oYp7JbmUrr/kuHLF Rzk0pTl89yBDjnsavcJzZjl1WRMW+Byd+1TaFCyaGf1Iaf1nu3kI5+MJeVR5XlpwnTSIoTwLKoLg HxAhq8D/dnxaRcznWoAvO2hnd3f40u1VA1FP/FTteitlsqC3mb0jshKpfw1155Q+u/JxLjA7OMML aj9CPLq2xfzq8Ga3ZWY40Wm6Lw4Y1OhRtAwHOOSKlZl3JOFWFBrwJzp2ZBGihzDem/ZI+BgVnXa8 KCYz3ah/WyMEZlkWAaKjyfqZdVcX7NNOV1BxaFvVypl4ebzECAsDSvbeHB1GKa1OHG/pjCEFc3t1 S4B1Hi1dH16zqlBW0iX5ISN/u5SWXVwwhMMHLl13Xm4BVLY1T1wxaIUTuDM7p5vNu32T7M/0NtmA JSCuj13w6/nXfsgUmCv70MbBlAmgmnP0prim1PvdNeWhIphvX6Qqk1PT68nrScAEiFsJSSnim2qu 1cOD4aKi801xFizkUG2ck+b+KQcSwSbGt1HFxSMyRGjxosgPLT8KsxRPHU1f8B6Y46gFZ3/0gD05 Km5OrtgqocBQ3YdkFTKtupoYW7Ma8l5LMW2hRvIc0EGnyp3ossmy51Cd9UWUuwKmGeUAs00RPoIV My29A5V8aE2dgJDhJRWY38phKdmIKaPiD/N+WpMDchyfPjlakaoIlM4fRmJhevLJueGvhvv1QiW8 civqGiw35X9McTj7wbYYOdHGUQ6LmB/lIRtykqE3DyGAYtxGD+6L/K2BxzMLLTlUsrWlEfDLwm0H h1uGYBM5MssxSY6kGoRErgeucWoSDRWVIdnpWV8PIQLdt2vfG7jB8XDz7cflDrbAq3togxeyW1Wb VizTtJcXa5FeWhq8jPtiqOOuTRLZlsexY1SfNqHRC6/ac96/s7YEr2975IFvPny19q/+6nCYELEH Bu8XAvr0sj1LTielSctfZxQEB/oRrJwCB4i66aeaImCwfTh0TBKWuwjrxP0LJm7sk2Y3UgkXHihI ca5UXfWNqGYCRz0NVD0fMGgOjzp2V00LbR33rlaxFn1F4yyI1wqo+cPDVxW3XafjQLt1kIoy1ecG paPw5fG2Q7oYs3ZWZ7qc2zchNEHJZzoU70L7C6VYk4ff8Y0lu+j4z5y5NMl5XluzLxTUwuAI2EhN SoTm0sqO+vTagzJaVskbBjy5sYspCF0ak5KLzUyHb8OdUfMrtzgkZjMFZLsVy+9fjLuWqDQLhmKb tCrloOK9+oqqI9SuVAIoixq9qBOJRcVsE7RZd2chMhHnAMcVSebj+k1wK2BQiQUFrs+ninv8JL9x M1DEnL9tO4bv/HQCvNq1U8gKEZWgEX0JqUUEhZAbWATShd0d8NJ9A8TRHS8TidwWj5nmyssfk1iU clFnzZqq2PEYhbaHNuZyd110Lnm36Jl6b5dvAuOqVLmJy7lTf67XF9QdbdhXOWM62MshIDm9h4QR O89VBcMNkfWI1jsakRpvO29YKPPvnQ/4k9teUC26xA39niPga0L3W3nIUyqAnP2Sv0JPHylsLQuh unTSZPi9JXJPYcO3Kk38JDaHC1qTvekd9tnw5VsqHIX8YomCH1omYZSZuwdJ3ASjCvHbjsCpCq4S VntEVtB4IZ4HwbGRH5iRQXPWok+MCGRcMAxSfuXB5Gv0cyI/JtLOPz34N7tFszpGkPV3g7UqkgQY 94CRaAaOMqwx9osI8fF13VhxCIiGTCC78M3XwfiRooR/sEzv3//rtFxuey7qHii7wJ0j5OAXqzfh Qr65u+6DCOP/HwVgL7sHZloDY/gdnhFH0NG2xN+Rrm5InCD0jWe7jT8Ti1zpI2DJehfluuzZE5D0 LVxrg8hLyRIybIbuYNyciSDRBvFXxtwAdcFzWdPmneEc5nimQzKMEFRQ/rJMnehu6KyahgDEi5b1 gv3CMWe86fV6qD3YWreH9QBem/6RBu9XL8Uvc36hYR1ImzNvnQY6RJdNp7W3gyCF2ZCjbIyoi/K+ XHdxEPIucc2fPbi7PZEqjFhwpyZs2lhDeg0MqNzltEJ5Rh0ZIQHPhWj88LX/YKkaaiDRx2fW9QN3 YbUlQYscde5nBWcY0ARJTX6npttcAQYmXOmtbxkmvYNG1GkypMI/3iL7VY6/kQuEgNhE2Wxe5oIN obuxZ7umgRDPmiFAiz8NBVdIOPw6V0rUpKQAU71YRE2syAaNtiZA8volzb0ytBVOhHJdclY3An3U wvFfU8PgkCnWEhPWaeLxJpVVw/MyiMMtFHMmbkw4kb0SUh4/yi6qxvUB9cyl3noaBdoTiQ6abhG2 b6/2gfc9wIcHncLcX3a7CHQ4UPzzzSUsF28+vncCXiDWBB+EKno60YimhgNmIuZJKQlgCzgfAPdn nXOLka2+L4CcjiJwEAq83tgU26ndFNOobbof94mhwgcuGlwsqy7CrQH1nNlYRq4J8Ycs084IAfLd Aqur2JYFzYxLsoRplrqVaqGmJN6CZh/025JHCc6H+7KLxvCPT5R+9fcPnjeJzrK1QffGSozMGWUP akneL2kBtPcnDm9RzAZHRHZkfaaEtCLSMIeqE2SqUZJwO5GWlGUqXF08nGtNaI0XooBV8iXrGJlM 4Cboi4CUiMmP53poD5UDAqSE33CVszZqeh3PKlz9sppxTSrR7ONxAF0ga5rTLn8l+vb+CyFw6yOp AO9INleJSvIF5eHfzX3L3aTo1TKJEbrpiWxy4qg5aPWOdnUymPIbUAQnYfJtp+1h5OlHSOluWvZp /eZ46k9TOMzY5gMgcJIAlfeVA4m7FhuoMu2pok95ZPUg2BWOpaadsDOjeUQ9FAeN7QN7vtme5Gax uU7C7DVdvvXGwE66csObGeZ5UwULVLI02WZkiSW64cD+wdGFFyQtaFJA+Fyghzg5ec34kciZOr83 0UMJ8lnj3kK96mfdzLya+89zIlLxYe9NySOVEykbWrLeFzA+6NDfYEOX4tMv/Q02STKXrwyf1NyT MiZhrCENeUJtn0fljD7qHZZYiq6B5khqXwcfMrOjwe3E8vgdrpbSdDC1+vO8FlICHNp5M/niTs8g bwOWH3UdX4e/QHQDPYTxreJ2rxoxlcNr8zT2yV2Dy6wIImNz1QyS9by5CXLW48eOIBXynHjj2gcl xWV85F7BHUoXfLyxbCogBJPmkUXa9+KMpiCjeHifvqzLziJaLuPkSBupIdGhxvzhz2jsyj9Yqkws peIShEXxcBOjeruAzZ7ExCQ4EtepvTBWNoHi/rE/U/R273dauyrkYrl1PlB+I3QeUyRhf+WGHomj N+AeQfRyiDGgqGnJXQXp2FDXeNkJOuSqfKGoLtNQ0TDYMed3hZUwp1BFFLrbltzc+2IWHc1xWx47 4gIyE4NZ4sAZAeVnts+FIr56fx9KltzgVseIHqMuMy/A4mTH42eUZ9zyaGGOvCJkDij1NEInYRYX lacUFBd9BAaLLX9cX7gueIGX66rvwkRD+AcWcHLj1tggNpzO375gcJ9y71v15Gqp0pP5/ikwx9PN ETIBEYGOBOR0yg8lUTNOhVfNy4E22roRDYfbl3Mjbd9NT1evM8IzBZIhvzD5QuAXcOyH64MQPJgv gWAv+jSq49bxe2u5u8LqR1cIlizqeMYLfO7diVLHAytJYXzCOGdPXpc/tEoBVdvNC86q5S8VymYw HzGTcbA5YVjBwC3mOkBLMLTyM0uwWMRu1YcL2DXLesEe1y0/IjajGb3vQFIZlDe4RaSRwj0ChNct 8GGYfuaMUxaZO4wAGZJ1MZGtj+qAioQlbl4HdaAzWUr8j3KaropdBITjzJefoHXOjgR9BlJP/c5W xU86wVnTan/lvU6TrWy5WqykcJiCf8bOGvdxUqfEM873HkxQ4gndPchs7OoVi9GS+u5gOjoPyNmw 6QLwzFZHLN/fgeahBmGKwVzudCqPB+rcQTYe0S0h6PbojFhwiA/QiLiByzf7LniZn3NbzlTZRBNa OvXOEnbMuCTqXamiYeL0hlnB+ZYCVV0OHoD8Y/Hzmpt94Qo9dbkKMNwoXk+LTuZeCP+/peG6MHH5 wqmrC6w5apXRTZyzn5GH7xWbQt0ogUtC6vV1UyhNXo4XdoWHDh7uhY62kFZ7WDn/Hbt9BzaqybqM xJywvmryp+HnyWJt4ZRXqei+7MLHnG81f1aBH39uAHt5a7NZab6uo3jHjCzcdDTIxCmocxUIfKKf HE6oNh0jlhl8jj5wg/rOoBJ9I3dVRemjfiFoJV4hoi9U6JXVkFyQOcCooeFhAeLNYOD8Nt5lKPoz 6g5dxFHs524BF5oaZJi/NE1IjqJBbPmvxg6jgGisjnZZUquF3RsoDpN+/mJQ1TYp4szEjjlkNbHP e+88NwfKpJyJ40q/8FdOj8LwTFOlxztk4FOgS3cYPi5334SPXqhmZvc9vw0EgPqdUWWT8O6H4TA6 WU1pIy3V4DDcKXNXRoFVX9pyugWNmZmsN8jGFIJvfASxMDfDHS274ZfqlJn/EQKR9Q4lUdoYh30/ cmPNBQrFAn1awzIUhb9xEUcboHE3fMEjYfdGI3KWX+WNr5VZemqerwzf9LDzaKCR2uB28Qglz1iT wa7soCwUtlEJVx7Iqdwxj5VlfwUBeNnfcsSXHq4FWI1ri+wRTIbdNXJoNexWRM4Go66xf0fFr+UK /tF6Rb69RnfliCd/kGv9prTIV3rBi2W/WjDxPe5PgQ6R3ijdD9mYyhR09nntpQISlulmBGVHlhRS QZhstETTw4rM2FIOipC1xI9vecEgsvt4YMpx5HzT15Ra9filDAIZHGEkFs4tVkw98KDbg4UIUhX4 Sm5FBugXZq3lpONrT/IqjUO0C57brqjasc3+2+orkwsPzZhw83Elb/TNGyLomQ1nEmIwKrKryEx5 PiUQjGM5ON083RKR2BR5jhWL7NlnkfJkZhJKRUk6KyWrudnTcE5Fg2ctWMa5PMdhUzWqgvBYggEH IEoUbmXsSEpjvtjJ0wAhihyJ3ien2VcfvIv+kl5pGgOZcshI1XjRGN4syMbUgNO33pDv1tGT9g7S m0AUKxMQzWIpBTJZ/B11oDgX40ROEwiIBuvustz/npQYQtJS5Pxqvg6SgZ5yQoBlFxak2Oi8Qr67 VbtzuSj++yyrQcTY3x5Fc31RnDZcyBW//a3DoODe+PS3inchM2jlFh0EtYc4tJdbKQUWcitxeXEh DQNrEkN0fw5vNtMT6jbJa8l4/crUbb2QtQUnllT/7xXI8giV6unyeqc3HkcN6gF5jjJW07GchKtc Yatoo3QOECG7EJS2GTUGMvDZYEzn0jOVY0adkf3/LivkmWPM33iQcEmyauSYvMVhLW8Qb6kHVV4z X4bdDhLcxsvOzrIoDyJCQNOOZogc15wmppJ/UV4ht3MUDfZkjj6wuZNDYDmXgSd8RRtFLjiueOfS IMUkCDsWfrsIMaHfA/rLK3RBeMo+q967fk9NI/wd1/EpzI3PT7OzmyTRX+SiHjwiaIsbxhlQVKgC 4MCKOil9pRiSYeSG32uKxnCX0QV7l6fbtZkMbQEEeXYdygYfpqHCcV5+dl9nO+y/NnH4hAsq75Tu DEIKlvvyfBPmBralj5f4z8q48Af5Br4VTAkWdeIb/hDPb17BO3UlKjq25yPBDqXwqdkufBJ6D6YE gFspxtCRK2ClxO+Ti6yU8RdvM+yrKT799F18ThFza97EIHbP1n+RVIDohwneBsULGZgB8bUgztKj Mxi9DlEW5o0NNK/+jOGLNhuZqRGvOFKCrtwMgDC/L8hLC6uR0hSaoQ7uaQ+7h5h6lAGw8DAZjoyS jjIlVxki+uP2ujowLRdyaz6PjUD+iB5dQvCENR6M4wSbPPWK06uGQsFBt3OaTrpVeQiP1NCaSIiM fZON5yNQSiSi3HR4X3Cp04CtCelj0ODM59PpGEI/To2Faue3Mgn9yJXueS8/vjDxYgcbzuiSpBP8 b4eD50Ow/We/G6v7ibdEVUQrxETzU7vKXOygwkv+JrzqHEj3/yhPK0Z3eQ9T0R5E4aIF7mEh34s4 LrieXssfauU1HXmzuGMycPRmLHCPPMNun4RI6LxLGYK8CG0gDDI2Ih/suFQv+2nvqq/tfooAFqk3 0WAaIRoyMCyGQ1dzjOxr+Ba8tuBVc55Ms/oim0MHwEwuIaLHg4nCtWEb6sJgs3XA7o6p7pOFb593 +t2OjY2DCu7jYptWXFfFGGMk33P5WOvHr5A9a6LkjG11WJsGEKCYJJBI5NK6d+j6FlTXVsClkbsR 7/Qjcz+EWVluGvPooUuXBr2ltqE1QG5hhDDr5w98bEVbjR/qruBjIBMCkDFFJz7SYRDFrhbby7Hi ZokrD/md+VGd4EXiC80obnIx6SZ/tXRZplxqGK34A7FCr5shQrZAtjfXBvBWnZcJYjysBxhHJXQS mE9cHrG9/XxxaJQfrFBT/3E57AOuqXSrykIY6/sE0KC7HpeqjnIsxjy/vkJjbP0iJKOUtvvnhfYl AT6O3Ehli6CgMWUaCq7WEH5PpL+h5zbADPiKV1shu/BqSxFgNGDQPUlVJoCXkL5l4a0NYvf1X8aa FTU/hwFcncLQWQiiCdQMYkfx9fW6n9YoKPXDf1+OTMdijTpujze3TjRbzWedB8EPqC7XZR/4V90w 6KLIMzKqf/fkvi+3aYFvEDGyE+mDVn6o0SXXAnJI7xELcWwZIVPIeoyaX3z8NCyjwDLDzbwxRa5H wkghlSGR7AVXiHDQ2hIRU0w36W6/qdA7n+QY3Xn9r2iHl9BPYnBy7mDv9Lmg5jv6pZqaaLHquYHs jP2VmnQoUVf7wPMAHcPvhe529OKX9tBZPZ/aBuWoRYg97veBueRJM5/sh91Gr1ufvolMtewnadnU dO2cjFMeEeKfeJhFdFwLfQ+RYxgXMIIiQPO1csENTnPw6nu/cwBigG+8J8cukkQF9yK+jKAlSYqO NqQSwzbKDMYn0RTcqSQ93xVgfscpEq+JMr4WKUclArcUX5srpkN/QvK1Z+An1TuNTfT5LjltPQzK DsJ3v6rIC0ZU7jb1CvH1It43u5g8p6n3uCr7QAJgmi82Nz3VlVE4ervO5UI+JWTiYnYckIEVEZQg gbEvkCUwrU3ZXrkFhbNrjc+L/Kn1vP8G/1URNdZ9eCGbX2iPgRfQipDczx5SAHxuHOR+dzyVcYhM J7Z60G4hdTzQ9Dt5u/5uBkZ+ytWLj5vehZIrV/NFUTlQyp74FgplZKiWxUXgNTlvDmFvJR/RQYc8 OGuTw+18xR7ItLCbz3L5lB5A/p46W5QZu1ZCOjYBW6tZ3q8la82Of/ijKlFm15pq6dsPzFJ3k3wR gpfRGZXeZCurGKFXZMfkxXhgAgbUiDQqu78SrqN9gUenn2p9GWGgdpRciQ7MK4gIZanpJXvBvOY0 nOZuT8W9ELFqJ2oKiT5d+zzklhWSW9mFbh7BBqcSeIwm2Wok/ftSIzr2vanwkNmT3NDO9rpkyUb1 qbc20H3UWvBg3C4Sz4de+Ef4kVom2nZEfeWmYr7Fjhzj72cvDpxcwu6PtdjVSwPpAxQDzb7o3NzQ nBwH4tJMR5e8n74Q0LK2/xgr6YYj7jYvfdCzJ/qAQjP1wGuCDdLz9Yvg9PH1t0gBl9bED1iXHzfi nmNbNG76YeUTE6C/2tf/gMzBYC09IbdRfgZp8TJ+rQuCvUwEI+v2s4yJYlo0WJK42Z6HoPog/uvZ jnWKx59Trv9aAo0dreuASnXcRfhaMstLMNucLaSiXtHHrkaEZBwxKkHW5Uf6A2vUlYQJDOubJk3e WppTeYBw891FDBndZeOMCBuJdaIbppM83Gk3fzpwh0LW0dH3dAorgqbfO/U1KSzrE1yrbPlJP+hM vKhGk6l/rAQyxCHEgIu/xpikhtu2XbFGTqk+doV/xG9CJrPa6HOZwh0Rn1614ciGGtbB7FiInAOL rJGDKfmI1CZmkGWdjhEiT4l1ZAK5lnZPBzdRsqbmUtTjEWr5FkeFDB327GQn9zgxOoK0sou0R0R0 0G8cPFgPhqvPJ04f4qUmpJwzMGBow0L+V3WXw5ycOjnUamc0s9KwMwFdxSRIYe/ful6tTR8b67PF Hr7eU8UOhEDhyC5duPKfk3rjrvzo6tptt2S9hdRv/hbIBYknoHewuyd1xHfNA+KJHV9SQpFrzESp r11C22MT0fBLTZizJ0rWh9IoYVHBFV5z4LJSvTX0sTeFkmIkXVQUUmlzf7wsb2xWT/b+akT7Hyuv I/ya7b0HdUbxER2kqN+ZQZrz23Cw9E1oPe7DfoU5VDbaXhW/j7PsuBecqiYSBKFudZzLpaqTcpJr hS1TJtBo62R8Suao1SSoYY7H70B5j+ODwdLyjnBFdH2lPLbnlWCbi3ExLoiSZjp9jYr77RTLOzX9 pIzhUZ1SmGG0R5zbPQ10CGieaIUE7yq3hmm7ATyRnEPyjCeok6Ke1A8EmaIiqh/uT3wKjnvkDKbh ETclWcPRgszGxwq7mzgfYwTwRLIlO4OrZvWv9lb7pAYfO2pusG72YOJJiB8T9/VuWYpKQYouS1E/ GYPVR3ao7dgRTHejv20RbMPsHu8H5iFPHFos2ZTU/gWNBTK0r36rc9cGJP0MIHVmYwI9MuDoEiZS 2UXMaShI8i3ck1sO/UsWaP/qxFK+SqEdfDQiLoKTd28yV+2ohgivTUtaPOtYp2FbgajUG3ASDLLi ncjlWG6ByJLu3T1LbedDbmdC/CtDlabfBE8nUVnQCac4eYcEpBsXW56CaKm4ST3ucp61Nyg1i4hG HNOvG3LFdhoTuqZsr/Ojzt+C9w+DTbbyKVrb/J84H/t/AdpSRnt24CMChmUOMZzqmhAdifDG8C77 wCfHUMwlarposbGez614/++JUnE8GuD09txTaJZ3QTf2YDLH/snVi7/xy16Q1uZgkm7hwXYI5vW2 /7MuM5miC0KHPebhIcaK36knE0r0tak2Vl+ixm1/NhhTY981j/zyUtjiRHNGegeJFBEYTGbNX93I NospznRPszTyf9FXxjJz9o1XQ23nwG/iY72zTZsWlAphSzd+m9VePv0Dv2SElH4TgHlMUBFrqeIF RvuWjgkJiu2MahBogntVKIa/r9PGyrZn12qD9NCWXgntqXwy2V/ck4ZFpmUQrfkOjGLZBioGBK/m af8RNXbldjHTObdmJX/xTWkFx7rueitor5MR3I9ATbFI5qAPd4jdmwi8Y5vkbe3o8NgM51jSPRRk w/A9CvU+6A+YoP7SlMD7EAOfywRFOKuGUDhr/7ANLt+PQfNvGXp91cE/NlNcmRnh3dfDAK8PSCEj wj3Nfjjr+5Bj6JNEBe2cwiY3Mk3C6GHpERMqYYxicKaVyLCeVakH/yT8zytVSl84ue3MAZ/xn+IA MgSbuR/I2qBYDIGLyKe8Pj7gZwdP8rjGByIbBzz5fHS2fPBbOzBI+WC1N6+hf/4eTmdRsx26St4/ hTJDhhrZUjsoPluFQr3f/R/Vh96il9K+j8QtWHB2e1aetRAzUS2ggQpwat4odccX4UZpKgUA4s/l L5UH55hhIknL+U7S8lQ7WSQcSVroTBknBD+uwP1IrmTiaNm7ZfuykjfoOs8YF0VNfRcAtFVLlers 4Ar2dkGFNoJ4ZhodYdhbhJx+regD90JHmQ8NG6mntu4vYpXWeXEvdlKe5j7YkWVxYrTJlCtErjRV 5rXlKazvrnn2ONUDG2t352YA5XeyU27pkm7NpNdkI8+VtLEIgbofF60XSFu9z8U5n8ldkKMpjvyx RS88hbqIq4ZyoD6PIZtarpWiG0pFn4OGszhjjOq9/oh739ZjumojsBjrLTaYgdOBc2QXV2xdJMCy HjdR/xw6YRgG+n8eT1befgl+3tCO7P1VLc+K3PaxZ9GHESYjBqUzWa6lLWOdA3lx+qq9ndtTe3fP WCq1nlQrlS1hgTiIAllvfLynTaQKnEQC6nY4NoB1i8w4ASrdLkoZc1YVoYOKky02jCidV0op69Zc tbV2DJrG7ogXI1HQ8e+CFNi3GBz9Sd8WvqpaisWi1cs1d1IZLxUgwX2/ejzK+Ars97u62ZZo1jDP gF80X7yoqCzlVRjXG/7snHC2gVtOfcW+4nObk7yCHExVqW29zZJYvJP7Jq3wmRdfM7a1dGjaXb0e rdDKr34fI34RHKkgX3eNjOC6wB33V1CG7tavq8nFnMQkzvTbIzUDsL086PS9x96/1C4EjEn2hP8w qEe4FcSM7gAUkuW7/3p9N5qNy+zQWLgZe6XxaGr/oJQZmVZL54bX16/wumEsV8gG3JUo/UBfOi7c C1k9RZSvEjWOeBhY4JLJ96Rj0kWXED5dWsqLH5B7l85sW8FcmirV01/YhF+2aTfTh8EwRkp+wraR d/OaUxAZu57D3Z0hjKOV+39cwsvbJD8qebA6L+1W20QAHzn5ShLJ3+XeKeIFF6b1OZPxGIApEp1Q 65QGSaK07IDhMdMPZQ6QMWohYS2il0eZoy04JAXYMhZnkMOpdhecDb1gjgQCj5k3B/kTyYkxY3mf sXqNlcUPpvPNmTpXwwMiyASKw6eKD0XVvjOP1DC8ERqVrETnSflOM9J/0CGf06SslbGFfNkgMBDX 9R5YM7zFZi4crtShVXG/Eg+31HICVCO+9WAH6PreQrVUh1h5rIX+zgMSBJKCFW2yJpjGaIXH0lcM I0z0KdPgjgFAodmTkOVtHk7dUaOtafoDsUb9lAvFBRkpCR8gY4O3MFy/v+n6HBmAyR42QnrDGUIF 7v+xI+mz8P74dZXOu8VR2F38/iVhTNMgk7ZUJSmpxkDPBU86wyd1Wi+OxebNDnuFFRITBEia/ns6 d4e1L4+5PqI9DTotNqlNGxUppRGGfpcD2Rdv2BNgNVc1ZnjI4QDCkvYVWsFvQvLPnTrxv+LoSEdD +b0lACqGdUopT8Iq7OdCnfuKnAt3dOVle5i1RCNbsgVrKW1sZKEzVxMqIRMBiWngSVl/sMOOzq1/ OyU9kLADK0/8YsKVXS1s7/TzIn7NHYApXnekx0ZAJ/DhG/VijP2aTpX2V15AfP0TC+6Bn07dgWqZ n+HZ24sgWlpa4shRGdwxzqLjVxBuoXA1zcJPoSwraexOCcrB+v5DDbICJbNlNOXxSxSDUhIZJE6r FyZV+DPgBWv2ykcd0yJXSWG0mQYh8IY3fkuX5hNwhO1EVnGqCA5kV4j6R3KZgSpFZSJsLsHoFakv qGKeS2PCPp2D67luzi8tHm2UJrALxgMmRfHMiUDgrTvBhYVGXK2OBVrhPi5Sw/aTVjMXCEiV/1KN gAiY/f5Vf2JVwo7MNKbPuO9VcIfXBsSp8XlZ8eYDUcdSV8K049XtE6ZCzKtITXkPJQNV0aF5a7MP nHYxX5o1fztA8NZ0Q969vKA/f5PZqpVUbotVfa5idH4b7Pz3kDNFW3kD3qISSdNJL9kbjVGpYJoQ P3SxHPXbwi2LNPUbIojiHe1ljAglHJoRhU+71c3NkG36dsZhM0e+Xjqi5xeMLU/Q/m4kjmEJDT8R ncnmnRSnly6PDZXLfis4CRBoev4jX2ya5fePCy3du/JSqiHB0I1zFVzaOziP1ZT7TKe8AzGnV9lj WNzmqpbgJwXbevi6b1ApMpOxyViG3daOzCcTvoa93b6sQ7mtczaKCa7wU5TxiZd1Ad5RWLRvSqcr d/PKa4y5OiEWf1VtEFrPod/HQNQo9bBsWuo/TbuVgEa8bt37UBIXozaxf3ARrs9mOj9F3Y/Br8us j/mqQIvDc67ZlaWbuga6toqtOOg4GpvrFrZD6J8mNSh4LS2LQo/c7tPyO0YhNm93kHvISYjKjb1N B5Z+ncWBhwlvebuhUxgPrC3oDT91w+soxr60ORoZCkgJBOIYLBVQvUjmPSzYWhzRLazizbsH3Qia tPnXfLfFnqsW1gwRguUlWCWLMfayX6Kkd3e9FL9+h7QDQtQUMjpZBtafQkurjJ78isADEcENbF23 vaSC421JglTpHfqjYjcFzEsfp0aO6CYzjTKPTfpB/5tVGwo6NhmHgZppFGYzrc3xxZB8hdE8JaC+ qo6I0M3TWDDIVdMBQm6vAsqygIfPoXshBfXDMNaEXxHHiMa9RVMPLmQGeUs7qYDl/hoGgFSU+h8x ptLhx0XE9eJ+yzK6xG1/m+OIWr7tYOsxJuymS281gyOWq2DEx6+YND60I97Uo8H6xxiwYjsK2HLU ObTUQ7CHwsrsIoJFbcnR3V0yMw7ouyBgGZd3H+pk5Iq/62ftCZ83kD8uX6GDodKcCJ5IEPXQeRZT 97+UiZx/sCs6XGfBWskzlWzWUtDDpJ7yuCZ7B114wLw05V1MW3V7159AQVN3SDDNaoeulJvyzuIb JX44aCWJOUoa4BKgXorkMs7HGWoEoEHjwFvMheNep8l+tZfnYX8RSh5KRX7I3RZgh5d1RsRbCnMs 4GZ7yi9m3UDyAUaVQjXh2hqFmlPIocLBtb0hQPJumZ57znNZHTzxCM/WId5LmWRRpkkcC8x8Sipb uRXH2Wvkjej3rNAOqKHlC8Ik6h79cJaQ6ADN8XgIyvvHy/BTRK0nGjSjcOarlB6nLfKU4oa0ZGTS kZy9yutTMoeJCo4unHt3cGkY316Q4Q2uacQNMHfuB2k/hTrnDxgs2gkv01YPvi92qXjWwtTJuz98 El3ImGufZJp7gu7EOc3kuWvk4oAvdQOSff2NDcUgrZZ2xE5osBd1+BVwqvFkh1I/Y2LmiXeXA0Wl oeaLU36WXrmtbPq6XQ8P4dgDaCnOAjaM6hbA8YbjDgnXUCzCFEe2Rlu+Ez/pLphjMfIGzZ25DxEv BIqF+KbdB5X0MaLF5P0Dz8iPz6pwn366qM15+AMohxZPlEqDlqUu+QmN7lblQuIZAUHIUPeMI2La SsUQSYUrc+44z3kNvttwwU+4z/xXlCgT1wt95LEhMqzugEOfKGTIFaZNv1lqcVnFw0fGkEyI30dD E1vFn6bVPweNFEmTT18tKqGlYMQSCa4PPDed/vm1TZ+S/ZPREgAnSHcISkpDHjAj6YutABXRrTsD 56WPsNH7J1TCZPckOSkke7WHUBY+WpP5re7o1q9rsYXwqy6yYpdKV+ypvBt6p7k7aa3Z1fmFhU3x v0s9GofG89QQazCONp5FrLQdT/82bUIl/0OrIFYUhp3lu8LJGCqgA+FLp82zz+eH4Uf2y7zGojUJ 1nw6gMLPE2ST7cX+KkHXJnJEVMZR5PyMJbSSp9coN9Krk0mCKaB1I/LYMlqFVcCffP8ifUUKcHec 1uDUNthggpPJ1FvbsQ6ZT4ticokSGZMGoWVw95m3dY6V+14gibmtx0AY6GTBUZuXuRO21vri4J5X y2t2zn9SDmd2HRgvW8eLmuB0hHUmdTkZ+Jco8/hrz5GdfwaGwTfzkunVvKnI1xaV9b3PqrRKIUO9 blEfdzTUOFDv7FqjcHNaWEHHm2RmtXgODp0DcKQ20V6w2NKSkPb4CJ96Ia83Mz0c6phMj36Vd18m w145h/VXIUbSFJ6/scHjiIOm8kJHn3xatNp6vAI04kiORGWzYvpflyQnVkjKAmWbGWgdL1Bz235L CzFxiG+xhNMID5bDgw/iqeNbm06mOiwOtS+X3UYlJ/Gsv6Px+HvF9tM+iXG2OLzxYio0HLGueab5 qmdA9kDgVosh+6QlWEv1Kjgrf7LTRTy71ws5rQwj7zHynz9SkED35lEzjd1qrQE9SSI1Zxm3NtTr DCX5D13nKM6yffI9/FVJov41AI5w6Pn5YfKvQfO8H1ZI0eJa+b/UpYYOsg2CHg52BanZlyAtso0i iY9ISRCM/HzC9/0YJ2kHdGyX8ugCiIEp9uPp0D9PlVWOWupqUcYZ6xv2z/CXAVEMhiqEU/pH6xFI dRuPphxPkE/3zGBm488LlgeXZoc5/cZwCAGE/lVJYy8q4mxcbqTHMPslz+uYbPNdE2XF84+imw1F abVzLg3x2ditUkXovOhekFEKIOhS3R2oeKFmLeKLWL/UTidonMCvjbH95q6k8eNsZL+D481Twr+g My/0NJjngVR03F2DtEN5DTX3XUfEQWKSkqOdpdqsUAGKDawY5k1AClSCNSxMfej+1RsBkthgXlEs Y4DUsG0PdRH+V1dilvts0FV6vK8otqMmQsiSMFC0IgBB4855eDzcDKPg8GnUgDpBx8NQEKYaoy9+ sAy7/d93v7Lkd/FA4/Vjl4GmXi9p5Wc7x7FY8uYQLsKsG+NLxCC+9awGdmZD8oP/Cb/rS3kDDhmf SMsFYBdMh9NVtZa4YficPwrg8YYWr2CWMTcJ9b4xAtryyzuCccivw+8uYv3nx62MYQIPbKOF9pQe 9uy5j2R4xoj6/BTXa2AD7GcxylOJKTssj4Qr3f+agZ9nkdJnyRCWFH4X3rYC5GtO3efnItDYw591 7Wu/JfgUJBh4p98fP60mCPDS0qB8rAmmWrThYYaqCU2YWEj7XNfKUC66G+XmhwKhnt6hLBB60PsD BKSsVB+nmX34Bonwo/SanJLhv6VzTgXi62nPNbhlAFqDCOT2xQzDWOhhXbzqa8LajY2g2WhI5loX JLxwP04T6Sy/m0pmnP2DibbA1EAu9Z1JWEEREX+MT6fBZBhLP/SCk83mgensF7GPDm2o+8yc8wNn 0VR1WTwK/2zuvtUZ17gfmciUEHNxZxITYe1HWMlJuryy5B9sCt1wbFtCnPDx9Avagj8BR77c3I01 N7JYy+kW91edaUGZCwGToo8/d0KPzfLoyS06nI+NPqQJJmSeYze3bmGpudFUpNaa1100F2yVBkVi nweKorKVrVnvJtijeDhkVtZfP6YVVI6CU43t3TGuzLh4MNXIBgisAD2s95GJJV2m9bM55KXKq84H YUdoKCdQMDGcQw8N1tb9RoP04XYc6zoNKPsTUtmtA6FbQ3DjQr40DWnt7lLti5Q429YuEiHgIThc CBu3D0GHpa6f0hysmwDH5odM0dvOkA9tPQ+nA+LdQq2haOLvw68QMZC6XQz4y7K0/asUkmiqF8gJ bBtNOAqY+os+T/wkB1ZnPkZllbQn3Msf6np9zCD723XDnD2kJHA6qh08IRWB8uckwcc/X/4lLH4L OiZR6aptmS/8vpk37VyrBp/Rm1mM/slY6jk89l3kwpzh0WQQeAbNIDO78Z4Pk7wSUTYw5rN2hqs4 3U/efBp8L72zZBjR86SPx1RkzS5wjY/2swAUJEciG74cxc7DYx6aPxBwZnhQnryOwCWu+aY+zDzO OtQlnW3iCLYPX162h6F3vG/wV2ghGMICZ/PiafcM/7dgBx4GQhc7uJ88LYCEWfwnpFTAto7ToF0w r0NV7vG+bUJ/U0C568jPrMLxsJFqraQLOv0VyFA5ejwouQWw47MZuQOTBmlHCIo81Otb8CK1o6C4 Re/4eyuc2dcW0Es3B7CV428sesSE78JkvvIhHghQe+4oBervYem7+qN89RvFbFTvjj4MypUAZ4jM ZDV776l6QvRMYPOYUpe6Lj6lSanN7//ISljZcWGwVJ6PuyQ6UU28unHAQxkYl5DkM4P7peaeN9B+ Ixdnv8noB9TxDjLJKnJ8Gbe2QtF/ejtx77jglO+nB4ckoO1Dg4HsAznRe/0K7H8R3/O3edMEtUh1 1it0+BXPMsbMeQ/e2OCvdufWHOke1iVVcdGA7imiXUP/rwWEfNziEL/InPjUpwbBKhkG/JPg4EUR AIxTo5/aPCWeq5Tnxt1413/2sCdAX5hEJotJyJGEvIZXUfg8IrfZB6RUtv+hrF7iJ7jQ4nJ7Ylnw vJZzNKhFKEDJOGEORw2qO/IawVwx86au08gAsKxXXyg1AbPtZ+buARsAl4eNksAzXxpIeht5V2P/ fNgj5dc6UUlBP02yiWCUyNGMPItDx5p6QO1/VQG4jujIgq1f3RjuJw+eVkcbhId2GyHA9/a9Vit5 hMr17qlCdopTo7FR228WuL3EHhgPJv9JN/s6E558Ikh4IEIlnRjmPKXq3ZJgiEyelI26W6HTl8Lf dwOOZxI9xVIKP42bgNaFWMbqU89LnMLY4QXcDmfiLznUZmXmCiSa+AdtanNN2OvQWErTMff0gH7G jmkgu55hcwBU5fUGRE0liQWNji9+vVQUnyozOLC4kTmDkLr+aHIcIJoBTrDlZ030BWgTTO55hazh NcgtLhg0e8MC5xVf1xZ1ScFPomfZw3O2celi/thpKklUQsBDmLu+/4j58ew/rVDQ0Gf8jVlyxsKi BoOn2avUrs2vFRvO+b0K+9tJDY7IvSUwhumIOtX0Up7R1JclyKF0q17/MJ79yHoozzl9bBcCzTpZ ltnpMwDagSjNu7ori8Ey6Fs7H1d5R1QByArsHcItwX6TVnWNU08RKK33J70MuhAeVJqNafUdfJin GMdbMILurMLcs7soDAuih+WWEZNID/CueuOAOP8DufrR3b+pmsRXhpszcX/myIxK/M5dnaQeGfJR yM0rN2E3dwXtS7TXW689qiUhzb+4xF3C9iYi5LYDCSP6buWDojw73huvmy0dqXyPVBkQAF88xMJg d+JwCaJZ6HcGV7UkNO9yXLxki7ldFJY7LCEAVclDVOl4DmY9T18+kKDP5Lx7atOgpZmEGnTpXGpB bH0iTanCtukIeKvUICGsM4hsl4YvZmlQmM9LywFIB4v0pEz2CCrlbSKJzvFlMvpVg0vSF++In066 IPmQwTQPIqkn9Ko5H9IiiIjHPPov4xg4p55aqKeFUWueig++Inso7gYfVFVgHpqsi2fWzEUDYvrM sdyFKJQElXwY3ZI2Pf2XrMX2wfXWJWszmjL69i1f0M5iRFqlz0+xAc3Jbi0/jf3+sksGVgIG39Jc Rd9Idaif+gCf52IcpTPUyEcAr2/qQQzA1ifBBrEE1h5iB4M9hCJ1diAFkaDo46gKLfiQJei8JfX8 lPxkT2UtIwkeb1ulQQzNV5TaksLoq1cGFkPa9XLiIAiJGDJfe6D1oShPrPiGoAQn4kjTjmkpCFQ2 r1/UBnc4s9qVdrPqpiAn3PKiMj5I2F6ae8r3Ftdv/fpYlExG9c7jbDihXbS4R3/mGfrgdMQxueSP GDgenZcNprk954+o3+ScDGrTe9APFIEfZOGUwq9gCcRfAdqlY98UVU+z37B/ZSU3kbbUx/AdQixL iq4Q2ouSX6VQ7kV+RcZPaVA+zX/H6nOTbF4HE+2wwJOYqrzWyrSXkfJkst4XvBISMNJtn8othwLK CSnFuAjfi2EiWYilKYTdHWjJ46S+Rc6neyxaNpdcOujv9jGT15ha0jH6777nKTzNCQQhGOAGny0+ 4OWOEoP+zeLNEd1AkHnrQhKubMcrjexjtAD9mIjcOY/nVeYHgsz7ntSJwm5bgoSZkmou7OVKEZi1 uqnjMg/1aSuX+UR0MlzH5r8tD/ufxrJxqss86BCgXIf1g+pk2cmzYQXvyVLlqNOdi/T70EOu4Vwu DsH67SPApv+Y0urn71Wo9SQWJa5AuS9QAkDSIWxy97xv4sAC8MUSDmNl/ivI/Q2GnsBmsqo9gIOI U1l4y/ovM2/YOniqj9ATzMDt3NOZnNk4mJWltmO1vLaFqXHEGQMuzSuQ9Ivaz4faN2iofeoPtAvl gXXOFHuGOUpC6Qa61K+KD6KFR3wPMW3MJ23QUCUtZSJMW6EPhL7+0ClS9eI2XNj5IieqG01vmx0I RYoqgDq1H+hDu3pXsFRQbFiBAEeT35IPazBcZaaaXnxk10uWQjWBtTClcBy+JSBFWd/c6zx2rpBd 58JmSst0b1A3itnSZWsCUr9hxIzOtzlhYleavZA7J8C/iGmOuzg72aLW/TftotwrAcYCplPPoUET uKMbdsdAN0KaJC/aLxzDrnQBJxYAeDdsepxVWvDhts2iM3N6hbH1gTA8qM1bnTzS0zxCTSbnExbd HYzBZRJzokKTIgSkh7wXjEkdmHRe68TIu2HkeJeipeOFF/V5DUhSkto2wAA1nFNbkt3BDCLcJKZm lpe2LuO5PH+LtMkX8f4d3dejiS4XWaVLj5fuPXVt4+m9+lUcwF2N/trZpaoH6zqQ1vxLlvKM6hF/ P/WSDxde3UasPDn09mCCoJ1+Y468vMy/lc9P/y0o87nzVBWDojJqVURwGhkGq+70hALO+Svp7NrW AcEKjoWG8DijVZPqmh9uXN0Sn/w3aKNKTtv1V14Zet4YEp8lCu58HDAEIYHlA99b/W+qP09XfiO/ 6EcDnWQ/NxcMlX0QZ1iH+6BUlQQfitTRfNu+Gyo/4A6mnocXUoeT2GhkszLE7aYhM54tKtRUs0vf ukasgwmX1TvBsNTcZJX7Ooc7iwrC0ZYjSFhp2m46+EBXVQFYtIIhfdZIHicnNX0EfUxohXnwORx6 N0kIi6nvY8XHbHKD/zimT+0TnhR1B+HxNJ1gLsUPX4W2x4UOcleb052W3K0ekFm2yaPNxzvJc6ve hoiVE02yscwGlZrjabCzWp1wPu3LpQzC9Gta6FbEPjSV07jDdUafhX+d00flU+510QCkbeqVP3pW ZRaVQFvQScIoi7PYk0drF5b8ccBDS1bqiJjf5UjWvXVU5j0TJmeThDmZT6CSQTpnTBvWGMwiqguh 3OI3FIdmYbkDL3FK/MFE6ob2kEBqrAZOU9a6tHcSj5HF2mVtEtiDZMdGZmGRIbYLVVAgVlpmMn1c RpmYW/5rkMrMJdeEXGoIcPg9uq9aX7wEozIqQBEaeK1X998tt1fgUSNNjpGYUVWVCXohrc7FEils /Xt95HtyRQjjTyWG9dJ3cET1pznXWdqvecZPn5dj2Fw2xj2deIflV/UIqlD/IcBzQlr2bAGH1w10 5WqHw+YwcXPgruoiOyrDWL3q++aNbNgA8vZPpwqdvri11YyaPIAw3J3Hmrv8uwLuOvTau/eZYX3h yUjwRKcri+5EUGn3WYFss7PsqWWwdhw49jsB02E7p3SwbM50LLsVmA/EeOCEZbw6vP9fNE46pMXO dPPEOLF/nsh3zjm/rSmqN5p2KtyA/MiPV7zYdcoyenuyIjytVkpOdAxc7OJFV+zcmLdkwaPa+PD3 FwQ/Qn0hmN90YRgXOf6fW0y1Dh4JkQjHRF2HorHpjbg0CjvLx3tug9PkPwK0WHIxce8dSAV6nDcT AE87M2dppuL+uo0qLDVNHAbiK8LUV2ZEQEDlWSj+3PDqWoemf/nns9FXwYApFVF1DTJCp90S2ZpZ paNehyP00FPSOj4txUX+S6VEvjwwKFpBY1pYKJ+NI6CyaUyQ+i4QF7ZA+pp6uHxN5U6dX7Wjz+Ef WzHLtL6Wj2gNXDDbgdaEVzrgE5tL7Rw0iNIF8pLLnrBV3mInFD6hpBoGCjFDk3vZgGoaaIganaZi dS+ib3Igbf/8UE2EgHaoNeTlDFNew/n1AKipUrocJzjq17a8aaPGUi20E2m0HY6iVvNpmMUu65+/ nxiuesLUV9zQdN/IhWaeXqi5ryxJm9fts3wrAYZwCugxU04+D4RiolxPgLp6VdIyQ5VswuEt0LHz 2D+fZzjz+8UsHN/MastCST+31OK+7jmM6tSbV8nTuHsVKa8IF9m4C55i32ZpnrZnLub5H15sd7eD 6ULX2x3nUZrVovgRbkboVWMxalcU8eoLBUKeGy++jLoe4/iUPLptfgfBs3AdXzI+ZqJpMYcfRznX R7VTJfdYuVp/kRQKvAlFd+Cwcjrl+uUoV21jUiFnDZHpUBYYarj8e/UDgxEeg9vlIIdaqd0fVNr6 I3HVKYIL9P3XjhZhsJ40RdvD2Tcakyc1wERyjU/Tlm7SQo/l9lC3w1V5J/gZg8WawF3CRRByZVhU cKz5C2MYc5CBi8X0ZAprZQZ3Q4qAD7fNeg+0fFAbrybDwjrtG61A6YdPZspZeAHbAFvmJ+2eFI0O ZnWDe3Ej/fNskKhzTSKP2LTC2Anh0vVfFXMMAZWu8YyWMN+ukuHsp3+C9J3qxdhPk4+J5aNJ3Qku 7u3Svu58QV7CCSAE3w8d7mj0BepALkhUDbnnIay4GgYYDKMbcqdx+moyetxLaPoXxHmqKl9xEKiK FZUH246Rhfy8I1CL/7xp+w3SEwPtPxBM5WyEPJB7DgmQcFNwe0g+252Kp6NV7JaFdot4IHSBORcx VfWOjMpPt2FzASYjpMzIIv/qtofPhruQacBUFCVhZQde/X10QKkKMFn5facrap/PxGzu+umFRTFF dJ+UDMLlf6Me062lyKAvkOSsqPAl7myQMcS5c0lyKq6hHHAY2pB8yHz/84QIbg1giuw4CruZW9T/ grAmjmywdcCQ3HkoNC1X4VgccbMDHVEiFFHIGiGC4X3a39YJZdBp48NZa26yL8lIgxXUECoDIbDO x8+TIXoJfpBfUvR9A+ahjph48lCZcaV9+inGvkWyx9nFhHzPn86wjCKIZaDgFM4M3R2TOk1qixtE e6V2prD+LewHX6+aCjI94tQQN+yjV5a0VSbnJGLJphcgpXrXAe8qUrCykiox2KDp4yGogdtQ99nn jDQIUnni4qpf3iN2Gb2GXDKnbS2rdyTizHPvITEg+JvA/z8+L3bmR2fYIHYllkIpBB2dE/A6hz6e yxVz+vqRC7NjMNVbf5Fqmhmzg+GvpeWUeKaHZeIFJLTM/4L2eaOKNJFd52Zi8v6oSkWU7OR17XFv mE3M2Wx+LFgAJ5H0Gwyak7T9AY9qn6iXM0zIkdsPEDzi9tanicpYfvZ6EY97oeDCgqRvMAKmky1C do7vrm6Ip85NDIdLU2Jz0ncROztJjarF7NZo7pwHaRztxEWAKqNUOWhcyfTKERxkI/J2zynM38uI SPe9Kcpcmk/c2zx930Q4v38/XmDptG0rjBYkFizTtKWDPlK2Y1V021sIZ7zMO/ZDMaEbXVLejMap mdlPtkpWau0cCTyR1rmOUDmOhsaQNmu0k6RrhHVAe91rc2b28vZx7jOA3wxL369Y7M3jKgjZpUq6 rHebc/eN9mt9zWl8yakRCTe4FJsEAZ7pnO6u+ZH2N+eKw43/Z3MqRQzIHUclni0MOC2BoVbhymDX VUQT5msWCyYO6smgZTFGVYMYgeMQvcl/4p7GT7Xkcn7p38VDzqjoBtHObcn6KkTVRClYsB+EgnmW 4V0uH5YwEVJrbFgKxE2JVmUHwJsSKowo/YzPDscfSTYYkBKnLX29qTn7t00q+w070mdwVgJRrwO5 9aZZv5jTy9HCNDBce9V0m9W7C3x1PvMSyv1ZnSZMAqejxeRtgdr/j4mz/B+t21awB/LY24/4fD4u 6JpVfeH8+rRvetJjYdwVfn4xa6em93fz+a7Gg6AUBgJjO5CDlV5zIobZX5h9oTjQyVvDfHZcDDXV /9+9DNS+KvxlrJ2xWovYF/IWQ6MxPRfeYNxM6gru6E2qop4l8pM4g2OrCYGV1lV4DkzJIazV/f+X PHsKvUN66XGBcJo1BNMxM/mJlVmugnpGYGoAtniTYE950PjTRW8iHasiXxWM67TP62diS8s1g9s6 rO7J4QnG9WgkBkpg89NL1T3Npx6nK259d6tMrMxIa0In1JXFd5FCRyvF9eL7mqXNn6Nmnhzc2RK9 4PKX4MMdAQGZsd/nJZ2bgJjU7XGvxfSqjRd55WUT9ZaaMoaB4qNZbrrxhdWtN9tfd0csiATVPecb Z5sd17hPzb1z90Yx+uwtL56OvqHU2Mr83rYx7cjfXHYP7LDnnnbKy+LvaHza+j+EK3zS4WAacyPi T+iVv9nGMyiHBqjib28bbaxwcBbRa5OD9g5QFSig10JaKEnJrfYRdXjf5R4RXDAtodIuhPqbQzjH y7qMbb8Lm48hO7+CE5u6uHBlffSX7B0qcdBCVHRrF77GPgWgxS2BeiTK1THU1cbw+psFBSftZaqo 24yNHs1YHsGu2sY/D+Cr624x78BMwk189F0QeIfsrrdMX+h++oecU5vhV79P1JpTa+8bOuRfka5O mRVwzHQ9LwKNIIlROVv1E7SvHtl8wr7OrN5NJW0X7Y2Jm1Ege6aqA6U2iHah1gVVTXZrUCfoFvWk S9n0VVPnRZs9dgulxrOJ3mf7ZgM1c+q45YlW76y2Ku9ZIjkJyydSYPpfDnopo8Due1XwT+Jl2r9U 9R+27j/gvbiV2AgIi1wwoU3AzBn/wxQU/kGPYEKaQSW/WjpUA9AL86fz5MLgTpAx73aLO/rIOSMT MuBaCqrv506NlJllaczMXlXx77e2qq9hn4xijuaRcu45j3MbAqRKyrsrl1cBdQxEgzg8ZIAqwJF+ /qz87I7AMKipxGKtq2PEvGrSWv9QG+OgyHG7620ucdo9CfIpwi2L+pBAzZDi0Z3vwewMYSiAjn1e lxZfEBMvEMRSfUhjrtRM1QtDRX5FWAe0b2BN8oqOgzoPRKEHIEcac2IKDAY44VNP1vncX2iWF8j0 1dfzWyrDoRpPb0rN77VIQDoMdOQ8qUjmYsWi1T8bmxlX93L9XJRIVWNTHQAq7b6lawSFsPUwrJ4Z FGZTF7eKVEKhIHjJovz8FSTxdAZ8PK3o0ik5gX1m8qFoszw+8JKBSNvAaOWhmAOoYClA3EZlUV0a vGDqkuRyjFxAlWcLwDLKfQFQ+T2s3olmwcOy4ZmFaGrRKo3xy+nMzxNZQJN5/el1agoSxzUv4QZ1 GKQsYRp4WwR0+JRng9GC4qfnXSXOmsHznPraFuvLsYuXp551il7YmlIFn6XnZFrX1vor0TLfyTX4 NJkSUtzKr/gdCFdu1ooFvuwGlkSJURmLGi4GL5xd8HFQtjEP1gHg5fBCKsKltkvCr/jsHqrwVfJp abTDLOO73uDdmmJkQos9UrLoJS4JAcClsVO+j3xaqSZPCDzb3eRwLRAvUaFcGF7z8ZtvqL5NC5wU JxlJqZbix85q03VKSzBRmLGnSlMDpHT0wsxEoclibLtjK8jqXSPxl/jXijeDotTMdmQmziW6T4EV h+aFyKwcg0Tq1pXFuvgCJCYPVlpEDC4SlfIk4bR98j8Cy+XZr3XylMwE/mZDbDdYZvELgTCZJOiH 69E3YOs9ycqU6rQn2vNjRvamXp+GEok5ZF57EADPChRsL4/201YIY88qQRDWkNtNizEasPDCe8z+ +hcCjIAhTkPB9smqnBx6MJhGmU9R0NUPldO1/QU7H0Q6VgCdX11INUWiJ/0TKY69MEnzXq7cDd0m GG3TcVwTK+dKH2Cud0Mt7SUo7PEULFnRS3eCNKh8NluhwDckyfgQ/b0G4Zi6ILPsW1WVxU/URH6Q LUzIZPqLsNZ3Mt+cA1wddOXGfC+22obOkn9iBFlpoXsfeYN5noEmxM03DYTydcHQJ5ioDU0rln84 yb84evyL816QyiuwpfU6odv4aJfMmJkV6DJnl6t48bRfJROdgabiUyyf420eNlfi+BP6SlKqO/KA m/g7lKcDuT7FouEcK50yqRDmYK1SqrkmLP92P/U0MxMJeWVGe6Zw0HXNjYGfdvMaYS2CA1ak0i9U lV/co8WVkKRWtEE3y+JEJAtyUcgrfCbQQCsJusF/BTzJswxlJOyunvq4ulQsJGds25W5S9t8aUt7 Ea/9iZ9dmCs4/QzSibb+eh3cn75kgdbOEU7s5W96aaQxXg5ogl7aWA5PVC3XQ24aDzIqFHibfAiF BklFmYNlqxYX/Jmwj2cHpbtCFgW9TsukfVa4QyM8siwS6CXgen64zs1MrhElY+Apv/y3QlrN6Xjo ye/bN4OZCE9CSMnHKKHW0ntGwOF+3iSk9ak7T7Hmqzpi9bgG7TO8DytlXn2LUoDkuADRW4Md9fcL jme/zISesUuTn4DVadIWHNqtmZPAGTVk6ABKFy3oOx/LUdDE/BRibWe5AUfCxHklDcR7cKLztH2V WH2eHQyIVImGxvF2NWmxyG13e9Se8SESXSe1GTQ2dfw/s8ogIgQltTZCtUxUqE4z7BwRqucF8BE0 otmI4huLWk6eL4JcP+oZHUteZO0fPRoc1vqSsh8CBmfuRumfno1nYmY9MOm/saP7uv97m2mgKvhs oyHSrPnZAV6kk6NZCL4CVs5F8zR4evzadKJ7fhTA3hp7sNWgWhNt5k8e3wPV+vwq/PQ/h6qhX9vz CGkmWfo0790TG3eNXOo4Xb5DDs/3dTbhUezKXhX5r7Yn8oLLCE/MZgCFN/pBtOTVI5P08X8FU0jG X39bE3gaxHWC15gkY01MFu49McCXEcqUl18qOihlJ3JpsPKI3abnnn9tkNAyIP796UQceN8TSAT7 YkhIRy242NH+FWSnvgbamdlebiwiDOTjNUvhDhytiEBiLldEcqDX6I1PGatA0ff0BOnC+y2/2SoC /T/aRd+fptGnGWBcdKKHOxQYPTbDBBK8sS1I8MJhCpj1SGnXZYBCs1l9pBZIqZC9BU8AJhsCiGVN 3hxtisQcQDXJKjG6O/Il4YOTuAz2QAYGFcvXMwK947143aW/YMbRzXbPqhd/SkyPAtV2XYx4f9+n 7DUnLCNBqhyQYhrWLNbkm5+8UL7iH1hyL/RjXrmP1maUmHXfku3aap0awnKcB9XgSIAMyLqavzcn dpa0Hm6BCUQuVc1PZQmLXes/8kALfOoP2uUtU7ovlSmMwzW4AvvvPug/NVUKOUo3SOXxpngjq27q uCwY5ccih337FjQy3E6JrZnLduMt0QoCOUxHE+if06hmpgINiUK2SpMY8lWtMkemV2AaC6fRyZ4j EGHIvvzZP0EYWhttnHCW1c8r/ATJ5ArTtNdq+p4+UodX/HWDrTIlUTBm8r+5+A5cFe7yY4b1ZcTz 9j+MXkZJD96LXDJ+ed9B2gdusttQXla/OvmNYb1cV1LWrvghvXQQJsMkL1xzGR5wSxSlhihKtmIy QLz9DqVjxwDWbpFxyubGCCcXrMGMVTLXhalwQ9soN96V8L5ZhNVuuIq/X+5/c4hwE/BBc8noVcFq vU5AVaf4VHmu97BJotadUQOgB0JAWtN8wkqq2RnMW+ZQi0uav9K1LqKL/4JUzdojGIb8cp9pzgZJ kHmJIeL6I7z/2PnymLBJdcJYgg7igUAeEJswBJ1/4PH2DaHgTFhr0krrd3mUFhpwHbI20n/ReKgO 6MSuLxjtsS55f/D1dz6NkMbtDXXSxxkCkp48yU52ne/jjOuCZ0WfwjMZH7A2UxJqBylVwFyZcIh0 iGwrHjdgzQjIZWF23rTG2eNiCnRgZz/LhG9cVF9HEGCN0AxYHlK9Y6n4wokUs32qbt8phGkxsiWQ OmJfGlHAbGKeiT/YWZiNaGboAwWpivmffkY6p+/hwZJT3+zx5XJ/qco2FrFBEgGCRp4umqaA9sfV UgU/FwJDdqFbMuDRQ49M3JjX5yU51R0Zm4/t47OrZ5PN77OLhuMpnA3ecCczBkJfJ/8ji8VLlEQr ftOCcTvbfReG2F4ybraQYfCaEtbwdculYNtMpdPaEWoTNTtTAay7MzRZDpE9pl5jzqbpyR9D6Tp6 wfUL7HMyIVfMvTMa2euceKKTAeyMcKGjYhBwlJxfZ2GKiiAJql9bNYRYEqqHc2bEB+HQ0VdEWf4R ZlYk3TkXz2jAudui4dAx/LDDaqABYirbsNH6NcB3sJ6ydzEwihn+rosX8IGyPgVPsnlmdbYZ+aDu tgXBE02wVmr6WM2aF8ZKfX1mL9AejgUs88L2zF62tnnTeTJbp6jcBIbj/SlZvxtFOruQDcGjg/MU 3emkLCuvVa1haMC0dJxtMOB1doXRnvS/KNMs0RXyAamPlfw5MoNIJN/dmAOAGHmAxOR+wwiG3D4p 4+bncJgA6ZcoCjyEHzbIuMC5n58XI6DSf5lY9sbSIO+V0Q39Y2tjR6o4X7NKgUU9VnIMZZaL7Phb +Z7xgEViNii2FYrvKrHcro65B17QDTfZcyT6/rZ47rdVvUjEvq+A+OmnNyRT8mYeemIo1Si/qlAd OLXzUZEYQiVIfwHCSXDjXZm7ZxEb3TvgWj1/KE8QDCjyjYAccPigYLTA7ArJQU1KZrDyAKiJl0Bl 8qAiD44WSo8LN2hzcNXrNzxCUlKvnBXCFLvd+inCFfOtxY1jcSQcyU0VV6H3Cn6tSauDIWGkjJA7 3v0+M+cghg+u843dKd9fjBSCDs44rpB8kjoGCP4z//wNWujx6X4frVlf/5ayxx3mpa/reLNwz4dJ Db8ja82viJ5731JximOSVLyT6Qj8g21M1+SwEGrmk0Fw2Vd4lkjbwQ9NeOxfmiZ8LOWo6DcjY+pK mJ/kAB6VP/xoa1ZvR0L8DlvJ6Mgfjrg4fdThZN8Q/na7T+iQQ2xeAGGLC8PfZETG9KZt4vBHQ8Ln C7CBkoGSgkc3mKN/cBcYShPQqMuzFPTn3dW0t3uI1OBA3qYC5Hsid9/74E8HgYZUK5Djyky8hyaK 05ThswQ4o5GRKo9UspGz0v4R21torjyJve3ogidTl/0etNJGEG4goAHzz9Ml8KG3NxH0VAW9fSv6 HGw2eTra5SwQ/90FFo7NvxUClfm5L1r9QegGIKPpx5muf/l22LLT24/kgy2/kxEOFEwsyrWWTqfL JyKQgDPw9acP8LdXgjUhvY/MVcyqoqstRD1T1OvLEOEj52XHVOSnndln6oMaTrSUlP9IqtESS2ch tmmt8IX3FA4av8X8OJEKmRlvve+USwAsYqMqoNXV7Bhx8IbZMQGxtOkNemRZ3EHYsG3YT5EgthOP 5p6peJALQ48mxjV8o4kOvXFU3u71DlfO0u+oVgL3QJhhJRIHS1D0LQQuIgGq7FE5yqIBkBlsnx6D OPZ2S3LCSCv85xKkWy4HCzqRVCXDF98rIO2q/FZAZ/Glk8AoAciqAeRZBOdEB1pg9l8T3ulffx/B jdk23zg3nLHW7otMLp9aGFAMJBZSGhPjBfiLJXMS342u7XoSWMHEkmSK5cORNJ+QboTEADaYBHWg o7kNjGe3dZl/4oQe2o0EONWp1XQUNzuT8SdfII+BxB6YaVoW/lmuOggZRi+K52394ygbhMvZWpf1 axErqdBv5F7jmRCD1ZAYn+ohsIVCFTgphwyvt05Vj083C9CY004v/ILObiurxA+zCbCkEmamloCi fipp0BEMjTg2fhhdquBJ0/lRTVSy3lIva+QWLbbegJ2GYUl8H1iAuxNX5lOLzft5ZHD7aICZsWzF Y5IE323ADkaCbYQaTWTzVrUsgiopg0OMF56mzQBFjR5lGxGH16vVyY4aIGKD3hCayk2svmjIWXa0 iB0SK2YCW41dcPrudDQ6yXh9kyQDBNHOqiEVA2sUko7Ggn9gWf/jEGWLDtTYt0kkAa8x24MLg4en pZKeSkqvYyoOKzY5mo9SfeUpZcrATUT3ovyKj4LJ+CqMr8Xkm4RmagAG0TIzjAR65mlzn3MYz1b5 PFKxly2Vw6oI39R4IcHpwm8w7+Wuk0Y2fpZ4FEgiPs0Frao8VLdFcPUUxcasrStCwLduJmljMfm2 khs32yOMRszyObmY7sJyUBfwCB947PEPudt9nbni6us8sUYecNqeStV+c8Kb399yf+sLH/UGSQVU HuQXn42BpLM2KgEARKhdI3goz9eJr9Hkc1BtaThMw4g4AVyq6b48C5HCG36xpY58BpvlZWZVwEEc 2mDfDAresC3gHrfBImkpiT41QB4bqZ7LZXz20MyQInp+EIanW+l/22JZvzd8s2+YHWATHiqhefmv x2D34rxN3TOUwmSXBPNAZBsI5kPjmH+UAQEwnIrBJrvLFm2r18RZGTItQ9iMsGAzh74OpmeJiWGE C0y4LQbefPbk4NI6JQsoEQ5Q2wxTpKz17Vaz8TCHtCSLnjQDdfdBN6ut7yIwckx+yyxzwc3zbWhn w6jfXS2B9D+yunzdwydqAtWTNOLvJP7iGGgpp5evakAXGebfI9DF3oEljBUghOYAGv21qiJ+z++7 ZETW/UCt8p+JyjVArmHQJXSFdMrJ34vDAEOrD2Q01FQc7vF26rxg5P+H8I3lWvOWTG+p+vrw48Bv NCr+U2dZdVl2vmAEqTlIXS6oKNYafcbItEiFOUCRKHW+zrVSsrdlG1SRyxyMNLSmZawz2QSLIqjw Ou9ZZA6PSgVT7MhRgMfujCZhe9v+qNeOXmoQAoSO1Zy8RID6CbumiX+YJerOCwdDAV0uc/ygVoRz pUpabuLyZJT6koqf9y/MUMZLpdeCpm/ml3UjzBx5UEtvTptJtNVUqWqiIvV80dFen8haB/anMC3v gza3ouNqIFUyc6SpeN5ybiOllPwHa6VCEV4JpQbd2UDB9d1MNtj6kf5nM/Nk1+Vlg2kw2DdWWHMw A1QT2OxYeyzTE58Qwm4gstTj7Y0roH0i5A/DBEnsxILxjl4D8eXbFvsiEzpDRbl3BsqMEv54caV2 p7a/SAGB6IOA5jubvaBgr7lOn33XHLLGtV/tht1/Z94R+8Wt5V0dl8aXeFVCDXAcDLWzdmQY6YeZ WJpodfjy6dL67PdHqAhqkR4ZC3w8eERnshAlEfS3zF1IaRagRSQqNpFIZWiFFxqc8WzlCHm0enm6 u6CzBuUYqZVUwdLJ0DzckKeZr4hw5BbGfL4Xsp/sDiOIQ6EfMyB5wOZBdOfgruWVRrGBLDN2eaCz tzZtXN8348KenXwTiqF6TqKZ5LpkQHk5Dc9G9QkM53h45HwdgYo8NAvQBFoCsmlbZlPisWZIFx3i F96Vt/S2GaVuW0jy2F4eKWOH6LIyrk1OdbofxAfOH9sCf4s1lEw5VdZdbbgw6HSrsW/R12eQGoBT slO6DtvvtJf+V7OGSoedffiQB4XixD8YQGWIkBCizDlrzpmBjXXblRtAP0HP9F2z/Ml1HZJUTy5L +YgNez+VNLjJKgu5R60jPDWIYcDl7H+v2/fyzGJsxompED4vfyTQIQT33fuTIX4tgSdZpmRHgmOG qpWRgAr8NoJ2F6oIdQUlreLtkc27wk5SjTQn6H8NYvgYYy0B5IvrvXMfIzbR9r3EEeyFL3NCXk+0 kN9Nq++xYwQQSJ8rpFVq/+9bgdnSSqD49Q3NeNKb3hvbXfpIPRq/ZpY5ghG7EBCuN851C32rE2e6 AdNZ2AIGNlE8NHP7+RqpOe71KWBU0znboP8IgA53czPb64FZplvxEHTf93v5A/d0z9uoGQ32khjC 1QiNRukGVUeJsB6a95sBeMKzi270joIx7z4vfNXfcfo9jk7an2sJNGZbfBGqODpkJ5WEd8NToS5j E6IGzeruAh/Whbyv5c9a9pGjReIKFc905+pFwz2Lv/g1j4WXErrqtCSD/3vAqV0zl4+s3t7QZl7D 7FskpFoFgt9pvJh9YK6pW8lSlxeS8HFWJ5ER4nJRkiIFJW9q1Z+SMSr/UWILe9Xgjq5Z4fx1nfBB td6fQrsUMMA5+h9qZdORHNu/RjoYUDQY/NT9kPxwwF3dildehycFkUd2sxoA6fBqWdx9sjSxNQRu yIF7cRmNu/N6ZmciSuU4ScazquMbFS+pZY/MlE6LPYPAm/nAVyY6cE0AuDCSGjRrMEYqoc2KZQzO UZnwJQCSAyILPte3S5dCNITfB8J1e9b3TYRi4KACfOZlJwQdbxc+YME426k+sF6zXVoYkO91DeYG JADE6FLfy8Z57LTTK+gIKBYGl4QJDmHAeZJanXcCssp47LeyZ9rQEjsmlfbZo26fqXCncS3jW5wz tLtNat3NYzVIWMBNpVCCEEq2xIlWd/jorxS0x0tg1uBiLzNxjgbAloY70EM8TSzw02KQQCHcNBkS 3g+btyqw6q2TpQX7Yr5c7pN6VmeNSXSigPuFrV8PLKO1HQkCNXl8Dl/Eei+ecbQ9wo/hZeoH48F6 R8FKHYwpYvOZqkEUkECnQbdKhzrTrlGEMSJ4dnkLsckjdlAc6Xm6mLfh67URPoYnZfgwdrORl9zn iNPu8IProeMxtOytBc4hm600VFekHeSHmsRCI3l7aIMWKS5mY4u3VtWkTq3mBcFYylpBDlAc0927 G5BpSb0GFmaUlkofp83vzaXj0XGChs07aAOpv0O/q00tPppPhyo/3op2uKVDLorGrqsYz3HjIelZ up6iD2tRMWgCCmgFKjQoIF2KUWqFdi7TYi1OKPZ83ZuA6uZSaQT+xOlP38fZ7gFk5YXIHcRJDqAD hoZ8jEBZvODZG0xrfcxnt8BFd8eATg3JEJdlUYBJS7iFa180My80x8gAAQzxtiOzMbNYJYP3QM2B XiskY50N0ZJdmQ6McO9Cu1ctLG8ri1y4QtHI9i8F07fhE3ZpwsrmCTRHSeaDQJk73AxurjS9F5zp dJ8Ugc03NB2dEzH5E4ya3jHE+M8KVC7f41xwtCUrsGreqMYSYrZ/UJfzstPCsYWDk1NncUSgq7kJ e+4TtvaKjV/991YOpB7mUeBJtwHfDNk4S921PvN+EcpVFJ3GbPc3g+DCJYVHje3xC8goV4Ef8Stz KSXCvnUgoFkOQtAv6FsDURc9PlgFIKalacDXQJNl8Fy9xMDRINCHqOf+Hjeff8LLDBAmngaHDV7Z i1zIDY35NYAMz1y7YDsbAiOtlmvM2uFeR1M2Vht16XZMmt50QUACvSp8QAysyRcEGnwJ2yZB5CBT tYfiWdzSVS4FvM0mcZuonjPuBS8kVDsrOMrmKbo9UNR/3hw2EOOFGixouIeWw3RYpyISMmH27Dd0 tJYGmNhbDkM4KJzKJrxGQ5pqosMsc8DNsTipR2Fm3awgv5XvBAcvaZhPXnkDS1J8OyjLFKin8ylz 0ponrJxovVof422hsF9VEc8bTlZZrGDnzGXcVnvxKn3abmCtHZ0xfiGiEPM4DXnm+kXxw4E6uKn0 JHlQzGZ0kB/fnsmAHwVxKvSvVYiwvKWKfpq/47OKztXZcHwwkdBGXv1eeTbz13yMW2eYOc09zpO3 wLp3vs6R4+phbIu/VWX4uYyGVdkPnG8BiLwJjG8vmKY8FInJuOVnNQLf+8F/AxfN8iziQx0BRW5e /yN+zxZ7/ud2Rcg/+8wnj+yIFdLqKJWQv4eg2HIi7WZMNjlKxnIqmfRTJLZuq5iwAbWt0NYcuqZ4 GzGIkIImNt1xOLQ1DNhWK/XOoEwkst5qFUVkT/E62cwno267OGzGJX76JwSCNrVeoLk7uIsaJVd4 2QkJlcr4fe9W8EeLgrtwgaGVsYMOXEHdmNG8UI/vzmPiOO7vjOa/Ym7XmA+kVeEIhB6INsgvrIki uB2X//fukx6Ane4Vjxx86wh2bSYdY/oiZDtSimSS1yv/pxboK69hzEc/47wYpcPP1l+7OSlhBlSz As3Nu4G2PQnO681cPTPBBwHRbdKmtD9CHMGOfluTP/5oFWWI4PfkDVN0kI0YLGtDvNzpDq2JWQGk GE0EaXUjLZYPOC4hoyYzo8B11Z90o80oYVZt+Dy70L875PCeoYbTux2+rdSiwZPAR8jTsWIfgio4 pzvnpWbubpFIAHqq0EgJDFjXeqyv06AGvAvvZsxJSLdwaL77wpeOHAb4hxsJLz0UPF80iznWW0Uh id6BlJuuAaELEHwfIF+EOW6uqDTQI/KOhjOFx4RBjOC2WABynS4oNVUMKB0WfrljLzRPs/04QVFQ 20FPSOKO+fKDbTKLgOc/FLRnjERwdPfpHSZuzToX7UtpPs9VIjHNolEwkMhaVwSMGGSmmIJvli9f uYVmnGORVYpI4unlA9xmZ4A+Tgw6URi9BomQ2xw/PVem65dvKwAwngbg462vkRCka9fYyDJlI+4m iRx5ClQHriWU6QjgM1sEGfBaQecx9uVuOtCY9EPo6a4S/hsWhKxrgR/OIA6PqFtfv1Y3jr4+Vo1Y O+GMUmtAIgFO5gwPvQOiVqCzuVKmO6yIdPUpZVnsQMjcTUJhmGiNkDwYTLZlB03hx4h2OniPMGrk JtJLRFku0HjBiICgiriRL+iqar4QSSCrlZj1P/EZ7bfH2dCRXkP43LbCevSnar1hGVNUPk+UEOJD SNaeAcTWwnkyrT6oQp9gwvanhkCUF+hBAzDoebzjbNTRj4hGjY2BuPD0WBlBKMVN6bCEOA90wJGT kPH7bQrb16Z1d1fzqktqcJVR5s817rDK2k4V4LhNsBPjVEPVPlI9EfDx8DcMBSr9swqdy3/1Yupm 0eJgsOsJQBM1QbivBPMRSArV/XDjlyyOMYnbFU7qTQBnO+kW/9UdLNuCLbb4pvlSDRb0bt1oGXkK Q6JDtHm3eL7lE7pKs0Wcb/6Cek0D+FJS77QH0ReBROlgpH5W5m/pJ8om3ACe81t4wl3uejUiCJWc qYAeu2b9+MfyTYvqCGIfGFUtNSuvUdIb/Uzu6jrmqRcFInOlp4pJMm/7lgMetr5OZ9IOx6pN3NqJ 6y787XLcHLoP4WYwzouP/VrslELOb1Xfp92lsprOjWKhDE6bMeB3xYSPa2lOaQOcN67gLdgSL+zN GTsa19M2DAqSmAvuyqf4naKaZK8P8fyDWyO32SHsHIuSoXhTs474J46dy2KACutEY+pMfajKWSLS QUmLiiGVFqV/UwZm4Sv1wJIhSWlVZdVuI21REYm6B75Eb/xSSqXGjrVKS7+ydlmrrTZBpT4ms+ZJ vPIx5FrXvx8gT9Tf7xiGVF2vJ2c7MrgdwsDPOcfPMMbqMFSKVtyp/fYyG1zrw83TuGXCzJL4vBbn hQfZ4QwaPXewrvWQWX49h82SyJXyrfvv/mDhaM1drGEvsHvMERlHS8NlB+ITdYnukqmbWmWVgMqS BezQ6RCxI369V6S/pyyjAbUFbN03ERGYt4jA6o8+87MKeYvSsD+lGAu0+CCfUFlMU0EUdgfUkd48 65qxEdvDG2oHUAp8oIOTi4uCcMprOVD2dWI5jL00gvHBdknWTRHspMPAENFHste/VU3FnoCVG4cw ozmtN0VMvHKXujqe5qJyg8GdYv2TE9COFekJMPVUCTS1NLhZSFTcn2O+oDyGoktDuzunOA8P215L jd4HmSYvJCjHXdOeDoZdwHaHha9jG9gS2y5Ulf3BgvPBYnFWPUVXY6VjislXnQOXg7uIK9eyJIJu LsR3GGLuEXp4gftO1cC358fXJcJ9XIJgTxq6m3KiMw7ZfXihpj8zCw10SOej9Z9C2WkraBnj3LVG 5MDpQfXw4TD4MW4prcJ2ZU7qujBOXFxzct2nQ2/bpG8Wb+5wsvKWwBYKxf+RAUK7B8HY2QVWxsda TBtw5554bjYGGM5BR7BHzpcZldW/Y0A3ZJYZKLLnRhIcaENWKgjhVcKrrPr5UwiQWgv2lo+WdZFK XpuZdjgP9ZWmQVu4NzqbOju8oLTBkRHHpPW2KQdgknN0409OW+lCZvwpHxmJrvie7d3lJEwS0+CG 9hTIMsjnE3LX8eqnxKeJfFrIc3DxolKfkMp8txly8GXx1MMn9KLF1gn+tDjieHYmAmBXDdm3Satj v4kccxnaqevzrMaBO3Xs4/rZvamwFJl2EiElyrCNyifHIsUtzEAcF0girVwKlr1I8ialtbXpVwFZ wKmNF4gNsJ1i0qOi3KytlmlZ/pUYH+zBfOPaoD6TzyFGO4U4zCL7v3E4vsJtq/IChBvQ8ynEdjr6 /crkf468ELXW8pxXF6T3GF/pxMfKt8OvP/6batr7lzhjYsCgg/7aS8bU6FoemeHNBsrILUc1PK3p gqr2St0VDYPBi45yY0MBMvle0qwqJ1+L5DKuH8ErHS8q9t+PgBkNSx7eGSB/qR2k4KgN5kDiH1m5 2ugH9bybDyoyd456s75nYabF3QtShH2O5IGukvVPoUIVBlNl0QfngyGC0n9lfpmUQ7uKFKD59seO fScu+dZe5022VBPL2OR3lAeDDKgv3bSx2qh/Olv9QbiEvT87M9y/Vh1cCeYFj1CxfZbat0n40dbJ I1Lqv3+5ax7YUGxa5/U1vKbG5ZtkgaKBsUslPK8Bb9qoQlpH14Oiq91XrSr9zMdwhNpGs8lTWrvX o5+rA4pLfVuLjZDG/0NEzRc0zB4USwbgpKUR2FDRXaOEz5xIy5yJc9g6xFVBUkUnjJpPI1v45/ry RnHNNLyhAH0/KvWD9FdWBXM5cyTIqR7OXnFBINsC9I/PzVabK/hYoogdTdhih9MkYJbJjvyrqO+9 iVPLKR6Bh7IyDyBvhOh9fPhohc8M0oaTKQj5MkAhmkEcFAKBQn/AiROoYCXvXt3NwNwKqvV5v5Iw pnIRTdY/0z7rWvOf2hLoz1L7KT1EvfHkYkYWudEW0uwI6yIXA1ijxQf2eNDJcW9K+oKub0JKoRaf BSEaHxCEleqLL8QzNgxZQf9HkJpawGty4i+xmHHItKVm/KR/5/zbQX1dWzs27NNXJg32UnN4Z9rR DgMLNsY1M9kJPdK7MYy9W3RFe/Y9v6xuV+Z4St1dNSvxzHOoRb1qy6F8G8+A6Ma4gkLIKPIQAic4 mTjcjUmlXhjZ6EMuQpB/nF9TseVv6UOygr6qdIPMNrOPdKk+W0qn+15908oMlf4XK20ygDpMAAlS u8mk4In3Y3OTUMj6Lgh2HcmZhogOBR8K2rQB+Lcgektq4NoYh1Ox+mQstLZt95gSbtPTizD9UFv4 bTcL+O+KGcGWXQu85ORTkozPYt0swtmpjJ8i7g9JEKLbePPeBDsUfUyXYaGiEe+o12OyOYd5rvRZ 7EfVj6CPyojZYG/jZ2/NozXx/6He0Y6+bQuTAKQSyvtwV8NXQPdu/I+7EyaBy9vhnpq2NE5TQ5m/ u0aJJgg6j1uIPQzIK7bp+31dRfGxjTxAfn/5WMAQ27l62rx1MxHhErFEKOl4BjJdwv4Saa5DRZNv BmZsD2fRPglr3No1G3KX8C//PP9MTambnK584OWwJeY6+HsGPT149nh1J1s8weVhN3ZV57pr/1Ky waaSBuvK0q0ETv/hr1o1lPss+3njYA8G0XRepbruBSA5qOzhUHkQblYdaJI5bepYWSwvgyXA2euM PV+l/YoyxJVSUzk5SiSD+k0Oyl65yyDUw21jau4WUJuxoSYubqLKQtrOQ1fqRbd773yLY2G2UpJ9 tUEVOXQceetpwnLTUCSvjmWYLcFf16Y7OJUvDw59P9Po2ZZ8GdYTQ9/pPLz/9MRIUmMO/Q4f2eba 3D9ejo/ml0xdxfSTFbtd8j1Nt7W/GoYkZf10uSIcKt69KQS268p2nv5+ahE5xVtA6chY+FKfVNyz 0qzpqXzDA1vmqxBYLV9h5xExykGHmJYohvMLsNVwPKqImdblBDsI5ZmDyWgfQDCSj0wdrnOUrj8B GGIaNk9GTVmSGV+G/8n9Z/68uij4QMb3X5pjZG8tXzEO/pQ2Dnusy6f+AV8iCRdEQ2RhBYnwPLzU oXfOlXqgNnZu0ELotzwuNDt7xTFrQFTPcpluhizSftSrXiz8PSSLt/6EhXG3AoNvEkUsEtdxQavb w0cZhwQjjvkzzXzH3knOStqiNvGN6P3q/HVgRCfJMu7fKsKwzUvNtr/truwMBeTWPo8tYLNt4i94 ipmgMkS8ecna+Vdr09vjiZxXVSQdQpSYaQLwSmS482aRL8Xfk9DWDgPXlvGBx3dszpEAvcyDAUy0 QEwbukCBqqQNR39WfdJqcq7rl/WK6kQjvpd1hsOMvagG/MwDAAYOl6okNwUowu3a16Xb+CepCTZ8 uHrOIi+JO/B3C8KR2YLEpCnr/SQ0UPD8KbQuagn8MgQnE4UBLOnpOeljigzEShv4iQ+bv0UHWHL0 bQUva9qXOR/aPOhSD/ikjVTw5IB0e7sqAjZNW9FXTbdHRlza+n4Z/RVm3LOxRKhmSWvwgKNjfQck 8ht+cmq/PuZXERvJsqf8K4+vnApjzbcYP09p7tIfZVLiWyYQeLuu/W4kP+Eu2XSnE3kjf3jiwEIH cETwld+sO4jwVR85BkAtcy2UlsskD3Gp1iDCbxavg11KtoRYjCVGovQdByEKtpoBEjHUDkwjfO8Y hE89FH70Vw77extDpb/KWERglOekvWipXSNVkTLyLnilxf+VSlSHFJzJ356SW+bSTrymZgy5tfGX gOYKowpwJIWDMthlhndXqP3TTBOh4R3QMPHRtSHIANG9vkmBUehcngibH9F4A+LGCbYYPpzsGnpW if+K3EJRgymM29KcPsGQtZGsarTzdD1Vxv7wjo+5lYbstaqq0KbTMsezGl/ugnNOzwRvElrmsPvl QAdLcIBNZVVhXLP25IwLpFokMxweJ4EMWlxL7zKUsqKcbIYan/qIaujil86+QOjpPXmY8sX1Lr/t pJmv/ynM0PBycy7U+1869/XOBtscKnvPu06wf+CeZ/++F56RWnR4nhCK8JqKQt7Kwb3LtIBKOyKd jivsgBy/5p1LpotZPnl9ePkA8r/VRt60Kefv2gm6VIyQp8lT5Sy/qoRByDZ9DrInaaiG5M1YAIb4 PZ2E7sCqHy8gsosqRIrR1YTvmJ/aUnvba7nI3nXIZjHapnewNGmhLLURNrIvmpik0QxDagLRXang TMQsljbsawinNtJJrni0ncMAkJPwxHe6T7vTejlTfM5mFhdhGq7LPqk77GWBesaQyqeuxx2sgIks t5XvOyRdYlEfNpToSLnZeuL6/lVOGfdtT4/Qp+nZs0nfi060UokJopcJJkvFAD21eVW++qfOC99E cL10V/aaenljlkKPWEEir6wkkwQsxSjgRZC5IGmXy0fiZVGknOoFmtOJ04JtSoS0sKpeAGvWmpdv SJh/Yqdsj0k1i0pzewthcgFs111VgnEFl1fUXWw4NtPAr53x4rDK6KnBtKUjKP2bc1TGIsJ9AnXy S5x8TC5gYn4HhJoWbD8qf+ZCKeX0To9jdXyWV1jmCF987tn88jfE9yvFZEN4P/tlc2rrqioBM2T/ S1L2JUXGi8BZXsgvZTxTXFKIeDLVpL4e3mpnhgVmeaZiedQJ4JGbHhoIQ6KSstfx/OIDI9TeFSPg DiL4Gh98sHYmv8xruCuqqH0AQ+Pxzwdku60MBRki5N/fVy9wCrwHqExwpgKtdWvEzh560G5bQrdn kziNO4uYblFBVOTT11QmA80JMVcb77A+cYsejEkv4mAFKEYaqsx9WKK1GoNdveQ91nVPYK2lVh9E tMLB7tm1iM6khNYQsXbDXC/bYeFqxJ1WvLVvtK579bOAiPcCMWrvqsVKW6hfJEbSbX7GaJ3flIh/ zS1wQ00EZ6A8Cc2GY3QDaUTz6FEjdEsUK1Ayun0aS5pWdB0re/XxuKSt3vm66ZEjP0stGC16GD30 31mja0Z9ldBaTQ3ZXR6b7j3RooXi/JmBZwPiyaD/hgTla4HhLvPVpOKSlGOTgXnvjmmEWqKgZmaR JilB9WWMwav0HL15mQq9jvM9xBDAX7gcw64eKCVcjJ/WO8sqk/mhap6ehlVVZeUbwajEAacVwMq4 CZ6Sqq89YqohI7L0yOddIFtmYvUlMXo4+42stygtIux7ypqnRdqOvzz19B2gPqY8Q/P3kr3FGjwz Oq74oUruQPhiNvd9WoHu59VM/0YcPGVepNKJyVWFmBHtJpyY45RfcxH1PB85F5NyF1qoLc21M5ne gJIXHwO713vBceuLJBMAsQY1Iw6e/M+XPfHKH5Ay2U+F6ZEid1SHGEATgmgIQUg51BHB2kxXz/f+ ++xcnrEGHwC9KCACXcSg/EgrF8DyqQwUf+roRD1oCoW+kQmtYxBWuQ6IFnK6ExeMpqhfAHrVnpLM TirOIuEjf8AtFWIXZssL1L/e6spJXLQWepQuqAqg4qrgJo9UlGpf0JriVmux6oPq3gmlddIpABO7 Dpn242X6B5i8sSYn1y67CsEkbwHq2goEKVrmS8UtbpTuiopxQslaBft3c6byHrWze3rFqnQaIIbp 5Rc4GWdSU02JR/m3cEscuqXOBNYdduAvCQzNTcAEXYB5jhuNhBn2RwJ9nGYk3lNMiA+ioAVJ0ZJ0 kJTJPBqIfRfx8N7tRWBGmhkLD+IeMu6PhYKvj+5jbTmjvCTLRTr9eoYx/QHpuUQ5qk5M6YhprO76 om26FWBPNAvSBywpygWY7/ELeyrS3cncK7paTDdMM4m3PwCLZEMwqH9yqscW20alYuPhGhTPW+f0 kcaiFoitcFAlHuje+l0asb/PzZ96CLgp9nXq5u4WodqNgBdCds7XMZZU5Tb2jmFs724xSTNyaklr ClLrsnJnfPw+VK1goqm9UphP1wKRxUGb9UXyf7MjHuqklQCrAAxeJyp5frFJG1hAbxQbrsGt/1qi rhwN30XbOKygF4l6KbysVsedFiwg6n7mfwu7ROytCrBifcMIfo0LmbalB1y3A2kJdXN8E8sqWBmW 9Nzacw/SfvDXCCaODLsjxsuqzpX1F5opXT1vYim7ji9+lFNALa2SEUYBT2nYNiWW0ESmjTag516+ jo3PqMangms6PzSeqAeN4nQpjERiRh5XkYM3+axeFYE0wXqXXsf5ciPnjXrJs0h+izqG4INLUTQp iJLL1Rw0OegiDvY5onfOkW8vzgrtfkFeBQEDnz9cx8ye9jc1J/hd+U4w7MjRAheHYiErxQqmAW5O vpxhTcRyYXjM2tAjZovuhMQEUjuW7dvI+4KbtMtrFfUzzhCqdadPEhsZeE0WzNXQZiW6rHl+bIiS Km/kgf+5ZFg1OMSNMYhiYvyr8NHr9EMOZN3CTavqvgVivFLgGDM8+p0gcizF7NrIMTQZD3PYq9bT 2qtTs5PRWevL1dz1MTugzX2N/qUk225tUJjsgBzzhTyGPyqwV88cXL/2hMxw3UrfJXukJcOjxpUS /rGuvwTwfnvcoGtjAjAZX+O6FQ58smnBmFpezUKP5gyBbyIEjFVAMUlYxTYVLBPdlgM8g8lkLrzz EBjQEGhHpFXiUoKHo7JF/Cfgm4bTuLgkR6xBBxQTJ9FxdgizgnR/HCNEG8pHvvXEOgs4LHtVl67c 8x334wVVOzWKqPtIpa0NBBPXzZuYsRbcuSVuq8iec62lbTQpqyPI0D5fZdnUJjadwhuXJglzXzA2 1gWUUdIk6IvdckkAqWrsoy+G0rcjULlyXutwMd09Ai4kTgwQdw02sYNj8FjeyAEKs0JDVNq18zsr PDCg5hQzF/HH0D7tfOZSnta9trZTun9Vr4liUmAbfpTHhtsFT2+MdqmHcaluutpnf8pktgE0Uf+U c8okHmcis4qcy5hSg9ZLrDqdGdH3QjcEmCD3eA/FKdg1S+f7yY3U03vQ6w3zVr2PKXL5/8RJdggt Zm0hJetLVbIhBXhi7vv8aFrA2nm/J4LbQpJVgDsJ4JbauZTi2soY8Hd3KaGk0u+lnk+kY9Mvgb47 ewlv/25ZCbUdCQYJqIRHEl99fjkwQpiz157X4sS/73JZIkzrKX73l2QCfzcvKMm0/t44vAJmuV5B Ci11+5xF1I9eHQZSGoMDmnbFZDQi1Gv5ZDdQ4iszcUATgILgYXY3WGQsroRufmF8xv0IGIPBtlvA ODqplwQYUheMdAxIrUG4ARIgNHiTF/nE8RVFrVAuqz8f+FWU4WICN/qAet7AWnA1ZPISuAUyf1pO /q0IDrV0dLQRmEURK/WuIhDSAeophfB4/X92925qtwgx9FnubFMtfVlWsLydS4zKcRcb3S7YiH4/ Imm2CmalI80ZfLymW6h7Iku//z4G9zcSYCDx74NVdbJili4NXsTI+C6X4bKLNi5BZiZ4iBka+4pJ RuqhpKF6hDR3hL/N3D4siNF6J+JXuMiTV5m2XBcnKuvrDOU8YcWkEfMyK7cgJZfMqvPkAjvGZ6j0 xXsU9nzQgpQMD0L/xGvvWEL7r4qEDoKhjYEGXlpM8mcpmxxymWHX3+TuCX2wepVEe7N6rtRlZRoy aJ+qrV8ypsICLucptS2ReThK7PqocVByCmWsb+RypmwLg2CZ4VaWSScoboYzg7tni9R7IuPHlHw+ Bk3hn5cmYoy3n9cU85TeZVlsiEXdr786W1PzQkgVlOBQB4maZ7aB2PMR6sU22WMcgzsgpJStCh/c 8NaVX8goTkUrblUTklChZAMWfKjBa3uHSI3lQmfq0oeqpIVyO+Q0PEkLCUz559mncwkwOjXEPDUE yaIAvnqUd+u09TNMC8WvH6lJ0LqrNeQ+EiNnw9LqXekySrH5bUmeWvERs9QAE0TX3TwZKizOarIo zKWK5wYuAthGI73lOVcJ/I+kROI3LmV1d/RkTI+D/C6FXQPf+VuKv2gvAyhY5nlxvg/g2T8jH284 tfKtmGe9rqh8JAdIf3S+NbYKQq2KAOaRFVrL1MzuP+fbZmX5xBN6rmFRn3ESQ5JWk/dFnGvfWsAf YO5BPNi00FUIgtPDeoMwsMt1BI4pYXvoIxVvKR1LyDFSTr3T7pOBg7i6vmacpNW6vqPid277a8VJ mbF5UKZyLVvW+53Bjbfv7oz9fskbNAoBk5sPdREKuyyv2CAhO9BhxAnjY7yP2+dbSs6ui6IrXICf zkKrdLs9uz2qeOby/VG6rS5T7WwpqnFiR1MD7a8kyQmrCR0Km0PNMfNdrue4vOqcf80D6ajexCcC JdQ6XnZ2TQW50Y71h+JWTy2GMfH6ss6gGeTTGSk2+kkIuBilkv5Q8BF/GajwUTddpyolUO69rawf vi3SiRAruvgiPDHs5/i0TBu637I6AaZeQ2kD2lfa87enVLyOgXaXz3iKCG/6oWTRSq3g4RVYocUM QFu5nwf8j2MiFklFIR0odj4Osa3RXzq9A5CnJXuEP2EpM77CdYk5dL/edDe4Weyah+1bOulmTTh2 e4GDMzS4hz6ooQHXlDuURYwYVjzG6KiuAIUugrlqXetp9wOzKBETO5SwoKYLWS9ChGvin+SHv++m MBTmNCWdi1OnC7v82ZlLCs63wLVV7gwZTYQf4yntgguWqjDAjE0jxeUJkCSw5sZeR3oag7hTByLQ IbKnPY7jf0bxcGTPFp/Q192ed1jzuYr6FzBSZ3KObUdA5C9ikH7Ew+bbMz1I3RlimfVC5ZX55lAb dwVeV9ki/Metq/E7Uwq5h/pyHY76A29C6slHgLNzv1iie3BPet8QtiG2dImMAlbo2g8Uop/G6auI wMaRybpJO4iaO1UChO8sx5Kd3aqtT4tIaof4oHxu3hgRHrKbMrEWtXws0L2DuoHl7O3xrbZXQ473 2TbxChSnrhdNFb33uIrlHAMTWdDKVULaWnj0acO4HonlvPCpAuBkYxL8qVyzgo3VV1w3cibvgo48 qQD/oeNuONVbjaIZ3PG0YcDVZawblANz21iTKQeCbxIybNeZjy7s/LE6OlrD2aKY2nf2JuJutuw1 Ouwud1FnTT4NKzWHrmBa5i5O1H8IOeXeB+BUh+GP22kAAoSQ1VW3Ff7AlUXzCNNs7Cj/BfaoRj2R pRiIK1zDrjus9hE1ExvVBBJnJH5nlIaaea4hsLKE3CL5UTPyEdSjUl9k1DUpp+zO9K9ruDXaFaav vy9E7kIz2z8+puaPHcDce679gad7XZ7776AWeOxfkqWPOg+hoO1Up9y4SVoDf1Di/d0clZPHYbxE bPXoFM4Gj6kVar/Kpagnwe5ugjs7Yd0ljVNaIqMOOCunwdeLgPwH1QeqlfFh7940Rp4Zt/OT8bu1 uv7Mi6H6tlfMfAIDAEaesyOROkUagWnj99KylEqRdhiGh56mDhKJVjxy7j4PXr776MGjntXmD7vb KE7dni8TbBLX1nt95WEwIuPxARsNci+TmxbvI7PCBzHIekRYOoVHJ8w7GR59g7lbz20kSOW+Qwqa qgVr2vGZOqZw9GS+PBBjsdYo0x1zvQM4rDWmjRteMUJKfeBD6RNQ2CLhpvzRA2ttaBRUuww+rA2J DxFfbIhZspFHEwgUP2afMsT3XXg5Yd8Xy9nlJxspCb62qDHzTsmLcabJuYdvU1ZUsCIwpsZq5TpE NcC6x54LLrUT7cjuvQp8TZgUYJsTfSv7zrWFzhqovhSIjsiUU2xmAw5U1YdN1J7b6Hd5W/Y7QFEm CuNWr1PXSxeSIPaNnMu3zUOVg3ESNEBP14snHtm/t25RkjCva2t5W43DkX5064iWl4M/ZYvT9rMn NWj7970tdw043mJ1oiGETnJx2Sgersagkh7zw3AKLRklZ/RSIcEsMBWha45xV0sNlkKMi9aMSB/7 VcNk43pOJdW4EHpYZ2uh8MLeyrSsETt1QfB7Hcaq4BvGPXlI9AB51X9rMHZRkGiqlED5n8iZH1QZ Xk7pkpRq2bgOL5lCoHbzpst9THKIIWVk8XeQC9HVbQeaQVSRNeKj4dbCFJ27s2MslhKrBfq9BWX5 FawOc2uLVGW46/dhkSWPqy5wYtAXdDJPWmeVZnorbmmXo/QcUgeVLMBiwRzysigxi9qDSePbq3+F N1DtC7vk/Ax6R/M2YaabRc1fiRyX9CCnbXyaslf2afevE2HAhjFGxJOEDip/hss/iuMKd6xi06Wm PnF3kdKHaH8bVd+9MS/QzoDgyRoJeU+WghkLR87HkQHLpmXbwFlnmSTYQRyaK87rxa48PSwpXdNG TYU1IQH8s+XNC/eVb+VpambXoGHD7juUfw5sYgtak79SEVdvPAb6GQkIzV/sXWfk08A6H5a3yRTW 83a7ctf8Iabefdj6KTQ5NOUcoscWDjHVyl9nvX71NDd4sTPBU/dRvnDs3pYlBuVg6R984I4U7jwb mvGknFS6ENCepLIWO57mgn0DZzI4r4L/dwlz4NJsO7SJiwnYqrJLD3H7N6YmcGx5Y5vwsLSwbJlW Ho15vk6D1Jg0Gak7ysb1QkIYlQmUzJX/fWLr33CDxu1YSVvyzULP2fUyfGUPo4EDpLCwToS0Tv/C Sv0HQ52hAVxWdIxzVPW7hRHv0CrmrCEcAULtORL61uVL+RMns+KKZ1KEe/NHztxJG8aaMHNLdUP4 IofKq9mI5/C4Guj5y0zcjliHCX4Hvbdx+tVwsj18gYiXDJN18ii4olPCEhrtPvjSvlFAi+TDobfE pgE5Vb6fodTflW4KpsXynRieMb1rmagpe6zf/xX5Ckv0w8HJ/8DmdTIAr11As/3chH97RSdzCMQ3 VFzArPpZ+QLmSytmof2eVyeGkg10GasIO6iIFEf1iLF/2a/nwDvv6ciowwrl/1nocACeHrQB+Xhy 0j0sNTv4bhZxHGpQZMAMwpWMkZGuv4eTDcy9ocFENyWn9C8qujNxOqCtolaELjx2/Vp+ctaBVlCi gr/wCB9Fc6XnChFqyrRW0ufW93i1cfkD8mLMzNVdSVKm+AUcX2glAZE5VVBYw6PoeIf7ZmecN+c7 Dz98j9S6ZOejLLJ8FJtcUum5uFfXyDUT9lQZx5OWBISoYDo1XNE0Iz6CIUo3bUF1dlmSY0aQUyPv cQBTmMb9ns8ijmG5aOIQFYxurQOthtah+jr9I12wMagZnfY8uU/uhno3V5tTVuVHBh+Au6KnTYLu IOrQgIu2TqL0yZxQyarBJXr4ZLobdJNwB7kCfblEzum03bRTBB3fC2pqYFnrh/60xEQXiBiahK2A RJAj3F0CSFWZjHM0edFguxSY7ouzAMECQtE7HQzFaGfXFQaCi3KK6Fb6ohP5JTHtpYJEsGBVR80y ImLgVLN7NUx/9+ljeZE4lQBB1cjhNi17rEemG+r7ocdNinwBOisTvAmTJa8NZU3zow4UiGhNJGVK TWg2GOZz1Bsc14E+2PH2clYZWnD2IjA+tX9i/HEYf7rU8pA0faREGJFEjX8/EQ56KdmfXEluY0l4 dGwAD3936YvPd76McahuGMRuLWa+KS90Kub4Wv5suPQ2mOWczOifwPpwSRtL3brSZqQhVtYXwlia 7NKt1eb38MKpDo2Kl3sqPII99gRbw3bFfdduTObAmUkPMJMeD8AJGWz+Rvw6a5He0e6S+UZ3n7Sw nbr6SdF20dymOtjBrui+0ElEk97LzVFfYBgq8EBEnXq8q8U+qWi3cu0GELL875OLQ8P8G0JaKKm8 RUf7qRSjQnRgd+X6q45ydfOEc0UIUEeD9pmhH1hZkLnC7peQGQWmwIRIgv6uGK9pjXWYPfqrD57R HxyMvHfpHbKYOWBujOaBpbGW4lvoWkwigrZFDDBq+/hE0CK33qTs4vFMtUUAmSRXUhPzN9jozlAU jaxJOY/ViVk4rnMNc0MWRVT2XJTSny9okKbaHqh1E0UstYunWHIn1FGExP/HBzB6D2euMnFUpDNm 4Hqj8rX66EC/OaG0G/kpr9ChnbHrIOavK1NLo5x43VK1K1pgnLqhtxW3mOSQE0C3F0CsiNHQxdhl K7IHoicccavX3ghM+BPXCLCI6+Lfw9AdkNm8AghbJcc01aC6LOHeUXdRa2OM0RJFikKiyoYbGMQ7 1cNIuLJwqH7pToE9gx5ZWeDiTDWlTr5M0GzC9z1gvlN1FJ1v2PvSk+Seu+hOoMzWKtQCJm/xLYhI 4G4u52wokyrrbFHPy57eowVduDVCfS2jgQTECpX+3CasGRxMrigspsnP6mTUXRGHD1vYyz3TNRzc 9hYMLYum6Hl1KDbH5kDBSTowqe5vh6elDLftYg4sVfEDdvKGZAFwjdo9GeFoTjO1lGRmQ5f6prAd aKefHgBKxdXXibBcVeSNLfvrzGvdY0XE2oKqRn4NELNDl+LqpKFvpFdWH11yMBMv+5maBIR88dBj 6MMXQ6jw0FIcKszFbkpAGP0d7WCiNxHVrxms/HpI5a+CYrBgFtY+A2ux8d6yI8aX25xhQt57M6DC 4oftjYa1j8l83gw5dMiFc8h5JEpX0NciuNHAwHc62Vh6F+S5RzNTX6PIy6g+ed17SyFRd+shmB18 yyyeWbYBUOW8noVk/hjendZnnCmIvSoxfyBZrzpGhRqwGOaRJm00y7i6w/rU4AAVgbxw8BUkyO7O uAXI478qUtvM2StnKH5GgdDheN/dLBjCRemZXyzncl76IGXEpQXPpJXWlTYgTP1tPUGNuqzIyZis whgSX5jcJSApmOd/INHMaly4Do1WyGCPb7EgrYRcNh6Xp5rJkDFoH3RcFp2BNLf/2hJDwJPxYU6q XRsH9kMzSjATSLr4H+jTUqGUW5KXUiIgz/tT+o+e0RwZujCiCGazDNT7oPasHifGnO7LIP7sNl1M fBQiiHM/t9uDeL12ta5Akeubd2ZCOR9BPdwPR7MnPVEO45VThHrsY2arUyEJcemBFHi0giSqQAat oRPuAgWZhWPmAwhmxwW+0uUfR4rHa65aKnBamRlFupsDvfwCkvm/64oAPCDayKzAfJEbR+hZGnig mB9zRTA0NoJd+XUXOU97DqQBadp1Om+KtZHEAn4wo9SbnxThbMb4FxnmTW9G3HiYU1WbzXskbCrC HaWsCaOmE6bNQZdZ1aOHSSReEARcsPDgLfvyveyUMep1fMuA8dh8nEv8MboReGOgOIfCDOrFT06h KOAPznmqe4PlvkGaBb4tYQ1UaJ3ZoJ7+eTY49ZykEpnxdHVkmA6tF9zXoyoMdUvZDbj72+KlRksX Qqiqgah/W2bNa9CGupoLbDD8fshPjfHuvIQcYl3RC0u2qysp0CxetiezQJv13h5C6NSK9x7tGyWt 6fTeWp+uTbMqPIVfKZalT8xZJx8YaKXr5icz933QydnL3//vl/A1lzkZGH3FDgzdipKMF776APrF TomvsT7IbEAYadEf7herLE2KXDhmI/e0GeT0mJLfw8XBPO3SsxQZL78gvcZOJDaPlBKYVDjQbacx CjWUBLqaMGK7UN38ptAjW2eZk7u6LWq5dfOVI6Qp4uxSsXGK+wtIsTJhEE+MhQe0B2xxwAjsCeZJ bfMLpis18kSJemRl+WbkN6orB/azBAqvC+phSdCz4MfEyjaSw5HvOPMR3eUxB7Gxw1VIr4HWFoDK RXqRwbUA8RcV2vfVs/4LNJIHo34nPZ/Uvv5yWIREHcbyrkzNqqhE+rf+de7Vr2SPIUmVVTSH26RN iYwY9pHnHFcwOuXBadM78FRHFoss7lgTH3Q6YU+3nh3ukjNsmP6+z6EO0sfH9AP6Dm73xJECKJWj CRFAMfUumtEpXxdaNeWOIo617wfw6ySlaf0m/y/1rINqI0BWRxZBwLyPtIGVpOYrBOZ5gfJH2QCs geUXQeaHEFDWKWxekyAVktYqf6Nth/89tKrS7qTRO5Lli7bPnpnkipNBCupGZQ6Fl0C7ZyVuZTN3 8I43dFU0SfyoCnpnmAKoRDsQdNSbq6JRXQ+0DHqPzOcnIpxaO18N+u0baQ4LS1997nNaBpH8aiQ8 XKQB/A5rMLc6ic6JzVG5bbmvkDHmBu5hvUcIb5dAYvL7RjFHec+JQN2KVoN3MYgVvpE/OrE+jzUl Mfu5NTwiQRjcoeAoDh5KOlrprLVSyzbecNStY76JDmx4r3ztvIzi7qM2D8RKbuy8Frrg8ezTKQ9J uWlkWVpRm+RNxFoyzd3xhpPIWfdsyap3b+ylKt0YxQK54LNfUoNaIyTDyVFDtL1vl5IZ4f8azFdY 3NNcKgvT+GzGhrxYUnrCSBhEOXsOnEQM2ZLivEXaiMyovf1FtfDT7Pb3mkbrYiLY3knkXoi17e6H 106fn8oAEwHWe5NU0xAEO9iqj01IKnQ1cMLDIuTs45Xg3uzT7gzg2xIOrbgkVNaqULMwtJIR073z 77SxTfPqNqC2Xmwqv4GsvSobGWLAlnMQ99Y1HtG7azq8ZbmYsshgtzATPeNj/b/bqVQnxbItVKBf P0G1L4l/R0PBv/xy9Q0UoALL2bpEy59qU8Y0XOWrpRn2Y0jQTKtl9S01f5Y82Dmwn3NB4buFYAK9 glW/D0Jv4laBxCkiXc+GG3WW4kdHHWCbfzDovUAjUGO46TC3PJ4yE0ZhGUy6cxcinTn1I8k1ie6d qXwFMt5e5/WqjXt1uw8pD78URCv3gEMTtFFMmDXnKCMr3IWbkhlhy8hQKOsp7M1xdltuvSiRDEc6 J0E4ihPQwzV2FR8dTrKssZHf6VGQ9g59Ly8/dEVOBmATvxlQnjvuVZHZqifqP96M7KRNBspcIUFm 0B2lCepSOJ0DoqfUFGB/I+oXs8NCNqzfN00td/1BA4LIPEnUknpnqlEEPkeznHzayUoXT8ar3oqT Bkcga4NQrg5a91GxF0cgje+X+e64t1vs8ZThCTIFxchAecGYlmAuJsi44HLk6hNDbkNsLAaNOGj5 g2Aa1twNUas292ZXhaD/nGd/j9EGmUId/Z1I7CKubzNx8VZ25BIv0hbM0G3lH5LkkfkqLE/ou24h 3ssJiz5u2a+YIpNJdzXuHpTe6WZODfJDyJkk75e0gHMisbL0g5ikWeTZroHyLuW+AFkjqCwBLHl+ GKsQ0qwW50PY2mvlOmmDva1mQSoMiwzJ41KNtM/20Ew7/oe1hWOm9avOSXzRB/F0djdRvSEEnziG 7ImEgms11Sn4nndbj0C3zZ1vKgYxWieB6wriAF/dG0eRT2+0fwvK7inol1hsRU7NFtHeTGQijMPR IBB1xfTFg/i0R2N1VifvEVzvYYdN4wWUcVWMYJMPJxHttj3vMGkRyLk7oCYvMWVXdKvY9rx4tTy/ AGeFHISxY8LVtwhvUjj24mQ5IAbDe7CK6O5wU2dbj+FVIyiqzQojatRvuXfrocXzNPZawn520zRH x5T7/Yuis4qU09BzD7RLaL9qU/sd6nHYWVBb57dWZ9MlMUg16/chiW6oGcIm+gPO0CUgvdOEcCrQ qMYTM8uUC6B1lYbfxowariTNvM5XJIfQAH5dxdtkrvZNbTIR00MqhNA5KTZEjzZmQCVhYIaEwdq+ O70baxUFgZWmDHEiYFVZHRTV8qcG6SNwxu83neA9fR+qPvlRBVqaaZteeQ93+nQjBetu472HBKQn w7Nj/7rYWNqkJiu90Rf//WSewOtMPhMoAxOc/lLVdJft6no2n6Psa9EG3CQcAGTa62yCCqzxX06R XNMSxqa/6Vbdp27x45vdELL9xK2ZOpdTlKblikRwy6AHe1tNXQm95g8GoNxJam4EejLgDLKNWdj9 Q9ETZgCU7y5n9uOHtVCx2NPRSEY2MJfYk9UQNRWR/dAmDPY5VSElnrKb1LP8ntgivZU1rUQfuczJ MrKdXHPbKy9RLb+uidYoG39JjGcb7BygAIyY//yDoayYQvxa36DSr6k+dafuamSs5dyb+U95rKuf JIbDD05vCnb96IQetPSrJoLSiHViSVivuqYBRmY2CpxGGqktzOJg8Qr1Ksr4tXnc+5bdTOIqLsnd S/bkCp1d7wJmvb8P6QsBEEboNVqThOGrC4ig8u8MFFtQI0ARkWlz2nrIpSY640k+LztmHq60Q5+x 2BweHTDfYMgC7xbE9kTUD9ljoYzbNDz1qwl+O45bk7DhAi45a02rtl7iMU6BBhLcXymAQ4Re7SJa iEAdwmHg6BFnoLfVG+0llCepBjwaFS+/9mBZYD/O6aTdFNf/i4C/wR/x6stP0YDSadgkHzQYexb7 aI1r/viW9b0x6HuZZMe5MfUxFy5O/v7J47muvgqCvaf+5NzUp7noDuHD02op/otcRPjJhlPWKacP nwKdf+wzIV0eQtfGmxS+L8wUNs7hoC2AgK77LGAxyQ3WJ3hHQKeqDu36+MUhBDWyWWKb3IqCzk3E J8bJpVMToLfQxPvkp70YqgvhkOdlbz1WT9GLs2uEVH1eRV9UPt0edEj9+uHtxKGPGuufO870y7Pu tz8i35eg6tGfAvKK7j+EoDGcNfmvIrnVoFi1Q50gasWCcPv90fmSbS4kIyWV7C9Ik8ePXiemkItt H0WW2jhhsVdk87tnvabv4w2TEQ3irLJ+UwpG0w4w9e712gu8wb82MV7Y+z0/Tn0mIq9YC+4t4XFB HhTb8psyJ8rxZfOIBNHcFahGRarZyP2HIlMIuX59emrywswoXQzsZr5kPkPJT2lUf+Vr9eOrYOZd ct70xitMtWv/OGH9hmmGKySRRFEBxhf4Nm8DeWaGvTYGcgspO88jPqDrWds9163mBEnHp6QqaSlo Lh/oGkvwyrUEyMektzZ9lhSsXjKxR4ZEv56Q8+BbopT+rCLeUU1eceMyJUhclplOu8ShMjvzPhng dLbxU+zRJUI0UbR6wERBdEq4ryVJUNcw4hx7fricehYarCiN0k8iXXBEcLcWtTV5MrQaNf75QOQO S1XQ2wUf68Nx/iGKLHrMynpYPq3bmFd8qHjqc9vdwD8Ry3xPokQfTQMj0aQzKQpu42l7QwMZSnMe /SvTMPpeo3I4pE/IkceWATn14bNGlQpDhC+VAaHAzaQvqVNFRbhf2f/IXlwBEiZ1tBWd8M5PS9eT eugmcB9VlmbJbrC+rLH2eFkALPM+0VjWe5ovLHxPQRhpkrvMZcMAvz1uYaLi6OHQ3HYRSnR9Khpk HTab+s6cNdzWPo4yD0+cDQ4d5LTsArZ/xlWqh5Wx/tUHox0HyPCly/imoJ3okLNeEUMBEg4L1gaq GWmjD2sff9PXIFFBPuUFCHYvxy86ywAGVs3QbmPHoFq29o1n1BwPd68aBHAGKFx7o+hHzu4CaLEt crbl79yRj/elwwu11bt4/OiEaMdVT8lxyRCb5RKUSnCSwaTzRx1VbqqpVI71CfOxWKGbkOWuD07H qGfHSPmeLF9VB1giytJQJQPsV5fMpq2O9ERwkwYVfkswUwzczjLPJ8TPWmis/sPyIcWKgNN3Bm0k s0Mx8tbEfuOs5War+fkvWN6tjZYK99leIcRHv6pWe+WeKKuUv0lFVsVjCBPh6dnw/xLZsFdMPZdU anT8iM/2MGaYcMK22IjRqW/gC1WPoHpPmcScHcihAkV7qG0Zacb6efS4alX2xiEnUPz4wxTv+wPB z4k0LjpQkUHVcoPVqYsFaKy+NGPQOXGGmFD1HpSNCooG2h4NDgqWVHC9RW1T0ZEs8oCZc2kewQZv mIjImBfVA0rgYbo90Re+l/Ci9XRzXcLzufOC6zy8GymwOlK03scwNBkm7IRq6q+kVOLDkNVk9qKB 7/CUH9kghbrIGYOzNZB8R6/TwMPTMZpLUeNaMnHyqMpAxzV7XKEDHb5q8ylNGJS3+LNr+74LmMO/ Q1kRuqnxWYqH2G7zFbxk8CWwS+LhurDv/gaw7S7EHDM0TskaAeBaA6e+MS64uAVY9HQZJXRLNA7M KMUhkB3TFt5ySIvSR5gKIEL3Wlh177xZqCHSwxls3bZurdt7ERAZuNa6R3okUQz7k//aJ5j/hCK/ dKBK64+9B9ic+FbN5nQkdXFida5OrSlsDjs4QgV3o/XDlelFBAyFMwmGvyJYDO/pfGiXZBZH2W1F XYSSAYEL6Hk4IffecUmPOFDXyisu4lqobP2qTe64PMOzB2HYKMsuAayF9TW5yVSk3tLODfyDzqGC OfJYAC10uqa0KP+FwI1M96/Y3B2SEyq5JH+7qx/TypROjHitF5TQ/roPWwMWh9yZrg/arGC/6YX+ bLYB7GonfP0Llo3+BX/qi1l6GVU6BR2OGYynKa4txnEZhwN8c3xN6SwNHH6BANhnKelafatfszx3 /BKFUS+CI+Y597CWkBjzc+4wgYQMPSoE18+JNEno5CUlflxi7ATtHxzGZkWS7fdhpspXri0of/EB ojUsF/6dL4CLLkybC3wkcMoe0/+u+Zf+SP6AcyGWmgF+JBXFKWqWZM5Ie2OlUMk1e0fAvTWcW7Cx r9H5+2bjzgTwsf34hMqsod+FY4Cl2v/zCIjHWVp2sGaJzRAacrO0BOqN7oeuKJBYNxp6RJWY6ryI jN4e0b3KDxQKlAsJbMaD9dbeqEmpFwwwDAZufRUpd1pGW+9K446I1iE1fzbVU2AZOGN9Q584oxte 6qJHU8554pVhEvil+IXHOyAK67Qt1PoH9zXsg+yvVMY8bDrnkEJzXlWjbAoFDGFasTkl4uBvQgaH QWzRqj/gfzOO7NF1VO9JHBR9Jt2z18eIveBP2wGmRP5uYxglTKjx5Xw82w6emlYNGp3wORWvoHzc zxbCP7io/G2tcZW00Nv7UddJ37sYmXvOYmOBvjXDEH39LlcnqmZExGfFa6M/ij73e+11pvnn8HqS +l15sg6SWe8EmltYXsFyIFEa8WTQkSxp4we0MrLwl+k4FTJF4VHpC/iA/f6jF80V9zeG96huZfS3 JyxZ3HhlHs5rX6L3ZYJ13v1IYGg/btK6CqfZqOcSfiguNlHNexZ1/EqfS9lDLz7b0jTLiNxc2oeY LoTguXclTcJ1U1opwaa2dglbI6kewu6ykJqaG+tKU7T3yCeCW99BGd6iUhJRsHVN+swgHhjwjAwW 8WhD7Ebk6e1oTVjg8XghCdsSM6GuDASfWgA5+PGNHxF7NtbQgogvJg7BeKnXZsqS5wCdbdOZBb4Z GAUAXdgjEqMFIPALEIO32kTMKQFnemmmJQ8dthNlVE3pxwmfHjlopW6KbSA5IGYlrQ1P7iOthRgw ZUZs2BBgWnUYDNEYhHegdgwlpRCNJE1mA0uGfoZxp/sNRT3pc5/VrLIkvpLAeDJ4eH//ULvXON3f P9XUkh75v3gTbUpgvFfbRVXctwsnxqUHI4nuDznRPE6v+55ym4UehUg+AVBdDY//kNnS8HUtcvkt iu/hLh5t3MD1D6ccWTYWY1/AO1pYOJeQjZ9I3ietzaZzcOVrieAYaYMBeJmhFMPdsQbyrkD95YWZ q6bxipGHSivVHkNXHjr9jN4VWGHzDC4AGBz/0u5xQPAvLLi2Spt7wa/Tdklqv0nyEEGPfLcA1ZKh P9ebq5HL7D2QvdqocpV/TNkkW50IHEK/IgTBMDD/USQlxUXxWfJCz2SQfa5V6N95QBUXs89ta6cW 22tDfq/2G4wqpFZ1PoR7IjUgaTbheYm4Ns5BcbKfey+EKhL80B/xklGejVjA7eQ6c2kCX3SiuKy1 uStV4jGLyIKaICu0mmEGRxpdhNCYOvQMaGVZwiObMgBIgGdHdwbUEu0VBvJUmYmsc7qpaY7rtGsB qzAHqX4+3GXL2Fzr9iLMPBv2xzem2wYZ0wa7EYvACalfwf6ljiDI5mG4gqPWeAwtWKlS5fuFrPpz z/m6a/iJFqSbuAVyZ6wzMkuT/qoQXu7fXher5auxlzo5SiHl3OIjc41oloAQDw7P+JfICQagPTCo 3q4HdY1n8a+SoU4nPTvfheHtePReDpE/iZ4O3pZAo3Xu9oSgvMHH0tVsrIImecVJsFalVliVATsh WK8MvYDZbxKZwFOCQ8YoLWOVYnD2hwc4yNBHCQ84GyqY0D0xl4Nev3YXvkDD7sz8d9KiBWNsIoiP c7grBVIIhDE1MpogEvRK8c3Dh0n7bg+HQY8L9yr653EdyErwKL/NI0uqNWolUjl2F0n+1nB8rADL d81ruGt6bOZl4p1hYDNkzhqdLeowUwDGYqxF/Xs9/zoBxP3A2/9CCJ1k7MaSxXkVZorpGvoCM58d 7NZ0Qr2cUhqgPLhcBQP0qJxQfAumu9ieTZeTHwR9EZzaQHCXYH2RlXB/TCqyOSKF+qJHgXgBPsXt y7C++HGTAyYI5MY83nJuZMrvEMopEddOjQapmiXsGHmC4gHUV7g5SySO+DQUsymzlxLRIkUz95bm 491HWY7QSPB+12e6GY45kwZ/8KV81a/+dULcaxPZT948zoDMD2nJP0qubgaLLuMP4l2wYvaTgrLA f4zpyQRnnhmrSSvTrGbmabiPaUpwe13VsI4Mzy0TfFxgY0lnprNhlMp2mHHL6WgJhQUVDbMmeQcY cs6uxi2U8bRoihVGAtDuZCBfggJBIlFfwKX2yVcgtIjpml6JyAcxebYhOVVLXU3wbD2z8IU4HBHy twFO8oGkfCPRNK8Ud1tqd+GUhadWkUs/jwtfiphGClLdtrJ5DJzWXQ4Da9HQ8Je1OVKWE0tbkvfS hu/YtPFWkepG5q0/yUScalE3kuSxr6EauJ+IHIYBRaz8CW5ExdHlLEYfqczsSfkfZSXblg8CVvEX NkP+u0GUVE1Ro0inZhst4TojGOutrnLFv/q77+zIeNu7GqfAASo8MSl7ZTuyLI+jKQWo4Tp4hzQc mEXTgE7PVHXWO6VE5xMFe70nhUafmxMjEyXwip0f3Q+LaaPHRGbsowoxsPojKnl9L61/ddlQcMGj TUhzXMLmIoBEI1DVC7nYutdz76WtGWlbJE+B9Rkka9/Q3M+fLQFbwtLVDRgiPQxIJgu6EP4MSviO uu4H4v2XeeEHK0abcpylvxsJl7n+27OvGsPZo4GdyRq1S+6X8lRA8UMxeTRglF2R34P2y3UhiGyr 6e5TVB5ZGJbyKAkv7hnt9NE6/Me7sfnve6WSoMJdluUK0mkDHTIBb4WmZwsr5pyzgCQnk0tCcrWS beskaLz5Ua01at+x2Bo+f5949APMCandAxtA1BXwjja9la0tE27hVB8AebPLwKTr9+pQCcq3apm8 Iw8fSdkyfBo4t73RzOeaR/W/CEPTP8QrLoCAF4dNb4FWNv6BUZo7qY2mPSlvW5jc7ZcB2qFRbC5k GdF65iTtbQg/QbQ2+/rrIXzFRloBdnrhqa602wTqDQU30+WhLENhk1wmhzJ963iu5xClanWovBAT GuVUWrghEunVVIZkrnx8hSZ2kWzNVtVK+P+VG7l4X0smhAWOlm6INSFyor2lEcCJTKROjN71B7dn ZN2PU4HLZKfNWRj4iIsY4k7JSmX7obdgK17TuTe2Me4c9HjvDts0YCIZeG84BHMH21ds3g4WcDoD GkMIEPZ3oV5DMjBC0N9kEIn73estDYVai5ZO1dVUq8VLsp3UE4/gWuTsUWzfT/c1TpPQZst4e346 BZXUfuSNIUpFs+fHvMqWRzc9v7CFYOwodDWI/WHCHR3fGMuodppZFZMGiiTN0DNxuxxHfuf+4CiW um6Kyia/XCF1YORYgPcG0y8ympLdKfVd5dE7Ske0HWcdPYFVxL/0MsUOLHggLZfobIWOEM15igzH MTBioAuCO1nadD5bKmC/tLHoKPyziBGDeFVYS3GiCdK8ZTlUD8xRu0P5di/m6maLLbIi4hvGcEVD IbqAmg7WvejbkUnvS2ndtI/x7klAoMTuNoM1Ydb7Yrzca/KvejCXZK/cyFgaWH40wqUvmonMICCG 3og907VMYrcb+70imTG8t08NyaQIGqFUbC6adI+MawHfzmr0XbhP6XFQaGpsq43g8Ijc2joUrN1v IPT7ruanBdeabiyxSoHHt4puOJIld4xaf8BGcoc01HDDQ/csesInNo13ThO8TFnfpXuk7+Fvk5aS pnp2o7G6meafNWnh1MJFi4QWbJ1/pyP2pO9VqW4h7R+Wzxk30ikaFlQbJdQtiltVaToBuYbD3481 lVHGnF4wG3OIycrATODc1E6b0qwTanCFuoNeO8AlnOVA9iZeAuheBjx3S3mhOSBGzcMKYEhXEzyu LHTIYkPUq134xRMwZouvZOMdvT6QjSazbWcnLEc8R8/+mqhXYsCLVqv0S6NSJ00S1EAvz5710hlr p02dAErntk/fYtJ6tGqQRTaQxjwXCNEmNMt2f6rZrBFlvVKJKcXBXhauKYgaNbSPyoNTyPMYFI1o tbqwrcXrDZDmY96drqibJHlMGxGVpj7HEat3uJpwm2eCneCWnVLb5y85pQZgVxNnM4WII+13Cw+u YWpx71olQ5EaaUb5gzq2c+kzfUsAAuV9pLM3NGCieBCYAQJ/PJc/PDZNpubwkoAhaPyqNYC/vxF9 1t8ANlvbZ5wilt+6vNUoF+gPA+ioThsdF275IzNmj8NRzr91WrrjnJXIlI3VSLJIA0HU3TEVhTbx leQAsEzWakTo/eOFSI3TdhUW+qlr8iIluAkwQBFXSy78l0ORidPwbfB85oFiSUy+FsQU1NoKUQys 3mJuPqk3Kqqa4hTo3Fcr4xdFAITXgnl2NGdMgwNdStersSi+YibrYXZuxoLyk4E18zBkcmfqzcOc vEABZRmX2ThAlm7TojEOfZyZK9eqExsgj+IA/yxqoPfSRC6jWJOYIi/Ann8VHBh0lPhIuHaPRiEN soYDyHqvDcDh7yESOmvW5Q9MagfbzBup61Z3FlebzJ/WbctHeeVVtBWrLvVN5bssE3jGZCTxCRbV sb1tQ20x0DEBOwVpC8sdFxUCn7hrGCvhYJEsDqltrqzd/JTzkO23fQwFSoiSRvfnQe3069sBJ+wF 8e2KufeF8VDeMjyywAP0HZwiq9XQgfIjc38zUuzzspRBXaSxzpFZjU16LdUpARknBh2yIBYmlGdi mhLKM58UqU6AKmuq1Zlnd7UtOBROCAukzfuuC1hx3yGSDmkqIFROoAP/qNJg7QyBDvED1X5qLxyZ j+EnLfleCJ13ehTwin8QAmpBhUkDUV2JnUF4PDs7IChY1s7sRbNfjWZP3Azd2SGIS8TPi7+E3SI/ At/IMxPYApX1MSlJGBPAw+Up2eOXuuzTGXnIjZYvofpTDQUB776EkBPjkePC8g5OpCwb3q/wtjpM pZUywbzb7/hskJlDRwQX/F9y3FgNukJsjxdLEBGM/hmAo7AeBM8BgL/TscSU1kZsuowog+MlRg9i RHqO3cs08AkmJUzgrbdqBMzO85w9Mg/OX9MxsbKpI9eEt3ZQyER+ErD+De+YyMGooO6JNT9k3PDM IhXy4B3D57AVforYjmnFusb/Wj4zDsmHXs5+tdsiwhNY3B5BUlo9cfvkI35Vz6c0mc/IcEaXVy/y yV5ly7a2vOBvPT1NED9iM+hPr++u68CYG2zQWaqgZIWLCLxwobc2X89AMZhD4CTUJF89qFs3iRuI 7hzj3d2/j3/fAURI06zK6e9fQuvxKqvqLoA/Hcfipt+XXm/NE5fFl8R3UJZVxQLLMJNuPD7/sE9t Ajy4v8H/uZnNttd5qOVJVQnfuKaMhA0/Nc1pDNoxr944SjZR8UjfGykx8PKH3BNUcBXGuZ4OfnO9 jAK07l2Z2ENqSKau8fB8Kot2dVMM/xjaUVpUfr7txvTTdT9HPMSPBs5aMkT+UOVuBX5PRwp2qIrq GW7XpJcYh9dej81qSqPRhe8FXsgi4EPyRenuchv78F9PRFQFFlOOPFBRCitKMjkcCX8K1oyK6vll XprNWngS8qVfyRsIhqx1f3BlpcgmjAn2t3SAcOdu1L37hUaVxDX/7sfyH99ktWufNTUhleiU5ep4 3pJNGUSBw635Q7aWUS/yWmqVmLnrsE7HtRrmHjbWrsuSEh7Nhsg+K4l7Tj0jFMlDgqulyqUVl2uX FNQN17B0jajCa6+vHrxXVoD2LVXWNLXGTpoJ3CvYE9SrFk6+EITjiRxtL8V/s6HSXDsxwBQm62iv Tbhg+C+O3g4dfp+DUVM1JFqho6zEyS0hTsMID3svdS+xmpwSlhuAnelknvSFr2vuk8/cbgYbyrL4 SUFN+9s8mcVhCnvV+L2erCwJNDQL0kiSIZL5jD1LROUqlMiYnoxoCKGreGnUpQS8HdeKu2rZknzS sBkLykDP1huSQNe9P8E4dYkg90SlDnbZ5WvTYgbdImD3bYEwPSbTb8j21d1VMyu7bQkSlvBX39Hx X2ZLiOnHbzImn1p0AMQsYvydo6gJhLtwQ1Jx0dGIAxpFgw6v6qPy/gdKzPK/kvahnsrCmsZh6Q/P wPPa6Kob+VHGPngdu2BBJu59ApVJrQ57JEQs0HzS0iFoAtCwtEbMxguxYoY0bD5qEPcCVDwRD/rV g/j75O/krKYYqoTwX6kuRrKffjHnk5jDZehMBf/9gB6JBFFaBMAmaS77aHinzsWtEoUuHTzWq0Yu wjznFwedeODsEtlKxu2MJEV01IW62W0tZeV73wHiWJPgnP4RsR16dlFdj/jLUSqvnLd8C7sTw0hA hUS2gz5sVLBBto8+nZygpt9I58k8eft1V0LNd2JdUrTA4S2ehLFZHkrHIt04Qd1p32BX7AgmS3hB ir1rJPsvy5bo5LZskRE12FTrmfcr1N1kwM2eq56ZDhogCGk6xXHhr7WhkhZLUAU1WWC/6glTkx+K 8l46tU7kUbWDGmp5cRn2tMSGmq+P54YjEzKZzqXHLT3qE+1WXa1U5+Qa+x52LfG5/ZaPX2kImF3N Gj6lCA0FvU6fGmF4FSpGXBZYggSVGY1kb9Pk0M4yodaJ/3QxSEGMYNBmdUMwJ3W7tGmuTKU3PpwW QMInCdDo/jzZwvsTfA2y2X4JRMDlJ6CUcUqZ6nzBH7v0jtlc+bQXRSWhg64I7bsmfuPcQMOzIL4c F1ts3E73MKhOn6Tbp2JTkBYJmGZdtFhvSswfc1852VvJBz2Ksr4qN8sfQqySJ3brqIjPIQL9BLE7 K2261ZrFNfIQRa7XRMenJQCmoGoGA5JSMEd73JLqgPxa7fcGNAWNZvdG8h3lVH9EaOt1S6AEQGeD dbWxZiZnjcFM+miDnguWFMZjeVMbJN8dw+p6iNZz5meDgDhZvB02Gy4OoiuoPimPb5Gu4Aa+7g3x enwk3KJAuqWn9w61pi57dh0gJoMd22CHUxD26YqEn7UCR7/B6baI5BkmnIa7Qj2DPlJuifHO17NV 9eotZVIV7zSN0wWVqU5izJFWmB+0vV0e9BB9M5VRaLkwDP0HVHw/nxJFYh8HADMxEpOzDUXsxaIp BxkWIMueZFsOAQORY2GNgzkPZ2EXL5dIbiCshd5Tol1ClkcExt+vyvx0E+E6+r7u6csfRDFlZXHU RUoUCnomAYsjit2vI2JVFvTwnU0nn8aM1N2VlX1bTMWmJpvFkKueGPWY5VQjVMhg0mNiKQOFluAW /v1U+SjGRmKM+MmyCCEQxhVc73RPUNMJTpJyggwEJpGIFDyaQ0CzN1y54KwTKMyEE7rf0pxgiKpo JsrBj+kXVdjSP+1oqE0HB85wH32dctr1zn3pXAuqn5N5RhH0E/+SazzwYfG7cd3u0PS4zyVNx1V9 PLCY6QfSP5wmXQn3K/C+R1FinzCRh2X34YUFVOHWli2Y7l50UooCXwfhujYYyKk//udWOmNq2h3j GwrJVSyRONacpaW+ELfz+wVZHhqL+mKJE7Zw2jIch119q4sqoEPVvYeQtsx6G/P2fv3A5Exnup7K KKN6lrkBv4+k6lxjiGx9Cacb1pgqnaWzqMsG4V4PyhrgiYA0gTTCHsUhDvYkM4zFsPbwPMI6u9k2 mrYeOUx60KnnBLdy04ZegsqIkNtT51N5RJAZfS68EKREWMO+9po3G62xnVy6GIFEOj9+oabDfq5v 6WjNgnSgVmm1lh++vJr5KeLHdL4jIlmuRSijI2bz+Cb8zEd0Yty1zNW9x8PZ1VltIBj1FA3QpAEO 8B5hfKWCi+ysbvNbpJsymhJcutYa6Y5dFQ5KNWmXQ1HQjbjXF6ZAo/ffIb+k7m8LzasdITR1dbk2 ySYCUU/zjAljO9lyMu7ON0Ir1zLF3qPfXzuZ8TfgoMTHG2XuwwSlzy0SDZbC4QPtABgXiovycTHz ag4pdW/WSjC9OpCTyiEtddI4gYJqNWOm+HxSShA3Lr5zweG1q1Wvsp8VEmisyPexsOH+c4VGREAm KgCJeOubJngn4lw0Bl1Vd4pDYciDsz/kxCcaT1pzswTvaPTCIwiedYi7Xyjb+HqBu9hwjRb7Ekys b2sWrYYKjhDgYT3cEw61Qotqhy+kSemBPFO4nZbsvwfyNs7yUZGV6Xd6lZsRS3ZrKF2HqOxOuRWf HSw1k4nIZG7nveJ2v5qYOCFMCegxjbgiOtCj2iQQ3RUAWv/ke21loJqh0TzzjUKtx9fhrd+SVrYF SbCxpoFioq6wO6HwU9G8Jn6WpvD6Qtw/eZWb/w5XxDgE/JXZ1diLdsCFHbLUX+V/jCx7DzXrha6Q pWZaei7vGFrND6BmaW4bImKb4e/4Pg29UMWOLmwyrltJucyqFoVhjTDSs/+DZ4EyKr7k1YwXHgmk k0V46GJZEnigcXlNtTLok6iueNJVN5lY1uyd4BT1XWuzFzUGU1AWVvEj/SIDecRywo3oKyJwmBKZ O9sGfdCNKz2tPlhRdRc0kd/s7cZ3ZNTvHLoZSM9yP7ijeewJr8cjzIApazYOY8MDVAe2bDmh3uL3 3jWrJ065WtPFv0SZyRVJkVddA0XKKkTLKbEQBhXgEwTtwkDesRmDHdEiI9HAtBIhmRScApr2OVYt cypZhek75nkVZ7H7VqHxEzf4a7NMnoDg2vKCaI8L5m0VVk+EW7PaGiKl7usXOXN6FdmDiMcNRbFo stzszyI9c4KHVG0wwm1ncxupL0i84RaRuLR56mcF89Y/5NjBipSiouXgC2iUq18EPkFgxLrzR/OQ SRaPfwFGs9ahFKZKGCqsyO4sJyn8ttGn/Tq1eAWZ9hiH41/PZ3+e0T7hGdKr3LNxE8hfHMZs519p N2D4+MGrwg89eG5yUZdiT9fSnbHgCcne33yU0CXR1gfUYMLqkPNLjnL5G80gGhys+sMuyCn8/JYG GL+kLqYE0C9fS5FZe3MHVk6akEJP6ZCmI+v+8o3s48g7p5awECMVseTrISHPtSKGRAtbJ6zRfJL7 U/8B55PEbMGhdwkPpo600FSdopDeUcaWt88KEwJ/Y5Kb4ctZ1DC8N7PnZQwSjQFolmZRDq3tUHw/ xXBQAjIt6jZ96ErNoZ81dHERXeB/EonLJhgQn0z5MiIK34NLAQiWbCodRuln3+Pz+TlhzAC5EVWH VJc473+Ak0IFoVkexZ3LKw3KVH5s3yTNfwJVt8o9091TGMAha1aVI+uhhZ8tIav9ffzu60nj2qlp 5itS+JQDINnh/LS7f9ybVrr7Twq+d7XTFYw4+XWcXaTw65RZPXWTuR4tXDfXmoUUOqMF6L8Ac+sH LU4B+5vXMZRN32I4rODSy78Y8yGi3ETonh1schpqE2Tp58fxFrxskc6rL+df6Z91rHMsR5VsQhYS lNnTsPJz+EpE28BYOQP38nsh9qPC8hdAV9IXOzz98Q4VuYIp09pdysCnY0zuWMszam7e4K4GG+D0 CY7oqjzGA46qxh+B4KSUv5QZEEG4TdKHrWlUOY7YYPW8SkGLYhtFe4ESvWnOY/ddWJqL3Ici6HFt C2CRfDHgRg+Qr4SApaGNFRySJCPQ8vd94ccV78izNbndeBqYLZdup4rsPbQWRdv/qbe6MGHC3KSC wvoMiyd62t9eYGnUMIzihyi8dRSTq7c/+17KpHigWbfeFXxscR6mzFI+CrpEihcbsRWa3LhJ7Rw3 jkJc9R4YEE1cX6ZrW32+aW038KOZEh+zOS+QMsvTRQZPc2uCtv/p/5G2lSx4puO3pjXLdIWy7RCt LG8MORYk6MZ/4FVhNHDr+zQpddBsstNJcjm5HexrFcw7YSg84Pv8fLRWkgKvpxe/LZrx7VZsTXKi Za8a7jOJUTMPeYfgDoBqDRYMfxwUnUHH6S3l6Odaj5VY9A4EogjU91kE/7AGTr9v7jB5zC9yfGHy SVi79gZtxGGAjXyPyIgqvJYknPnef36wQihHcDoe5fHSfqserCltdWB8fBJLMBH98BwPArvrNcC+ GCFNOthJO7l6N8TRPbMs1MjwyIi6tLaukfWe4fW53RvMCEDpHoBDAfPyL9LPcsbmQQy8vl9ue1Bd XW/C0fIX632Al4Rprr+xwe8BkK1p3UsipP+tCWEnSW2US8zkgggNxjAEBFvIS/hahGhzQ3keWdxa 6Uwh1dZCjNEHn2/zf96hG2RhGet6zoC7UAAx6n6SrHt0E0v24wgJC7XccC3DDFKZB7Z1aQ9vMrSA Wvh9JQK2bkOcZtH8MVrYHnAlUfKjOGoGDUSRJMD6qgvVP3HXeH03eXPXbJIXqLqMjFKN5elTaN4Y m2ZOX554cqw0zMVl1j4yRzjwSe6xnTXucPDMXV4nvXv+HOIMIkzL6GPpuDGlbrdL0c9I1wTuuKJD DWCI7iMXjxZ+lu2PvCt3Ix3ekskyPaZZuFoLDtnKVOJXINLowt2t/rbL0b+u0nleL/ScDj0rJPAY qSLC1P1mFN0tXQVvyAKqtBlxM0vbFCtaC4o8O/JXG9s6XLnAkr5pxQq9ILabtk5/3wF4dop/k5Fh cJ2pHLlQKsKKU3yDyIBJOzkjjfW5ysBU4gF98BFe3ayi2kW5/QOdptD4DQ2bnGoG/4qPrT7qhrw4 6q2R2VUrVViQ1ii0sPF1E6QvpSiME90qy2SdRKDiK/MiiX8ym+8ORUtCDUA+NbimnOtHrinoExRd OGPidRPRcK3HrgLGCzIpJR5h8WORh3hWqEugKDRHqy7vL9wm2KXjafOaK94fnu+jcl1wJddRWa/2 51R3LdE+msD5ySXsRMtd0YRyJKuIsxxkSlHpXBVKC7q0aXB+FO/Bk5wgmIKUiI0SEydEk0NmbHoH zepVAnwa1OjrmStPIIFNjK/XCob0m4dXC1uG4tUZ0gcHz9LhceWWUUswMFWCgoj0gWIi0I+3vT3Z dDqGx8I3ijel/EcHzthAN7W2kbiJBhJimp4MLKNceW1ars+iiNKUZpSwxp7iCISvTcETEyS7b2It jz/BlMoNeOoynumpQTHAY90CixLLSVf6xBD1KZ4885nERMkXgpnMLWB1IK8Isy4DgLNR4ljZjvyv d8jW0AJ7K9up9UMUta/satRWCIW5CuTPS4dBMzMmTzEo4UtsxsXerem4uFueYACwWHeJLlDpTv7y BHjR3lAiWNiEI4VZCGyJ+WhaRrUTFxl7XPDLyRZZa/V2VWsCobbivl92ORNxCI9pVQmWf7NEGUro mpVSuOTRXjJIBNvcjkzv76ut4QMuuFYt8ZnG6i3n1UQYpqiYIUXKCpJAI1uhdzM/wxINd203v6u2 O7YtMT8swVtBMfRPUUDKXdRcxGNWk8zn0pCJRchAST5X9Y3eBag4ApsMq47iB75UCBqkPZR37LBo sRQ9QaZ94E9idDP5ZKkmzBfukGSeRakeAy65YwD3rGKX1SPov2isj0Fk/6TWbpW0FUr1vDr7nDgA MBgCh8r8eEMM6UASDiy9HHFYDu1rspvABI+kiqPrEf6GU7KAYLZq+EN1l7LJRoUIv7YMbUC0MVnc BaJBpXxgBBYaOV6LZ8dXN2y8fl3FV/SEZNr6tf7tddD3hymGjF7InQ7eneHz+5lRLKY2bLhZIBKJ fRoA3ra3qNcZajlnI7I0Vfvfran7MFdh+9Rqh5R/XF61ulIkZMBft7GVpQkdwHgAYKOat+G8YUG8 UjgadYW8gXsDWpvxqoyVtgTUydRov/LgFMRU6N8h7U7omKZcF+LMmSRauwKC84BI/oMXyfluYscj Ef3f/nYPpEGdS1qm6iAEYskE4HswsRmhZLtLb5Q1NpCPAr9qXDw1eXI7H1kJrpz4bPtrdCPNbnxF vdPApfEGFxVA7V/NEWnllrxu2sYc4pj342s1czulgoMwDP1uCgCyQsGwzJr3LptWTuJhM4OiL5dc ULw4ix9ugCqf+8DINoFgkSWcTc9MgE/z3IrD4pjzV+3e0S7kU9TXx//xgZWH69KKp6z4NOU0dt1U OblCmXAPmDNQ3krXA46nACbhzK8yhbTrSN25BrNOx06BaM63UK5xfARaIgkaXRZ1zjNVXXyUzBAA UQjiPDagjGI4UVMLP7SJrdgTuldltm2lX6ylWtbriaL+KqxVhPGVH2fzH+wfZ793qRrtQMU0qlTJ AU4V5t07PCMTqOKzMR4866MuNoMGflkNjKKEbCDkZBMO8ZCUg/480ypKxUPpvDR40f6/F0B7EPrX w7HwGQec1ZFFHTwTSIzuEcgTbMgs0TaSGftPmBDE0UujhGtgv88qCYHACMB/FG9DKzg74Lj+RPbf XVXGEKAw8xBvuhD0CCBVJGWBADHwxt4RzwNMGoY81hVswoltq/OqkB+2LRh1gwu+dSRmASilmeYP QScntAJGZLA/Qd7k8aclBHO6b5+qNlORXBzWE+HPi0z26SNVUnhtOYygHuSRUHFPklTepdbCGuXC ioNHuH9P7dogHXMJm/vQR4EIlFxCWtasGmJUBnCm1yx8hUnWwKNQ6cemoyjlareMAJiQVKxrH+V2 ynIuvYlQsu0bEqmEM3dEHwP9je774k7xwoJkrrGIWBeRNU0X2kBL2/Pv5qBlhDMizjGrcCAH5wNG LVgrFl9oPnUm2XSEtzLvFx1hRoj1RFXsdzmwBjAq1qjojsdaNvYiLnahyI4VdXcUgooeo3CQGOXR dA1vOfies2a0CAuuknMQAmXPBqWkk2hJeJtkbDj1W2Hzs96pSdMV9ZLAU8F19C9+dCIUSy+ZXZ9E Qvyz/EGiUi8L1GRzx1wS+sCKnIcnDOuzuNnRWv6rwkSr6LHOiaClq9wJTfQcFmYc4YqopprUXiLv 0JqEooRHqSXY4wxcF9SpFc7Wv56bnibCkdmAZHRNbRZYY9NBkWanTb+8LD17uGHsghfZyFCiyacp 44vhBSFHd26oBDsZZ//VQ2s2ZPauTH715KgpJRVhDmYKiv8ByWy6KpBHdjxUEOeqM5JxTvdhLYG+ zekdM62q92hjQkCOv4ww4Sxbyt9RFS6pNPlcTVBs8CMpU5g/viSLFnEemOxFCC2aInP0QhUPeejr PKP3yoFvWLB2rcbxM8WhO8psl2Udxy0y66BbUEYfhLBcodS/fC20vrMBgvSziqcrzBBDhblN7Rd+ K3MUd/7Ldp9RslF+GCs1FGk663yf9PQxZ7TOVMPssxUlgNhS6FcEXz1njVpRqxTo6T1wPwp9F02q QE1gUJSc8yNNC8NIr2GUCgcmp+qFgZkctEu5w+XMPrRo9Y+KN8wTr9+myBy16xbxxkJzEcz5D2c0 NbqWX1e7OlS2j3YMiWtxyGEHujc1FwEyjf41z7MkcgbrWTQCGbZ4lBCEQ6Xf4b7iKKbgJlnYEKC0 htkw3NNY4iDMrPHirhpqgGHzSc/OOjUgI7cOT/5i6EkX0cSYGNT5s/AkNJURmvOCZsWzl1WUkFNZ rrbbqQWASEzcOFpdjCJxihmMHxTp9joQHvznsZHXpk0ZErkaZf4awJAZxe1AmHKXTNgHv43foe4j Lhq6EgImQDxal7yjIST5ze8a9HIy7PrhbvlrrzAIAtiba8yeWpU5n40vzmxXcOGSoK9XW/LU3FKE bPJlBTJeAuMfHyS2JOos3SjG9s7q/wjRC3CEM6iGLCP0YRQQDcD8vbX0+aUbZVeE50dwlilD/Nqq LFbRUO77B5Th2jLYL6vE7GaHCC9cKZJ3Okx92DVYRudnKxncWaqx3Y8jkXVeO1f8Rt/pjmRLImnA MCox0fjXRKT1TR5shSwyT/KqJaUT2DDbi713NItRhOb3wMhfkkdhePNYp4LYvuxTk77G8wVjD5Ns 2ziCeftFxgi/mUBQ1zcT `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/ipcore_dir/char_mem/example_design/char_mem_exdes.vhd
2
4332
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: char_mem_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY char_mem_exdes IS PORT ( --Inputs - Port A ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); CLKA : IN STD_LOGIC ); END char_mem_exdes; ARCHITECTURE xilinx OF char_mem_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT char_mem IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : char_mem PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
mit
fupolarbear/THU-Class-CO-makecomputer
src/VGA/ipcore_dir/blk_mem_gen_v7_3/example_design/blk_mem_gen_v7_3_prod.vhd
2
10130
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: blk_mem_gen_v7_3_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 0 -- C_INIT_FILE_NAME : no_coe_file_loaded -- C_USE_DEFAULT_DATA : 0 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 16 -- C_READ_DEPTH_A : 16 -- C_ADDRA_WIDTH : 4 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 16 -- C_READ_DEPTH_B : 16 -- C_ADDRB_WIDTH : 4 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY blk_mem_gen_v7_3_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END blk_mem_gen_v7_3_prod; ARCHITECTURE xilinx OF blk_mem_gen_v7_3_prod IS COMPONENT blk_mem_gen_v7_3_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : blk_mem_gen_v7_3_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/InstructionMemory.vhd
1
1810
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:11:34 11/21/2013 -- Design Name: -- Module Name: InstructionMem - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.Common.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity InstructionMem is Port( clk : in std_logic; rst : in std_logic; Address : in Int16; Data : out Int16; ramdata : INOUT std_logic_vector(15 downto 0); ramaddr : OUT std_logic_vector(17 downto 0); OE : OUT std_logic; WE : OUT std_logic; EN : OUT std_logic ); end InstructionMem; architecture Behavioral of InstructionMem is signal flag: std_logic:= '0'; begin process(rst, clk) begin if rst = '0' then flag <= '0'; ramdata <= Int16_Z; OE <= '1'; WE <= '1'; EN <= '1'; Data <= Int16_Zero; ramaddr <= "00" & Int16_Zero; elsif falling_edge(clk) then case flag is when '0' => EN <= '0'; OE <= '0'; WE <= '1'; ramaddr <= "00" & Address; ramdata <= Int16_Z; flag <= '1'; when '1' => data <= ramdata; flag <= '0'; when others => flag <= '0'; end case; end if; end process; end Behavioral;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ipif_steer128.vhd
15
44922
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_steer128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- IPIF_Steer128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic steers data from the correct byte -- lane to IPIF devices which may be smaller than the bus -- width. The BE signals are also steered if the BE_Steer -- signal is asserted, which indicates that the address space -- being accessed has a smaller maximum data transfer size -- than the bus size. -- -- For writes, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2-5-2002 -- First version -- ^^^^^^ -- First version of IPIF steering logic. -- ~~~~~~ -- BLT 2-12-2002 -- Removed BE_Steer, now generated internally -- -- DET 2-24-2002 -- Added 'When others' to size case statement -- in BE_STEER_PROC process. -- -- BLT 10-10-2002 -- Rewrote to get around some XST synthesis -- issues. -- -- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to -- fix simulation bug -- -- GAB 06-27-2005 -- ~~~~~~ -- Modified to support C_DWIDTH=128 -- Added second Decode_size input to reduce fanout for 128-bit cases -- Renamed to ipif_steer128.vhd -- ^^^^^^ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of host databus attached to the IPIF -- C_SMALLEST : integer := width of smallest device (not access size) -- attached to the IPIF -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of MAXIMUM data access allowed to -- a particular address map decode. -- -- Size indication (Decode_size) -- 001 - byte -- 010 - halfword -- 011 - word -- 100 - doubleword -- 101 - 128-b -- 110 - 256-b -- 111 - 512-b -- num_bytes = 2^(n-1) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_steer128 is generic ( C_DWIDTH : integer := 32; -- 8, 16, 32, 64, 128 C_SMALLEST : integer := 32; -- 8, 16, 32, 64, 128 C_AWIDTH : integer := 32 ); port ( Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Addr : in std_logic_vector(0 to C_AWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Decode_size1 : in std_logic_vector(0 to 2); Decode_size2 : in std_logic_vector(0 to 2); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_steer128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_steer128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP ----------------------------------------------------------------------------- -- OPB Data Muxing and Steering ----------------------------------------------------------------------------- -- GEN_DWIDTH_SMALLEST GEN_SAME: if C_DWIDTH = C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-1); case addr_bits is when '1' => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1) <= '0'; Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_16_8; GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31 case addr_bits is when "01" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when "010" => --HW Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "11" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(1) <= BE_In(3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_8; GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2); --a30 case addr_bits is when '1' => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_16; GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 2); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31 case addr_bits is when "001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when "010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); -- Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(4); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47); Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(5); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(6); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63); Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63); Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(7); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_8; GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30 case addr_bits is when "01" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "11" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_16; GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "011" => BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_128_8: if C_DWIDTH = 128 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 3); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-1); case addr_bits is when "0001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when "0010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "0011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "0100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(4); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47); Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(5); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(6); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63); Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63); Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(7); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "1000" => Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(8); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(64 to 71) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(72 to 79); Wr_Data_Out(8 to 15) <= Wr_Data_In(72 to 79); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(9); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(72 to 79) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(80 to 95); Wr_Data_Out(16 to 31) <= Wr_Data_In(80 to 95); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(10); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(80 to 87) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(88 to 95); Wr_Data_Out(8 to 15) <= Wr_Data_In(88 to 95); Wr_Data_Out(24 to 31) <= Wr_Data_In(88 to 95); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(11); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(88 to 95) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(12); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(96 to 103) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(104 to 111); Wr_Data_Out(8 to 15) <= Wr_Data_In(104 to 111); Wr_Data_Out(40 to 47) <= Wr_Data_In(104 to 111); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(13); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(104 to 111) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(112 to 127); Wr_Data_Out(16 to 31) <= Wr_Data_In(112 to 127); Wr_Data_Out(48 to 63) <= Wr_Data_In(112 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(14); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(112 to 119) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(120 to 127); Wr_Data_Out(8 to 15) <= Wr_Data_In(120 to 127); Wr_Data_Out(24 to 31) <= Wr_Data_In(120 to 127); Wr_Data_Out(56 to 63) <= Wr_Data_In(120 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(15); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(120 to 127) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_8; GEN_128_16: if C_DWIDTH = 128 and C_SMALLEST = 16 generate signal addr_bits : std_logic_vector(0 to 2); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-2); case addr_bits is when "001" => --2 Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "010" => --4 Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "011" => --6 Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "100" => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "101" => --A Wr_Data_Out(0 to 15) <= Wr_Data_In(80 to 95); Wr_Data_Out(16 to 31) <= Wr_Data_In(80 to 95); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "110" => --C Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "111" => --E Wr_Data_Out(0 to 15) <= Wr_Data_In(112 to 127); Wr_Data_Out(16 to 31) <= Wr_Data_In(112 to 127); Wr_Data_Out(48 to 63) <= Wr_Data_In(112 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_16; GEN_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "011" => --FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "10" => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size1 is when "011" => --FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "11" => --C Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "011" => --FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_32; GEN_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size1 is when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_64; -- Size indication (Decode_size) -- n = 001 byte 2^0 -- n = 010 halfword 2^1 -- n = 011 word 2^2 -- n = 100 doubleword 2^3 -- n = 101 128-b -- n = 110 256-b -- n = 111 512-b -- num_bytes = 2^(n-1) end architecture IMP;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/common/output_blk.vhd
19
27142
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CZom0vHERP+sM9B2H0IfoDUsJRy9riNTVWFr3BZpkrcd8N+2GrPBLGYjWv5bwWNFs2qiaRKQWIBH 5SL3Ros2Jw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RCliXKg9Iz0QVLqI8b9GfxxBU1GhNUODWipyNqGvNd7T9Syer0VoYCIXvffp6DiDgM+PWpXEJgNC ZPrITDndrkqwjZ0UurJqd8Mlj+O4jokuol/hbGtnMKDg7LMTP/mcm9YRpJxuqv5WE2ZWUtD1WAlU 7OzpzsPnbliZhM0CcXY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Kq4rQuO4iRu44woH6WSrRyNcsAgSUJbnevjDngvc9cypuoYRq4je1NTd7KtIptAfdlUTFMhOQTcF fyvMO0ctzr5YXTPO+6ZCPBMymjnbHRykXwGANIGORUKHiAy8zVrLHGA2Tn1n2komEaNoM+u8Q25L d17PGNi2LYc1A9ZX79yuNo063Qy3QX5dSU2poXOWXHho+u/vL1PlOKA9tvs+dS7HzKYxYNEywyjD k9FyesJcGgO1rBPy+iEmTMF3cKMWOg5VxnjbUI6qOTjL5ZYgIsb5KR7Wy+RP+kUhXE6TZP6qsxFC 3QU0aGkYLyynNyIHyyLl9cVQHtYz+x8w0KmAqA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3w3EGD6E+efCt4Fs6HRylWTDMnbDGksrBmK2LrIuuDQNpphsT/R3PC062rFGmzFuJg/bLf5Iafea N+aHJBb97H7ueY9YF/kPUqJvkNizbPUPQpBP/2fJ5zOg61lddHncYUooATB8NAF2hcSBgU35x68X 0+ZIEJC/w3FOSQwJ1Hc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sL/FJO3bDIPRCCsg2DyY6eC+YEqAvN4pdWi2+bTJiJBIOsoCbIwvgrvycADXfLHet65F7sNM/rTn YIBRQ62HHXK4AhEPCYJ16a+GWujel0mLrgVipEjZe/PIBzOTjqR8RXDwI8IW2xOJhTKtdJhHoHnZ fRLpK84QgF3/ft41vG+L+M5INzunmmeduLlvL3yJO7PaDzNzZxm4Yb6qxrxT22OrC7GODv7eJYeF /B+o0KrZLuu0VxgdWTSijA2jO6/yo3BIW6TSbvbn1C7fQYmUfGWF6ssH9kJPORZ7fLwb67UH+6Wy MDlUpxP5xevODOWeiaWV5Hs+S3v9MGrU5a5myA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18352) `protect data_block daCdu+MlZ339oArubH/rkV9rXYGdQxRioT+a00NWda0nqcR/+85wdgLdROAvbuX1fi1/EaJTUT7w 3yMez7+vnMgFJVwqeEqR5ca+HoRV9KzPSkYgnk6EGn//6EFkQ1Bej3FBGgAPZBPG0z0WLBop15/6 ayx80Me4+FEJuzwvQtVIkgu9MFONXHxzLqhZIqnSQcK3gFhcsukGGMSDCoDlkKf5e9PPUJVqeNcf CQoJj4/jd34/8ZgD8SF37srLXYRGyfcl73GzZ0ckivByI3cFbBeYKRO8+CwYoNdB5umeA53vbtIs fq7RpHeYT65bZM79CDqrXUlELe/Tt1+aGKqoniGZX98dX7pbWqy6lDDFR+jegrXVGQ661ucDUquV JaY/BOscTTZhyxcg/o95WRuLnDx5sKYSwNGVRyjX2liLkd5wHLSZ04R32fJ+C7800V2MGhb22rNX SlEYvNz7Jlhucr+IP1JYJFDK3JDYo6fW9898cgNniQoqWl/+hbvSRAB5G25SAN80aUOv32lR9tMa LjfiAG6esdVTmjG17BKa7xdVCJwq2C0EyjSrw8g4C8qPzimuViyCfvfMBMe0aOYc7v6Q6zFBaFQZ TkB+Vp6CHlKB/6lRXr0DN/SbO0WKyvaIsXRrDi3EpYjZ8DZOYtXRhdoVOD3JJgLf8RmcXrkyoA4n 2vQ8XI0fyN0kp0I7Qlm31UCO5oeaCOdqNlTtWRkqjygJDw476gXfBME9ditsf5CH0v4i09xwy20P TEXmjg2MpfFywp/+SZ35j3vv/g9f9kFJnVd+pE5NAjxmUCo6LIw+69hJzaTRhhjwMn+yfezPMndI lmazB9ix/DfN8zjQZli2qsZUMG/w1Lc7uz2wuaUSP23mpvEHSNPgtxgKuPzGyW2xSDUOgA7nJFdx ORIv0/26kOOabsp+xJJb+ifLDe4mqYZbwVQ2MgIezNQmh1zakb3dr51i4hTCHDWynpEL/eA4bTrF pEQjrUkkf9LzsbbHwj9m+s51cfFaFTd+ErWAqGgQdN3bK5qxbqajRr7iFXRmniHVAoOz9ck6kgjZ Jtn4g5vFXQLuxqH4q0OWS4MpR4wohffTc0yhkSVPwXDFJBigDGlRDW+crmTXpN/6XdzNSQcilI64 9Z/U6ogO48bBQuaMhGgLMQR4fMpJTz4+ihqeBcg8Cxtli+hz0hUMhvWYgv1KT9kcXuC1X1nJAeNg fJ63kkgHWcLPrEZsqJ8DAfbVhf7PGpdujcg8AH4TWtcvsmpk60dV5ia2fhgIZWp2y24hr/ybdRfe ArCb+5NQNLFG7J75apv5o/AoIGfKUM3H+tLO73tEbrjfKkjl2vqHZTiKDPR/1IZmcLeqYGbJ9inh CC1KxBJLB0Ce0x/A6HNxZj/5PI5nwPNLWsOSJ6luv4hX/Kxur93BD1RorkP8DzUoEOli/HXDjlbD GN6QDsXCzO0rE1CAya84vHeLKZ0o8wopL2C1jOxyte6MozjMxB65czMyfT2Q/tUfDXmUaV+BZ4c7 YK6n/qTLBszNIXiDv3zplU+1/Rz8BwwoHVeELLGXmsUI0DjA4VlBRoQ5UZTypxk7wdRbRcI/QRE/ JqF+MhI0bgJuZnTb0vlJqBw6gLxWkc9sgQ8EjycoSjTJYd7ELlPm+mixtkXUZXtK7639EcSes+jm lQdozMq3knWLbvYENLJlWHCI4Zqx0aQbRR0zN//tt/1QR2zBCrN9OrRwrp5+PSKhb8qeunDDO58S ntHdCbRJiWZaeYZ87g1I0gaNzN8O3enIU64S0++cUsp7BeDU6GwvX7m/AIR/kPV2eHNadYUCPKhQ lOd2FfcHFXuQrIyMj1I4z2qrCI+jbVOmxvW+yFmfpEvC5AA4DO/O0FWtFVqIEMaJspB2zvaUM+de n2Yc6zH2IFBjnBQ20LR9fY4Q4+RhBGsh5rkgeH9jGroH+5hi3RtgYvjp2a7FPlpAwGhB0N3qZWlH TGWOcaz/DFIj2g/yiOlaNp3qkZqKEML5xAEpkBOsb2DzRcdAp9VO77yy+a63gpb1BH9HUYbmyMoA 5kxo1g0xWn/FXmXHNl/DORaFQh76+70EpKtdNC0bDXQ9QfijFLxaoQfc9yRBZQmn6nbnMM5KY5ef ONG6NRWZBG6rrQqQhO7HX4GULhnRDks4T7Dl0fUhTs/pOzik1oN1x4IVfx1fitK1yIy+zUSHfZkU k6f21TgzeuVyQu7sJfOFIF0ErI6zwDlCYISUCOTfNaOSnlD3vWWk7pCKq++NgPhBxjLwVcMPslrS pFZ+jzs16Bg4Aae24tq3+dv/j5H00WZgAoe8GA3OlmbchqXc0F0V0V/gk6Ri7JXpbzBkVjOidBlt fEDPhfG+O+qVrZK+4AUxWQpUQ6zdbpPAN7LziT71dRSVdvVNu9Zi/7D5F58TknJ6HJS1kkCHARzx YtKOhxRkY4mMU9HU7RTEGv86kgti1Y7ZU8MBsQe+5jikpni88SDQo5LytAEan1S2/PaAIx1mzo9K guEPPMz8d9sDwZjtaD0QvLEgJGDlNbwwj31jAfCjqJPVNOirDf8TNFNUUStFVlBEykik3jdCBBXV G8c4/8UW7DMLAnopQsuD9mcbESWwMrJmHuMfW8zTfC7WUYoMD1z+iHaADLbR8aqLo/TTMT/JU3cx ml0eRvH3w27Cqa9g0gDPVLguyUY6S78mCLzLivfJqBw9MX2XLwd/b6CoMfSshKuJAs9CNxjcGCa+ gUpeJlMr8zQw8tlcWW7IrExFQf+5JN0X+0e1QdjYix0isHQ3hhjyel5O4WTGm8OipH4Ck21ir3QZ 1wCK35wHzZlMwQpdVT9jGhJZyw3mzW4oJ2tAEHI3Vurv7Ao8sA80dO/UlZ8CgEaemWrA8ia2AkCB RhgRpPWtGFO7Ja758sZyJ9VMLs64ArBnTXlNT+FOdOTcnQZPKUK9dbTlK4848SMDDIT5WS974a2N XsDGqc8lh7M5NYZAu0m32J0dwyxqGZ1lXyhdv0PqWquD5xwbn1l0LG0cTLFh8wXD08s/dIzwmHnY /4jZaYE0D7VJDNiNcIT47IeLHqQ458CFiWkQuF5aELNAmyyNcAX944X+hRNk0oXvXZUTpLtSf1iz Z0rvypTS2p4te2KijfoIjUyBYNkuyJGyXolenc+hZAksDOqDJpYqSmOP9XxRZed9pfA4+j9HtMQa 6Q77pNJcyYrSb9GUhBOjQUGx7CD3PtT1BzQr0lRgQIj8OZUKwZWIlAlxnHTByV8GfymuHIWg0Ms+ yFPfBuRHtiZ9H13HBfjGDz9rH5MmDWmpLWgJF5bYRjwq7O+r6C4gJH44A5MIzc6xmw8I+r+2svFW bKLubaKlsCQZ5Nsmy+NmlPXtnNJ3DrPr3QjP/FduQ56ciAlredgKKP9RhohwRDizfFVq26YzZjFT n5ZMmIoR1HqCLWP6lX/cUzf+xHftC3XGRjpug0GQpCp6QlnwwTkbPnYS7YcKAB3/mX9ViD3xcKHM VwAAE6/7MIVq74L8aK9bXONDX78FEOm4jd4IRORqT38ya8emPgD3azXBiv0nu2z8f7xBgYox966K In9RHZnQNXyB0FnWjmJTlkJTGOg5ejNBwloOXBjozpdbDQJi9FoaldgaQiWG2k90ZhnPV3vrIZNK a0UXqYPF23ZOpS3iBGCwRCHbtfHeU1EyKVhIysV8KTj8I2n/VjLFUvq4yV6aw2wmtFEfE00bm58o OYOgPHQ5GbwjP9pU3XSrIodlsmfvRgDKrxwTHWLVaNrWJ9Ziv9GwO9w7OGCLwJM8by2JQWO++Utw 7HsW94K0AFJcNNUhybQVR2mYYO58OkK/IxiqQbFrWRY4x5NCsU2GCOAFdrLSmZYuP7XOQM967mKr ctRmKnC9xeQn4Ul7FQvf9AWLOnnwUsYepJHUut0vYtUf4rDTGLJYHxQSu5nJQvsPu9WZ9y8L0fZD Qsm8WmTz8OTGEZX8WEOj8ltc+Pq5OZ9HJnH+Warr5qSJqVdQj6n2S4O+4fevl+l7pZTv18Qt2PUf lENrvLfyRtrh7FJbHX2pILDwbKXV4x+mWjC1NnMbF/4j/qEU3r2bZgihCLnoY3sXZS8Nh583PrkJ tVwclBfgEwQlgWcB941uJfWnZrFMGGZXOamE22nu687Mft27J5UFoV8us+NBABn1q/ibn0KnCily kADfNIFitabjfXHo1EeBN7MSgMkujMxfJvOeShQPgPI4dkH/3XDfXUcoI3xB7g2bU92v3mtb1xsl ZXUTRTc3xr509jLQZWSVGClvwiuyNjG2/3ylA1KhvSkgvbiwnplXDQHCdfpZzYkdTfso2fBgGhOq SnErhaIc6LH0fqd7uZK6oo+hT6PofXbqtBIQ4IGH+TlvJIfEtl1e9nYKEVDZdFKlCy017WzJqdr8 0xZWdNhzKhHuFYo0zjBHzfC3RNUx5Q9pRU3vwqn+bsCP8eWPQJ4ZsseT+tC2HtYznDNAF6UjpLzW R1oVANvpnzJamaTPc8Gk20guBFmyn7aTUvFhKpmR1lBtqjJemr5ZwB4hoWT+O9YOui0i5A5aWhxs U4yOgesIh2kgQg9RQskqJbpb1CEwYgNOs5psCqBzfzHTesUHwwDdn0f6Qx9/p7GVFxIMN83iHYGO 8TGHRItqZzRDGb0pnIwnnGuEu+uPp423zdtKvnl4mTTMS9lNifuyP19Wtf3vvTkvj0dHhT8ZVi8l 2Qqcdszy8j3FZaz5HU8hkprEF1qkACIMJoOKHXzx2+mBbkf/oZ/j3t3RNlbyiYUcpe9y+/IpLnkv yrVaaD0lBrKbQ4ebt3XDryMYgiAIOVcGRFQinn8fEPvF/KZX9odelNqYK781/xNiB58fkFyMO7fM GTJtSiMk/ZtBg2cEd01tidjAAU/aVyHCQEco1vh4CRqOq7iDT76bd33M+QibBh/9i/++WcR5/JH9 ATHppKmGCuenAq+fPL3Jjceur8j480GUzbUGXlkVsN9GzWtM49u1oeC3tqguo2abE+ZAAWcXve8v mwa13RmsrcV+C8r7cB7O8k4jt3KET+dafsXqqFqWX54xRWUVGWWm6xr0SHfYAO74zzijUWN/ILMm A9sCZ+klJQ0zuhDbFPTZPYi+1xIjyBSlJFTS7wjhvBEnoYZzcqN2OnXtRcJK8I3XHvpt3UAqwN8U mxKwJINQfNRKcyAWBMHwyztBhzAjzfJ+af4Q64NjKlBX+UPuDb++jWjPbYWfeCm3bV8FyPc0t9WZ imTcHvDbGMsVIaBQdxTk4h9h1HiwrGUKnLB81v/UIoVf8RNmHEmSNKNskiMnMLnMeOGHg34ECGN8 H0VT0RFJnu9Nzyl9ZNPEj3EY18aNcRB5kE1rQIWGHbWMAyJOXdlyDbkJIN4sAkoMaqkisutMyhIG JNkVvyQdLIg4UdXhrx7i977YMXxsxnBPyloUyjUFgzZ7AI8QqUqJLJwqWCAUBFLmGbUeoL84X2l3 62wYJ6UlmhIjXq6jQl0z8vCWRhEemcTckcg1+pIZ6oKQCvqNKuqVf2hR9kakAbUQjJJ1KVb+hVsi p9QqeQIMKiVI6nDTRjxLnbrZprC+ti38ipIXV6ykWS22kVe9c0u2spV+lhPNjoYN9mznlCbKfMpu Ww8W0xlIH0sfx4Tbr1gxBCy5EsDG7lMnMQ7qu6wkSkr7l73sn5j7zZENjtA8mqcB2DXd+XNTUk7f pKO0Kr2PgZk1Ud3pMpPWmvxSzoLkcNky7mE1CSYTEQiFNTotcbKqi22aJRGQ2t/oGzdPx8wj5f+O F6WuWnPr8yN4Gwi2NUVY5U/AZ7E7wt1gOuQs/gYoWjBIApMGyOJey8etH3cKpyenHKnS8XLIJHRz pu0tobks6ZOtOhM5JTjHYlNzSMiVHOgNIFkHhIZoom67yEgH3gt9w8DQuyPTN3o67YR+13TJBhlH rpVB7Ux/iDJBHlvY/eo1M6V43Uxp4M/2SonH9I/wCBoGP0q6t93j/PhPHrcG249Z+oIkD7QqHnWN xnVEW5+H6U++lsndjBzOnMmTloHQYBB3qbqXJ/PaQU/0tfFwRknj8x2wIlSFtIKcT87o3kyFugBt Kl5MY9vpJgH9+MyT6xQ+zbkvur9QpZR3JgerMNf7hG8j/EqtBqjoId6v8YxLkaORZWulrp5vDmNh LZzCliRD5lf9BZXPqqXgX3BNQt2Be42GXzVAWbcVRPHLOeeTzoh9wvBy1MmF7erxQWqadJxXAogp jdoceei+B6pDYHfW7A9TEAPSkM7iFDg9bJcCTbnrKysfZOIngwfETC7MDjX27q11IlaknFjfuv4x fCP65nSKwmAxEnc+OvHDx4GAvyETD4DaXA0IGTPiOnnuH9mrBl2o4tRWo/nUR2HVf+4rvBOCYTuq sTQ3RLkkkp+/evG68ldIRUuU+iPq5eildNdifoaVagwWoC7kZSnJY6gCxk2tUtx3pul1XTccGp1L wgZFA2UfRlvLV4YbXsjrfcD2ajbxR2x4RKDx2vro65xTSnc6OZ9t2hvVJygjwI4pKJ41C0ixOFM5 oclAHR6H+jPwbY9Bf9EADOMXZdubdqpTcX7pkVCpxSS3mTWisb3Bzhz5J6k/01HVIO55PgY8wt98 ToQVFyXEjseTRQaiiti8tryoO2l6AaJ8287/ya78cLyx3Jm4gVn5B0FJDtU7hulP2qbJmgaqryrU ze1ckvcFnAJ/nMzH2x80spC5XYTw9/K/1zjiavTDIltor+ybC4AgErRyNiKL8UzSHVGpebAnQeFz 3YQnyUcVPcROLRv7OPT5nKei0hZRyEm3Ebc73QsOUOQ+qsebGnfvQL6rVA65xA0dghKaUdrDYu0c utip1jA5OZaadJcjk9A6G4Gif1wUEbkGxhoIG85OSOmeVvFf827t1AdeNxIl1B3jV0QUzam2OFXh s4qFjbbeRqSHqHfCcaQg3aaeVQdf1cxdA8iMlaKtbwMF+L1uN2s6tpJ3to6NBVyrVHoVeCzDfWQ0 FLA12Svh41knId6MI5RRNEAxITzaC+OSUNnTVS72ZlckkRoWmWToCml+chm4JZpqYffnDLnNEWvb hzXAQLvi/hZONOwSxp2iOgOgmFTmkHIYSk13Rh732GugIJiERcRayGow/p9bPaneTB7d6qXp10T8 lmIHOcfMUavenu8fY+bLgnFfwZdVF/7kJ63hNtLCEuQDXCYILTqjNRpCg0y6l8fsmXDdyZmBNZxe g7Qcvpkzw5/zNneMXKijoVfe87Fj5VpqenimYYvVEjOH35YoUY+K41sVZ4DuyHkItTIyrYFz59AD 9eTsq/0gHiWh4p9CMz7zB61EcrzMEdEori9Kqublu3i1vmrwbKrHbiSDkpvyOM4aC/BaZxW33oxM jeisywpPS5N39de/kSgysJ//+Ne3I9BNWgXsu4fVg8R1Rk/DGB31HdzjdQmW8RL+yBKPMrNivciI SuK2h04IGLTVBvG4PJtRVOl86VsEG5b9rHFySbGybbCL4wNNcR3i9Y0aF6lXMTLlf+HzV1r+/app 4Spf+j7OZG2nOhsTet9mzKwqHcEwnEEVhWjoSviTZWwPoFLgR8e2Qz/0iyEL3839DOETVi8FIBFn Rk3X2EiT5On4I6KjP+C8J1sCPOxjUCxk1uCGf11skyOmiki1g/ExnhCO+UX7e1U9zP6qBEekq51T 4z/TNBHqNw9Ex4fF2cYyXycaAdNpS52TuKP5DJ7rIU9Jq1orbmICGXWCY5dcmGq0tKhp46GuybMP qSX62yFRAzVaPFMoOAV4Lt8Zg0xupebQJx1uTJVIta4ll+pLHb2BbZBdn1cw7HfisKGAQpdHzGKz N/zO8bL7hlv8jOqtk1YAs0Mz6E9XWYmq1C9wf7/ydHbbqGw63L4v/Kl5tIu22tnc8AC22GwsOQyL 2Xept/qua+A8wTLyobr1Uw15IdEgZgMkalvnItW1EscEkRzHVaIVE4I8cplv2gTRhTyLj6Oo+IG1 y6nDkHinzpaTD+y0kyjRTGWQ/7QxgkHxLm95udD3FZsA+R8TxB5KzmPy9S/mFneFl2ba5aTM5VDl aBrgJLbfXKkA17YtnxYO9W5tQA3XQ/DIvCOqCgwhZ5etyGelyzZFpFNWpr6BX2+goKA2YiyfvW8A YOeLqwzpKCbUH+UVQi5zsKoIgOsFPcD3mk12iWD5c2tJCFEJGIwnbGBxsMe/o8DqT+rDsJx/kHeC UKWItuz/l6KIbRu7lBLtJd12wz83z9jCPaj3BK6HAglLQW00JGOeP4S/bsOZm+66iCMhmKYDV0lA +pwGsyi+1xHOenF5aCDnFu/AWohhUV7JU6XWY0Zb9RcC0rIN25MtpbIQagdZuz5uv9Ln4sblfy/r 0abPP4/iOk9g+6+CiTLlFZ4RuATmbMz9FcZp/7iimWTgz9+nED5b/me6KGhXISuzZIBT+yiWvZb9 75AmDAMT2MZW9Lyhsf1WqNsVIQVi3xpQydlkQEMXIbH/P6dTSdDmwW2X86hVjxGmqAvW9s7ItQIb TaLnJ0nA5+Tt+ogGjlYck7zjfm+ztVo8gWM5qhyqJa8YpZCEaOnyXfyuEEWff9+IggAUeYaL4hXJ wVvMrOk/ir4eg/okI/Z+eFLRCFYZ23/qJ+rGcFzU++HR8/6BzAmIrl3449fzbE+RubOE1YK6v7EB l0oa3uXcGf0fIi5DKh4ZgYj0tN7K8Vq4dJBl/8X19DP7nVsSNX8istOmWDNbsOBQOBU2piVrOA+p etCGca9e72okfw2SlROOfD1PJeH1tpRvJd43VAQC8d+Nu9Jol5MlF6UQSgMzOu8NV1fMEsct53xz nZlI/pIXhn/OMF/xOb5bit6JUNV7a9Tv37BRnpBNSkZIa7Rzxh0RCr3EnakyVGfTIV7jlO7xIeXO qHznoDtMoAeQOXRynFO8w6shbKcUJey0NZQLcFNSdXHKhW/wkFGs2Pfyqo0UINwrOievIBo8+PHE 6JyTaveyrd6RCnmaNAgsOpK5GK2f7/JfOGpP5+NWu/SP6KW0rS8cNQVtPaVcg9HE5iqEE0K4sGQs Xpn2alkcEQNyS0+KBj3pHlNcBgM499WYU3Tvc1DUOYj2sSp5zd2S6wEJf+U5y8ZZZqpJbPsixlif IXgbAM+2u4GuMMNbovCWhgq8mR/Xx87D7fAn9MCaUlVqPeg/ejLS4CGEcb/LSyF59aKrvjJENykE PeUqZi6hZwunr4ka8gT5sPSqJC2vu5wPlEsbMLOpx+F8EsEW5F85hCoSd+CCMZhRaP2Hrcldwdtw v+5E7oDstLMgmR5IWv8cTGKXdkmtnwj3z0y76+2CBeAgusoRDpNLgxBEN9D9clUNEDu7axs7Yc3W rGQPWT7FHX97xuBARXN82PHiiAeMC3oNfJqCKKgeALrQs5uvZcqgwecLO1AdikvNKpfM/JrVG+GT a+CFhvwVg1gWQz1aYDLPicE6q1aqTL0jj805sNx7qzfQRJU83CdbjWzVvcFnPiyJdeArLDb8xZMO hSkpOoQj/YLIPOGeYl78ZcDRq7Tpvh7oHl0d0TNEzoGj7XHcOlo/p4o4Uj4SjiUhT4od5a5Bjmed 6N99SkIAhZJboHr24agQW5w8fOgHcCoWnsB28fJUGjfNzIkmA093H9AoNotYuwYcqOFzzPvewsBJ oyXPU3TsmM2LNtraichP31oCq7By+hiaJD98WnJcNqlCMBK1LRnkrXsesGlJ3tnfs05ML2gue53x 5hL7k5YIj1caZC4AA6fDc7OwdbmdbpGyCRYkKe89QNNJI6xFoEvxb8haYUYzU7qg/MmmzNYYnFjy vQBi0AwX5w6pMb+10ddfrCbDPNFTFYcRpGcUM+RoHQvHJLPJhqzhM7eaProK/LhOJrh+77xGsy/M xPbCWlpDx6tsHIS1gI3ORCP7cCc4Mm8z74WGWycNepZxzP5t3XWl0BBC9oYNPC6gEAF+NbKJSz+L Ud+XN+OOKtTKz9zqOUWAzdrBEObnp4FWPwBGx9W8bzg0AP5XpWR/Ci/HtsiguMK+MRskLzbGt0Ya hKyfRDFRYZKL+U/jKlDYZ2tXeUDgG454jVf/WyF23liCaQUlaiGI/iTiFYSF7Qf0kzWQ+hC/mGgi ZyYVIPwrd6rwzTndBtZDqhN8FbEZloiHlqFarx6qdTAIBFB50Grac1uZXN1qbdjbVZgELyTGYCrY OMLusaddo8DoCupBGBU65qhqQGXM+LjJneh/74mncMDe57anTV4uhXdtyOxWO6y+mJPGhCKqtAqx 1xPVukG4p0/5kTF1UF2cUivkarqIepo+yz11lG8K25z8dwqpP14Bw1YG0EKzita65+zUJd7diiig 7mCKUvGN0SEMX8ntFrLv7bW/rF+QSFfbhNaJlIX9aQiKPamvL7Rrt7tK5Y391lBBJWNZqXCysuw9 odhlUYa3r4GlpYwVrGpZcWQH9wmH02HCrUctDH36tGWh/G+bWazxOXvmcb0HrXeNH+PdPscZhvJZ sAZIPpuufrBAn4n5vRgAoPgHf0v/9c5A1w/ErCjWWe4RBd0VxPOS8flYQlEN4MXYbdpaGfzcD8gX ui44kr1cUb2fklrpQaTB9w1OdPzyjdlRAYpqIv701vh6Yxil2WuywGbeLFtl0eKTHgfoz10PfrW0 KIzhY9ebeQ065CiMcQvll85i0dYQ2zHAcJCcyPcqZ9IwZLlNyYbMy6tT0VsgKp5WgaWPxcYZVzWW J1hapWI+PLvLieQLJOpfwm/t478ejzyTl4yxpzxvm99kGgr7rizqJICGSrHe08E+w+6iOM+5DVVk kohRT5EipFXxqQTf/yGCncEunX9IZ3MgWCiDS1kZi07lCT4XPP1AT7yNr0VGlHxjraR2zRw2BdVh O0uqIxNiu5SicOYfaGQ1HN6kxjs5G3UfgHsMqr9J8ZbZFFtD4qWLB6aSx0LgRIBWxZqf5k6q3KyE lq7L9wYV3TrmjP8vWd08KweS60iEpufjN/FixXZ2WX64/InMuysBMa1bG4ho1QlzfEB5rCOxYg8M OyD6QWgHdsPULOo6H8h5686kYy6sBTl6lfPrgERtwqSew8xZpNgGjxo8Rpzpsnlz2Z+oZUf+M7aB VxPLp5I19scQmcpfx0SpQUbY66HWQzWJE7yupoY1LGG4juMm1+jkWb16/9gRQWQzS6XY2wJctIj6 9jaISXwsOeuI9XEjjntx/ng5PxP+RRXV4/+DDUaHZEMOc1QzqwPuNbdnn8FfB47yEAeRW7KXvLcv 4iwObT7c6dT4uwG1W9Szm6fTJ6jlxnbmcgI7L/RNTgqWoNK2Z6xCpkXWBDcsKDjKvUiOl7yaCWF8 hXX7V/ntY9AjnpbekvskPTpvvFPnujkCLsyuBUK8ivJD15TtIpxcN56PE0wptBENhYg0X66iWuI1 EOWufD0fNmYmSeq438pRgTLvANq3KKaLxur5LGt5D1cDCV78q7JRygH1ePXL7MfHFm6bt/J2kG39 UuuCFo3Yo8jGbpbPqUctown9UuPXPfpMceiMjgY8fMXpDpfS1EZgRyrKvBSYCZcwoftOR7tP2gCC UbhARwveOGqaMS4eVN3WPXP5o86Att2AUZxeTi9dsU/PHdwvgDw5Mw9XgNm1jJTsL3eABGgLQ/h8 m67I92keQ9W6JDQadT80Pacc4i+dUYE/zaI4TfLhSQIjjO09YVTuxrR2aGSL4aAw/IK9AHN9DG2y +ASPcy/QHb96xDVmurZNZJJdEDWo0H1yp1NdsrYHEnDivoBEtIBtCzFbGqDYkZ1bAN85gM4gl+rN A2p5U2VnAzlV5CsW13MadJMMn7SEO6gFfcbOy4nhcI8/qEcFJFtTnyoRftm6yGXr2q+cjuwtVP+X wGz/tf56x+6VqzwQkFuZ2ufsbmdDWcMJ6no6GKx9mB+xXxSea3oig+HWSMRYeRIovBzGxNmwdkcZ mBvP8eCkShAGIPSj0hpEXsuaxetrHE0/5Sj+1d0TTQSXzIdlGkEXx9miCoVn2aDvg5CqQZQeXLnG J0gxqWsJgyw0obOW1psCuGM7UNG8fYxLV3pNFvubcUPqtGXwIiVArNW9yuqMZzHfbsLfomGOCp70 WZtk9kPvq2vTQlNhtPfxAZSgRwiilCnRlaN/wwOnHWFO7sn6gLmBkOz0xR2LWcEs7Nhla+Scqe3O Sxn1aRlW0Z03BujMlVLZoM7V5UMTuFTNPIiyLt+jgkIhQk+CqfHtMDNN9L8nsQj/GRLeyofc0UDJ jURYj3s+izOMJ3ZrV+YYFfZYOstH4SFoPLlBdUQAV5DWjYdJIm+B9fWsI3nHVmIYaGmwTXyHu6q+ nWEKe1xdx06PSLeEcyZscR8/4hdZNevSmnF16ARutJkZ0ncuvEL57QugtJqHy9xcHcJnRmmRsMiu Y9tzNG/bePbZ8pDTyfDqAfs6/HD7RBdfvAbmD59FqqUw6i6L5XR8iIB5q/Ox+ZkV3sF2UB4eOcvK bCh4dAK24rPEUyHFuWpmxHGbEzhIw/0cIM3TYU+/Ptka8cm1pSvEzuoMEJiOoynQiz65bNOuSlTC U/fFHVMWhBnlAYBTH2AfBS1yj5S4xPw0vjPQGsyGnzDqW54qvRpCTMi4GkPqVm+YKD1EbPbtV36+ OMV3O19ql+4xDf+lRT3pdhlErIRv+Fpv7PmjwaT8wNWmnlXaYnAnifaMqMdj+Ta05Zju5eg+FwlL tWyC5Hp0Cnm1ZfGJ0i7I/90ReNUahJc+lNmNsocls0dMmwMK9G3543YA2+D9KIV4LWrBrp8mQr/F P8Ugz3QA/laNqZrVCpg8Ya4Ip9eOZOaJaRQdxQ0kbEWnLMyC4mXQh8qECNq1plU8559qx/v8eEjb EkfBBKZL8au5ZNw0uN5dowrsowdD4cfUOWfx1hOEp9nYDD5Tv+FIXPlQgC+/rICrbXgDIG5A+3YZ BQZ9hiBAvNSJHShfi5Nb6be+86M+kPowQYFol5/EcfXZEdkLXOUx/h/c0p04jb0uV+G84nyK4kdW vQKI02v8tih/2Q17n818exkDNmZBYuN7nFzJurxofpbBq7P7xrfyNDMiig+P8aU7eD27FNOeQ1j9 uNMaUHCQhYdSBJknA23yrBFvDP0UTzAoibcdg6Os6JUSOYioE1M3Dhvm5nJqsfmGxBm5f+mWPfy8 cS1D+AaDWfoG7seqSIxAw5XlNnPgQHPWyrtikYiVhv2210RDSWMaiPQXMhbJjPkdJaM1HsbxDW2T EDtx6kQmWlbcLIcRxhJlLHQe4d4j9e3/6UAYrofN97r9jXrOSGk30X5+KCLyNoJ/u+pC2I/6f7Ic M4ZNp9bz1DEonCyGmoLTSu3xS+X+KaOcEbczjfPr92++Xh06cUlylSihn9z8gXIFMuzarGFAPp5t SFs7x9v1NKkYdmaGxscmAVofO25F/mpYVvXXJzFqW688Ajdm52vqMTtqho6AjU7qKpDuEF7JdH7W LnoBx/7yUU3GHIQsA+/FVIzeuiqd5Gi2m8KHj3aC4wSALcwZtevDPPDJnU9Ykoz9J/2iq2eIDtWD e9CxW0cjZqmIaSZNL68KnMusoJp3TU+pslQocJKpeW593Lvnk0K4rFDjcJ59u8+kXhb3Qo3RoiGD SOUUyZ/hiowyThbASeXu1e8B96v9LPEWl6IYNf+smrEEHOVEoZ7N1PadGi8IgTw2lpE5yiwmkP0x vknsou3vDiO8hc780WCla1DJHIuUlz8fY7qbvDflYDvfg3/F1hyk1mR4Xlqf/b0/3CFp193R5UKc wmHv1dc0AL/bDY/LkB5A0pQGzxQy724A+uu6Pm9PY/E1hOyapLHrle7ekYYpomWz/wEwa/pG3t3W AXgb4vBjvtNZ6qzGWLXQynP4N6v1l3IfM6dFhCc9wYrWxsbpY5ZcksvNHtnW80hNOyfbui908emd pu06QzPZbS1PBvc6qpdJ2ojZYky9xfsXwbWmK/M68O4V8bn573weMyG1YzhVdWe+zy8C0DsAtVux L2sFq920FOxjlAFQKB+JtFUJ7MbOL6hNzR0ZGVqzRzlEh8ONzUa5AWoaaNwW+U5E68UfJcBcyVYS gYCupscXImLf4SId0s3yWCH6+mlmgHST0jjCrz1qa5xOzHpBPpb4h+i0KU4vOVwoVlX37aC4Lk0Y TWY8ushspzRy77D2xfViAL2gWUWyFEncGEPFNBz9Fn8KK6DGUvGWrNecv80PQXX6SW1LIN3mlkCQ 1SZe5ufnAl/4UF7lEti0hzO1I+pizMBSNiknhxWqbmiGJMfzpaiPpLghLLKLykkT/qY43+Pd1PxO wM7zomKorcgfJ0/cZ+Y+1/+WmaqCKC4DDRcQbWo3f90c3xgGv0k0ex+qn8cwnXNEV5CxPiS/QDzt a/cvJpiNpQd7bimkFnl6Y/ATYmVZBPo6g8ReH23oskJr0xQRErQz3GhsTS5+8JyRUUgS5uhbMnhx AfJ+/Vx1dDqIe+SPdeoxmepAeenDNa9wDBW+nXmAMPO38HeVFYJIJB1e6OZFF/udmwvnPfiYoMSx cCp1HEYxlbujP41aP07f/uqQet96Hvo+cNGerH5wVjfEUZ7JZgAcFp0SUqczrfueK9zdf7cYq3ze QmwubKWAGc8Zr4+bh0VoDOAAY0dLy84GWd27iN5akwPC7rx9jdI8qlGs3kZ1vY8kYqfpB9NhzXf7 shysfYn7ZEPlz5ncwUxiF92cFrNKN5Y2kp7sD8Uqd2TUabyxwZDxsP4ky0zVVV2wLwhpQybLlkuf xwVrVMm8eXhDh+5aO9Bq5fSJz+s+M2LgEjETXOa1Sc0vMNlUVCJwfJIlT0rwNRBYC09F5knyfCcb 9bYGsDqzBjm6ibgwv75eygfSxG2k0G6oFClMBmf2ASTd0i6FV4Pv9t8fUBZ94TsAMLWEfXNh6z3r Udu8ft9HnYvG3ZcNNvX5F+jJFf3NCszXiRFCbp+X3pattZgTEqSV/DuuSF4iNg26towaHpGkZGGI zM4gn4IV/hUP6H0aJzV2Jg/xw1y1uBTFFUgQ7nd5djquboN5fXo1j+8CPa30OiP91haa2FLLfGKv eZb5uJFkRZgRvnPpWW2lzhRaz4AzCwcXQDJ04e0j7EXb8TE8kKM7D0PCOhFRhUvg+2vkdwr7/6Hr hR9WwJXYGxhQvyl1xSLqy1jRqwrwelrGmM+Z1etCRg0xKkCaUnCW4H+uusteKdBBtLtclrX/oK43 hHsANWBOWnl8Jp1ukIAVgJtHVciucJgurqqaIJ77X8zrF2pAND9ToZxIRYltLxIXqUGeDUrulgYx OhjSV/Etm8FoGYCsWRTyzyYVTperMFEUK63TzAvB+drMrTC2F2xFNDWSDTF8W5RNG0DP8fa65gaY ZKrnj+6+K12qQI5ffSrmpYXQ15sz5jbxZlfSNtAz9PBQjKbDK4GawH3K+kldlmNFA0C727Q0fuvb oTPJ6M9/krKfYbEB7//CgYhU3xItL76iRzWG+LAgQLYMrF0JbKfvR3qidEhVMXMYsMDEj40Mleua 9MPpF9bCliDtMX8J6jiGGqBiC5Q6JornwBGWxDZcgyHh/T+fbxgNK8Yo8kfgnmEI6wKVLyGf5pQ0 GhhE948yIJX6HJ2xFdYsRgEkRCpHgBTq6UqCWp1uJlitUONY7FpXIqcUoXnsfLILUs+003Zc19TH KhhOYTH/spZZcqH9p7wqP5CCA4MSrYt7t97JQ5shL5zFhvtJpYgclJlJiUQeALZQz+YxWb2GYHaN HwQtF1fez2GF+LV0FVQv0IYfxPZax5CMQ94wWvZNJOTPLNhNGLRbACa51j51cotPHmd7ckidfkse MHW+nmTcZQIdjUGGPqsOuvGhh48v9Ahp1pBrfvYtF19RUEsFhHGLsNH3PbDOzmV6CpD/2S5rGSD5 804sBlMgxO+e++MuFwz9DZRWhJ86kAmJTeHrkdepVMQAokVDe0XbvRQD0rkJ7xFwoXM3BETtRHWD Sf66DCB1DBL+aN1TCe9Mq9kQWh5PwAJAk1Zprno+yH5VtPRQlQ5PACBcQMSWiRosLWSESlfinz60 OGK74dc/MOtCZU457CEhQKuIqjxOMl7UKytrQwQbQymaqxoQYMZLTVLOXbNHQOKy1Nj9iWFIqWB4 bsqRkcCTW8DA6o1LUHzJ+27owFbgPqeCouxy01TKxFjnkVOJkt2e6oeOAWk43JkR6LlKEGVSgRzH tWuHR1evPwaKauEUI4//wVIAzo4NaEM/RWPG9Gzp77VTrQxiuQUkS9SuYCb5twA0zoMoIRdtYzYR ywqblpI4rD4olDfhF+D0jQI+WOnlFNvXnYAse/MBUltMdYg4vqndZEvxj9RCe1RvI+Md7oUhYrqA V5H3IKMQOYux7o9RuIrh88+RZ0sfhZ5uoPoxF+h/AuRyW5uPUEJIJvKWxkOWsASa2R0g+RVookhd VBqZHLmYXXA7DzWO+Hv41LALzFmIaoubKsb3wkM3JlI5ldDxHu7Rmvuuziv+7wrVnGZAyGayj96H uYD4YqKsliHIDbxbfcy4OjCrJVxUAD12lIZ7535iJ1yqdVGhoZPX9N8Kt0Cxii8e4g3xsSCgraJK oXyVdFmyB4CWcnTsQbYl+2LXSbZU6e7417qvwD7oZFQkZ+mfFo98KyerGORrWMpKptOXvjgTHswT DHC9myPZrjk3ebFWHI9pHUloaLypjMuFHfv8JTWGW8RNLLR1DINGrmGUVdhMFWe/wuLWZI1fRLsi 6SorIBexTM07kh/ZU5fzF8z4+sh3nqonBaikk2ZCWjN/t6TGd8UUzn5CSrzHPIp2zr1mSVCF3CeO nr0Mts2Cz9YgydWk9NTPw3K/5SRvhbYGKIv7VlkkT1h/pv+fRfYqdwiX+nHLszf0UJJnLwMaoCoI OUPuzBRFBta1xOoCfKEGxfzSsua6Q3uTAbF0Wt9U8Gx+yFn0s1u2JZwdYadaDyQQkK5cDkaFzPTB RlPX7xF/RB1vYS8JktdAsWz28XucS4W/uSAUwUadkzjuofRsEuFupM4g90W5yGsxF9CNwGEQGzfK ERqS68+rVtuEChflLGFB40n7Eb0h54mx2XVwoNC2+3JRQxeb8PW6oNg1uGcC5VTAsHSC7JIXBiR1 w/ogELC50eCl9UFxSXcIoFw5o3p6NCl9/MzeZ9wv+varP0Tusa/dkW67MIc7pn/emuCLfNvg6RlS ly4FqdeVoLKy7tK8Sy72Rpxvhf16sM1lSPfpLcWaYKBPvc5Tsp1hkwF4Ht/1LGnGEKjoUOGW12Rh j9m4V+FIuZCwLN7jA7UwJqmtDXhdo3JE/nWTHgATwCMzXlAYMTPhdXyIDSuEolHDFeJyxaVaqFn/ jd0ini2EQM/t3hdtkrHzo4iuIvcQ6YaEUg8LApiWkLvQDCmCCtpKqII8IDsQ+IhtYPJhQ71c4X1t aLNC4Z8ssY3XBpN0JTNs96qEDD3K8vJAc0AIz2sK5kSDdnGtJ1FpmaVFMY8uM5h5+AdFwBZuupPi GnjDHGJC0cWZn740Yw37p3+S1piW2r5uCLjSqAVA6P+zqFPjA8pFBV87Mfk+jlT/Y4BXF4yXrvPf tstKYTmmjFrm0APioCPlhZprcfdrGOwuAeHrHu3RVis20Xgti6zaFhG65RL2ArNDxtU3mVTuHc7X qxonh3HRAGfx/d71gTBOu9znUCu+CmqVjdgyzbnHgtO4slT6ZCXyNJaP03NSSWkdg5OxFEbIoY0A CTB/6IijY3jMSrXbKUXByi0K4LDNFL8iKKzmKCbiyE7paSP4KC9DggVolzXKeptjDxXYUg/K82aS DbUJPRQdHDWZ+e+PytcJk4E6smKWokcTzUG//ZnisN2AEm5snaDYmTHTzGWbJNTcrncZXDLw5YQW jshh7EoSZwv5IYTO2T2if7p8JOHcF8BN6SOZVJtN0e81YbqtvXKOcjOM+rAeiu3XqbX3Qw4sG0nt ohW2djcSA9KPzIfyLAWDpI53sfNROVPrsBzbu98kjVseUHBnSp6gHuHYJfMH2xNupmRV/hS/Lcr2 0EJ1nBaoOAEgzPdRPxtBLYDjJll2X/qfrQjt+jmyauHOd+cNB4B9e3zINTGZYAvgKtfv8nfHxLeh BydIgFaD79BK35Kv7HYByCzhunnt+W0U96BhGVDK+x3tcHJ9Vl0uj1EUNxcBJW2m5pS3NotEZEah AoSCd7xakdDvXlL/7Vx59bQR7Mh4F2t9b4T0oHvCkKCeBOX9XE5A6eTyHw2eqj3qolDrKpwvhhTC 6LFsg7FozhAPZ4eYiLIPHoE5+bVPU/YpdujO2sgcWrL2NSSyWlg7/ZdKl1H/bPAUnFoT3AG31w9v sM4gf6kE+WwMM8Dpjzq2YXkJl08DitQ/o8FzjQCOPjvhExF04e6xYY1e/C0+Xh5J95sy45ETMrCV Dq2Lrxg5uritXmFe03pFdjxAUj/OoabM0QygtyBK5qhcsV+j6TUJQ98sYREMjSme1ru6bw9Z/6hK vEQUmqtg9TeeI8d6d750mlZYsxQrtJhG3UfRb08mF+Y25Ae6Tl353QTRxUVrqjlk9GLaI9Zo8p1g Qny9uu0e/5c2fHvVk1RbJtaqKoc/Yt2WYPgFgAB2zzHBkgz/tfcpQPd2EIO7ll/cBQq8/5jccjK4 SdMWBxXG5s3fXfEhHyNVz3SGt5g6pKqLervHLy2rl6pagYwSgDngZ3+zy8flhhcZyPDUi7P8cr+T auON/H87GKi5mfuYHZMTDO8wGxtt+wqDZsc+CklXLhespp8zIYZWzwIjvzWsdkiaI+Zmvt0TNn3Y +J28YD8DDLSnwWao8Gaqh9Wz0oH0Ghyn6ty9J82xBXc1JDWTNNowtCdhpnF4uu6/PGqoen4Snrl7 Sf6VW2MM0SuWVj1RunVyGlMOXW4L4/VOSp8BTJqH/tihZJlVhEEy1hPOnl1ex8eWPuOV0U9q7qJt hKPTb1HiVTQ2Yo1I9PTb7HeUgcp3fS4z96qe3V9j63Kllkq08nKIxKiN2RjLMHN/u+NL0uLhk35O oB8R/cVLXlEPjKzNfPRmTdXdjwpuSiiVR5R8IPI6v1YOzN24nXvqNXMQHoG7LRKI4RkbrMUvo65n PNlTiJL76ID8hWlpyWqyHz1kx35vi+2tkO96byCQAe/Rse3a518jMeOCLKZj++pwW7y/PlZ95Wrp YFt+8p+/bSlgJnPvHB6gLTPKVyeEbwc9PLlM7PqV21bg4mj8miSrPNLe5PC47MiVRbm+JfwinAVo 82Zz1ZyQnABi2GkwkLBsQKp+6RhWmGHHNL38vjPLChifX8qXWOv0JAk3Ht7imYJLffnBnYnrebLF fNWRX5gqCaZjKZCblS/Mz6fps8TUaAgHG+Nq/lY3aEPCfrOyQ2REBXAnlNPuD0HEVpy05qk5HkJq YP5gcWgI6uE5ty5/wlAVVt26R7CsZhrDvf+GJRta1vbUaXaU1+B1B/hVIAm9ia1OkjO0OLZwChZs 1wCyd11rsgW1DllipYz7HdieLhf1hlG127BriIEcTbcssN665v4zyiVHezuio6Yq0Kje4dxehtC3 5KBRiPaPO9ue3dPXNxSi5jxKkHd5bmPwRuAAV19KDbPXnNxyE4DuiPfJlELHA+UboY+8JXX1OrPd DlS5sHqMVXblkluwhMdgOLLyumyLG4EJ5j8GZDcr3ohXyEyq1sVqI7tUUA3qot7qq0Eln4+y9FLp 89tzhKV/4+RtOUaXPuqg7TLdRbE9hHJq16myEZM4VI9BaqTBMq6ItYQDr6KCDE2bS6XTRq9Tl47U G4qK2BAEK+r9SnH9DYkL6EbC5UXM/7veWoGL3zgtv8j5C8wXYKdOwIxPz0ia5TDn55CHW1DvUCTM Q1TAleRwedOU8sbkyZRCNFsWZAFPncTxH3uweOXMj+SzeaezUI1tVXk7egZnHEfAyBeOZsr2E2KW LDPHJC+DRTiSkAwlMt37ePByddLVQkEOkFMgQMUpF6fITPT22wNK2EdLxnp5R12f/43SeHKn5oTi 39Wc1cmErr/KW3mQEqYuykE2vrdQ+1+tjoiS2mKf28oMaKPRRWS0MgWtRZ8Y4ggerJnP89lXinn/ YzfYXN8lUsGVv38yhKOxXjU3qWnqhpLbIBdgOU8ZvDKYjho91fYtRjY4ib0tXi14YtNLT3zkcOGD xu3V7UjBN+nZ4ZNlPzCU9FO8A25xoW8eBGVkYlZlFiJdCoKWPYMC/T2fodgmf7dT1VCAaJDQTFXZ XTjl0HAEFpSzm8guelcsb2+pawb54ZhHO2+7Ytlb9zHQ9RwnOoG+3lMEH7Nr9nlIfsMQAjmttkG+ xqESdLMX3wtqHMMlzkpAgipOx3icJqkG2mmWXQ7Z40FC7mk50MU0qpr+i6pn3Ry3g4CPzJZPoDUA kwL6QL4k4uPfmM2YZFJfFLKycpAEgqeoB7zPlCoLJqHR3wOpcLvMWmY4w8UfEKr5TOcfQTt1tTGv QvzosYum61VC8ynxMORx10ZdKvlT5lpBF9+m7NLqEmjjHbxHcOigE0OiMxluvs5yK6g7u+2ibo++ uyZVOUGAizEviayv7YVFXk0HvQ2nAfB94a2whG9DzfXv44QvS7vWjVnyeWskPqF3vE9ATZP+M4oV 89vuCGAAIwgNEO/xuJNbSozJKR1m21BHlR1QkB5b9DO0ZKZKPGfy0Uo4P4L43e40bProbnjWUW1p qhkHlwosB5xO6gfSskzcsqbd7LoUJDcr6xRviar/HTLdOhsP3c/aWHBTl0iAzhOcFImOQFIY5RkH UHIdK5Uu+CmUPtsHdgLyRsX9fzbrkTRDKesoCUZIw5oPm0z2URUHmDwilmW69QU43O8keUWtulvP aiW/QSRbXZctqyadfIfr32yqtFIuIaXFlBZgkiypgaEZKUyeCIOD+eQ26Od9U/0tMFkJHlcjB7Qv pzE0WxwK+LzL6dNuRxDsaZVYVKVsSzm+0gadDBh3YY4/f0f348aI62qVetCEkwvYN8vh4ofcvcO3 hNKRhbxsfSpRGEmpdMG8M23PEu4aVFnaFHFml/+XHJPqS8bXmaRirrfSh1plZKX1gbqxL0tw6IwT l6+W1TT8mPw2KADoqfb9EaK/bdR/xNW5eXydqMg/2zEpekC9rgPpOe2aTLt10GH8jIv9ARHJSJKB qrk+gRBoDjEvMFQo/iPERXB7CbcqUckyb86QP/AO/cvJw2tFh6GMSG8ipSQVk25w5aeEQk92KCGi Q/Nr0Exs5mR/Rfb15Gjqcb0MqUUjjWjP5+PHn1Jl05Ef+4d2ZOU8/Ff5vmX0wmTpKs3TFV8xH+hV 5g293fB/wMZGRAknwTF46s426qKXWEgCmp1GFnMXWQNYhuG3LzP83tmaaMj225M+loV05UrqufBD TFfD1XZQGYorhzEH9fOPMy09Idp5UWuiK+9k3DC6CL8A4hMP29+JGLT14YZUk09owCLgbj3aigql ivGn6abFIUAXFBm32aDynZ5LioJ3SWugSE1MnmmykTRtBaAAJ0sItF4lnCi2s7vffvROolXjXeXC 2W9/5C+LkPWmuZpt/MIW0c/pbOmqwy1BICUrVbdGR/FANK814bcoKFN8+Xd1J0ZqtX2PVofPyOs3 rSdwNeN099Dbs9otX9CdAcw7G/gOoIWr0AGvpzbqaNqEdlpSi7+yNhdUI9SbuxFFWuXa56nM5+Z7 NBdTOlCOH+5j4l3tXHgWwxrFgBXegZQ4/kpmG7yCu7P2iRQjTv2phTBBLMy/KcCFsXGt3Kl4oim+ un6w3FFYsWK6l5yL6R1X5WtcGHNoBw1pplvcTjWfQ6VuxmqcXIF5Vz0EhOatrBaT1sLbnoWyb4N4 fR1DY+YLVtxZUIEGM0+XKdEhOCY5R5BEtHWbeKP4V5QH0xJ9jth8kDocvFiFb4kSluCXiaVHc4CN O5JtTZfzUy1EVDBToT9Rja+XE9vQUBsNKxBM5AAZdxMKcYmipClORrRdQOPvdTpZf7E3WGVKE6NY lD2qOWl36uTM4K88rClm7iKlQs67yyJS+EFLYl2sF3+YeIaDmBt86vwcNxAXqy/+uTwcXYr3Wvay 15RZiWsDhZVv6KKLYrUgxejA3KjNv/I20b+xI4IXVcTfoPtqPztXvrP/4Ya3jbaQGcsq2mNDYe8F sgEeK+tD5/FlbZIpdVBxTPDEHiIyuRjWPDi+j8Yfq0UCawP+wszWkWjRcb/2Q6cM2sHyA7XM6NJs JuefwGH41qagkHjcutW+xRMldYdCRhHb6L4XZ4q3tin+AYmVM/NrGdA+q7pGZs8OVxaOvDodyQxP jyxF/tbGfuNYteBhJ7MMtCQOSKY1pxS0/mDQBjqlXYrtZwq04yTPs5FrskQf7JnpjVAaFXQo/lY6 DoG3Fw6KcPOohkslnLQT0Jx/8ZOoO6Tr/CmbZ1ZlyTSaleK8erqE57f+OZaFtevpkjmoUvHuAq5+ d+K8q3SohP/AzDXSyslu2WoP5Is5taLe8WeRJh81nEyF7nUA+dsAg5W+8yEsp2q+K7mHllkmm1f8 Apo/CqKLIkC6lgKNc2RdVIOqNPCHx4t8nb2f3Y2sfjxShgRM+L7z2ZkkEzHyogOFZmJdU6YZTvdL QN8iW0nDdPVDF+1ETypKt1FRTI6lds95TCjvbnvFnSDzGQomipva9UoCJzTPwiQJFnGW5nN/NviP 7L04q0hF2gNHkEccZZIqB0SXc56yy/3/56QSOQBAIui+F1G3dQ24N3lQfsq9GL3McCFtlvQyFVkE 259ZTzLT5MjJgoUviXZNWBULMU8mijWU48CBSzcVutrEbnNKGHJ2s9TKpDvMO1yneLjFs50qRDD3 98AD+FQRnCLDV25f4O7I+EAb+ZaJRK6udx/wqoVsjsMEyRnXj6k0n2GI8LvWzpu8ClUK1brNa8FS RmrbEAZZc3hwelFHbgufuCHkZlxAAQMTRqstL/lKZpKOI+dp+vwwpzs7hCXkF8Gnyt0SgX/wSzkd k+Pzt+bMpiKsimvSd5nm1DK+sFT9zoZklrSWuHYhLJy7ro2vdfLI1PewvB7N6D7LBw3owm1Ck28z 5rB9pmGQR+uWFgGPC/qyHtfQ0NRgjLnXNjaRFjKq8mRO3Glm8YL6814mfoyJVAl6JhYNOkM5oq/R NJ3+Az2sSEizkTaWoEVn1BhpjZnFqDdw8zmqS86aXXiYXWmAtpLwXSYdAc/gS7JlPU1RqfXcg9bK btKAEWQKPackOBnO/HZvGslQL11g6DrCWcwR7erS6EeOhzYjUhP6efjRC+l3J5L/PMtK5JK2C8bl ecrNdeSSkBSGcZx+u5roUyUDRe7oXRaGLZk5M3wT4Pf9ADx8Vp4NyPdlCrXVKLGs9YYCZKrxBATl sQfBfbHBhz8eEKTVURVtbN72U0jwtEZAkujrrB/+sXlVIm4dMSaAkPHkCEaroTB0oczGlz6HvVFr SwsQaH2aYQSXC54zbTl5svDN1B46zLSYgiHvvHc/x8K1VT7nOmIH5aukDYyR5RtxVwi0dWzby6Ol UVnxHi/fAmCAy8iynd/YPRP5w0wS8ZvaLSpBxyVMY1w/OGO3xbbSb8suOMAYjvMDKIG4dC9+0Ygb F2EZyG+TKY8YmynJGixQEQ9caF7mTFjCDyja7arS5FbskTTCsq0lhMLfUm3WMaEWz1mff9Uom2NA WTTP7PawOKXAE4XvE4VqR0v8n+H4L4RVrlOHoQq0bYJ205aKSjfFXAUW+8PTM+8lqaj+bCPcQ3w1 YfarQIWgIfsQyFo8WFiJBjFMwcsqG9iVmmQTzkyqdhoV5BiiEVAKPGG48oVoF9TfNqWFDDxeUWbq zAhl2XBuSjStC/p04HetxcbIrX2ax2NmYPzfdEDSXnFnG7GUfVtavckpJXpn3/QFKkOBOgBJM+xP V9bZPClMPKnXy57r+dOJtDSIGWD1iaHqW2TAWCh1ODVYQ6/dHUEfBW5mqi+HQVCn+6us0+VAzrp/ LQDe/UTT6KBurNQUGJNIkTLBauUSlUK5pmSEGZEZKB5KDrl4hmj9+CSVO8DplX5ABiwByOmfo331 9Li7mR92mKrU8MdPyBNlksCdDlYZme6GZHWozYkUHSW98Ky9iVmRxpvgtaGovdpvfY+3JroVbxUz 9m8JcopsD06dTzubILSNRheweTOjZKO0sPPeIzJnrr/0Pti1TdtYWgPdyoUxBw2e2Y+eHnNVtVLG 82mrP1r8QkmKERRE03t2LgTkz0ivib67S7sKxQDx0h31d/H6Wuj1TNizdu6NoOsypbrs0T6mufY7 QSE9nc7wmpkLLfWmj8RvcxmYHvajTgKWUd325A7Bp0bPKMXzgC8+D1Wzye0T5lU3I7/BlVC72SoO CTm5Ct9pFgS8OpDdwydblfKMW1imX+bflGN76jGPjaZY3L+xuAeqwgC6BaqmAAYtxEoux34q3arE gq1mO0PwsDR7eT3BWAdVfntjw6HK0xvGQ2O6rfakRpJkosgGwG9A82rwOOPJyZEmmAtLLFyXCA== `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/common_types_pkg.vhd
15
12094
------------------------------------------------------------------------------- -- $Id: common_types_pkg.vhd,v 1.1.4.4 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Common_Types - package and package body ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: common_types_pkg.vhd -- Version: v1.00a -- Description: A package with common type definition and help functions -- -- ------------------------------------------------------------------------------- -- Structure: -- common_types_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: BLT (from goran's microblaze_types_pkg.vhd) -- History: -- BLT 6-29-2001 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- FLO 10/7/2010 v3_0_a -- ~~~~~~ -- - Changed Get_RLOC_Name function implementation to an equivalent version -- that addresses CR 574505. -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package Common_Types is type RLOC_POS_TYPE is record X : natural; Y : natural; end record RLOC_POS_TYPE; type TARGET_FAMILY_TYPE is (VIRTEX, VIRTEX2); function log2(x : natural) return integer; function String_To_Int(S : string) return integer; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string; end package Common_Types; ------------------------------------------------------------------------------- -- Package Body section ------------------------------------------------------------------------------- package body Common_Types is -- log2 function returns the number of bits required to encode x choices function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; --itoa function converts integer to a text string --this function is required since 'image doesn't work --in synplicity -- valid range for input to the function is -9999 to 9999 function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end function itoa; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string is variable Col : integer; variable Row : integer; variable S : integer; begin if Target = VIRTEX then Row := -Y; Col := X/2; S := 1 - (X mod 2); return 'R' & itoa(Row) & 'C' & itoa(Col) & ".S" & itoa(S); else -- Target = VIRTEX2 return 'X' & itoa(X) & 'Y' & itoa(Y); end if; end function Get_RLOC_Name; type POS_RECORD is record X : natural; Y : natural; end record POS_RECORD; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); ----------------------------------------------------------------------------- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end function String_To_Int; -- function Get_RLOC ( Target : TARGET_FAMILY_TYPE; -- Module : MODULE_TYPE; -- Index : natural) return string is -- begin -- function Get_RLOC -- end function Get_RLOC; end package body Common_Types;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/common_types_pkg.vhd
15
12094
------------------------------------------------------------------------------- -- $Id: common_types_pkg.vhd,v 1.1.4.4 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Common_Types - package and package body ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: common_types_pkg.vhd -- Version: v1.00a -- Description: A package with common type definition and help functions -- -- ------------------------------------------------------------------------------- -- Structure: -- common_types_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: BLT (from goran's microblaze_types_pkg.vhd) -- History: -- BLT 6-29-2001 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- FLO 10/7/2010 v3_0_a -- ~~~~~~ -- - Changed Get_RLOC_Name function implementation to an equivalent version -- that addresses CR 574505. -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package Common_Types is type RLOC_POS_TYPE is record X : natural; Y : natural; end record RLOC_POS_TYPE; type TARGET_FAMILY_TYPE is (VIRTEX, VIRTEX2); function log2(x : natural) return integer; function String_To_Int(S : string) return integer; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string; end package Common_Types; ------------------------------------------------------------------------------- -- Package Body section ------------------------------------------------------------------------------- package body Common_Types is -- log2 function returns the number of bits required to encode x choices function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; --itoa function converts integer to a text string --this function is required since 'image doesn't work --in synplicity -- valid range for input to the function is -9999 to 9999 function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end function itoa; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string is variable Col : integer; variable Row : integer; variable S : integer; begin if Target = VIRTEX then Row := -Y; Col := X/2; S := 1 - (X mod 2); return 'R' & itoa(Row) & 'C' & itoa(Col) & ".S" & itoa(S); else -- Target = VIRTEX2 return 'X' & itoa(X) & 'Y' & itoa(Y); end if; end function Get_RLOC_Name; type POS_RECORD is record X : natural; Y : natural; end record POS_RECORD; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); ----------------------------------------------------------------------------- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end function String_To_Int; -- function Get_RLOC ( Target : TARGET_FAMILY_TYPE; -- Module : MODULE_TYPE; -- Index : natural) return string is -- begin -- function Get_RLOC -- end function Get_RLOC; end package body Common_Types;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/common_types_pkg.vhd
15
12094
------------------------------------------------------------------------------- -- $Id: common_types_pkg.vhd,v 1.1.4.4 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Common_Types - package and package body ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: common_types_pkg.vhd -- Version: v1.00a -- Description: A package with common type definition and help functions -- -- ------------------------------------------------------------------------------- -- Structure: -- common_types_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: BLT (from goran's microblaze_types_pkg.vhd) -- History: -- BLT 6-29-2001 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- FLO 10/7/2010 v3_0_a -- ~~~~~~ -- - Changed Get_RLOC_Name function implementation to an equivalent version -- that addresses CR 574505. -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package Common_Types is type RLOC_POS_TYPE is record X : natural; Y : natural; end record RLOC_POS_TYPE; type TARGET_FAMILY_TYPE is (VIRTEX, VIRTEX2); function log2(x : natural) return integer; function String_To_Int(S : string) return integer; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string; end package Common_Types; ------------------------------------------------------------------------------- -- Package Body section ------------------------------------------------------------------------------- package body Common_Types is -- log2 function returns the number of bits required to encode x choices function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; --itoa function converts integer to a text string --this function is required since 'image doesn't work --in synplicity -- valid range for input to the function is -9999 to 9999 function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end function itoa; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string is variable Col : integer; variable Row : integer; variable S : integer; begin if Target = VIRTEX then Row := -Y; Col := X/2; S := 1 - (X mod 2); return 'R' & itoa(Row) & 'C' & itoa(Col) & ".S" & itoa(S); else -- Target = VIRTEX2 return 'X' & itoa(X) & 'Y' & itoa(Y); end if; end function Get_RLOC_Name; type POS_RECORD is record X : natural; Y : natural; end record POS_RECORD; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); ----------------------------------------------------------------------------- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end function String_To_Int; -- function Get_RLOC ( Target : TARGET_FAMILY_TYPE; -- Module : MODULE_TYPE; -- Index : natural) return string is -- begin -- function Get_RLOC -- end function Get_RLOC; end package body Common_Types;
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/ipcore_dir/fifo_mem/example_design/fifo_mem_prod.vhd
2
10255
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: fifo_mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 1 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : fifo_mem.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 8 -- C_READ_WIDTH_A : 8 -- C_WRITE_DEPTH_A : 2048 -- C_READ_DEPTH_A : 2048 -- C_ADDRA_WIDTH : 11 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 8 -- C_READ_WIDTH_B : 8 -- C_WRITE_DEPTH_B : 2048 -- C_READ_DEPTH_B : 2048 -- C_ADDRB_WIDTH : 11 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 1 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY fifo_mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END fifo_mem_prod; ARCHITECTURE xilinx OF fifo_mem_prod IS COMPONENT fifo_mem_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : fifo_mem_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB ); END xilinx;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/common/shft_wrapper.vhd
19
13889
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IkKQ7UnyfG/i0Gz2KESfn5rIa2XG6JjMuNzaLweotYfssoXFPRW5MF9/SJXIBGc5jwrrtn7ZIvXw ZMKFyJ3FzA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7z8fuAKplZhDCneI9mNA3lof0N+J7iQN1H5R3Mj6yF0lZ6gCWQLLnnmsEoxkSX05NXSzlh4gcEg 7rRfO6LtEEhf+XGNB65vpBYpfhGyoq59NAHhGVo4SvBM+mv7uMxOGdpTeOCZ4JbHV0AkjL28mjov 93MegfTkvdkm8J0Lvdk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuMQUwo0GDzI3cOEq+tu/2nUcDmn/7fhQTHPWWNseJwSS2v3l/iZo4evCcnhY45ESTueA+ZpjAko WVoSIubelzbNSlntY2uMGs5oczMZtiztniKkMtgrjy3EW9dfGbHhtmNrOHGIHH4IdMr3kAy4Vh74 ZigAJ9A6+7kI6MsJi8v3mT1ARZHCR6MWsQMcVGsi2drnsGRWoYryCO5xQR7B/cwBGzMymTal23NM pQKOm5sZ3P6n60ZuBiOsJmbRp0+LVYxKNhFdxlNXd0mwyAZQT/UOuOuVbjlNnKY3+syFmjH1X2jU BRKqD7PfkYIVMVQ6XvOwQSNLyki/t/1FG9LntQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2lTj0FQ90PRwxkOhP58Pis/0pnBIhVIOGqxXo4lWUDsJI5sRS1Q5L+Q6i9o+BNlX2LRPYus/9Dnq 5ATglZxA4PDv34H6B5xWMxj6PrHSWzf271mNIoMFrjsSBdzp3H4BqkwksoU2N0BujU4mvFktBj6s VuYwP8rZjGtZ8cTr2i8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKc7lQN7TOvrS4DJ7NNUxP98rrzfIQuz4DIZ8eAY+GKFx6NuoyinV7kCt4N2qBg8IRnkz00LUdTl h4FZuBrLJJyfOOGbqIiZNIhgdqVi7fXcxV2ef2SWPHLvr6kIV0N1TmRIBZht7FPZCej+/BNW8QYG B1Rd/mmsAB7hXx6GfVQ5u7NRsVDyxlcEghLjiM7GAdTaOWl/F6pDM3aRwjjOmid8Gt7xmiYfPT0B Gzk510O+OqDJRqmdMvwBmv3K/y+M1RxYsLOpwIle5lGrJoXR6zj5dZS3g0EOtylaiuYJczAHSe89 8ncn00hUVfz/5JZCkfgcxZH1LxGTI+Ly2xY+5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544) `protect data_block iujUC/tkGc3YsPIWp8R1WlT9I3b1iViM3rwkIAWCfdfltKxuQFLgvmnIGDHPq5/sZnK9RjQ1erM5 +4rzNB1t0k6+pmeC+rUxk1kxJiEFLPrXj6D8tBYALM+p3tRsJkgf1OwAHZUMuP9Cgzpm7+x3/h// dakRbwKsu/woQfa+RAaciG6OrWM+NBtgWjAf9CBkmwULhVFLqZOuyQxY15RUTMvskKCOdz7Yll3x Sw5UA7iBQw1KGzX9Ft0wCSikSSKuDqD9T52Rv9ney7EKBVxdIGCb28ZcQg4pA8mpH/R0epMqslpK H6RE04DSBlgdZVl7YYbJWF1BhvQWz8ZM5gtJFSOkjh3suKwhVBjbLFhaX0QwhrkNk1TsO9DuSaRn 2NmqW3jYNqdDbidhd59LZ3CajmaP77XiT1brY8v2UhbZMlUjq7OoccDY/UM5FjFVcbuZhehx7r4+ axLwfBrMU07YQeTdjV6cpzIxpi/iAfSCuMNKH+GCdPI1bqQ5bBDz4HI7WCpKSdQaCanxYtxgvPVs EiBUZtDagX3pWZlgQsbdKJKJ4jdduCgA3PufW2c4v47/fHZvwqbp2GYD5hV7a+BqxwdUp8SFS+th minYCSahchwOy1Q3Pieeq3e57JeFDUFlroTe6xX7sw/yLXW4h2vqCC0slQMdtaoe5LuVKILkMrbr MCVnBryZKR0DRvKwx0zu+o/shen+Jg5q15jkSLpDVoGYgbWn3SEYiYSmMsawduWC8+oZtEq9msyP FOBy95fwtXDpG0Ax7sVRiRX52aCzqTk6B5xr3zHvZXkm8FqQxSMvtHJRSm/m9spWiJmPnBMij2F2 V+/9PJZCx5fVUaNYxkGhEAayDwpoMltOj0lJLws3jUXb8RcaSM270LNQyEynPAPwXZMte0WNq34l gQKkbmnc+Fr2HgYydDqjDvNIAGLCWeIHzzxgc6yhDJAp5Cm7WeXWxOXqheOBzfKJ1fxIF4WEN3aO 4WG9ZdaTb5F50Ot984kU0fM7rQ1NJJSSwy01omPdaRhX2GfAEQL1Yh/wXAknmcW7cytT7DcVidPu OdRnY1M+sSeNwOyDSF8aevu7C5S9SeRcgT0esziJZB1kh0bKwg5xirPwTpsYDXHk4+MITHkJbYsg yYvdIXAT3VGj/FCUxcqQpBzgvxA2GtkeQNtn5DZbsvAyPx2sPxYAU8qZrrieKWZ399Gdv9z/+C8T UuizW9s6Wz9kRzQih3llWEpDN+ta2YWmIkxD9AxXSlPMpQrdnZPpj2kWpWYlys13lxLIflIg7Cdv vgL/BzYQgMJ+07xsgvyMH01SVZKB0AfThdOXLi8T9iAxSNlmk7Pla/YoOfsjWAFUshQ6YPtni8Di l/MKLntbjwts6apscE9QoE+pTA0bMc0n6Lb/HcmKsUmAMJJk+wqHJqcyA7a88WH0y8edawNmgP7L oLSFcwbd3nKfRrlBUSij10qWCPwJLoZu1ExzoOoEqd/GuPrJXcQeyXlvq2xZXrkyCoMmzZ1v2h/T 3YQ3sKlpcuXMPV/wzN3zRJTFhWzBTpYSkteL57I3HL0thSkQ8cDMonedfAI5c9LcKLyvi6YJcWOV dxbfjUlCbIRszY3Lw3qfVuLdbHYOwIn2p3wOjZk0LBTV7IsOUJY18IKZCWL0AgtoATliy+NTutI6 qMO88TsSJXW0BirouhTx4vzO5hg/aY7tDcqKyjuSqLRBgEtbdUPpq0yooUJ3ivF0jGCWbXSPyu6/ CvKk0L0kbpC2ob1aUkl7u5nWEyOBC9Xy/fS+PgThBE2VhpMUZpq+Fw4vRXKndu5x32hbDji8LEsl QH4Uik4HqBEbM08c/29Z0RMapq5GQJ16aXiVH1yUNXV9Uq6P/9XeCwC6ko+YgtLefQ+jgD6h+MKw YkLzlSTOYlMCek2cZ5CbERFAn1+RU+dbe6+b+JZ/8S8M/qK+OVuqPVGOcmy8bOTeJJgZWtUfrO8x 15x8TxNKU1Y8MTJyE7A9+3SYKwONV5D0oh4AFrr+DPxP6F80JfNRQ27x8q89Mgj9aybK4h+SIcjw 9AtKGksxm/ghqd73OB04lDBrYxA+yp8xpa4BGsuZ/BSzZz2zOWqKoYiE4B/MCwOfu3Rm+z+gw9YG nVVk1bqlJ3GICyKWIxZcMPyclDp+r+xMI672aiGeAxnvk7ozr/aZPelLULPt4v1b2eri5xeT24a0 0tE9y0MW0QbWwmHs6fLbE1115AoDLnAACc/ZdKH81YWwj9shcME1e9lrmImC25pGsoQclXtO2Ndr ds7Xn4Z68Z5MJmpSIC+Lc6kxxYvk9ClqMTm060MZtUblfQZ+p6yQtD1t1oyObakL0Fu4tsQ01uUR IcbxYlaakB32btfrNBorpS7uGxgWDr+AfS+xyTSFvNMKHSr7uNypzyk55TW/mkqXUkJYgQs2y98+ gMRNjFP7dQZS1Gz8Ytrl4xmu8dOOJW2XdxKOMfsO8MbgrxsgNjUC/Ah/r9kYtwXSRKwSk7VUQO/B J8adoNfGeeQyZs6JWMXjn+LBXeTImI8aBgiFQRO3Q698k+3LZ1k/fbWJdevKnCC1OGCtvRXo28cj MylA66/0elksF/x9KkB6A5U+FusF/ICMu78K3qPNVN61iV6UOs8pZUqu1TQ+aTLgq7pQ5r+a0Tjq fkZzJydtqweeDkziWUivdkH4HiMDWAHWMf9iBP4r6s6Bd6JzkV8s2mS1m4ZAkeSiTIcnEpXQaBmH dLjTljLe0pmOuDTqEjNVkQXepMfLrxGNmPozwmWzMwPpBGTIDEKKh0zaTVWDoRQYfsIVkpDDF2ba SkqmzECna2y1GnW4z4/jhYkXLUo8/I/2lgjdNXF7ycDur8Tn2wtmNBen6Be47/ouY2iYwzue6UEh CierGnxoGWA4kKre7vGtdGzyNr1BI37sz4yHxRz6WTD7tmDEHZNwTK3WyXRCytivb4XJY47LPbjx 9mjSmvKUAchgQ2/RGJoYJT2a8ns+gE0d2vAx0XD7OpCyHYPdlo0/hkG64Y3wLJM7Txkwe+9QcO30 VcymZ46QdGYC7Yh1tEj9GkXDqb4pUbDcfQQ7FJO+aYGJdw+XFLxeFUvMhJauCn/8PP4Cq2nuon5U kxM54ywEEaO7V2BGdxOMlKSrtVlP9lCi169r+Sg1tC84Ns/hCnmgBX9PrghCCe1buQJe65STqZp/ S4J3PBfAQLMV9fVs8U9S8s5AgLmRIqLjFZCqCJTJYcf9VeShmXNJ/XE0Dgg7EmNjoKFt6ts+im1d GZkRKLmqtw8g9sjZ6WqP6Nl/iqw8rEp3ZxNvPJi954ImGC6vRBdXD/Wrte4822ByqB0CM8qGcFPw iNE83chvhR3GysEVlaWN6cKyjazsZPa/9uVU4TEqXpAnRg+1HpJDIRGGt44+JKXQhjMidlKGG4kc IucXruxBwAgs6086lpnFw9NN5fOeC3U/52IjW4tM1wNGajRZ2+8AXh47TSLax/Bjn4d9uWtlHhIx uqObfcqh2vESfb3l8+3AM1zkxBtrPvDHMHqSsY0Gg6kffv1Ay4YEET7Yr+v6M5C0dtoS3xJbP7Jk fUzsKm+ODMpOAD3GFKoj+EK+R2Y+QereimYY8DEnhLMERcsKMJTVESfWVHBSMXlb8ObQyp58JO2g jrhuyipzfq3ozfklo01utkmFMnRgJ1AFh1to4WsEt7b2aL9y3v5LVqDKGMLmOiZzPizJlEk9bhwt yBUxIMnHoOEsBnC2FGGwKSSCTYGYZPfHHYKBff3+wbkHXNvERU8kIpiuU8FjQUQZL/JjEKhInw6z iTg5JNaVSPLf8st4OEdjDy37/+CgJbm5vKACT1gzrCeSNgc3RgWoZlBt7T2a/GZ5JYXwKlX/goXp YQv1G1mVaoQlvtfxH5vrR9fZ0+bK3a3CDiMAf+HfiSgMvvXFzY4fkTiGg4ZxqPOg0FDYlAd41fZk oK2mgWrhC6UcmzB4yxLXZWrofOmm5N4c3oIblEf+gthhapKRH8kIZWvGaN+j5de1AZ6E55m5V7at o2UCwTZcCXjPMa4Wdy8T8PI/qMCuYAUsbDw2rh4mJgmFOScaabVUgRre1ltQWIkiju1/KkoCLo// AHuFA/AEP9PnoN+v7e5XbbledrusaOzVNgq1tRxqKzLeHjMiXUFDO7JHFoxGKb8Y6KkK1ug8p/QX 6GyKi5Wf0IIMzLxmjckk66uheiSitNsGHfgcudjsJlQUoRtZmxQ8/3clv7jRmfRVd9Wlvhf/erv0 BkPVnUeXSIG7hutUTV2CVEfAWFlcP6vZLJgHrNp45ch5NwMJU510b6Y3AmKYfbhojTV7nHu4/LFq 91sF67Q21B77gmojF7jCdtPO+bhbOCocdZHkdSFINe58FpWez+9vkDmu0Ju/A1ECD6AGz242GtDM 05HuZKFv63qiTes5iebgs8y00VQYiTQhGSIKnXq3n3DDxvqTyF7J+Qe8sxw5Mcc/B6JGajapjyv5 3A9LN/wnihJ1bRLX1wQIqkR+EEdV/ec6Ir6CNkqXx03XLOY94BAJInCfK05E8DEMwo6hUuYbXpas 6+xIS/N6yxRros/XU6vQJ4GcBCFSELPOsF9fetwoAsAm+bjMRCuUAi6y44v2CVM0ay380KYEztLw LchUN9rivyOv3DBTakJ2AX1bLeXjyObRRJex5fH+x7Dr5ArXI9hn0gPGl1/yuUh+GmZ4fYl/B+om Y3ikWejZ7/6zo1Y5Yg9dQpsvv7B4/Zc43mC6JFTscLdmz3ePpWLUKDoNWSOcttvcyK7NfARohu0w DADDDQVqZ8/C9VQt+m/XmDPb/5IFLWWzTA5/uJE1bIqWh5l6pQ0GUdazbh53D4OQS6yYC2Y6v6SD N0XpJNzyXNp6TcJZ1sNI0CulIPO1Uirb76TUgpg+6oEDwuFlaz7J6Twlhs7o3QORvJ06le/bXycP 1wdz1GFu+g+r9gaa3JEV+Y9hTQZ50YBzBmka5V4y8ZGvy0LxdHm47DP/kpCHgdRZ+ApVSUJ80miy PN3g8WJrT81bfZ4HOCZ4sULOFie5ZnB8cRzuxxNrvdLgSHLaqOgDtU7sHIyozQ+MIE5V3W4NQjvu LC6jo9wyRQZGwh/H1Q+37VSonHJYh8tGG6uGihBFm1Mcm00vqmIadDTZgqpSln8kr7xfkNQ4+dMR sa3vCuGDU/i03lpqS8M63KV1/AycgY/J9sxQ94UzmVZwSiv2vEfAnyyBF95QgBYJodHWgLmATaqf /0KAf/QQz1+/WKyhUfqK7DNCigb+yjDsOuDglNBqk7hs+h8MH9FZjRqULROxWxW5q84JOpTWVyC7 f9hNMRxLtsmTLkfmvToOb5Ciz8stOEcn0du3JxSXRQOQ0Yh8vihyCF/MWcJFVAhzhHN6/EqkD3gM SflhhQTtOGRUkpF0ShrrpjpmwV8PY3Uap0kZbhcIhd3nFfK6tIovuljgr7bU6yvTsI09HR91T5HQ csLu9ZnzcbvKmG2ikSohD6tchlpJsvhKBBLX+k4UVkRHk0TQLHhDQSkwcEIBteitxSrZfk3WYiX+ /Z7Fm0LJ0NbBJbovLmTnrABGFOa2zwF3VwSEfUXSfCadizh8ozYen2Yx7Dt9RI0IZ5B1Bn4gsm/E g7IHzYxwkzgAaxtxUfgPCACjbdQqoaiYW99nUR2sSxAlepfB9nQFZeSdPEC9EoeYEU1BQzbMFBsB XvOhaCgrATYXxDuoXjMIldAVBllZsI7jQYXnlj4qaPU9etF7mn53mM6tzDzAbJs8AN+Vj4yOpPTc 7shmaDvbwA49xP3mPiyWD7SCe7UL3r2fjRp701Z+m1apEcX+Yy15k0rLZ9QlsWuqsuoyhgsCObSS m3PgfcVtCv2L3dmX+Fjc1MNfrdDodJH0wDiDA5UzQy3JklLcGITwDZimCI6aiCRnpkLSDxcLrxeU b2CnI7Dvn5AMX/PLWi+/M2Q9Ck9iIVdQhJGKcfoM1gSWDeMcH2oL+T+vHKL8ROG2drsSGlro19D3 YrX5FU9uVo3TzWeiPNB8BLv/DhnMtadb1mf9RbyrsBhEh+HKQ4kSM2GUyX9HUG3wOZCzluUislxK d8rkb2zR4NyNh5TlPRfYWJ/G+UomiWI/3Wj/dJbHQhErtabA8E2eqwThXUXoP0P3/gd9Mtt38X4p 6LP7cGkSBJoXsdl+9aVWK3XO0gZAUg3yeZePHExtQaxUM4hhgXJbb9ljrBULHg9CSnems73LgD9p OqsH6/Z08DTJzFmEHuqWgoLPAIJbz1L68xCBfzS9VM6GYkOllcLMIPvSjL0O94UIOP1nv7g1zSbo 3Ejf1i2GMIBjk0FjXGJ74cx0AkJi4NV/y6yy8JWlHsfSkTdNr0g/fdFcHkoz7ROH2t4OUumOlqp6 TyARD9VR2Otp7OUgqAvEzjVv34J39IXdCfUM4J5qg9ehb+dH4aPZcI+Z++P5CFkhXsvBn0+lRebs QbAptOlIMbCY+/4Cb2zTEXK3+x+f/zdo384nkUFdfXQOAIql0CFmYKgRB9dXwUSr8aVy/Wi1De0Y vbMOlfJxznvS2FyZ7tA/AdIMF2iGia3NPnM5+F/j1gbtV1+UBoLRNamRW5MS+ElxW0fd+iIiqMmC RBUIoRVG+mz2SOg3N1iPWncA7vxNSQokblSgBvMadB3VD0l+txEW0WxpEBNApuUVzSVGz5hLZHmC 1RIKsddYEyUoFbwwQ8eTlRO1Fs+SbjTfEs3o7Ip2nzNEBNfTHSpHe5zsUodW+sVxSy1l0xVyDnvB OAwM4xbPMg2aVfLRmLnd4cVyIFlS6LrIDKqOJBxNunsQiyuH3CfgUYGTMiNlWfIaVge2+lsDSTqw GPSF0REXMDuHT1v9dHU0egNaZ/PzDpLnHbHWQLZ2PJ7cUKJiB+glXfO5tHyDT/183O8K2yoyKrfv 8s+nEkdvfBJKaoFohSB0yUUxtNZdVYWLFlbZ+B+bBVloloKnZNOtTYicb8k8h+sWigx1xvivRbXk Tlk9pVnV6ZiROx0yJamcNQZe1tvAZt4rg6VfSylzAZpP9aK/CIW+rD5SEYFGJJGXJSdW65KV9c7e bzmYD9IXc/tndutuG5U6c99P9JTAzjLFyW3XpwbBQWHiqsnTJ/C2BrEUCuvhzZESgstWaYHY5p7u W1tbh6GPFpZA+TaxfNmFyBJPVh6efqWiDu8Z4eNfga8J/bmxmAsYE5zc3jFEPCVamae3CLkhj+8P f7vIvlr0haSDXSmUkHRpqt1JFvf+UYifK/OXFfEOmFnIDDtDPler4/cZsP/bqtwj/Upe4g/VhSgx qtjswzNyWnsq7jMP+05DK8IOMG2pIo52otWUidkPtCpMhX5jOAQ3mtelQbzbQ0scj1Asb5Q1aZSP JexWJVM8hPA62VCcSmYsbZO97+ep0DMIA+J5Ttl8AF0eIXC1IJSnV4c3T3IJPoNUc67wroKv/YW6 aepdYe9MzG4UzrNPmXQoYf2436jUemM+YUpmV9i0am+CsqodafEVP7Vdx/QW7LucojEs70PPbD3/ hNRTOfoNgJ9rroM7wb6UWbcCPU/PADFfmT/1yE8Z7TXZaelLEk/FQUei4r3Oi0mjyKWVs44jcAgt +MYCl7am4o1e1rgbYycJVx6P6PnJHlS3jUtSkYuLx6vJs/QV5ATJ5+kh3lcMmzF2rfcIJXYQNV/W cJ/Fwm+XhyxankcCT3jMh5liLGllNxqB9TJRAB42MWLRBBy7owE4bjUY+pKlutdwZGXfcaeXU422 3Ks/X+AN/sxn8Uw/NSSbK37kD9/IaQAB8CiLBVv3CL5wFhfMm+PX1UM56sKwdOYSNFLy21So9CwI i0EyGc7ZTlmwSBbTqugydLU1X+2BLwMVwy+TIRem5vvm2AZwt3vycjM/YkR+vrdvT+Iquo/eHi6y NArUwsKyE/OwEWijG4nWcK93C953faPQC4bQkE5Nhz5N5RALTSJQyQz9u8i9+tFoY0ukuBbp0xND R7Tc3y/pS/t87bNRCmMkHnJLGX1XYbf8ZzXZni4gL6NosDQvZJ3vNwudGDllOQVDbJZ45zFQbCI9 FwgTdni74fVtk1HXeaZMO+KCE4+yfNdiXemSp7CkWNi/AP9l4fN/SJiKWo2MVAatrIaA084DxJM6 kFtLYxN4yNnX//EscrcoQ8oBexJ2rYqqyPs80ovvpVtKBl7w+DFC6C3y+J/wmR8K/Un5dk0+mGQM ArU9NTVlwZSgFlKUqPiLFDYyn94jjYdVITgcUgxz/PA9dlVhSPLfTrsPrivR7q8bFsjLIfM52VbP U7XIMiBaxdlvxuDnZp06D85FofIsNCCLcUrNVEjQn/2OC990HSW/XKovfojp7w7FIpS6W2QHapMK Uv7c07gSc0ek0SOGakZV2xK2ydAl70VZbvt6VQSBS9813chb6oRAPL3tK3mfwxNu0210V8PTZiZk vxGjV3Dgu2nOifGdZd6qfSx2P/8Cxq1/PnuRM89tyPYGbJ6ZI/WyChhZoYiKdyZxOhOrbx/y3V9Z tS9RaO+QrtHyLYTm7t8o3qLcJo5/ob5IJinx+vIPtVIcRFk32hv6cqCQJ9caLT+UCsC9Q25287iJ nYhvQlnQkiKHhcoCbCgW5CVpbuF1tOLq46CbF6FJerKF5K9dVygQneZjA2jjIP+7RtpvwBnLQtyD Hqjc3ZVPZmxSqZENZCc//dq46tHYiFJERR+h9HVz8MFM5437RWJz6u8Krs6NuzCtSj6bjVBhl6F7 r6Vph+ya45toDCaSHLZnBDU63DM1lFMkQtwlBOBrBPuvTStv4qDBCZPsvm8K9J3FVYyquY3aux4E RKYUSMw9PugLYFUE2aFplEBPTS423HoAXgok+p1Hw0tc7zMwrvXRo3OZW9dMBoqm96eVA4NbcA/8 SZAeGVS3wc0hgVBDrEzTVha7FV1IJUMb05VmHo5A9NWQidHl63tkM/3pu/gwoK7fDKSNjIeLL5RN dtuMmkSQDchsgIZglCJu59nGLTi8/1r8zWhclw56h4caLJKudixtMr7PvDG0UgEDH0v2ygYteALc s0wVgzy/9hs8xDOh1N99CqYLhgxWil0n2OQION7Y7zEMyy7SZ4MBpbZECfLgbeK0G1fxkYmSrMV5 CTGa6kuFOSsQOflZR+CySkcrQCH2NYN3UNUsN/VsH+Op4rrPfpE9qRdvV5be7H5GXz4z/L/Jd0nP 3M3WeDd9RSGcgvgitsNhzXWWjlYMIOQwRdYCpd4wPOHdJkfNjPVc993C4l+T3qLuDE4a5eMfdTam ZgaPPEIY9QBfng0dRWDYSB5BLDcAKz8kjFLVFRiHNp4CHV1XtG0lU1VaGoUdww3mIXlXk3AKFmKr yjLkg+GeZMUOqbxqmomAe7qz4hDMvzih7+0/mU21X2z5pZOf0zN7q0s4yOoyJNLv7ndPtYBUH7CV RAhZ6rTMRaoVOPex8S/fI6Kxadbqzut9ZRS82SQvFUx/mhCA+JmkULvXzcSRjnpZtAm6WwP7G+zB Hu8ipt2HlDykKw2TNYx25ZXBvHlFr3s50OZnrfvAZRbu5wg4GG4U0Tdl7fk5niwit9GpLkQfqCkY vuaY35Xn6fM9/NE9tNnv6SKZWCKVE64GH1hhkxWGM2uY8Ir7aW34F91hSzlsB9ALZrHnWdt9wfqI jZDPn4O/ZN778AnKxO32gKt+Q4nzrFKiyLeKZMPwCLUdcClwKIt6/FZ9rIzBXQjMklXzcjYTspUj Mp+hVE/cQMobRI2HPph+2QCN67aoLb4yxw1826kr2MYw44p29FGXFLAhTxMKj2YwmWYuoDgJhvzf wecBZFSeT5U0UnzkzraAMFyQw2L2aKcs0WaVwlm6CbQr6Gima6q5NhQ27UDvan3/EhkiFcuHU2ti 69pNFuOSdUOm/OHPCr8enPqWO372JI0xnmYUBpSqhh83OdwlOxLtgP4GUprJyczZz/wxX4DFP+9v xQ/jgPTZcFt+HpmtIinjZ/IifHT0CCMuOONNGKnfMcZfF1SO+fNP/qX5uH9S3GzEl7B9dpJFv3Dq 9qpp5/wG/tVIBzEKHJG9bqoKWvrrmKx2OomUz/s6SkxgoRa4K1cSHdnXkzk5JjD5yJvCEsRw9YNk o1g68EgfUmsqdthXA2jRX0JDPGVyq8aU1+oIVZr+YQq3GI3SYa2swUfbCu2vDqKUry50St9SIAwy /RhQqg61DbqKcWCjFLxiQwBDUQsT3a062UCMXj1dFkoZs18Vj3fm/p8nP7ypT7mH3mUod1IS3q8U w8hskUAmzEZbE5oM2PMumVNrNBYneW8mWPNP11uB4yJvSVOPLBUAVhxo+D3bSYh1weaYWvKHbT4f YVdXtPvKs7/+7bufj2IO8gcxt0kjsZBbqfKNYYzGKI6oo2+xA0sZKjFVIqNlthcbeZd4OIR4+uZW xRdVyZKJeYY24XXZWYkzuqLRXCKKtMSqfg3dPIJByZQO13blXHB3DQQ4Y7Z9++cuPUIQmE34TxpR X4+SYQJzfC3nAy0Xdlm8tq3WvvSJoIvon8Yvs8ep/wg78ywu9yJcItZMrlfq+p1KneDjtzmE5KBu e02syBLVG2vE+p1KJ0hF4p3sPhYjL8sxnYLrhfEXrZmF0qQKCcNsilHmdVk7QoPFvXpDKOWbaEA2 CcMduFjekNulkKfCVX9NxcYsAxHilX3kpmZ1v8wVC8bgLFyTLuSaCuZ6Lk7eJpuoyV7O1ImRQsvL Y8xqN1k5Z4CrikAE44uRlt0QXk4mtxFZQGBLLogmU4AvMxv9agoJlpIkJfpxF93JenK+4YvRxl4o 3KS3i12zl6q/fGDIRmyaHjfYKksBOIS9BuBHkjOCFBHx3fYmHlzrL76d5VkCa0CGWLOvPP7vD7EC kIaa5pNI3UcbklfkQ4YTI6VAYObMXCjg5/Y7VeWeha284Y3N7V9AFVoDVFWMYJDT4u0pK9vdPJcy IKORlSuoA1BfmhnC2xY6aB3iksVG7DJGO7AIJE4xgDdtbf9aHEeWkEMEG8HzHNs1ct+fe6ptnHtG J4auzi51plFi91VVh6UWRdlQcCFUx9xX4zcPwpJqBLl9BNw+DMZu09dVSN1mi6MXkJVhrMgE4lR7 YG1ykbx6jCxe3TYXOoqJcyNSN78gDmznRewlm1c9TXkXZ+KLVk+7bCuKXaV3Ic2kkI0zj/ifFg2k 9SofmMTPqKjbHIZ9B34FYtsrkhvIyGsDHp9wQ+rPPUNy4kgfpUhjBMXuRIax/rsjo+hGDr+Q4+CK WEGG5qXAcLMhH2JhtF8GtPqpMChWQ1crPnzuo71OeWzK5XVN6Y+bjjcBk/d4b6dhGa4TYf2B55pH wsOcIggqf5q7ccQ4hud58nrttOCYVfTTG3qE9REOJ3DgGjIge74yCtcfYE/Zs+SwtbBa `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/common/synchronizer_ff.vhd
19
8637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VqgplFNkI2rH3rP35CdiLJAesBBzx3ahYCWVov2QY8pnSpbbPHZzKXALTXuf8Lg9RV/60SesvL5+ Tx0kf3Xi2A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YpVJ/AkbT/7j7nP1FpW0u/1drBu1Ym0xSQcZVVNR2BH9CeGHgikyUixQxXpCsKnhOEb3pzk2wV6b 2udOCqgzaZfDIjjaxTt9/C6XIY+oMyWDycOTnGwR4Bf/A6rFEzTLA91kxNt5/tS1PVy+wjb7FCsa mgkYj9eNUdtmSsLezko= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pSdvSF3+OBx+pmFIuKYX+lTRtc2CK1xqA/WmTxOA/9c1xuF8tv0giSEc/96tBGsFFqc25YHyYiXZ gYsCabVJMk2jc2XaKW+XFrRUGrQYLd+QPrzsIggnGqpN1i2vEJ2/57QIQEt4pR4jX78IzCIP9B1I Mief83M338G9aIgdzONBxsD1Z3XK2M1fqZBI+UT4b8E2guDKnWsCC9f6WqxH/+ijAu2o7kXfkz/w wH4eaCjn38eBIq4U5maYpwbVxvzCRoB69hlCwEEVDievRmXHouMD407mzOTwKaIkf/tAbFyB6i0D s5Boa+TiBtHShhLBGBRqGoq+2UpGEaVgj8o3hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HVe+dxCY+VHrZ/rUbzyWsz5ix04KcDyyUrFaCcS4yZ4GTBKi9GYUFVfTsXMpSX8pxXieZIsbIrAR 8ATsmu7QwmViHDzOMuS6sHzr6e8dC4A3UKQC6xKKwbJdSWPz/il1AOb6t1CcrpGMLBXMZTBj00R6 KptQtwRx2C4sHo/bHEs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gr9tWfnRHlUz7X9jwun0huNacy2IvVfab84T3X/BBntsyGpCEQL6hR0/eLuvmgsVt+peH9UtRKIo Mx38RlMVlftuoIDUnixeoGaAc4c+4+tb16q3/5V7og6YvplXdBH8LQEEDNM3+H5ouvTLLeMul2Yk sNNMGtkGcvzxpzj7QTVn+eSHg5B5sba+LhJuLxq02/5r329tzFZy8dtsa4HltD5DQbMsj44UHU8g J84rl4f5z2tzAq3mdpwIqfhK2vn+BHZu8UlcbrIJKEkQpY9EPDhgx0vX44IIfHNFCmG2MgNy3yn4 3WNmBdtLjzwOjBTyBBtqdvJWbuTYLVDhGJrWQQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656) `protect data_block Zi9pBaAcftXHOQVA8fG9gFFqJEKZhli15EE9vI+dGAuuzT3mTCJGdoDi8SVlipTNsgE+V1RcJJn6 T3J53WijUpFF/Knu5aWOeEkX7VvPVncQpmsrCeG5r+gFmBWC5hkmU1w2qkIlvK2dnolO5o1/PR89 vClRmBnf1v58a6VRllRytHO9z1GO8aolJL3/N8XbDvn4dptEMWRptLgOGXEDjCLYWMWc4pSKrHoF IrG9/6p0JwlbHOk2hiBfuqaxB95caNTYG+EytgqPRnVV5nTh6+Iy8/y664LivIvYuv8uR9W0k4AL l1blAJrc/jL0miqTRi8NZu5+tEhV0Y7sjFsO/1Zifv9vZysqSJjzmNsTuLURknzvfI0dq2PnRiY/ eSCOn29CgyBTkn65jhn5m4vZYevMlHTzX8O3rI2nu76wK6WK1O6H9bvHg5GByJOtlGHo3HBsBzHZ W41nPiquUPq+SixWHrQ8q5gqGvAfNk0pO2AlJoxXe/VY/vqz/NBzAcve0Rw0IYFo2BI8j1Q3Mc7F p1F49JQXVxqGmo9+aQyqOLuw8FoYD/Q119cOJNGwjVuhzKTF1ByJ1WEacIaNnLn/5KoYf9IAcVNi NcUbB2kuD/Y3F2x8uSmhiybHD243hBbfi5cxApjsWiFSnA8EVIWHLfAgdZa3JuoNMGV/FFJIiSmK pcX3tkwzHrmkcrLn3eLX2LHkTXU7Dp0XRFOZu/17cFmPpAvT05lGzMZDWXa58ZPm2nN3iIyFvKKh qAeQHf9OzvmLBNbzD5nrTYb8owv21WCq+Ps2Aw4D148aLl7htmUuB0vE2r7A3kgvYsfvbgZDYQ7X +CKci/rVMxJfGypRYxDiPTc/FfPbsi/uvuQwKFpvQjeuA90xj80Y56QG1m+MMtsPDHJ7fOwj/9xm /JOV7Vpbpa0TFA/wUXO10uTs5njlUQUE4GlhEpMjMBI8VF8F/Qg8ZaTQDEbdqvctQUPVPBx6OpdX bgn/XM/Lvj2ALBshfbnU/AXcM06CjXc+6to13W1sbNSeUfi1h0UMe7RiIYBMqlzNdZ7C75FTrRQn a3vmP7WfcOvw1mBcfhRSAzQqgyaTumMsXf9JIrBGk3zn8zZeSSd4ePhdWLzb/JYU1N+BnSjJvpYi qlM31xTpxKwaF3pB1naIgSROk6iTqutbd7ACqHTlUNIMVc/wFV5DRPLCcKFT464ahigJKuIS6N/C IffuD+Xz2SYyf57Z6e7uyUKjcpao67Acf3+EtCE3whq3LUAXKhYZ07Nmgy7m4/pMjhNWbz4UCYsH 28a7StTXS/+0iBaVtQlU7NT1lDhkjK0eT4hAna9ZwjFbOzisuHIe6DzY/zwkO9GyGexY2AC/V18e +IT1QlR7CsIK2T0Z7v60zWZrvYCaqwTkyGBCwh5I3vYAaxl0xKIJO010glXpqC+M6QCD45WPdn7f +Rxmdjc/TapA9hifPBTw6spNnWRHVidNjP9pJLdnXgHepeIk5mU6+Omgy20+THu293tvIxE2EigP KzsFUJUtvdxb+r6KFmkO1jgRdMpc6xmuhzjpd5Nanm//65yj2kM8flheWDzhOMCKYnu9R0bT2KSA DTiwOfGHVWYm4uWwLVLQsYqqjuKOeR1nMJ9PTPfc0yZDvvYmMT2OlV/S+3PhmLiLvxazengSrUDn UTvy+pNAVXZ4GgTU357KAwgblayiWlYa4LM9xKkZCsMb7yMYFZmuPdMYnr6SnJiI7Oihzr9+5lCI X/m0/Tx+bCT+k0+4W85tf35MvNILRV9notBDtON2I4V8FoZQB1JeDHBNTNc+Z4MdB/tz04jeoMGe qykR0Fe1X8gJ5NOCQGIZ2NaeHrS6t/4pL8xPbLT1KSN1im3ggtPVAncC3rxeakylrownsnTk46t6 IvtTuwIoD1j3OFg54l02zOsX32TQOLpBNNph0zIRvYPSWHSwNUlG/h6wk7RctgtsnI/OCyeTHW7n zSVFdlhgUaVQSL/zGXKb8bUXpUINKUXN5HKUjyiWGee5VA3KPdJ8FkOX1zlrCeQMgkqDQGlDEnNe PGs1k71VB5BT28ykWAydqzdFEeQfsp1sxre9DOBTyfz9pxSJmxhnLER9YNzSvebe2TmYJ7Nw7ji2 ybE0zhxWKEwD3DzfZbYLv3EF+T9Wq3kKi1HH/v2iqWCW2cshlJdSRY6e7ZILVDpwQAk77mb+XbAo sWRmohk1V9KgpN87KPDEOZ9v0qoMbDNjOsjvpxIEFCupDSiou64TwRKRmnyYYURg65Zsq5EjWc/O JMRTsOl7Gs8Z+61cfuvHvqfRU9Ti8/TvXs7KvIMMKh1V7qbOgRtQ4CINljqtdsXT2MPtNHQviyOi W6WSJureeLiz+/fUDM+ewrxt2I4z92ctzsABD+fmRRH9Q6khjZM9ZcO/f8NwYjSk2o0OsKVcFWHn nHFMhx8Ttkf4QdK0CPK8vcE64RoThAmjfhzWmgtEWgz4+NzknmAE/n03/P7Taw31b6ppodaKLLXf DtVCFSNsRLCpmSCs1fNjxTuiWco+/zz2GxSHJzLRraSmZCrRAbnNL0GgtsvhwAQO0w/j+0CRIW14 +dLIt+YzC8cr+U8e10hn6NC22Jd64STAjSb/1z8wqpRWh+TgTsJ04X7o2HHOW2vDRHejLx0askCM zUjfLaeuflRM4klI74KDvhStcn07HcQU5oTAA7DURqlCZHijWIgNw2+7qPCc+yD4rqhuk6evTtbC Vy8hSy54GRcw0Xo+bTLZH5F9+Hf1vbX4dLLbXMcH/miIy9sEkvSTrJN9ukcrPBbxGA2XP3R/E/ey 1XNgfzTVXr/1mOo3DFPYV3IbY26CLP+GGPxOSsB3eg5ofp8HC9OWyNM2Te4PojjNqlsP7WuPqpkM V0D44QlbCey0lEYPsv4Q49p7OOTXqFsFFIfRL/F1iAOS0/l5frl3GOK4n7oTiCweCF8IOGc7rTJc 3EKUy00OX56KVuTIEo/927BD+2EuCQCIAP8boVqmGehdk0y8+EW6jC7upAwTa0UUGVxVSU3Ap2ZU hUzCbWRP7dW1o6x2tdGo/m7lwhJttKvv7YRTQs0ZOwaChgKJ0vIkQL5pwhBoX/a4A0V+FZYCRBeP fyKTxubLOIaYtE0r+drip51V96u+Xn4eB2vbQx/o40CJKzNT6sEC2SZsKlK0uSPTUE9//msnil4n bIgAlNif6kVLdPukw3JL8opqdSu2ZfgO8SOTPYyN2KyzGkHqavLuX5UtVQnyWMM8OIr6mhHXZdCo Ys2CLLAMtFwGwtM3yb+4UP6SRiDpDYlScKJs6MGvT64eLjzXujLvJDIO9DY4uuIsxafblgC2//Dn i6cFXIcVTTBq22keUZCDBoQgYj48Z8yf0J+G3mKolcri5nk92HGGCjulb+e3HDH1vgvX2hHJBzdG 2bUykBbmUevYaLice4KmP4wDXIlGwmbMYqzD/temKiP5r1bJ5Ic2p5mBYmCFtC0RFCxFRAwYj7kB M6GasUQfYW8yuTg1TUMGOnWHs9TEUqTNv3xh0s8lJrvntUAAvrL7WjMfWcIb6doAH3/oAFIiKXYw e9uMpHynnKxEbrw2fV/WSoA/vCd+FJBVWj03bmZYWgjcUczpGlIbMDNO9eQfnN0KFfgxGr4HqOFg 4ZliOcn8doNX/BsP0C/k+uS67VuA3Q+uLgbodyPn9zJcjRyTKo2iipuQrgJ6issbAlHzcdWQsyAl Olyxhs3p8hzAOytWpRihtZr4sA0r/ReZ7FHwinqOR5UhNCOS8YF94NgODwJ6n6DXt/Zu1n/pRBcA HDDH9FNgKG6n9zyn0cPBqOUKGQvQcnyJU0gZttuUyv7vbK1oA3dm0Bj7e9K4HHng49jVlencfCk/ K2Lhh3Dzb8SEJyktpCf5noS+w02EJ3xVSEZUGG0nGmbapi1QqYwGP5AYh1Y9kciEjhEloCmIuFea nb2m9alb+DcMi3h3QMFBz5JwDBD6v4DWdgnqfsS95ukH1qRykFaRcl6IM97o2UmNCb6RuphLu0Ik 9V61coTEVna05flkBx6x2Cht9Qed/g3blc3sLeh7s2IvuYG8tAnvROhFmEwPmt+WyaGZns2Khpvb VVB1h3Ew52Y3dWZyXFnvnu4caZKG2Ab3xSxiyc2COMom/R/qNmxgVnZnl4ePSCO9he0a2GhKjf+a VIfsSqBTtoDPjOBeRRjaUQ46uEA2dCNpelOfRPlPP1qqE9Kzs6gO5P4zvLi1cZCUqx1D71Y/fBeK 2CwaWQ+ApyO2Xn0P/Dx/k3DxirevVufKGnLzGfAT0yaeEOyYtVAtrcalQ0rE7NFIIDtR/8gBfmgY dzDIv5iiOmyLDrXluq8txWvr99eKh14B1O2hy4wkcaYu7snGTPJjnLFEtQ/ZvoxLBJM4Hyisx06R CtdnaaDfJKBvUQVI/xGeoxMXToQigqsb7esqW/SzJoDaMja2zFddnf+9j0av+POZ/l0ZmjWxIfgY HKl937DwmWL7KaqIrx/82FPb9odhmlIyt8+TrRja+PL98A2dFwe1cQkPaDjSGw+mSiqsN0FSvdo4 5w2HBFYyqYbXkd9GN6/g2YkTJ3s91oYIDYsUNcWHpi5VxT3cG+Jw1eA9/0eTGFEhaw6CaGu+JHCM GoTAAlFcm+c6HhWi6aFfJjyo/h47i3MIQtmLVjxL3p2csHWCzfGrv+DOhKaHRKZD6J8EUHNfDsu4 8ptLBN05CM0Rdt+GRmZk67MWgiuXVKYu8/XrnYYy/yApL888mvGBcrOhIFMeqhp1PsjYSQSWyG28 aibriUB5ZmyOdjlHzXQ38Rd1WKiW+QL1+RJ+jTQhftD2ebH3Zabsnu5V+sqF/sFLsXxM3GURvtnn u0SlaO+BvTXQk/gRZp2HKPl2/GPRmE7OZYc2KJrQJJjmwMOSFuOfdsFEloqpaE4J6KGT74a+1xny /WzFd7R7ObAFJDYqICvlLz8XwVjbxF02VpGZYonWDBebJT/S1w6lhUrOd5avXcM8uosTHF+yvcSY 0Gc7A/kAef2P1lRLB1vHw7PqZ4bRwgNsT0mf6SvfFPjpiSlqDLulasrdskK04Zmm300j/UPlOKra T1lYMPXmL2a6kUjux0RBCZLk4PIiRr4xgIdA/aioQqJD+TSWaOxoQ4BC60aBXitGAAQLTm8yzrBu aFk+cU65OMJWNkocu9s3B9AsMqw//8Dd6zDw2voeEAIbMi4AMResctzb7xN/Ovi59n4O8I7MPqV7 X3Q+ivJiLcqHHxyL+nKXdXI4wSL59twEB611OO9JEMNGubBWVENvvVmLmaIrkKFF8wKSVTlLfaCB avZcrMPJ8FGdd6WadgRoDxKo4qd4mmN1lyYGD2COO7nvCbqLHDJEIBBvefXV2acFH8FmBf8gsUhT OITzTZbQPWDeq/0oSjclupQc8CDGJbg9/oVHBtE5i+9ys03gmxD/jSYPCyyUktqQyIOCGhYSbkjE 0El0BSVWzPOC/W1qNwOgLCnSs9EnK79E3eVSHutw+CB6cViveNfB3k8H0yHevQIk+h3xZTjejRXj YMk4HofwZI/fvwlSRRt8s80I/tQxxvAnTprIDEKD/o34mQS6RZWnqKvhV6PzZYIe4MsFBRvRf6Kb VgPWz+LTAsIbLn/RIITeAVxTq35XnL1SyR01y9g+aNsyp0+ypCZCDJenVgx7kaTs1nLgBbW2n0Qd ada0UsG5sigDZ2EBatM18wXzBCpUcBeg28qh17LWdbTDfff3gdP1WtVLQLxGsTSL7U7bl549GaSu ugYh2co6NQ471n+gmwhXgYqYS14yCxvDVlreB0ValWx4PDGLQLiETJLgewmezG8v8D/9tAFDTs7N M1eILWz3Gbux7HdHrN+kKn/32NpBpYrxOYiexFT4AXXtYBlJQO1wSFY+BWelh/V++UvcNHraWVpi ltFpGrwpAFN47PG5TwHGpA7zZR8JT9otI/sgQg5WxzEp8zkEM1X15tTe7DliMQOlunLHSPDy5J3L Ra3nO/gsixg6Y3CnUO2s0LMZHShg/yWgaqraEeOBIXisBSfqIW5mcm6GSqctOIG/OgSyMq/Buluv xb6vqFMAmkBmtBes5X01uwpVeo5aCdKzemGJbezD8o+nFgi/fY024Rz+XYsdToAJ66fU+KCD3Toj 1V9rD1SHDahvRsitehaWnPHWAq0iNlNaF+eU5mf088pQiA/cUTT5 `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg.vhd
15
15091
------------------------------------------------------------------------------- -- $Id: ld_arith_reg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 08/01 -- First version -- -- FO 11/14/01 -- Cosmetic improvements -- -- FO 02/22/02 -- Switched from MUXCY_L primitive to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0 ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD : in std_logic; -- Enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD.) ); end ld_arith_reg; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else OP; PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. -- Adder case ------------------------------------------------------------------------ Q_I_GEN_ADD: if C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case ------------------------------------------------------------------------ Q_I_GEN_SUB: if not C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= LOAD or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/dist_mem_gen_v8_0/simulation/dist_mem_gen_v8_0.vhd
1
27885
------------------------------------------------------------------------------- -- -- Distributed Memory Generator - VHDL Behavioral Model -- ------------------------------------------------------------------------------- -- (c) Copyright 1995 - 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- -- Filename : dist_mem_gen_v8_0.vhd -- -- Author : Xilinx -- -- Description : Distributed Memory Simulation Model -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity dist_mem_gen_v8_0 is generic ( C_FAMILY : STRING := "VIRTEX5"; C_ADDR_WIDTH : INTEGER := 6; C_DEFAULT_DATA : STRING := "0"; C_ELABORATION_DIR : STRING := "0"; C_DEPTH : INTEGER := 64; C_HAS_CLK : INTEGER := 1; C_HAS_D : INTEGER := 1; C_HAS_DPO : INTEGER := 0; C_HAS_DPRA : INTEGER := 0; C_HAS_I_CE : INTEGER := 0; C_HAS_QDPO : INTEGER := 0; C_HAS_QDPO_CE : INTEGER := 0; C_HAS_QDPO_CLK : INTEGER := 0; C_HAS_QDPO_RST : INTEGER := 0; C_HAS_QDPO_SRST : INTEGER := 0; C_HAS_QSPO : INTEGER := 0; C_HAS_QSPO_CE : INTEGER := 0; C_HAS_QSPO_RST : INTEGER := 0; C_HAS_QSPO_SRST : INTEGER := 0; C_HAS_SPO : INTEGER := 1; C_HAS_WE : INTEGER := 1; C_MEM_INIT_FILE : STRING := "NULL.MIF"; C_MEM_TYPE : INTEGER := 1; C_PIPELINE_STAGES : INTEGER := 0; C_QCE_JOINED : INTEGER := 0; C_QUALIFY_WE : INTEGER := 0; C_READ_MIF : INTEGER := 0; C_REG_A_D_INPUTS : INTEGER := 0; C_REG_DPRA_INPUT : INTEGER := 0; C_SYNC_ENABLE : INTEGER := 0; C_WIDTH : INTEGER := 16; C_PARSER_TYPE : INTEGER := 1); port ( a : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); d : in std_logic_vector(c_width-1 downto 0) := (others => '0'); dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); clk : in std_logic := '0'; we : in std_logic := '0'; i_ce : in std_logic := '1'; qspo_ce : in std_logic := '1'; qdpo_ce : in std_logic := '1'; qdpo_clk : in std_logic := '0'; qspo_rst : in std_logic := '0'; qdpo_rst : in std_logic := '0'; qspo_srst : in std_logic := '0'; qdpo_srst : in std_logic := '0'; spo : out std_logic_vector(c_width-1 downto 0); dpo : out std_logic_vector(c_width-1 downto 0); qspo : out std_logic_vector(c_width-1 downto 0); qdpo : out std_logic_vector(c_width-1 downto 0)); end dist_mem_gen_v8_0; architecture behavioral of dist_mem_gen_v8_0 is -- Register delay CONSTANT C_TCQ : time := 100 ps; constant max_address : std_logic_vector(c_addr_width-1 downto 0) := std_logic_vector(to_unsigned(c_depth-1, c_addr_width)); constant c_rom : integer := 0; constant c_sp_ram : integer := 1; constant c_dp_ram : integer := 2; constant c_sdp_ram : integer := 4; type mem_type is array ((2**c_addr_width)-1 downto 0) of std_logic_vector(c_width-1 downto 0); --------------------------------------------------------------------- -- Convert character to type std_logic. --------------------------------------------------------------------- impure function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; --------------------------------------------------------------------- impure function read_mif ( filename : in string; def_data : in std_logic_vector; depth : in integer; width : in integer) return mem_type is file meminitfile : text; variable mif_status : file_open_status; variable bitline : line; variable bitsgood : boolean := true; variable bitchar : character; variable lines : integer := 0; variable memory_content : mem_type; begin for i in 0 to depth-1 loop memory_content(i) := def_data; end loop; -- i file_open(mif_status, meminitfile, filename, read_mode); if mif_status /= open_ok then assert false report "Error: read_mem_init_file: could not open MIF." severity failure; end if; lines := 0; for i in 0 to depth-1 loop if not(endfile(meminitfile)) and i < depth then memory_content(i) := (others => '0'); readline(meminitfile, bitline); for j in 0 to width-1 loop read(bitline, bitchar, bitsgood); if ((bitsgood = false) or ((bitchar /= ' ') and (bitchar /= cr) and (bitchar /= ht) and (bitchar /= lf) and (bitchar /= '0') and (bitchar /= '1') and (bitchar /= 'x') and (bitchar /= 'z'))) then assert false report "Warning: dist_mem_utils: unknown or illegal " & "character encountered while reading mif - " & "finishing file read." & cr & "This could be due to an undersized mif file" severity warning; exit; -- abort the file read end if; memory_content(i)(width-1-j) := char_to_std_logic(bitchar); end loop; -- j else exit; end if; lines := i + 1; end loop; file_close(meminitfile); assert not(lines > depth) report "MIF file contains more addresses than the memory." severity failure; assert lines = depth report "MIF file size does not match memory size." & cr & "Remaining addresses in memory are padded with default data." severity warning; return memory_content; end read_mif; --------------------------------------------------------------------- impure function string_to_std_logic_vector ( the_string : string; size : integer) return std_logic_vector is variable slv_tmp : std_logic_vector(1 to size) := (others => '0'); variable slv : std_logic_vector(size-1 downto 0) := (others => '0'); variable index : integer := 0; begin slv_tmp := (others => '0'); index := size; if the_string'length > size then for i in the_string'length downto the_string'length-size+1 loop slv_tmp(index) := char_to_std_logic(the_string(i)); index := index - 1; end loop; -- i else for i in the_string'length downto 1 loop slv_tmp(index) := char_to_std_logic(the_string(i)); index := index - 1; end loop; -- i end if; for i in 1 to size loop slv(size-i) := slv_tmp(i); end loop; -- i return slv; end string_to_std_logic_vector; --------------------------------------------------------------------- -- Convert the content of a file and return an array of -- std_logic_vectors. --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Function which initialises the memory from the c_default_data -- string or the c_mem_init_file MIF file. --------------------------------------------------------------------- impure function init_mem ( memory_type : in integer; read_mif_file : in integer; filename : in string; default_data : in string; depth : in integer; width : in integer) return mem_type is variable memory_content : mem_type := (others => (others => '0')); variable def_data : std_logic_vector(width-1 downto 0) := (others => '0'); constant all_zeros : std_logic_vector(width-1 downto 0) := (others => '0'); begin def_data := string_to_std_logic_vector(default_data, width); if read_mif_file = 0 then -- If the memory is not initialised from a MIF file then fill the memory array with -- default data. for i in 0 to depth-1 loop memory_content(i) := def_data; end loop; -- i else --Initialise the memory from the MIF file. memory_content := read_mif(filename, def_data, depth, width); end if; return memory_content; end init_mem; ------------------------------------------------------------------ signal memory : mem_type := init_mem( c_mem_type, c_read_mif, c_mem_init_file, c_default_data, c_depth, c_width); -- address signal connected to memory signal a_int : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- address signal connected to memory, which has been registered. signal a_reg : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); signal a_over : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- dual port read address signal connected to dual port memory signal dpra_int : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- dual port read address signal connected to dual port memory, which -- has been registered. signal dpra_reg : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); signal dpra_over : std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- input data signal connected to RAM signal d_int : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- input data signal connected to RAM, which has been registered. signal d_reg : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- Write Enable signal connected to memory signal we_int : std_logic := '0'; -- Write Enable signal connected to memory, which has been registered. signal we_reg : std_logic := '0'; -- Internal Clock Enable for optional qspo output signal qspo_ce_int : std_logic := '0'; -- Internal Clock Enable for optional qspo output, which has been -- registered signal qspo_ce_reg : std_logic := '0'; -- Internal Clock Enable for optional qdpo output signal qdpo_ce_int : std_logic := '0'; -- Internal Clock Enable for optional qspo output, which has been -- registered signal qdpo_ce_reg : std_logic := '0'; -- Internal version of the spo output signal spo_int : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- Pipeline for the qspo output signal qspo_pipe : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- Internal version of the qspo output signal qspo_int : std_logic_vector(c_width-1 downto 0) := string_to_std_logic_vector(c_default_data, c_width); -- Internal version of the dpo output signal dpo_int : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- Pipeline for the qdpo output signal qdpo_pipe : std_logic_vector(c_width-1 downto 0) := (others => '0'); -- Internal version of the qdpo output signal qdpo_int : std_logic_vector(c_width-1 downto 0) := string_to_std_logic_vector(c_default_data, c_width); -- Content of spo_int from address a signal data_sp : std_logic_vector(c_width-1 downto 0); -- Content of Dual Port Output at address dpra signal data_dp : std_logic_vector(c_width-1 downto 0); -- Content of spo_int from address a signal data_sp_over : std_logic_vector(c_width-1 downto 0); -- Content of Dual Port Output at address dpra signal data_dp_over : std_logic_vector(c_width-1 downto 0); signal a_is_over : std_logic; signal dpra_is_over : std_logic; begin p_warn_behavioural : process begin assert false report "This core is supplied with a behavioral model. To model cycle-accurate behavior you must run timing simulation." severity warning; wait; end process p_warn_behavioural; --------------------------------------------------------------------- -- Infer any optional input registers, in the clk clock domain. --------------------------------------------------------------------- p_optional_input_registers : process begin wait until c_reg_a_d_inputs = 1 and clk'event and clk = '1'; if c_mem_type = c_rom then if (c_has_qspo_ce = 1) then if (qspo_ce = '1') then a_reg <= a after C_TCQ; end if; else a_reg <= a after C_TCQ; end if; elsif c_has_i_ce = 0 then we_reg <= we after C_TCQ; a_reg <= a after C_TCQ; d_reg <= d after C_TCQ; elsif c_qualify_we = 0 then we_reg <= we after C_TCQ; if i_ce = '1' then a_reg <= a after C_TCQ; d_reg <= d after C_TCQ; end if; elsif c_qualify_we = 1 and i_ce = '1' then we_reg <= we after C_TCQ; a_reg <= a after C_TCQ; d_reg <= d after C_TCQ; end if; qspo_ce_reg <= qspo_ce after C_TCQ; end process p_optional_input_registers; --------------------------------------------------------------------- -- If the inputs are registered, propogate those signals to the -- internal versions that will be used by the memory construct. --------------------------------------------------------------------- g_optional_input_regs : if c_reg_a_d_inputs = 1 generate we_int <= we_reg; d_int <= d_reg; a_int <= a_reg; qspo_ce_int <= qspo_ce_reg; end generate g_optional_input_regs; --------------------------------------------------------------------- -- Otherwise, just pass the ports directly to the internal signals -- used by the memory construct. --------------------------------------------------------------------- g_no_optional_input_regs : if c_reg_a_d_inputs = 0 generate we_int <= we; d_int <= d; a_int <= a; qspo_ce_int <= qspo_ce; end generate g_no_optional_input_regs; --------------------------------------------------------------------- --------------------------------------------------------------------- -- In addition, there are inputs that can be registered, that can -- have their own clock domain. This is best handled in a seperate -- process for readability. --------------------------------------------------------------------- p_optional_dual_port_regs : process begin if c_reg_dpra_input = 0 then wait; elsif c_has_qdpo_clk = 0 then wait until clk'event and clk = '1'; else wait until qdpo_clk'event and qdpo_clk = '1'; end if; if c_qce_joined = 1 then if c_has_qspo_ce = 0 or (c_has_qspo_ce = 1 and qspo_ce = '1') then dpra_reg <= dpra after C_TCQ; end if; elsif c_has_qdpo_ce = 0 or (c_has_qdpo_ce = 1 and qdpo_ce = '1') then dpra_reg <= dpra after C_TCQ; end if; qdpo_ce_reg <= qdpo_ce after C_TCQ; end process p_optional_dual_port_regs; --------------------------------------------------------------------- -- If the inputs are registered, propogate those signals to the -- internal versions that will be used by the memory construct. --------------------------------------------------------------------- g_optional_dual_port_regs : if c_reg_dpra_input = 1 generate dpra_int <= dpra_reg; qdpo_ce_int <= qdpo_ce_reg; end generate g_optional_dual_port_regs; --------------------------------------------------------------------- -- Otherwise, just pass the ports directly to the internal signals -- used by the memory construct. --------------------------------------------------------------------- g_no_optional_dual_port_regs : if c_reg_dpra_input = 0 generate dpra_int <= dpra; qdpo_ce_int <= qdpo_ce; end generate g_no_optional_dual_port_regs; --------------------------------------------------------------------- --------------------------------------------------------------------- -- For the Single Port RAM and Dual Port RAM memory types, define how -- the RAM is written to. --------------------------------------------------------------------- p_write_to_spram_dpram : process begin -- process p_write_to_spram_dpram wait until clk'event and clk = '1' and we_int = '1' and c_mem_type /= c_rom; if a_is_over = '1' then assert false report "Writing to out of range address." & cr & "Max address is " & integer'image(c_depth-1) & "." & cr & "Write ignored." severity warning; else memory(to_integer(unsigned(a_int))) <= d_int after C_TCQ; end if; end process p_write_to_spram_dpram; --------------------------------------------------------------------- -- Form the spo_int signal and the optional spo output. spo_int will -- be used in assigning the optional qspo output. --------------------------------------------------------------------- spo_int <= data_sp_over when a_is_over = '1' else data_sp; a_is_over <= '1' when a_int > max_address else '0'; dpra_is_over <= '1' when dpra_int > max_address else '0'; g_dpra_over: for i in 0 to c_addr_width-1 generate dpra_over(i) <= dpra_int(i) and max_address(i); end generate g_dpra_over; data_sp <= memory(to_integer(unsigned(a_int))); data_sp_over <= (others => 'X'); data_dp <= memory(to_integer(unsigned(dpra_int))); data_dp_over <= (others => 'X'); g_has_spo : if c_has_spo = 1 generate spo <= spo_int; end generate g_has_spo; g_has_no_spo : if c_has_spo = 0 generate spo <= (others => 'X'); end generate g_has_no_spo; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Form the dpo_int signal and the optional dpo output. dpo_int will -- be used in assigning the optional qdpo output. --------------------------------------------------------------------- g_dpram: if (c_mem_type = c_dp_ram or c_mem_type = c_sdp_ram) generate dpo_int <= data_dp_over when dpra_is_over = '1' else data_dp; end generate g_dpram; g_not_dpram: if (c_mem_type /= c_dp_ram and c_mem_type /= c_sdp_ram) generate dpo_int <= (others => 'X'); end generate g_not_dpram; assert not((c_mem_type = c_dp_ram or c_mem_type = c_sdp_ram) and dpra_is_over = '1') report "DPRA trying to read from out of range address." & cr & "Max address is " & integer'image(c_depth-1) severity warning; g_has_dpo : if c_has_dpo = 1 generate dpo <= dpo_int; end generate g_has_dpo; g_has_no_dpo : if c_has_dpo = 0 generate dpo <= (others => 'X'); end generate g_has_no_dpo; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Form the QSPO output depending on the following: --------------------------------------------------------------------- -- Generics -- c_has_qspo -- c_has_qspo_rst -- c_sync_enable -- c_has_qspo_ce --------------------------------------------------------------------- -- Signals -- clk -- qspo_rst -- qspo_srst -- qspo_ce -- spo_int --------------------------------------------------------------------- p_has_qspo : process begin if c_has_qspo /= 1 then qspo_int <= (others => 'X'); qspo_pipe <= (others => 'X'); wait; end if; wait until (clk'event and clk = '1') or (qspo_rst = '1' and c_has_qspo_rst = 1); --------------------------------------------------------------------- if c_has_qspo_rst = 1 and qspo_rst = '1' then qspo_pipe <= (others => '0'); qspo_int <= (others => '0'); elsif c_has_qspo_srst = 1 and qspo_srst = '1' then if c_sync_enable = 0 then qspo_pipe <= (others => '0') after C_TCQ; qspo_int <= (others => '0') after C_TCQ; elsif c_has_qspo_ce = 0 or (c_has_qspo_ce = 1 and qspo_ce_int = '1') then qspo_pipe <= (others => '0') after C_TCQ; qspo_int <= (others => '0') after C_TCQ; end if; elsif c_has_qspo_ce = 0 or qspo_ce_int = '1' then qspo_pipe <= spo_int after C_TCQ; if c_pipeline_stages = 1 then qspo_int <= qspo_pipe after C_TCQ; else qspo_int <= spo_int after C_TCQ; end if; end if; end process p_has_qspo; --------------------------------------------------------------------- qspo <= qspo_int; --------------------------------------------------------------------- -- Form the QDPO output depending on the following: --------------------------------------------------------------------- -- Generics -- c_has_qdpo -- c_qce_joined -- c_has_qdpo_clk -- c_has_qdpo_rst -- c_has_qdpo_srst -- c_has_qdpo_ce -- c_has_qspo_ce -- c_sync_enable --------------------------------------------------------------------- -- Signals -- clk -- qdpo_clk -- qdpo_rst -- qdpo_srst -- qdpo_ce -- qspo_ce -- dpo_int --------------------------------------------------------------------- p_has_qdpo : process begin if c_has_qdpo /= 1 then qdpo_pipe <= (others => 'X'); qdpo_int <= (others => 'X'); wait; end if; if c_has_qdpo_clk = 0 then --Common clock enables used for qspo and qdpo outputs. --Therefore we have one clock domain to worry about. wait until (clk'event and clk = '1') or (c_has_qdpo_rst = 1 and qdpo_rst = '1'); else --The qdpo output is in a seperate clock domain from the rest --of the dual port RAM. wait until (qdpo_clk'event and qdpo_clk = '1') or (c_has_qdpo_rst = 1 and qdpo_rst = '1'); end if; if c_has_qdpo_rst = 1 and qdpo_rst = '1' then -- Async reset asserted. qdpo_pipe <= (others => '0'); qdpo_int <= (others => '0'); elsif c_has_qdpo_srst = 1 and qdpo_srst = '1' then if c_sync_enable = 0 then --Synchronous reset asserted. Sync reset overrides the --clock enable qdpo_pipe <= (others => '0') after C_TCQ; qdpo_int <= (others => '0') after C_TCQ; elsif c_qce_joined = 0 then -- Seperate qdpo_clk domain if c_has_qdpo_ce = 0 or (c_has_qdpo_ce = 1 and qdpo_ce_int = '1') then -- Either the qdpo does not have a clock enable, or it -- does, and it has been asserted permitting the sync -- reset to act. qdpo_pipe <= (others => '0') after C_TCQ; qdpo_int <= (others => '0') after C_TCQ; end if; elsif c_has_qspo_ce = 0 or (c_has_qspo_ce = 1 and qspo_ce_int = '1') then -- Common clock domain so we monitor the common clock -- enable to see if the a sync reset is permitted, or there -- are no clock enables to block the sync reset. qdpo_pipe <= (others => '0') after C_TCQ; qdpo_int <= (others => '0') after C_TCQ; end if; elsif c_qce_joined = 0 then -- qdpo is a seperate clock domain, so check to see if there -- is a qdpo_ce clock enable, if it is there, assign qdpo when -- qdpo_ce is active - if there is no clock enable just assign -- it. if c_has_qdpo_ce = 0 or (c_has_qdpo_ce = 1 and qdpo_ce_int = '1') then qdpo_pipe <= dpo_int after C_TCQ; if c_pipeline_stages = 1 then qdpo_int <= qdpo_pipe after C_TCQ; else qdpo_int <= dpo_int after C_TCQ; end if; end if; elsif c_has_qspo_ce = 0 or (c_has_qspo_ce = 1 and qspo_ce_int = '1') then -- Common clock domain, check to see if there is a qspo_ce to -- concern us. qdpo_pipe <= dpo_int after C_TCQ; if c_pipeline_stages = 1 then qdpo_int <= qdpo_pipe after C_TCQ; else qdpo_int <= dpo_int after C_TCQ; end if; end if; end process p_has_qdpo; --------------------------------------------------------------------- qdpo <= qdpo_int; end behavioral;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pselect_f.vhd
15
12529
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pselect_f.vhd
15
12529
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/or_muxcy.vhd
15
10538
------------------------------------------------------------------------------- -- $Id: or_muxcy.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- BLT 05/24/01 -- ^^^^^^ -- Removed Sig input, removed C_START_BIT and C_BUS_SIZE -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_muxcy is generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy; architecture implementation of or_muxcy is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less, a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 5 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= In_bus(0); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more, then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 5 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => '0' , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/rd_logic.vhd
19
48192
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8 6zam55ovJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6 P6PVaPylNEwivSbuc64= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5 vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR VTqDp0ZVnyDWp2/qKN0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO 5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ 1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936) `protect data_block VOLhYhIKkXWjetLUjE5rW5DQXkpxt+F3F7mQn6k5W7YbdJ7D0Azpu3IcXaUiginkg1B5Th4HqWU7 osBSHKIuNQ9LD4/HNdyzIs2o3fqQ1P+qXRvablpy2UjG9YhuDEnW9OgVmv9f5La6dVTzEZojW2oE Jw9vS5wgzsBmg2AZjsVx3HbrZyjWXSjhihmuDmrZTJMaKDStk/4XJc+tznIH+sK8G8yeA8jtlQ7U HmT67xWdPf03iaiItCELiOLHHqlolMWUU2Ubq1E2XbrTQjP69rfk9bFHAqohuchDXshcW1rpTVcd MoM/EB9OGgGNWyHGQXg4uI5PDl5U1zW04EZvtKGgMMqDo2d3B4XIZbubk7UOE1twoeqX+iIEzhQb d42uk2oTeemZqxC+THqnNLCA14SDW2x6Kix1J7DqT59W1+KO0M42BfAEWO/4QAXclvgJHR7WiWy2 cgptGj7rDcxjbKbRvSiJ/UljMcbRcULenLv3Gtxylj+0RIMghWJK48W+RIqOZRZbE7rFLcFlb4KZ T/qWXpDzxYdGc5H3CivxzRbMZuYXgxBVEdgEwVzGVZgDlvGfTN++GnoI0AK6ukBztxJhIllLYeAd zutmH/rjrM72X4xngomKftDSWQ7MrBuIf3gIMfXXG31trMiXyNjt7JLVc36vf8GCGMu/F5Q3zUQs 2OlRMpgTg4heUkK3UZGWY117sVeLFfr6wk6losKqPD/QMOLup7xwaC8uk5jBlQaw9QkLTGhJoEdG NiAYraxoDirUYQaOE50v5vUqOXwdaSVfEp7WrsES3fVPZ3d/42yAidFBfK+B5gOg41GNOWcQU0rc Lu9WmkFuGibg+298643vbMjc4juikAunkj7krOUVg+KdcjfSrI8AZevM37uTWy30I3nQcfPCg0tw 6vFU1RDfa3DzblYRXmKlqDcau/LKTwkr9bPIN2krQnel/5wNa72oTWe9ciUL2c8DmjLs3zgjiOvI Ad7po2TP8ebwAplXkib57pWIY+pxqjEsDjSWyu33y5nQGR8pHeOn8iwivdRF29d4CUVqZBWpb8zC 4fXj5SwvtKnvT6v9OT8M0YSH5s55f2//a7BNio9PePo5CebHteSUVjXDSOuC1HSuT41elJ6M8YW0 B3gzDe9/X/8Ay3YYyQ1g1HYgU4kQKwuWSCxb35FGSpRCuMht1INf50pqE0+hazgN1s9Y2KL1iU5K C31mz8uoe9c3C3hjFkvhOw4t+pHAgULRfsmG0DeI3DOhsxnsP0fu33+7Pakv0w1jakB62mjEv51b c5XjoRbM6hx+EPfF0Y34iz4g0Cymdi9mBIOJIXGpLeOvHDpm0UXLS2I0Sbvvgoc6gpkwhSS4WKRr cvW/gSFR9SjY9WbQdSG7/S31Ajy/+3arxU0YG0R8QHIf3TRcMyDYci1LqYw2NtGOylEwpqVP9DI0 9SW/132zv7ciMCt+0kNhFQPuOzIEQdJ6iQmyESYs0PJ7hhdfYLN5KRqILQicbFh3AK2YGET+koHI mThTPugQ2GiyoziMq1/dHLtDHvlnCqYw7TQQPdtPFfRtqMofqKdPWbMZWH0arVJWvjiyUL2215oV c2+W2Yj8RmjiEi3LH8ToszBBxL52Rtk0pCj7C1qsKnCm8nDBHdmBuqiskjo/MSswALP9k/VlTpJ2 c8UvqfYq0mLUi4vua63BoWIOXkROxAS9bUI4LZ+U3Z0+2ohV5xeqQtDqsjUocDq7G1lEMv03gfHO YydiyvJjk+lcVtpTUs2QS1B1tco6tnV6xzmimjuRBAymv8F6hV3Wwdd1qxYxmYmyptXvKv9vR+fk isKaCMXjI2AkZcsmbYrf6LM90DqpkDD+hqyswhPxSm8MeTVq9KGLlUnvzduriJLNtxPS4DiXRmZ6 o/44ZJauPW6B38LMhzeZa37Bpot20wY/OfUgL7EA/KiX3ce8Fs42IgzUiTa5xDc9XQEkLsV0rc/+ hwBqUR327bKXnTug8yVhICQU3CbdsOlbCvxFxDu3rFQ0pRM0PJdDgp5hH2oOBbQ71fe/r1Nhmuoy FPjxkq0buqLHVRypTSLBIcwWZzKzPT7+8Jodm1gNFPoZB0wlLCdGU9dXyR1zKCDYg/RMGQdFWLmd 04tOMIlSPpOaxt9h92yfBvGFNC9BJPLvZPPzIuA1D0GS4a0LLPVMy+qp+44Zas/wj+CgBWCZAdMZ lnCJHPr1PkSVNw7o/YixDIKjh3r7hkKbeiEo0qGLemNMMdcj0Fqk2lSh5nEk6cfzApwbkMtqmxCO kPsDa3xX2LKfTeIHKoKOny5rECp8wJcUDegetyzvLSuGD24QIdaYzXjrtPumMDPNUhQgZjzf9YbT Q8qFjcU6nJ/c/rhSfFSgA9UHQjcMBeIDkk7x4GjUob6Po5zII/4m/Kx+QmeBz/D8TYFuO7UfqyXK ob28G53il0xBFoLXMr2DMrEA5ZhVS6O4NT2QhXupLII5KxUtTNqMgkBWgVf3k9s+o3RsOyR+n7Cq HHbU4HJGDun2nxN6xzQlbqpBq+SZLb4bgD4hHNx3DTrYZGFrw64qiS0iuOU6GCytn6Sq5Pl78KMf icV4V7ZdyDK77zIoQI0Q5IXz5TfB6BtyYHz3rB55suWbt+iXXSxyUauwB3RNbt8XG3SoBSndVE27 zAIcLjdiLuvbLcUJrLsURqOFhoDd77DFjqujA6xkZVwRm8/7VLllvSwZaNksm+tTixoJLHSOhm94 NVPveZfrn9GZIf+cpC1S+sC6MNiI9lX2zvv42eT8gvw8sO5tlLPaqmr5Zdpb7l+OR2pvGOotyFJ3 kdjnyYRqTNQt/VASAPNe1XjfS1CwhRj3Cee/9ESe0XCMI+nRwME3Sw1l7Qz4L/4FRTdYWwQGLyEC ZMTSaEfo2g4OvBqpMW8PpjVkO7zYlI8fGTWrQt98yyN33AjyFBYhR2p+zjFogFOjJ9Hb3YOC+iAB /38qvbM2+2j6syeYqOyKRlAvfWP6YxEtWPAoN1mDi9Z80sxoJ841iLwRkpKWP0t3rvnF318/gZ0F 3FzdI/RjAmxPztODhwRfw2B7E5YJ4V6IpnoiLYPaChldww9oQvCiDyUOcySm/uKkp3gmqqMch8GS uUIzsWT4pKWg9Zk0jpJMkKKKm6F8XPdZVCFZZWPr7K1zn96u9nrElbsgFxEjg2LNE0tR/dcIv/wU eb/aSsNNKmnTlp2Vg0Z0SsdUFdZUsWdVW8foqvjAqqiZ1S1/zem9wilF2B60JXR9wztX0VIhFesD /NK4x7icvBgsyeUcigsD9IVcbJAx/4bXeE3JcUZVT77WoBRTc2jmlnHW/G7cN2VqJdb77lME1dDs CXWcNR7OxOaVCbM0PIEdqlJxaZ9PRhJu+uxWmanywEmTK7rx9v0H9R4vYXRo1sWWecPISjeba3+C GZh0C5+TFvmphiePEJrRzDB78o6V67+Q5TNgJ0AIPlaNuZTqoj8cEg/LubUYz1Sei5LlYiFRMHIh H1FERJHL6dcx/w1isoHNJK1CZNwFH1edFD0alv1lq5n3wr0fYmhlssQn7HkjGxzdXF41aWMtzonw 8jyCqO9j59Co9TpJVhKXf4wKucweAdTk9w38Vy49Q4DPv3j0ETDWflZ8J1NbNspOBhR7AS6vHRcD 28jzM6I2sRkxwi6Hf7+wjiF0LBZcUZeQVgd5pDpD8px+Me3VFCGnoVIn9l8YS82vIL25UJxQqQAp vZXQ86zFFXbSohGme5MxIt+iQ1NjQrizfoToh7+cj2eCyXJuP17ST8cZa2pO1Em/ayBm9ltV5yrT SVFUsAAs6FVJtmg94tk6CaLmutv53EoTYsk8mPZFrdFV8oA8hVllucEtUj4JXcLr2zTdGkxhZJPO SpuaPlDfIHThkyfIttjkwT2xeeToXCpf5gIxzIS7dcE4ndat7EYq3PPGohXO5ZZKe0eiSXSyeAxX vBtAWh6uFpF2m60geL8vUuXMGvm2bAHZm8SZTCxq1KPi/uGoksEWmgUJc5f8/rRT++yr6iQo0Q/A fN2b3SoD0Q9Cv8sMAfM5HbfiPw1g5Vhpew08fNvrEk/KDHmAAo/X3EAFnXzfYHV4XA6i9Kz7rH8o W3n2eQMwBso3VkxTNtS9im1XZ+EitOkbQ9jO//STKyk/RPXfoall2EAcVe3+P4+9bmsPj6YEai16 QYYYOC8It6Ps8z75nnI9HpBSGQvso4jo7f/DOMvZ6TJggcIa8BdZLQIrtlHXEqJUGUfim44kcNUa hrlxM9XFtmKibRtYp17KYZXyuClrYjoBlL3MspaczijP8L20oiW5GamktvH0LilEY8/Alkoctfo4 dZ0w5cj+uFnsS3NlBAjjWsgHQLGpZtXF09dQL4la/rIaMiONTTOuSahWhumfUZSbwYuxfttU+5Lx hrbQDQq2MZ9LD8bq3ZHF4UWFUiDtjchp9ndrjMM3PT97IJ2cgzVPGEHtLtWPn8+jPv2//OYGYXW7 5TO9+vy+jccCoR6wl/sKlsJTdfah+Byec3cqeF8cAAwOKzwz9XlsqW4Wlidd96qyfvWhvfoI26nc ODj68T70+/A5rWUGj94hkKkj0tZGs+3vRPxbFnvA50Fu/JLKn8IwZ+z0RUgnx+3mLZCr+DIFlyEY FasG4nKnPH/1225xxD23s6/wMuQx3YZUg71+lXd+baDjz40BYZ+zQpQ7nf7Jcr3T23qg9yN9Xo57 9bH5n5d+R2PflSdGgR1+ExTZ9yFa5nEIHYdL5FzITW1uq4de/kv6LAv+20Afha4m7tS0LeqvaDHM nwid3v2ca8eN+qOW4FzidqoiUTMVeOGTCt0lPhjzSOuZ5XNshdFP5njBfvshFRiZDZN6oZKexcF0 SQd/mGfMoNnSJSths9F0arZCSd+0Vu4umo7p8kN1o11dF9MP9CgJ/ISciJzp5d3ZMilnxwWpj9Wq 63MEUx/9MmoHI9XXkGffCzohdUlj5lPFciXL7hERZCYqZefpEwrKjIYh4Bk6ZReDfcvuOhOfvKN9 VgBaLIK7m2MbQRL0qz1pFq1APVYo6tsvfisx+2JoewzcnQ4irMibLJEEKxBJGNZ+A7CorgE0WE3M l6XYcivRqUanGR4+dvL48PByHIwaD0rDSaRsjL6ewP4Z2wsVyf2OEAWAqYjHJ3z4uj8R/DZnzblk 7/zaZI9FRa596vyW2FFt9ijgtlJ7DimcfOyrFpDQ4uwQHT4viIcu0w98dJa8ny3etHNuVfnHkKXp R1Ndcoe3plI5WsHvas/co6VB2qzth6bdIZw0oLK+GoyWcSKd4UkzQ2H7AIJrLbFaKtwF9ix29v1M 1S0byI/VjUJsRomkOiPEMsL/BVAXzHUF6mkFhbn/y+JtCykEpdGGwvog+qwFBWd2c0SocE0HMYMW ZciXWDmnlCzBLlUmZnFXKC2yY/YQHpQVEQWnlH4lWvun+wKx9Hsm0pmTtKaCmCGmUPJQ/HiLUiHF /tXr4ZnmGDxmRsD/fA3cZsw1xyIXZV4EgfmSwbgyMWtIt4ruHAKEpLU7oc2JhSEUhDyQUA1E1qxW FwGyyWI3itYO1pViiWCqKa5j4qjXlw9ylCXjF/d19hNbb1WYWATNusqIFSX19LxNIdi0V/mOxJYC jdrkqrqck/7kJG45BNMsoSyJRxbDWdleMc6hs/VYTpVj2+6CPX223Axyu3LFo5KvboZntZnALlnP xHJLAMVGTy6s7ANDlpIAiDHsuiu/1qab8qoeUniPqvDXvrXvU2Fv8hcGbcyIhrcFDGE8Z1mUcQ2M DGTxKhxyUNWh5cS6gYVLYShacQXaPZ79b4iyrv+rps0LM3uheKqw07W6eaWe06GiAii/ow4rAoFX PzW13XzH1m8rxas6eZLamgod36M6X4M8Dnt/azOuB5F8A7tyu9gKM9GBWyDK3bu1cgsxBZ+6hcWj gnlZ6pTnY/j2ENDIXmfeBNSSuZmy2spE+yCI2JV5HvmPZBjCIhBznsiQ9OQrV7LjEuJC+TqgS5ZS olclMUCFxDXjyEJRLp6of5NGl+Sd8sJTfhrEMVU2KgbIRRoZAcHGcF3GAF+hAfSx/u0QH410LL+Q uMJSIazP1Eed3PgYRRNyWNaEfjs8O09BflT5XZGaER9uY/aF0VHv4QY1u4JLJcO2Xf1lGasx89S/ RIhXU93v9QYYGx0GOxU6uw4rdZ/cIbuFkyODV0e2UbR1cNjt7P3tzKjAcoGkQN9uLGnBV8+XA3hk pxochmb0JpoW1UjL52YQrswnqRftE8mEHLtHtxBvcp//zfgfHF5uj4QOUP5N1341/bLYWwOlORVV iXuj6xunkPdAj92yI6/RnDFVhTEKfWtcmWqy6jdmyUy9AFXNES6LTWMN44lNVmaMwEKXB+cEvow0 qFKRpZT2rTcxspfMISTnH+2yxvntl0M3KY9D/T5vKfLR7W03px1Ql/PB3EjiVPaNC4DHtnN98Z2B z3k9uBlVPmz5JZJRGDXlyWr0ArlnsI/y/pAr0/SfnpE8/TB5ByTkYNfooYRb3mNYPX4q7lZ6gBk5 T/f6BwFl6wb2OClwFu9q4uLq26V3B0LsS7mvSosrnlSs5h66FMw46pkvdXhTXm0aSDfsjjDZUgjZ 39+EUR3mzeSohzp1qHQ7r07LFbmgf0pVrjTjZ/CgZWTyd3oMcwdK7C70ohRjYxvWfL8+liwFDWoi ye3Jxc5shzR7/IN7tPB5cGhrjMgeaUwzQO6eIdOwUkfnYdt7oEuCXcieVeEphFFV3TZEDqTRTSZY 0vJBU7oisUQEzQK4gD0tuM0PXRojcNHUucA5yV4tV4sDrunZLkjXuQSXWS/Se5ro0WTW4yWZX6OE oVZAaiBFNFrQX8D4PCWpY+SR11vC45WpRyZUa10wpU6eeZoLMpnFR/ItjZvBtGEDrc5nT/PjXOqY eFA708TvAZn07hxAHLPBUenrshgbulBTIzesNwSidA24Hgxg005bHF5mXLZA9dLpEPosmH3n/xgK 4sJm7AxGovfvLfKffkv+0oYu2bTpWaYrnV/dpAnMtNqCRXvf0Yr1twbensdXuvzjeTZFQ7pXJfpA I1PEhNQmNWyIhEVrwSlpA9qFIQ2PGKmXcq161aGS8lj0H87Dv1ju+3MHa6MbQV8Ing9gYqrjltQo OueAUxf7H84YFWtqXftOktxxx4SQ612Mqf+qb/gDh7jbvF+ItDTB5OeW/WQToumx4vsdVTgbnvfk kD4El45FKFvZIT6PfsfiRj76aCkTy8UESHrnkGtwS06Q4OJ4P89UozIhoQdM8ePE71COVsZnCamN yH93vEJ7zJ2uqFzZs1+84aY7MhNJfwlvkMgIANxpAPYzTZDAAP7dgwVuXCAJOfEs0QnZQNNpupuE gRpge+xQ0tsaO7kEdriIbRlp7TWM1RTx/UYZmJYA6jNNMQ95Gnyt73vA2AP6hdvwGB5i6EHRgoBi rUKeVyNNG1cYFlXOop/TgplqWIhc0fMzfHg9Mm3Xc/z3ThNVTK3yCcAGdbochbG8cq0q+l8XiocB 44D317xqpvJFOXCbXp7dx8arZ2o5R5z+vo4Sp+dIvHJBtsSCzbiDqsFFdbTFlgV8nuK64eOFZkIv 5fGayX3p0oTJKuoD+c+kWuWwkqFkkAC+9Lm0pIRoEeXVeLw+9AqvHHOq4uIu34W5+mMdpkkmrOir nXMKUO824HcxYoN5ZN22t290IDO3JItaPEc92Azy7xL/VwCbs8LOtzRr17URJXCK+lq6Tqoxsoe3 VC+RHF7LWRPv3OkT2R2OUQImqVa9wWDvRWnBkj1etlD7mTyTqB9HnUD6CRoqiYolP5ZOP8UOzXBU woCiFX/5NzzmAt1lXBnbDDZSJDhk/IuBa1iswshzuY6C885mY66aNU9G02Bg5b9aishmWj+qDyW0 m/XduvAKZOYCPjVx/Twx0vkDlBc7OXoUFl7eXYZXBHvCk8FiceLr3JC6neeIivl8G3w6qxNFdh1/ 5pXesLq1QeyC4Zv1j12G/rtukaziTxcnZQti06u7Bgu1yfrMZ3TiTORg4mQYMruQDsaYFUCYHbWm gavrfg1QhMt9omdN3L7woz5DhDsEkTLrXMoEyGkNwV0Gu2N8ftarBAQMGUpyLA+vjdsdOF2Hoo2I NLxYByDaoJ7MOEti8IqhLnzE9uIiNNS/q4bFuLBblLg/pXhgKJA+Do9IzObKojsnRzMSJHDQqHxj rvA/hkUgach660iiBj3CjkW1YbHhyxz2lq9JZHLQxdKoNDOgc3mWDXWPp+7bO3NSsCNZwt9dqr54 QIX7b9PAXOnuhfozsEgQxykY+ioVFyzRMkYdxxl6jM/frLiP33KRlQu70yFblqwD4KO6EX9DUYec 3K2wgtY7tDyMYRiIoUbUYsbmi9gSopMRpya9yrwEO+n7jI6phzovqk2wbqTGEGTtrvVBo7CtOOBQ yZLvdSYu0cn0ki8Re6vLH+9Oz2Y1PLoMZ0dHyhYzXjK3qKjf4iqefpnIatMs9wIeFLQb6+8oQmVC KIiUTNWj3dcVGGaoitRd4QWWd+av8XJ72RQS10vexhV1/q3qtAiI7JWwXeHV1gsBOtUAV84eiCSp Gy3A9b1YqsbzK8UTPjE0cqQ7Zs2EKTymM/AYoGr9dsPFmRexfsIKeTerrCDcjCQZapHS6CEbEQgd GOiZOzGSOBpbRY9P1EuP9l2Nc1kyJqBB8cgLFxiCyl7cxmn3gy9ZwRCH2RfnSTiMCPxXk+gxhpHO MiZygT4mkAAIqaZD80x9A7EgQFLgE5m3xiq6pm7oFZ1+POmIEbM5L1hcUB/H2Z6OVY+7/4Rklay+ PmMpmDXh5wn6m6xhJyPfM7u+HiVqWhlJSIg1XlrYjjWgpL/DKd9Q2LHMPxIlzBDL3JRFG8NOoKrt XnoBouu9MMfGhF+NjdntTk5aKmtDDoT3Hn9rZipfC6fsE9D3nfM8XJiHzp7amNO/u+M3tbIgx6Uc ARssUPnx8CR10puERWpymZAOLEvFMr6gs4TVsEoCSbz4JwogFKTWdvCD8Ocq/7uss4lHb5DF3RyN 5IC3ZnkXPvlRvaqXCP7H8KwQPvik4REVBoQpt5yxwRS3S96C+/NRPxjvxDEm1VuHHMvE6N+eZKS2 Tt8sD5rUjjCb6bQ/vWCyk/gLNbvECm138Ap59gsbD8wV66hcH4SBSdJHQjI/fXo/9LTvaLZgLhtD L2ED1oJJXNuYblyN/a+n+ff+LKWWYd9ZGom3EZXpu8J5BlgGECpmmZyZXJ9pmMDD1fspw1/zDmxj ewEr09yDLZD5fMti0gsirSIqHwn0XE1lw8X3xXbund9Fg3hRa5TlNQPu+OfEsJDCVn234+EdsfTn Jl+aWD7MYBcMEoGGcL9Y/vlnw1geQq89GsSM1DRKlG1ddUafBZ1SfXgEKmd9Bx0qutxMQh+6TM9Q HOGPrSv01HpTIacLDdhQGzjAjLR6ikEhm6WzTuEaG8GmF7wYnofJyF9Nsq+sBFAUs6R65synioz2 3g6ZKkfGExoSsipqvG19necdHPKZOVQQJGzPHdTphRNgU+1kYfxHbX2R23L2YKIn+SN38rKZhd2a Z21ELlRAD8GqeDfrKGPg67qVZAMHkSox8u91THHAr1PCOsg/S3EJG0swW3sbK6tPNZ7QjUqr5bAk vOGCw8YL0o8E+VKbMa1pPfuqjfcRNaVCgyj2BjUQuYKjcB1fvargXZTGfHQYuaOIQTD9yeLzjJdg DZOthIGzeI7719OFrUNrdT84ukipCHrIIlyC6kU0LnhwIOj9vpcBS5VJqXozrOEGhbUIMiWrjjza 22onpZZCtYhEJNEBdNL49WnZDKToHFLl8H+P8Zp0gFZbYFIRrVM29yEzm+yEcJdbGxZc6q8hi0zC iemTBEnhZ+uduQyqNCDSx9zl67boxy59h/YHHkMzkgH/8Gx+/+Urpj8EJIrlmVq6Z4UgNgfx5JrT GJusCuwkTZWrB0dRxVx9m2GtGXTNpesK5OitVrb+N50ygGCp9pMcIaIDBPoRQeVdSRz0pa+BmU0J p5Iu53aq7h1493B/1j9NgRTpaQSyyBRGBFFhZ8AZnMmqUwHGfd97rYB2skk6b9iu32v60IIbQQC3 gWgmJE5iXMOR2XK08VvQxBPfhlsKYiVjsrbeBMsKM9TchBMEfa7QuHmk/MIKHBzicwmlpPU4MW3f o0rasNdqS1zwIFjZt/AjCDlbxU/Rc8WT8GlzcHGZhDGcHvHOpKzKfXUybKGGjJB4M6XiTk2RP+UJ djzVqqWp5R9STR4bEROYU6Cbe/72z+hjocSMAUinNJHqgVAMUBYq3nW0dlG3uypczXGPCjJ8ChbC zRYs+bQVRPNuQjjljlV+zDYfBac028JpbYMnOAnwYK6qUnofzePQhQg/4hw5ISXDe6VX1aG2D57D hsTby+Bo9o5nh3x6qZUpc+4QXWD90EsSKsSt8DSKO6+7di5F7o5lIgIB3HvypU9v4/Fh8zKwRFTI P0d34KiEwBooLQJXoWlC6ffvADw5tZt982TCO6BfKeU7QzNtXG/FHf4zb1kXiqcEt1pFI4RbSOV1 1HKUPTvx2u3T/PDODUFQchG/1fnVNZh4vsz3Vl+A3GtiMpf6xtdrwSjy3NjmksqXgJECk2NDLb5g BB6j3KicUeBzRjj7igl1fGYKAgPNYs9vRQZ64uWpU5Vq+VWJBCKo0tEsUAsgXCY6U7yPmlVEQcnZ oD+4Uv8Qk6qBx0ZDyMoZ5rEtrY7ljLSGLM+pw7/D5Hm4O0Bk2PnNZxKWei7TOejCrUD6oSW8mkk0 q+HL1C7r9n6XNDI5LyVX4/0WfPCFDR13LUxa8JUbGT6Y3bRzG/A8XFfuhNFCyRdaS2SRF2ITic9c hrPIxA/+4WJhEw4qE29CdSj1BCFmVso39oFs1z62xZQ4d3jd8YPwkoKj9q7f6CDMri/ud3zOFdWN nb8BR8JvOvDDSAq1c8mDsBfIySH3FsTZ3rTnRpO5aZ2ZJ3kmZcYxRw+isaKuY15LoZQlBW7bvJDF vvfXSDjToRiE/d+RKdWiEgsZtr2dUHFSG9N6DWxJMqpchHErqOBj6Y6BtzvARWXCaMICkxDo/ozH StWnIzO9ZTkaF34ucHj3ovvBI70ssJX6Ojza3HNaCUyTdcAE8+e/0FmI9nfWjT6C7RmqS23Csafv bgl1cEpLLJRG+PCR5t+g78QU2nikiMLJ6o/oIDbIqr0Elkv6reEmo0hZ5KZlJn/AGriaFrraXjOy fns2EDTade5fdKai2iG8cX9Nq1nEoU7O9xN6zTR8cXKMAc0dSqt2VIhyuQd83oZZLlf0VIyvs1Ku wtyJgBSbH7NODDXPI5+Pkb1HEybg9HCwsEPQaUAmyisN7Cqgyp/0+tZC76m+X6eTY0D9FtlHoSUJ GmGMwR/JXBdpwJtM7qmp3wqaTTV5jYXnpwE8DPehYdcGZ8cLzs5jKGNtcVmq77CVhsMqIjkKNVEK 6q3wQki1Ex/ch3aygOjXPZiGfRTnSRrqbeyoNY7iw95P7SvMMk1TzXdMe2gH0rjPGxukuNyPjitD 5sdKQR78vUlheTykMN0W2SlbetZfuohDwHpo6EfMqpNVanCsvbIFoaD9ybUKATfcxxzMvIAqYdHz BdEx4R8nuJZ6/ZGDRbQ8Scjlp5xAPO+AGNCLajRAlrlE4IlbiiksqlpHEfk+S4yjoOnWyMR9h/dt vahZJxbALZgoP80HnyDGgWSwzzOJDGjUrXVBLubHNFfdIxvmb+V/AsfGa53lFF6iqjEcZfm+W1oi aT0uBfsat/lNp08jKJG1DIEe+FAFQtS60Mx6RcqxVua7Cqs8/lQ1WYyAkMgonyMNWcdoelCY7s3P G9YiOsKMyNVqvJgio7SYsEGRFvtKqfU2mZXM3N7H4+uND4+jvpECzPDvIn13FKI3F1mot/rlpy6B P4GU6tyjJcq6zCTn/ojjBO3h/Mt71ZgWjVGs6m7eYsFstteaXAx6EdjQsrr8QqzBwnIXnY6J1X+q hLU8xWEBmmpo2UDVorYnINLNAraxhVPtb20Lvf4Oidotq2cdFmU/ykNgwuvjcgPqo2bjobT2BCu3 rSDfulNSapSv090ou57LXTz0Lic/WU5xPmb+XNxNYSRKAKuPNGqhfbKsK+Xts8LvoXonRV1t/qLq Lo8WzqK4P/2j3azz8Zvc4DOG6RZYo2RWcgJiLFfOF3aa+yddeAIGYxHKGRyodmSK6QWEltZxNWN9 yKJV56mJgvl/1UuwCwmP1ta8sm8pxsu43KP+ufHYVYztJOjlC1Cag/K41Y/EYUvioEu+GbnVa6ZF WzROKME7xdZEOlAeXT+7ttrVVNgtaa3J/42w0dyr6N+TB2OjFRwm8uXSIah4dXc/Xiow+E10XKux r6is0/npYamZpR1np3/ZgKOj6Ob9Rxvo/rUhyH8at0WRAE+Rn3jQrxGn/UOmLprc9x/GvJgXTtxZ 7P97PuXRpS4/AD03sQbIqOgsJDIgSarov4UvdBJ0oy9a+sFNyLoEm8uwIvGDSV84/1ziGCJ6Sbhw EC/y8cDH7tJ9w6C7FZDaSmO71vYvClPo4u/L0Q6s1FjLPqyhk9zW3Zl0SusYy2HoTXGxEromOjhU 1AaMQS94N5g0RK9kZYMMsmcdXpN2wKzKdym+fFXp0EKhKjMBb4hP/zjhEaxe4WmhzJT0qzwZw6km imxlcj1S04xu2gD1zNDr2fYarJW4GFYMfUngULyVbGk9zp0e3OLmt63asEn1kjgl1iREQFp/6l/u PQzZoD63SRK4QF8j2fLG/HQilfcUQkNE2VRQvScN9Vbo72wQdzTaPg0XD6jmpuSBjpZ6jCoUxHdi +yxFX67B1q4gnECCjQtQJxV6edEAXU3RUu4gf2YCbZ/g/8TLqeDPKL3ipsJNrzGQrMT86ViM5DPW Q8Iec550gGJyIKlw8EuA7Ly4TyuAP6UOKWDDspHqM7QJv/Pip6JMrhji0Vt8Vw8UuI95pIQ7jFDC N6Y/OUBzSWz3Sl+Ht3+M/uU6gIZnhSK7DQ/RqsWtoMJKoaoeA0sq4IKXtnWLGgxEcCNxKcsTgfeg 0pZEgqaqTDox/tD9IYiwUEJeUiaT6TNESB1GjKvuhiAPABG/mRitu0CVnkRMT6b2m6bg5kpfuYKB +lqpbzRHlq2Z2tbu5Euw2vo+lHubdOw8Pg8xRevLntyq4veRSBAB7QlT6GBYyZ7QF51xdiLSc8rz Enk31WczBhWkJZEHahUrUmc3hgqSkQGbjjHxD9moQpoSc8kMHUFtYAwfqmnKhesdZi5nFrHTthyk n5uBJjsehOrCwAmG0PTAoHptO4ntteAp5znGeT01B4ecHlFRxs8v3M+eEIfMsPivTvfdyrXcVeR4 R6EsEl1M9SYjP5UFWjPXuI85H+CF89MiPAu6NNxnFLAaIBoU19K/NgtZHCOZV4zQWVJCAHowvD1Y YAHDAESJhmULERWrMYM41kinr3o6jusBjQpQEccBJOIW7Q7RQWsFZQUvaKVV8o+vY9IqRE8wS8Fx TZrDUL8SNh7gsGqVNvlUx17mnCdOGa2ZvGHeCIcsqlNrUpsVjC8eaOYzyf9N0r8ixb4KxxXLtjWd f/rBOdCtVmnVyebvNhpu9BiILwHlxmZDRVZa2PLR9qVqhwJyRhBY+ve8hlQqscLM73L3SMQre4d9 bwWOKbG8OP9L/Y224kGaMAVqmIBLi5GJbHm/MIsToNOzJdBTM1jSrcV2arBi5tx1DdOz/NPBXc+e cXWn83/u7Y/eIuEO+3gHRrGJQfa0Kb/XduRX1a8qcFaZmffXe3wbK2lq6JEOuBZGwdnmFq5xWtmQ Xq+LPrqPXdyVarDgFQ+tWX82/88VWdsK6gausMn5FHF14Had2J1Dlge+JytAN7UZBVW08vRTw3f2 nqmuz05OEXdzJKH10xPIBfPTgcH2A4UsDi9zebkvjn79N1wfJ4ZpZCyVYG6AIGFc1hdfYdYSaO4K sbTLWC13/AXSAH9BBjeHMBIzyF7OpIm2cVMcrQD7GJjkDVXR1ei6UIVTmD+1EVtYc5CEAsffoda+ EXe6it1S3FEdh2PvPPrRQhro0k7ErdhBu09hKjoDbl/I2OMgnA+fjquRzJLGEB7ZIfQ2kYJVBVQT lB35agbmEXW0NUJf18hXr52Y+Jqs8VfCRwzx2HfDwjejEpdbsS0h30fUg5u6VQ+BykJ7ozSghZT3 h9RTUmFffGXL3rEVzwby8ZocNbHNlHI2mbwOzPz9UP/Hcp4sOb9iaPhtvtK+3YSwXGGHn+ZnlBpk R9Ki8kRbt/iTMmjhtoBOpVWKgScMXc1CH+SYLmfhOsVSXhiUDXU+GVGpM5+IMxNoeOpo4rXYp6xZ ArEPuGWIuZ/JEuaEp9BjNIihF3ux3aF0z38NrUSC5ZUIPIGhAfrI6HIHu9wviMdvmGI7Dq6GK9F8 K0Lxn+8c0jBqRVOFxlMczx2VSyKMQLRuWY4PmZilKNhDZ6VgUzGebnKn2rvTYbM2G3MtIGFrK5zI MdYDUMVT9/NfT0ISyQ5i+aDp9aT9/GamyfGtitkYezmiZuikRVeYCx29DnkHUiFXBxN6ksOGhggC 7gqYd7jNxMYGmBbOG42pei9w43pPoWX2M1BEJqqoS9x30qD7HaT1bzJaqQ8dqhO1sOQT+DtKz2gl 1/HSl2Cc0rrga9w5Wz8QOqUXh8B8/UZEhIj4b8RdlaeJHXJ+yDuo/twqt0zXi3QTGQmcsQTf5XzA CYGOoIffavg+ecR1463nJan1O3FdOJeP4Kg/OQRxz7xqTteuAQ4u2l0Kv4j3qqSvsZKiCz4hvrCH REPuBLBftvVmmb+eMx3jQqoXs9vSC+lTvXj4iSM8ZP51qaXHrzXmmTaOTOrk7amMxrNR05Y1rUap SgnhNt7U8Sw9sp/tTozToalxkO3La9Uq6RZfZlWOncfbuPttDUZLCULq0sRjlx85AWm0uo6AkbAX W0dxcQ0DuyBTWrxkM900hTcKIVIipETljP9PEgPJCsPJEvp/3xfo1IZED7Nsizb+dlfJ5pcBVsgL Bm56adCZzBnu7lmVpnptrRJUe9/+zL+Fe29jxKlou6y3/gigaRunV43MpjTvlUa9zXhGqDJmYpas mAGzp7kJIInowQgHt5wZGKUTyHfofPLyhOE6aS2ZtC4zE9o1mk0rm4WicRsZqV/oY9+phoL7H1HG BWcy8C7OWDB9PfdvUysTAVLzLW+3YMOASm+Fwo9GzMmK4X7Mdu12TbHvgdXWku4AR6trOGEUYb+m WRVCt3sNX8dVYApn2GsYVNTyOQ64BoIgVo8JG54ViNEEYPJNDGRQXKf8tYsYHp8FytLdp1y/gPlM 2TQLHCg2eeMnN0KJVHHuD+CvxYHJLbHDnQHP7E6+vSajU+ENs4CWBD0Wq9VQ5SrK0d/barBYxnNT 6yTfWtJYsSbB+mLdhAwyCyRdXKPsKoCtXEl5n2s/gzEL9yizd9IdwV18tEt0C+OdQhjEAOof5jpc Yr/bgkdxKP9+5uiPAzKooZS8iIOQZR6X3kDFT793XOcT4o32IMOVsVIXOPykVvZ072FELzj2PoCa qzKmE0vHk1A/VPBsAub6BHDiNmezXbUeaXd5Na3R/fDrpdloHkBIANF5dm4CQCvv2wZfzunCXjYJ MtWV6ma/0dyyStEJwHoCnMmZGyByjCBQPMWmR9iI5oQd8nGuBOzltpH8KnYcxupiGGgNhxaGzpFu XPH1fzuKsKIc3zxhJH0RRFMrjG+eZI8q7SUjqN7ReE0nNS3v3vGbKca+TrTOSMs3a2Hsem2rM7jm C8/eXHXdM5UT2L/d5oG2Wk4dlTc84TrfwRbk3COqf6oDPCTeC2DPUjHZtXvj4qqKqfLFxJn5jKXy f7OQpBn0Y6H9rzqSqqWk+DyxK/1eqx4rGbQ/mQXuXU96zsft15KgR7JP9omft3AbSAmvCZgs8i+d KugqRn0mHnnJeeghvrqMeuBofFHDDq003bDpkIHrbfckyl4oqwvl+T1/RgE/p4d5/nhLKVJH/0H/ rtztQj+R+zp0JjSiydb8SDxbEma9sdEx2FtkpvUXcbs+XunmIXI9dWzxdg8IS4jNcCj6Tztv162W rppePVfwWFjujIQuqNbAnQ0WWoKy1MkIhbTUZHnO8CPBJRHpihVO3GEjwjFqfVNOztaMPqzrs0bf fbzWrV8i3gbvdjmB+n2+LrfEg03+qE+56LxHEG2z/zyMEOwpXzYRp4XFAjzS/Ft58/XZtIJrLyWT /7aRwVmzkgiNwDu5kOICGnprxlQw9vnMvkjzwO5JF/BJujv7pmZQn6vnfnnqvh3uYhCdTtqa9aXB 0o0/VF+9s9L/HdIMEB2lSU5ELrNHTkGX+xOdu4M78wNFwWUjKINAaOTlCqkjld7SXAC9xhO0htBO OBXqkSHCAPKysMDT0giFk+45THjtILUceXXlQNXFLXBlFYirhwYD++qyerenxgaNLp4sJTDQWPWG Wkhp20eFZ+ukA1KfzwrX+vcqcCHqSRnpKOOO+AMF3vwHvd0J+7cD01U11Jm9KzaWxh1ooXCswH8L AJ89TV2MYadVR50JLByuxDom4I26GrxCcGfuO25KJCDFlIjtQ8bJIIJMVme7Ga90jLKyTSZVWGWW fP5sCVoVc8buEosC0sdddhcXRIEJFu0wVMX8bD3cE8Dvuz6914yBmllGxVCDsX3NwXUMl1NdigQh USDQ7vkv6WUC/CP55mVx2HM08LwoDNWvGY3pOs32wceiFvJSXnnrOhN56MvWXHmLNy5DzhEkc4L5 GXkwjkbhT/rzji8JZLkb7z/KKljdEJPzAsTZHlWqdHULTeFnZuCNMWfu2OCGsX1fLVOWQzWaDJ1c sLrnhv7TaEV639R9OvZBLnal4aQSa8008v/R+2FVMMP/6NNwQ0bHgB+apY6ZsnYKlOrLMfvE5eGC bB8mlrkwOVnegmeeArTG9VUBDSiQ9Pf5HM4KpzJcomOdd7fw4vPm7kp6/YCqTcXVlKmNtvTzbzvu AMP87C1yHs+RPfOeurZKviammzOAUMv4/hYkq04O5aksB+SVwQ0m4fsVWcjI//V0pLX37Ibh3QDA JXYWgReJ2CcHNHIlGtSGUKlZv4scTtTDXmDH5oP4GPwrpR+UyvzkfXX70HFqaDanLS8FJJd6x7XU OxrVNYKKPYnmOk5HsETm8Rt2nK3eh/99RKO4TA5l0Ln5lQBLcRbE1Zvwq6Ifj5d2JQVqhjk+zaAX BoBfsDKbGL7se281Stym4Af87XOkpfU/7TZfOAkKePyx4intS3X374wCTpUJt6pVyF5YNyhYzSXX 1CuM8Hzb8Xa4N6ib2QKQb4vhE9Towg+GiJJ646M57t/Yz4fgBGMHGI22V1coE/SOEUMGIPcVqomC Y/FAjBBkVdTCNt8/byYrUVV8t0ZwPcBakE8m+8/IO/6uymp4nAndPkWl4/VjGO1cbsBBm3m4Zh6o +NxOVz8I69Aw0XJDAAeurQNEiqjUOHrurqzU4DJ30gw+Tg4I+WMLNMqF5jGjTYalNEMtVyxCnyKt aRoO8UQ7EDJzrTsLz06tWN4HHJfgLkCP2NL41AdvuH/nvnQUGjM8ZWiu9173vkzzEaWpBhEwToQd gq6OA7zbyi3q7t643sAdsm0o2QEDXeWMan5zKcmonApVoHFAtCJW1nUsw2P39Ooykq4IPkfU1AN8 kI/dZZHOdc056wvEihh5gKV0Yf8HLFC8oaTEtxSr+Z6hF6ao7dmaLZVLJGYUH9zAb/J4iMY2FA5l j3m/wByyJDED+fqQlvnAl0+M1JZyUoC+5qfLmc7T38srKQW3oGOFlqGf7ROaq3L37Rslq1S7DR0j MotOVTpjTw3dLuyrUzKpNyxI++XLbf7XohWiVbThEF+I52v6LZUXqh4neSyIyYoaRXCqjY7bqWaP hKd6B10mqL5PjeNi46KHiNOBb4+5QlmHFI9AiImZYjAHMMo9ahXyi2IfHF07MiPM5UrfSw6130DL B8KPpEUspxODrazsH8XzP/HgZHJh0E6PY6fbedz432xx7/ASXB+v/G87fkDFekfvdJBVPsAVpKGU NOQ8ukqsAd2S0oRmi/4kIg8TUNaihzy9Vx1DEv6aEK6Lebc3VCTU4/MhB5EOTcn8vKW3W+z57CKO G1vaIMU3WrP9TI25HKhWlAri4OhjT+dM2SY23JMbAmpORfJyIOZTFsTBEs5nEZEGaXOfFAsHlNlr pBtPvqKmb/KIVo1WwqLNiBS3tEkskc2h7RXAf+7Uz91Zt1CvhaulLpMdR3FDq9qZuIZvxTi1349X BgvRJQb87iW8qbnSlA6SYifQ2wJewqsH+6xDydmdCayUIjCPNEWcE+kxemRtR1DXuL5zlJ0gGkDc L5G3J7W15zur376Bjcod2cZsOh82A30n2E4kUWCHtm8P0C1il5J8s/uJXSJlPvK/P6O6YZOTcUn1 jK7vYqPpvDnys5Z11VWITDVE8yU6SlHIXSOfV4MegSG8SP0922kLAyfp6kfjRtvwC8oGHiRcQdFz oyQEs3N0eZuqBTcxbYwp8vjdrf+efyQofKqxOq+hB01gOZiuureY4uwtOJo0zLVjaLsr/Vr8qV1d 9Cq7AL6NGFQ6vnMMTuBbb2ouQiL+eWs3M9PQGA99Y8NIe5S4OYHLxaKQjBSEoIJK7NIsduWtK3PZ ennsydxEfCpHQSUZprlMBNroklmR7j1+D8TiuwHY74rrr1vfZvxOvFs8UhiSjCKdT/P6C1Ak/rh/ lD3Qy+1rFHOwzcepif00s7+9TqwtNihAW5+DzI+MeXiENrJ/Nt5G4z8W7Bk/MvB/13mg5LZL3pTj vghofzFevv+BmRSAcdOYGDjzjZzjZebwzwYCkfFRhYhjFT4Cd34FyOpSADgEXKo23E63y0Y6Yr+G MvM+S5hEPmC7oWK4RtH+Nqv67919sBKlQNWYLjmAbVmefYNavmz4nEwxG8o3YdQ6UKT7KmA7XqEz kjLYMdNlBF1HdQpCmZ/4vMJQifiDAhoQ5mXWoxrvf5QlPeLflKu+oyzoBE/VxkpiUaSN/fl3lYdp q0R6y24kIACJ67jnJhjBfeLA6k3e8XPkGm3JL8IL8FN/eaYvt54CeOvquAViH8Sph3Zq58FKKL2d FY7gHFvqy4y9pAoOEfbi+yvYxfl6wFv3HtEfzWWtj3P0I6hIM64QlmGjsKZTDirJ6aQhDDIOR3pb sfrVkBeXUVasuuKmTx10CRktuXBlp/iiDEz2lCkKvGTYHeXcuA0rDt4IPeEAljJmMA9g9LP1mV4r yvbse8KaNM5aijKhMH6JB9ngAx/xCcBjhfu6BVRCqlM5ZNYnEJCSP29ueU8rXfJNDPdfdWXdNx4t mW1WJk9LPw6NYqaFhU9vjIQ4efO+uJe/V+PaHYLBvBN0yPvWAgpuNoOJJRF2/HxlTgd9rKNDbBy/ wEMTnIQoVjuPgmbXFUnxK4862wp2STIhw+byb584TPkZEd9m9v8+TAergKkexGuySCMsUAJuqtoK TsAngN4XFR02BmmaLnzySseR0uUmz7HP/FueFV3DKdPESf6dkbUmzVRHoNWiTEQ5R8XMlt3xwT9p H5q/Dh8fe0HewO79UZa2ckqJYOWS8ZLtwVhcxMoquWTY3ua5x1oSn2668UO4nASEI89am2FobGpq Aw4oqbTcpah+iycMtJf+IxgF4f7MFCjqmFkEcKBNnKTgG3tKr6FFBeswli/qTFhoFQklX9sPlbEs hQI/BzNpCuQSQYVUD2Qz/tbNjk6vvcSUDt7EDjlCvxbRQ1n/LgdAodXEUvDbOeaNhSNqvOmVqmI1 2c4WuysYH7LXpb+MVieD98rSSXpoqex9zjdvTfoJnZxv+MBaQfwXMYBY5HSuiRKgflaW7TPN+SGt VbX2z4ki4dLajUXjKs5C5qTfrGGcOEq3NnZfCdunw8ft1haNP2Bjv3c/w8Nh0oAdmkWxUvWuMbgE 47a20+64Yee1YRvTwi3C+/xuwGzoQmxTGUEkhrIGv0wCMrD7q5hmPy/S1cBwvK/pMTT7x6Ix3gCK FXuHINwea0GMMvNLeBU0MSQ7LQquOdG+hLs0si93LRdw3BKpV2V/k9RTuVs6wLi8vboo6jo1meHM xliIGbqoOYrCshFwrK6vjkwlNR7YKj7fV4j/4viQUgcZQPlPFOh07mkFMwxtiW6fKDjD1Prt9bp+ WSX11M69ionRP2+zCrTA0fdOYHmf5kq/qhwIL4y0ag8o0dsUlgYy6LM3cfphz+0utu1uDG5UjxPX bP/XBd7v+yiSIOEbKupkTkiRpuk0lS/bySu6Oba9+Dp0sp/ZRXbto2/f6ZPjKjdZSzwn/UPdYCue /MtCAoO06m/Oks5DDFlRdrO8arxpfG58QbRdlvO+ax6uFHlQhKxPr6c5RJMwpZ0gLBU0ZdPtklIs xXln7vmJ897F78gpresW2bNx+le2JVW2NkqYdHFBa8lRmyrSfUL/GVhu/tnDa+9ruVoTOFSHv+UE iCI9OP2S9YKpMxDA8y9ZtfVe2we90drar3RjWuO96fYLYWym+TFWogLD5Ub0TY3VPHnuKcw6FLjm 09sCLREkVWLCH5+UQjGWO1LioT0T2EK5BXz88537nvdy55aEdTk5T19RhveXbFCm9p8w+jYKKb+l FznwCl2IN/qpUHpRsNkj9TreqVQvmX3dIIeZRlBhc/BXeV4o++Ewbe0kRUkzV3yC5ugqV+a6SIa4 GLRTwhBnzJJKE/PzywADxgyDbFL6LwjE/lGGLryzEefVYNE5tShcOzYyxWuYx3UY3Napm9LtU5iL 4mQ4lcxxbIobqbwFjeDDao9yfofqMsVYaUnjSf0AwzsiNpYPu9EVcw3TC404Frd/qMl5lQvxyKGi H+UWgMxKgNhpXUuZiBJopmCsm/H8vtE64l4yVAxjgFCZ5u94pf8GVCFdU0qRnTyLd6lmkV0fHXhr gDXvKv02BjQwQdgdEASXRapYWFwNWS6NJzzO6Dpd0fJDS/PZuvjp8arv816twVSxArxe2c50MpcE gGHgBvDHmyGRLgPT6mPqC3pnztcA43/90ndbMqof29lRqTTOGNIXA2GzaRqc4HoyAS66qvvQoU0S GxoKacz+w6v2dxjXAg4i75ZP63ilTDclvJ03ACXY320Hb07QD+bECfDfmKxvXH8zhf4hCuoNVAUv 95XKtfpQ4h97DcSo5JFLsWPYTyOhT+2y9mohDcMjg+LhSpwuoyTys7ZxGKC+MX27uNSeb6n2xn6k 8AGBSitm3L1d7JQvT/4YFiuiElgNt/4AbT4LihUyhSLG18HjlUFajHv4dKB2tzxJbGF6htBKag4l zRpKG1mVNpicIygI/IJk12G3sLP9LMwSNg38kdfbZataoIPKydbVYJ3QmYc7JI2Fv8tZ0IThVoaK 5IZx0h9/L8C8CFjxfOi4/kL3O/oTg9SdwBa2I+ztMVdBErwxlDmWYURhGFz9iZXkHbvJHOkt32hg sIPYg0CArgFiR0vv9XKxH/uWhPbDMJFwisi+oIATn+4go3g5iZSNPO0t/A/CMPcSmwfyMHDa+NkQ cxyQuRaTRohTdKqXPVgkYKjv9e1iXK6+JP/tSWul4STPWaH+J6HX3lbxJunFheNqNqM9lIRva/Ja TYCyVGZxSbKmDyFsxZipfHUaaRviFOJeF+VRGg1tuYBmQFjt213HatM6QPsaw8mlI4Oc3zLLrPVv SDHc+uzPsnnR6uCI6F9X6zZ5/gGrixPBJEkDKKFoqDN/YVY4Bas/OsdB12UDxQHNKrrP335wQ8G4 rWO2w1fjGL4FbJIxQDTQLajp4pLace3AeZWW92ho5RDBiJFjn26l8hKLnGXSBvWFWOUyFUGw+FVp zzuO01NipuuyyHi1/J5OJvGBWzRmvuFkLG6tj4mqWehnIo6S/S+JHYIlP6oFnbC/F2b+ccIZ4585 yxXHTViVT+YDtGGG2zBzUdFHlo0+egeLTqrk/3OdgcyIYcucJxGwbGj94U6JxIx7ZaP/5kL4PKuC 5GmMAawJHpk3Jmq566N25PPL5MPL4IvSW514bW1eWOZluMgco5Y3jLp6IB3inYT/hbFPpZ33qEYQ AuMEIWX1L02r+1xMCit6tx0LxIilnRuZMwfI2jAbBnBZGv+yfR/5v1BMXBu8+cZ9IAeWLgWcGLuU /FkWvzEWhANumV5T/doHjUIPl6lfjzeV1as8d2NfA/ppjLTbOlTshBvcH5BIfQlpzFJNB9MCXc4f y2shyF+y/9tqlYRjPkw0YiCNBEEE39ySZYSkCFmKlOtAxAqI9QtABDK8XL1V8k/HdKSLzi/DV2UV ZmjIJRfsrz7UBiPLOjVVYDn4+fQXWtsPf1FiymcnoE/sYxkQCJL4MVtmFaZapMfY8H/3txUMuUnV 6IVwVOTum6Ngu2ll64mBBDYfjhy82UMiV2OyAz+o7PIAK0lx1/LdlqscOab8K+tEl3HQwbr1+bed MpGDjgEVdWmrcngm8fsmSj8dcXZpxhthpVLKrHpn2YsVbUOCzYmjutarc5vQuoGzL8MYF2e6oJwB S1n7qGdpVCh5xgXQplqWi6F/2epehK192qG4TIrfv6YkNwnOeNcXTlVeeElPQjD3CU+C+QAHvwhz S+WHmY9FO+Y8HaBzRHrMf/hJl4WHYifK4p2zhm6jFGIiu76c2PjNb2JuAxr8uKThJHyMqT0JHAU3 sfEC2ftl6zYsOvntYy6Uqjv5HamV0MeS+ENzvtB2mFfYN2oIN/msor0Lhz+EUqvoWZpAWLbTV1Ke zHoj+cAjYU3ifRrkmA44dxxFjCVS33Jdv7dY8kk+KK21+5vA12XZ8WW4oFDuBAa9KbBt9Ex8wusd o0cIXLMGXFG5uhUUNCrj4NlklCEII083pX+X3hsRlYjG+gfuPupSeMKj2y18fcacB3Pd+HA/0flz 7ro0JnLxcbJpWHcbd8z4Vl/e8AtVqbAwyQ0nix6NVgdU+Mfp7sxjUJ3mC7D6jlKTslINekqVHXtQ 7KvG0jIW9uTGkgQ+TTLQCNrJ6Mj9rVRL3BUF7qNLftnu4c+OCU23nIzlretX91BwNKBwyk2eaQTT yqHN1lNvdmR6wTQiU+HtDRw2ZgAsrTx3ziiS+Tjhg8zqQQ9yO2rFEzIvS8xwrV0TceJvXUtURC42 XjQRu0ygbebvL4gudhOr4XOwI6uhqsPG/TUrCSFOqz83II/7FIG5fLWCtDID/JK/mneEXbL0A3sY w3yMVfjcktNA9oUHVkofMPLjDlT9e+JIVWI34++Ebv/vQ5IyH3ldvLZr/yR2viFmPC2gx7zMwSWB FIIE2YG5AEEcj3BpdO2GpKt7VYBUy3ZkMCBVvqEecmnEkO1UCmvfl1kaKmTtv+RxNQJGfX6xN7LP dIzPlN0e7Fu2J/CNy10zpj+U6x2LxK6hslNzehnBYWKkKzF4MLm+FFajoccglHHQOm7YAdfsqmwv 13SVXIN+LKbHfh1hd6sBNwbxSPJmxza++QsFPww/msCNRAhE9QZvLsYFWC82fBQECxODWKUmDMDk 4+IzR+7wD/YNJ0i4a/212+VZ6IjcmfS23rs0PbkxD2ZRDYec2nfSknMEQHL5eOatalC2CiYdmLwS JMIvM8l/lJRU/oeW15DekpO8SkU6sT6H0Oeyu382YTdSZfvn9SsArLFe4wZRiYYLB052NmKDeRgm fpYvysZEC6nQ3YfqhYW+ul1bm/M3TnoKy00VWcn1WVfAMKs5vVv3lB4gBQIGa8M3jMA9TkffhJ4Z e78MuSHXlUwcaF0C3S/bYlpvj89q5CIBFz+ZeLfSsHq757YqASiehzSJQrXgWHcvORsH/pchlS80 RfHREStnOA8tchmXDhW3Soal8BZedX948tD3WnhwtPsgGiNODtcmS2VQEwmiHMMh+twxXZNVfBCR HWYs75GUYEAwhHqxo4tuifhb+PHkHoH8GPJ2yDEDqfPfOBJfi1JjEXNpEBpwzp806iYi9cG+LhHu hUqhwsgav0b4TipS2ObZDK0/Jxkmp3WFzK/uq3hzxW1Ywd4GXYsYLNoQ5PQn2m99ftXNq/KJnNLN WZ9A9nipbziZ6+R7Zy5HydjL/dnAqKMBFYazca10OHG1IZMpPsHUG25+S6oBGfx3J91n8yf6pg4U XsHv7/R6Ld3cWg5grwPrvIbZaACuEAwqXI5dGBuFi9JkhvM1YYoqxrPyhMh3J9Ra13zTN0P3LGiG 3iKjbon0/eFCjSN31pTMYGi4gFyN4vz8JfaUriivqYaNStQJl0RrNuuM8auBNzaTRiIlIdT9HajA bSpub5TFdqGROBFcYi4q1Fi54JWC5PWuFGfqhtBeuLXiFXhrSgsNXgTqa2WLA9/3oPjW2ZijRaEB bIZ6D+crIrng0DV2bJiN9i+PHhGadI80Z56gwQt+61b5trP+bkpNHbwl6xvrret1ZhEC7pC1HaER h3mzy4e1P08VGVjPqeTbZauitx1gnr2+WEFG9O3YeqC+2EdQEJdYSS9+We2xjFBzgylQDdKptCQ2 PAgtnB/LT9vUSbqw4kbDokp5RlQE15qOIs/DxnMe398tbvAFbNFlUtU8ii8otwJyI/tus9CtrXf4 uDvHbgugI+rRMnzjrXwi9wHb8UxLnfX+knnJwUCFpXOEbUmJfLsvaG/2U08Q2StRjqZr2FoQ0ZFB gSKIhtPXKlEgVtO5jvgztAYwr5M/XTKWJoDZRfTKLOntCOc15rGiVy+964gm6Fgwmf/LRb1Mn3cT lmx+gcsVlliUUeg7CjEWeYA8mejWlB6B5k6C9J5p19B+Vao2QkZSvZAuDKdmr2KK28K7lcabLTFE URwYofBoOG6MFxg0FIaDUbI5JQPr0DvxHe7nTdQcJr8Q9I4Yxg+xccfhC/MOroPV9kka13ALNqQs Lt2Qcv9qSXGNfBrPl/2rL6DVU2q5Bly5rY+7Qzj8ZC8yAlkP3W1K/CKtFoQCfnib+4MaQgIXHsve x4OahxNblEpX//V7xn/0bzPbnQjY5Nw2H2WFojZT/ZIiBnly/PAEaurJA4wps7L6BBEgjz5TiiPa LXzvti84ooMNxNDZlB2LOKCcicZDLfd0p12Bkmsy4AUTnch5O+wN8W9cZsvvF2ltHDmDakQmqjLL Ga0FY87TvbEi05sMzdvqMSyZtSAQRLI1cQWap1SGszvmCp+P78t+jcYVZ5uPVyW4mcKrRAdMuBWI /FNu/eXzBSFq6q2pTaAIGioegcT/hNvLUev+IVp/7gnHRCwJaEAFJgcHBbzsXPm1htQXo+UDCWK6 NeOy+JYuYoXzblfkVHO/q23vKz2AOLTrMr3u0yqMxOeurdCG9n9XFoRossXhVF60dU4pEyeU8U99 eqn4O87F1k3M0QOCjfY3/1YYCzAcziCO3DGFdi42ufkPgYH3V+0X8oUkEk1tV7t7aiLapq949b3J ynuZHZR9Cy1oQ0lJhIoBsy088A9P28oJSTGsM17DRjiL4TE6TQ4Woh7C4bkcogfdFsqCqpGCIYZO tTia/iMsDcDGucYqkgpfttLv8TzmusCKeJT/5ZTe6VZuST9fUlB1IUT8X72jJ518Bk48Sj0yiF8C a4rS3hk4oVMaUT3Xr8DEkz8rcI0Yqi1LkXy9aKiGFByoqBpJjadOEJsF0YL1r95X/oxcN02FmTM5 57P+Xmq1XO8k9RsjH4Cw/NvOkzur7qP5NoYZW46hv9xDh1ExW3lvM0K6+DaOKOy1NdvbzkN1kPD6 932dNFpYAPtUf6b/PbLGM7BO5fjtVm1txA9n/GG4LuMuzPep8EQY9O17QG2PcJmv09Q9hUOm73ik E2rhSM/HOT2MFI2MUSJObCJOA9UIEGoYugEkeB3FeORc91QLapRoAqMVsEilQiKWmT0MFZvZHkyF Uc4diGfJT07NKwuwzNaLk72mpndIEe52qKAF012yQ17KkKooreSEo3JVgPequrtOG+QXjv6Vq/2r hL4KYN4s5Uslgqp0ptM68Rc9FlF6so6hQyuVbcmSMKHSN90XD6rZkqWCTvsJR+qsXyzCewF3j3Fc R2ahFLsXVKnKFZExjJhSUH+b0UJyHSx2Myvj6LdSAIQzlYuXl+eMVvLVGpF2IP1CNONc23tOvfLk deM5wa5O3ga5I+VW4zT3sCL6qYWLXNOORtZa+bZITOoUgSY10x2p6rS68EoBSOwksYcx0F/yWq7x s9cYNhDb3+RvJqB3jFGJleHQF1XU1zYJGJktktBQd8Uh+qmMM3XzcCSzQJjks7a0cGgWU0Dg4zxu f2tnT1TEnogGEGpo/h57L1yGdR60GXUVK/rrUkblBeis3D6IZckTaWIl6w19FZdD6C75T+3YYgp7 7r4GERNU5iNIbHi1cf9Cki1Csna1w5/2ic1wpRzwvW0AVxtpDyGqg4qUu/Pq8bS1/zxoDQ25rhNN rp0CF5UIKwvSUyQhvMcKS4XWyDEMhnoV0csknsUdmvGvp9G1/iz4wmy5GbMJbGfhyMm/fBevFw+y 2QumnDLkpqJ/PN1SDMmdEjFOkd52S0KqV/Gv9nadHo589a2zpFheqf5lApQELdyzpsDrj1Vfdb0a kKMbuSWmhOM3Q0qTTYSQ/02SaYCMiQdfscp5lcjyunBCQeXdAm07MmneqTdWvKTRjtmGNhtfPuei c65RZho/EDc/9Gk3C5MPBVnPyE5pTdwuHOm69v7qZ7ZjPN0Y598eh9srQlZ6a1VmE3J9P8j6mBCp pOc7riZTkecSl1fvmPi7UCek5qjyeCom9Q37BSLsarsAOdD8XAYLRd/BfBVmaTp8B8a2/T5HugPo y5M45DZHvILLOhQ8NkCDtc/G5YU7advoPcro66K60gnbl/ysmLRan8sJJMqGNz06yuemFe0hOPEc oMoqPTR/FABokt00i/rmcY3RidJiSlr/Z4orTs65cjP/17yU0p3W6kG+Gy7ctJNxr8iHBK+JTXod BzQ9ewBmkTP3fBKqlmjYMEb320WwEDN+5yUL90M3ReZ/+VfDhU5GRH6YogyNQM8V7ElVE8zyqjvn eW4i8IDMkYuE59tBs85xIJ8wArGz38lJGPik5p4WuwKowkTsiC0JZR0tbFWlAjXTZN6dE2q6QtwW Zefv3VPnokw5Ix6//Q492PPqtwF3eI/FPTULiXc17dym/lkh3Wyuf932fX75JX3VmPEeZTIilo99 6yMWuNEjYB9S0pt0GraUFgB6FrxFJoKBNJVoYIge4E0Qr9DPSxlxa2Sov7NvB0T4o1ohqeN+aMai Dsbfb5mr+mDO7LCR20wwF/I8F2K0bMuPAgSSZ5otcDyMximPayyw7vefX0qpFIJwcov36HTOdoXu uSTPKQ3HhfFTi73Nc8KuOr22OJQN7Sk9RUNgKVupGQ/ZDdz7ePGCrKqALZMX4fQAaxQ2xNS6G9B7 IbPCV4mXmJ7tRVO9FPZm0dtb7eYav9t3bMcAuL05GS0/f/ZTYv+L57GZZXewiehPFwN+138vVeGn evL3XytYdjW/ZhzAwu2EJNdlDoDmKFVyl6V90cZ/IFloXSTo9rbsMGdRX3mFUUCZBp6m48ShI0Ck Tvs1JJ7WXrTaYsltzmJ1IooGQQDq8ctxBY6saGZ8VE0I9pVLO2hcaHwPJqBvoH4Ry/rx4cXua5dn xgWIA7gx+aeQR466d7+GzAy6ULQlwdD0kiK1VYcuZhR3iVGggW0nbfKywX8iBInfg0ALmwsVfIRZ qI5swIMlCPbPSExmS8adkLggoXb/0+9MizGivBWkz4rLT5W+ZkRLIxWvNdBL8W4IkBvlPQ6E2Ia5 9yPpMTdzGS44/L76WflVRB/w9aJqs5cOa/l6ey0SzsNOi24lut4DJZP/LOjL4ZjliNOPqF4WjVk9 PTURF3Y+oBbz4+LaT0HqwvYBrMPPmj7yz6nN2lq+PGsmIxtIcDsUUV3PrJ5jgv5NUWIdJ4S5fNPv /oYoJv26Y3ogT+T84BleyIraQQVNvS3/BjtuWV2L8RmUGgTWx9idPREoLuvjGwPh63MEi7XaO8EY fvAUQSdy+zeVG7f9E1TYqHBURqL6549InSgclxOJ4zRnY6IguSbo+P6z7njLGRdsaCXONzhGGkJV ow/78r60RLJnf7WvrUoEDtkxXJu8CnNHbb+vZVYU3FXcY3LYM1I4NaA0uDweze2NQYlebYxfTDJc 6lwgNC5EqX9GVtWcdsvR1/PCswK20HVaYdHwJP6R6gNVkRBlkE8uv7yPWKqDseP0a6IfIRX85tM8 wy2Jmtm7Rz4wg/MZ7KNwc2gjxFIMJSggPiJwiuX1ov9fHpzqLePw+7DwDRPkTWeUsd9JD7BvNNBr QXlOWG9ercwekslSqRGZqfhpiUNjkoKTUarDu6j8geh6dUXOGp6UL2KxX2WId2r4PGKRjdlbfoZf 5IT3P46lUNaN5/+j4UIPnIeBXhN04vdZ1YjqMs/88gsK7vh1kpFTlN9tT6Fbdxx3AvZWVY0LaCwg aS3WBwhHYOZx7wFUgrnwCito2wKHjDdxoOaUdSjvLf5Xl+hUSlHrzNxHLP+cq3dCHMbXfP0uXeUl BGGz1ep7mhXG2IVjIavSsVgdX0zPRCOkhJV98CUYtaEMj+eNi7rN+QF3Yoi7wn7zwnh4kUrjqQe0 b9GXCIk+GrpfsOjFnOcHwJ58G0zEogZ1xjUCZcW+qE92In2VeStTTv2Xfnk6ZPGo1fTZWy/SjAC9 IAUFI03Dyc40LPa0NF7OnBc/9u6eE/38Aynkwf+D0jzJ0BFqdB1/9cOZMAygXKzdYEY9TJIs6RC9 usP3CjqaPDG8NQ+xpj0W4LVenlsnLHX2yc3PaIC4iF7GcSwzey5lD/go3s+z9V/Dkf470s9YVIG4 7BU8WyA6qnkMiImFp2H54hNz2s5S3zDCcU4eNjJ9v8BNOu+1uKfM5XUY4v+Wuqp3P0L0VF3jvTcW KlhxFsFR5SLBspRGS/utmhaoq2Fh0lbGmUzo5PCRkPrp8zCrvt1oTM+k0ZHgYCgCq2+5UKymxFin M1O4SqSx24QA998yU2psNBK1HFFPPdfrMdUQJiVFWIBgR+1BM0purBInCYuzEirCx9FkKk/Q2S+1 P+l76MFY3LSsXvPd6nB+amm3J2ju3SjVtfvsW+Dt94z04DTvSXFqyDk8kQhmFhU5T7R64hhn0iPj T7u00VFjtBX9hcfyZRK7OgzxpScuINQitb0yNThPVwgAyqsYoMlpXchcRqE9aukTKY344JTqzfmo DzYbJD7GAPZ18+wZjEU2gLr/hUNog7Zgtk1fJ921hLUK3e6qe6zMssDwUNU0kdyJ3T8ZIeT6pNam LWDYfi+NsikY3fuhQkgJ++Dd7JQyGDJ5Jg5oLsDX6RDgyPpouZDBteukiYxxtrtylrm8aEapcPlu 6LeDf1dVP2Afib0M6RA7uLkHTcfhR6xLkDlGdAvn4vb8NY5dNjbTayYFI/FEgCebvBI68t4UrpmM MYTwqkr/h+4fDZjYg6tcVdmt/J1RbmvfolWf6n2AabHaIcjqlIIu0gs5l45EPN5s5aWT3qciFt3M U2JFFpmrSI3zjxdjA571lLWXRm1gM1Wz/K6aY9uCvSmRTgp9RWflcB33CCq3548luJUS8pxmD3BH N2XtOmovMIHUcudPvulbhm9kmkYzEu00qSp+OEkjs3gNvjCTZ5m75Unfw2atwaWo+0kABz3JXbZv L70KYL0B+hf2MSMVRx+Lc6XudMOZsVQ77Kb3q7SrFi3rzvdSUhE2SDcun/AH+cgb+qBA6qeWnZnN ayjc0LBLwUmi7xjHt6Bm+0UoqhvgpecCV2nNBXHcYvFZNReQPZ4UWwYE7+4TsRmlyer179jUH4Kl Ii/pDiCBaoJWULis1XxNODtcUed0lXiPxrlzxPbmzntqvpNob8kgpkiE8ekMLYL8uYy7YaBcpqqI BFkN7DJUrTJ7pBqUH5vleq0zQVkPjHj3+kVdVoQDGFv7fp0D1SEJmlrszZzyncK/5+rlH4CpvSgE ja+e4xPLklBRYRNaC+jO2tA4Xf2kRnjgrs5Aqp8XVFCC5mBRm/Viaj6qQA+bd0fQtAiqGyg+IChU bR39uv1Mh83dJAoMB/zfCkRMiQthYomooXHQGOPQL+01S4aguqSNSgOoGkfol4Zo+924YVUpoTLc Tf1Lw4SOhD/6h089dlSFGrU52MZ77qUJNUYi+Y3ehXh87btIqoiQpgtpZqqd8CdMIK3zLkvfhlaH 1qKlZkYR/pHXiaWwqeupBmXZjbYtq6yPCQKAnButFUNHFjrdUe9koUBJS5IyA6iSNXJn8G+tlHk9 7Rn1ELq73cCS/CeIK97GRlOIjLNA+gL3UN0FhKsn3rx7S9w+f7p8zXvDNyW+ZzV6wSLdrcsou+sX 7ByfJBUFEAhEA7Wx6b0qHdfd6pzdXXZmtSde4OH9Lb2n1TA79y3eAqQgn+q714mb/mQLqCO7xUwY v1jvejt0i89sPZ+5WAM2IalzicnSPVb2f7xnjj0YtBYn7XnU9lQdIInS1VIBuTvRGCpX8bO2pXss Fb3ucWBWMRPiz8h4IeqMTj0kbAAEb7lO8Nq2yuZCL7zptcVS+k9xdaTb3V0pc9GHf9Sdm+PuE4cT ax1aIKCOpce38VtIqoNnjx5MxN9kkqsNaN0c/USRgtJIK6eG250lsqEY634vKw2g7BEjGzd+nV21 /UCvAb91G83vAf2KkOvd/VwkpWnlGLaeu2muV2iu/PXiLuai3UkiY8SphOK6jlaOvTzUAhTn+h6f HS/AZSA+C6YoVVyQbTa3UyhP9zEiEUGTwntHvvOrDR6n6y9pIbu1qrENKuznmYlmqeWoWuHdJYPM CzyCLOcgHDmFD2QbnQSctLYWnN0t7DCpl/kvP4UsS7/OIynPwCKpuqpfln/tpLz3NixEWCdbO8qu zj+6uBM7SOSKesg4ykb52Y+OA8TVR6MLfUkCM9xIJb/RY1TSjAt1Ab4XFaUMKGNs5c+b87E3Sw9v X0qA3XEYzxmI0n95PxdR39geXF9EaUF9SE9JVc5TVSU8rUXae3p2ITuHP3mB5e1GTuKDcjQmq5Zp KF5kLbQAETNxUkUOuum+IkFaCjgh40HxswCtI0ddaS/INQ0NSqhHjc2AXT+cTMqbDVR6ptH6xp+F 0Ui4NqkVaXiEL2MxdBdWSYc/lfpXeusjWNS0j8FM8EgHWE+xCIZmJBWMJ9NUiT+c9BRs9jz/G2V1 6w6kbSdBCWbP6cvb/F2lRCOUaWARoajgdkxxN3HUBwJxW6kPZAqnSElt14aZN2Q5tCJaDvLmgGhm o65aPvIWwtiqxWyCnrx7IXXsaq9tc4huE7Fs4ZW0BRapVRsCfP4lvMi2HqfPYOGWai0UIJ1+a6hG wXDYHj3Kr7zBGNpcGX32gMYE17/6tANZoqnFPzeT1uRQuusB3jdRAsV8Gz8XvpEDfrcaD/0yL4QL F6nb7arIcS6XelqNm5zOqmcscpuzDn1Il6dH7au9i/P9lhi/EmErW+s7Cka4B+UUi90a5qR5G0Q3 NI+8bRpqo7AjGpMw4L9R/RKKACNXEMYWdlb6TVoLpCjioVLIm4C+9c81aqg20XyzC59+kBc32Z2Z 0F4kJecDghmRtzOxkG5xpCRvCnnvttR7ErWKaF//fs64qqZhHPOqaOF5Ha3WodfiwtJIKAN69Pd8 XVNjmWia/EFXXTcHfTO+lXgLkW0tJiy/6tx5Uk1t/M/SBfX2MLd6mHZxg3MqgSTjh2opv2B9wQ0H QyXPP9wPk3Jx93qqXiV79EEKmDE8bYTdKpN5EYVdu0iexMRc/WpvciMKprqFdyb4iO99Pzopv691 cjGYF2l0pfTr3QofgMIewSoJlq7RnXurYNX0O98y2fS1DvDErhp4jM7s4B+Ru8Vorpwbd0pJQBZb Hc1+F62M0Jeeya1Gfb4dJZD/ZVTF8p3OTLn8EBBXx1MSrNBofVKlVJ45y1DCrR+AiuRzVWhOfpau 1L/KGKAzr5oRl8JgXn4SxxDgekekZOO40gqWGFaJp0Qt9w89DJ3FSSxYwfBG/ILK0PaDC8RzGU3j hOeMGmW+Zs2XC5LhTiJaZBYCAPrMMPx17qBg7QOpEQtBryMEUu91SInPRjcSEdHoL41NQrVOg25f k76hhn2kYW8vFNh3ePafoPjKVxJ1M690g0/pFhsJX8BnW7ToEpGbM2/Geu4exdkPvxwcJnQ29E/H c0xB/HB1v+JUA/ZyGqrHkvYv2YfCg6g517FoYlTXRILo3Lx8QeDa2OCxi/4ZUwYhj7pTtk/NNVW5 /NzBiRoapsn62IDGYWHuCE0AZGwTCp4BQztECTxMMTH8ltwegD7JHpFTwAd3sFh3Y1yF3WuzZpFh x+SgS6GhWWactdqHSxCTFLNqthdXvwgW0TRcPWDaGUF0Q0iWT7WPHB76ZaKo6Urbx7QqXG3VhQJH k2iN667G48bEv6Qhr0PfnUgcmUT1eDDCfvOxgr63tNK1IelKvv7yKomAcxLc0C0kAespgYH9cX2N xUDxk/2xKLK2zCxKM6S35o9RHyfaPVjclFf79J7puTtX1SLJcVcqkg90HwzG+ceclcGo9ZBtbxUb 4zEYQHzlSSM4wcSOnJprSTxGzIvcBQ5+eW51PIFc9BRzTYQQV6YmrlmLpzKv8xKaTpHzTz8qU/5p RaDpYhb+2aqXNZmxYuk5P/wm+cZp/fRdjY+q+8YwCD+6Z5VgJygvpnCHdxJMXoHjsAi4pZDnDEN3 TYDtMq9e5zhk4wp0mhtViItaZ0q1Cxc3g0YzsKo8xFhC/16Bkz0VkWVsaDWXIKruOtVsLPZ/Y+kQ 0cTd7NajmiPGaIKIIiLD4EIjgCXH+zotiWmfVu8HfXgSB+vK5iafVECKQy7abdWCFWG+GPGIPwlG Tmg9WZQiBErn7544Dvf3QISp/GtllhsR3WTeVLOO0qx2K3pDgH8l63u5aZVt4YsJb1DeUz7Tv6px mxcdxPF5whQnbhr9ux3ImpekOhgAvGgeFNxKB8r3WQAx5ALujelJLJh5NGxH1Vir6OvelLHLYfn1 39ugEYy4t8/dE6IvugjYRumh3PpOxl98SJR4hW8E+FZjCeKZRwxPCmCqpZPbrDIfKfhtLe0TwGrJ f6hF+llKgJqawvGQlfYTj7z2w1LacVa2SM5EFUXotvlIjpy6oNpy8jxE+Wy3Sujfm+a8EhqM1m8v /vt7pvsmT/A5wKcN78oYJONtN5xgwqOJciVECzUoqPEAh+uiyo4+2ROeFISkNzxgvw3EMWPfyZ4W sC8h5VMBSkYelXW0x2xurxLvDNeDxAtWBOd2T6WbeNOikVeZOzcVVi31sBj3zJZeimQH88oq5DiG 2U1AEdu/P+NiBRcHqiPDFs53ODm1Uz0o8pnKpZ1zOIAb5YRu4wBCBAY6vePRilBGOPxpZbsUReiK ESyUFiwiudgZj7DU27KUAigH+3JBlNJELlum/KoGSxYAoSV3uv/SjjKG4Fqld20+ZTscudY+KGBZ Vd2HXfk6QCbZ3wkp3CT2ymJs+a5D2xWEn39YHEa+woNSUt4XUfZXvVigSSn1IzuwEdWOaZ7BK4F+ dCgC84pB0x+1EWDYLWdyuZfepEX+armijXOHYOECjTTZmpSQE/7epXYJu1P0folR7XmwpZLN3KZd dh6j/7n7bbj8OKcCq8dDSqtQoe37Zs8N/i2VukxkWAX9c0t+apAhaQVdS1q3XktOn0unF5uOFJr6 +rGY3131V+ZfqfSsJnqdT5aRPRmb8FwWVv4zHlAyk5GcskH2lIAIMrB8WuoXdbCig1JqmYNDhPbV N3oh+U5YJY4a9Ar1SVUdc7fDibHYRdAHeUryo9ERq1BBJF7/REQoPioT4bjwNNfLzNOA2iqgVKm6 Z1Y8rv8QeRYIWZKKUEaqn3L7SEuqjeLRDjPJe5ktCsZzsAp6blQWODTj+IM1KmBhkzUbbCI/ouNl RImtpf0FdwJxX6k54V9yNh9w7b6zd1dPpsTXS47daHbZtn9bslZmqxlqBOhPwowAzu0cNTHlcTrd gVDx6vBqh6R++uDdAqLhXRcuwPtaiMRo3/Hq5goYVQltSnP9Q1IXJpPLDJl8XkMdoInsV5KpWpDL taFj+euc/sFBaoteMN+qSV6pM2MbibZEKgY3W2ZS35NWHLLgJZALLmjU+8N8XEBGIKWWvQNVRD5o lDA7CCh1KqhIIvySlURidUhLHaL7blpBcQmOhFy5Ahtd6+sAUyjX/JeAfISMY+G319AVTVJICMkb 64j4iJrFul0a3t4TRwKM7yiJPkxb6uWOIJnLNY0tW0rzXU5aK3qp/kOfRZ+HskIFT1p1Is7sQxRo 1h29yT0HaIqOuB40gGOtzcR4A5yk7FgR4TigsT7rkXWnJJ+Tk6ufMsYHTeVqf4R3Jl3Nec0eODCD vEjE4Bnr0Xq5IVXo5eyAc4GsnT08XgrPEDC89aLDBiASQ9/RR5WjmcDL+8V3PIDJcQ/Pbie5GYlB 8H95BYBKVbPUziYS7CK/TgUTdRsXVbbgVw0Uw937Qkxt934uyHgVT3L3gqrzqQLEjva7MjIyZSkw iyj16wJ5G0eA0uRXAYxHRJSpnxC4khsj6DPNXQ/kIoWDWCI6jatj3Jd+3z4CigwnNZF3xUAA+i/Z 4hBRJEfh3LK3sFR+sRWSr0byfpfOGu+zpF3KPrgDbGTMniiQp8otym4OcCW/gCmGEgKD9urUH4gZ 0f7ACHpRfDYNgh3jpkkV/PCl6zdVKIkVm/3DJlsOQntSuwaP/1bh7auVB5hPYMIESYdNf5B+vcpO eOeAtzYbKmEqr02R31hpezZnGbcIgfj4rxNLUvLm7RAWMxlwPHRK1nH0t+xZRofOm/DantwREH0z 1Pm9izlFHsgYrQ1jNy4Ym/1FajaRJkKwYh73TVEoSzAR5mjRVH4lqBKCL6/Winmisu01YmQGAeJ5 T6fRaJiKVZkWjIvDm2zlbXG5PsnuzGLXP13vkf1SmVCRcwKHXn+LDqIauIuksQ/H5ykKo6VO26v9 s/LvnhBN469FvQAkMNoSS8AOD6K+RynB/h24dWzZKmqjib6tid6KnIOpOSgctySBgzDe5nKNz5cs TMs8vrrR8O2n5fg4PaNWTiGLPQxdLkgWYzkaGl8F5BPnkuUefATI09KZwUg9Fj6TBVOTu7loGuwv IF/hTqVDuNzFBCO0TKwpJvCLp6/BP3qw7fmWLAzPteDYh4wWzIr13Vbi1Z36Uh3OhsZqXsjqj1np QgveeYvcsPDqk5FNWxtjdDR77AtOEmc4KECMxrtQcB+3ZfQg4cza47fBzEFJdsgTN1U5QF7D1SfA YjDc5Vp5ZXUIrIgQs6O8voYn+Ps+erVUrwZUDL8IgCj16SrkAillQR9cy1tWU/+A4bc9L50KJPBP JPrX0kb99pvULelY62HEIYoUt/Cn23tziN7BMx0BOoMROI2OlskrzuYlQ9BQbylGhsu73e+0L413 Ob3Cgq0EK+B3KecjU2JO3b5sQEGzW4GDIGvktSzjasR9xNOaaHEGgmXrwN3muLhMBoIO1JHs+9Q4 zNRYRKWeKAdNlBHS55jjCstliW32ExKc6HshKdHkfPoDCzLuWuW6ZmMFE6z+bh0l6JmMdaI/WaeH KQi6HLgPDhIoSvIyOPBaq5ldwUgkoGHJJACseJIbDYS5/1GRDnlXD6qzPlO608usGApMzzh+189Q oGgn/Zrd9Jdg5rYsra1XBIn9AfD16+d/7aMbx8kVPmrjV1Rg3rz2itITndIZraO5XqqxW0IEn0u1 zx2hrFPVz86tu4VDP96IsK+iLxKLu6kJDMX/teJIhVJfsWm0NVSja4Rfj4TaGFp/MEuFWMlNfwT2 Q91CuOg76QprJRxrKpQShy37iB4XQxSpsLRwdcVB+bZ/LywQ4/hOZN/t0jGDIgfqHWx+gzaEd2y7 rkP08X9YRlh/+Duzpsdgj1trRNIY63E0sLFnW6JzU+Yt4Tip4b9Az2fReZBVFLM1bTshvYuP2cGm i4FjL3TSa5YoSikUpr+EgJKcg8aBUHBz302SGHPOvcw2fm/TL1DCFKyh/R9epsxDJNwdPwTbP0Kq JRjO+o3q+vBhuP+k16lGmWp+GrlCxoFyJSlulEw34GIQ4bYXM3c5AHknmQpnMWSuWnV0Uj5KBfYy EkKSgsjc/Ti9c9mtWyKgTTNIUdUjIavhwj3ApMp2U7bh1Iz8oKNsc9vS9zxI+42tAWT6nk5ABcAU TuIuTyW9oCsLXduMkJwQLcl97pQJ2vyNq9g8bYTDyukFLMiYet1fp3MRz6dYZ/mZm+T1Z58FOSdo 26gqhPbGV3qFSkI74AFKl5NOZr3mA6XrThP1VYU/ShNQRNoWiuoTwYYmJYedFDTE65RLOPVT5O9I PPBH6VvEsjF3veBHZihnl4Z73KOFqpxOWY2DDkE+5hBAojJTiokvKCEQxXJmKmBK4ciM3RDNG54m ITUtZA4JJyCPGXaLvskKEBiDg/1gXN0xLh9EI1hs4BldbVTHbn3yZeSWvP/tsFNxS36q88MUXLZh JVg33mMx8Rlp7F/jElM7ldmhd4UaDcBubsiB2WmqA0EHcXhGDR1oaxqWgLiKIxPD1eib6E0k/AXo kt4HvdwYV/xqucX51CpTgzrgI+gu7hoqvKn5ANdCIEI4SQzsljrqkfKvDYvWp/XpFn8AYQ90kTel OQZj14cVTlJnkFYJOTEi0sf9hQYMjtXnpMcTSehPBVhVCQrqO4b8AwOzIH2v3AqVmAQszGyXmRX7 KM+4iIH/PWmOe72E+5tmEypTP19GI3XU0ZDiyta0aSuNKRZa+YILvSlQmcIvjJzrtBTuoB8DK+IO JbJQxj+iLzny3mpN1EnjJMKAuAJylu2X6r6c56drSUTNbtHFfKMkohdjoTAqzniAv31dtrHfJEEF 4YQl63i+drotBLCyrs3GTmCvgdAusAyhKOdwEZYsY0vNDxJZ6nVuW+tYtZ2gV4UEvPO0lvbp9qMg iGIQG47P5peZy7I507V7G7AiKfIHW1vwP8JmDAq6cbCfX6vCN9B/7LwFhdEMOvPRqgzR1W/k6iFT LcqTorvcBPD7insT4IJWlSPXrT513/UtF7KT7JtBlrfCR9g0+ZDo+uaPzBc+IR5q0gfceyobRwmk c9b7WY4OEmkRcKl5EQCLY36ikTRhQiC291UxVtVLk5GOCxCOWd1FMT+1ZUKnHJ7XSNfe+YcAbm7t zSlebVOUktdpsF8Vgx16LhVpXXtFxLw/+Ul92bGG25nEoahaOOIie5sm721Ul93OUdJeoc3FfEwu 4CLFZJ45v11Tg6QrAZPT7wDxi/hwjsSbrW3Vwyy0ZOerbvLhJEVThTnoZ6wLqD/aO8AQOlPnXnpd D1Zuo4m/BzGDDcQx3bs2b1R/KT73BhUK2/BSLNbqLKnmwKP4lR+zoqGhSXonPK+8Ya86MkhJ1t40 PiEpEIgwa3eRlnlmNa/+X2bxub5265e7meGCTHZbhO40B2c/9oNeJfJozW+6KfWCvzg+cYHp8N4Q wppcNB0LZdh38dXuXXTtBv16EZ/ZXfG/8LbWpSaiI9/cedpn6dMKAzJvpB+MWnXqkhTHFN+gI4ev UynU2uqSU9sdUtpAk1w9433kR88SCWw5nimYYWlSlGGSXSD3fUX0Jkjel0LpV+2EWxc+Q+aY+ppA yJQErKD00hHY8LYVKOa4DHB9Ugsb2ti/ZLGHbJ15+Dx0YKpf3eHsEhRjlKKEZT3WhN3ZqvB0R+Iy k+6Ev8Hl8jNBx3Un9XDBU96EShI4kM5/2YSljkx0UWKN/JGA2MbHdGnfelaY2tvyhaocw55VZf3A TsR++h00zxqsXrXyC0vV5SNPJkhNBHFkTyWhJZs/HMMIoPVxlPQcV+cCTy9ak0Ez7GXFZecJu3Uz tmbwOPQcExOF20OmJl5+tDfbM2DThbkkYUUyUfzjLW5WuR5wI3jU5dMLjlOckqwjnPD9TQRG9Evq iboNJySxHaXXmFfOrGGDeOW1dFNnqQtfjRYIfewAW+NS/hoWhJMHd7ssL6JggY9dn8+uPMyE4WyY UFX8n4jn65oRmNBpMkoFPdpXY4mn0wyL8S8hGlJS6GvJTKU8hzygVHp2PUPn5EpzEz0EfDTpdNhm d1J1X8+JThDe+kLZlTEv64UE9+JlQUNmj6yq0ScpW+YPUwcs6MM5PbfpfpbqA4hLoHDrT0ENlLiP 1E9936k9sfKgcUci+vH+OWf/XAkNjFcbbl/371sC5VitcW9TCeq7SapY7bWNb5ATY1Y3iFkQiLZY GYIdr4ufCjqgDBQ0YSgY4rHF+/CLr70/HYGOYHMdea45+nwQoG+Lm+VQaMFk8fWg2pGC4kdN233f 8C5NnzbgCkvK1WAVivflUTU3Y6tQFeMQnXo3C7r9CNW5jtvXubI2QjG95xzRtQzvORnk3YC9t5Gp EgR0FmBZ96gMDJCGuVt3EZIWaHfFUiBR7sgZfY6AGNsKxnn7U/79FcfB4CXJ6m4nPExgH7HjGQVp eZoY8oSX5U8F77tEvixoglwqSUAy8YpqoN8Bh0Gm/uQ1tJJjy//wMMHuEjifG+e/EJyS6EZgRj1z yjvXZrv8Tl79V+lt/o8morI0gqtQUJ1ftAddlJ35bUgj7EP0iZ98Aup36GtDcx9Ie68QQ1egFDk0 Y5FZ65mRvLdRJ1pKk6G1fXzBpaA9k48ZuNW+LzZV3h763JuJ+RJ7Tcawsar7Wc1wCUeFx7I6DkS/ xCVMlZ5K+Kv722IL2qwCvxNLaU5aTy263GukPybEvbuWedy6cF0YPqXRiBs733818Tuox8EGSQT7 1W9vx+IB0AQDmiQ2B89HJB67KOPa7rxtYZ6Rg5eBapAiCTqsf50hMQyPrnCJVMwsLEm+ItBTW4dw j9B+iVMTW4Cobs8QHs5KLgWvFddFxw+WDdCDbjKV8bv5DZaEyGC7uEHlR2mWS0cEVLyZbkV8jOK4 BQe0xCpSn8alSkW7EKNscX7hdLNn/oUUleN8DOg9Ib/VoEHdk/OvGo++J/mNmD6YYzrhSwQvCLRV LqdbWkeIQDgcHe0Ivur9kky1h2RqJci1Fqikv+3/xCAdrSgHXgx3q7mAKtHbXxzGH9a7WV8u/QYI hfwxS7wwp5cSxJrUc+UONL11922Z1pdxKDz7ezh8Jhy63RR2If1EFLOO1YrizK7/0el8OQDMZMhe GqBOUTgwmutawgdSoKXmLzM4/3GluMztUz50b/ukJDbs9lZPf44HufwYeZSu2QolYlhpStKD9Xsp iZKKaglJmwqLr52jYDERCXtpH694T6KRNdZ5NPLWMJZUdJMZlnuzA9ENqZp4uW3GS/XFVfB3cG3U CD6H3pmtLeUJMiGUOwbKti/pOytvXnvMTTyppue/3mOh4x8b3z0+/UpfoiXH0MCVdgShYxyKqT6f CqGraS4JwlhkmjTt4WPPe1mNdQzsXFANPQPdUKTOBwN3TNTmjVMccC3F7MTQCd5I4w+teHwOOx3N +5+GZuyVurU7RtJKimblWyGV+AlZwHybKy2gVvADvkv5S3jzkqvT6/cHL387rSM2mob4b4z7KX39 jsb8rBhGR1pUeUh1HZ8b/eLDyVWIHeg2z1dbQKgre+DIZTJ3NRD+HWU8KREWIXJdMNsHd8saECVQ /HjxuRFVpo71eEg6qOZKaLp1ePo4crXrYM1AaNlyROdptOpvA2uSP6qqrEMawvonJD6gRhNLDlWU TF57tScGuo2LdIHgKC0A8THwoJs5y4ElwN/fsVtbJOSXzZ+z3uuLeexPNYyR+6m1SbyQgYyFqfP3 NlbYwlM9HRG9WoIeLIC9UuHccZi+960bnhuImz1Y3SwtWPhOykePRt9iHSRcZuTYxn7wVSeBVmtU EOrLY97xX7o29+1YSO4jeyk8eBqwhDZJcehdImIHlhMsMGBCRqzJkRgrCgv9YxjiY2sTySnQWzJf PEWGyCJvqqJ4JYvF9hoYYbB5A0Acs1PZocqNBDG6r3xaoTbNWIk12D4qgL8hCEPVWXa7AB1Gz5zD ocg3wj4yDblBLDFwH4edHZjydb7zWGzc8nPpVqpOZYZ5dwEswCtL1s9R/8AVudH6IJq/Cs0jaiEg XPl5TiFM6EBvtxNlvk/RErIijba781vEhpsvLFEaQIZscOkTxlZpEtPOfblYL7qrk/XfJ+BAXZ4u EA4wnoq2rc6ANoPXZyxa3bYpWEojVnEwDy6wWHcEZEmxyIW7ZPTumMoDun1aWUAvtTllTA3P7sFv VvNi0QbY8wv9PXLZqjS3BTNENzfza78zPTGlNdwAMxIrzTCYnhTUVjAUKjSWz6yDn2oXzEqfr+nQ mHZ5GC7xv1scBkD6alBMc0aW1N3A331DRHIEeR8VT7Cfoeugiq5c3Ianq9wUVNcE+tWvwdTvx4oe gbeylq5WBx9yFsDiGD2zexk1viqq8BWa3vbbVzorB6WYy5vbgt61z1UM9wa1gCKW0WKXp/MoEIBe VtNmp1aZcyIUWy1ZUy3frt3emy1OnN2Mj3+q9AXC/0HryS79qyxNe+lXJA1zu66DnHDfvFh1ckRC e34e30m83Z8Y1eW2ql4Z/StkdKdwYEkjyreOVr7gLuufh8yH/8kYmts2SplSkL0gLau7HT8xSqub FIXX4UrsdHAeSBbd2ZyuUA1fRX+cqV8TVFY7aO9b3/l3pjc9TqprD0Gp6lJhACntrQlDEpQ88Sdi 20peUI8TViv3suLd3MTwqQbOZIKEJXFWtwtjISaQ7nq5W5ERmqxvxgcqCprZkVYU3zv+itwB4ulQ 3SsLXLyTFqe5tboe2A7zJFGv49RP5qVMJrihLBzkEDLSAMi2cGE/aV/EM6yT8D8ORolWQUT/SdVg Xyg9jRy/jWhL8AuTztEQ2/kAnfW2noIfcciAtq+QEMIynOtKFtGmKiaPO7PB4XMxfa7SOVWp6eny czRqxiYT2xrswYWmFXWSchxNCOXpWwZSmNJ40PGFr/YS/PufcucMy4w+UkGhqX1/l9B8XpB4Obia /m0IYymBt4qrfKy7G3DZS/YMgh4MUdt0t6uE21VBQjtvqZ1kVTPgspFtd2o6XliZ3mlM3U/yDpjc gxaJ9BE7i0yLaGBd0uCpm5z8Sotw/uc9B5CLC8h1e75XBHmPUKsQ4SpXtXPQnJ7CsT1Q4ycCU3dO ajt3D3TX3Juf4uccXGMs1oDvOyyL+5lVbvZ7gED3TqTeSEdil047S2w14Pnkar2NEfDdxxrobna4 SlIiRRmQqixWpicj48S2St/TLaDyvUTK6IH+MUbP5NqIJZ86cJcZiOP5SRO1ZOXirwz2UlJS1Hmz Hq+wtLfNkU104rYLbmc4t6bRqVLRNtEtH/UYLPtVG8TovlU8pqsDm+YVdtGUnLean3XkHkLTbT5A XM3yKJLW/kj3lEHVaCqyEUiIffnu0R7Mju/V9v5CQge/pom41G3NcfxxU0BlM9nfeTPwG762kwTi nx8rL5cFWLmbX5N8Edx+oq5xMVHVKx3r4re4xObdkAt5y1ONo143PdtHuO7h+1CN4fdpMiFGeFro 9pvP23ft/rL/vZL4/PfQnXNIeUIcnfKR+5s9pidvrPBQaV8QyMa0dSm6aKySQDbQ9+K1tJrijDSm bDLFYgg7kltO/rxaF4fEt5TbCiVgSQWtdDWHo98oJMKNOmBQvcCZUvxl05UXOb6AupwIeQ2werlN 2XzdWNwLhDVdN3rcmAUa4NPX5yuKVgJM/igcfU310oiTFIyhYT8ONknCmqCFIYUjrOC4pfbCtI1b moFhv674SM920DZUh9xg5Al2mr6KrPVvxVwtAU+ovRYM9XOTzziL4bWTR+suTQZnvWY/66jUtSQK Tc+RlSZ5E+Bf2F69fYwoIaq07OPTWwP6RYAjFKs4/2Ap4o/B/qzzTKwdaWhgQxr2b1NN13BiP0AB u7qp0ZEae9Neh8VPkk9v882oHCB/lU+KThfQQLy2gQJMy4yZ2viQYdx1UjDnjbVUB+30Km//nQhr azePWU3eeoTqbjqdKFD8fLBMefNN3mY4G2iqyW2CIo1ZZa31pMGvJSvQ0Z1szye5ZM2NJ6ASGbBP PSZO19Yn3QHsgbde+u41wEro2TcY7n4pXFvXzrhph8EF1K8S6Kv0YTPDIIXAI8Z/E98AO7uypgRa zPe03pXwZQXhUToD967ZaX/mys1ai4rqAPWn9PPOHqrPh6LoDrlVdBTF6wGaW4NTPzYQQPvyY9wY 0qV1jptlezirUkjmNcTQG90Kkl9zpvd7QcIdPluOC46zlY1IFho+a+uoSwQbPfArNMOpQR72endn 2IHS5Hwi+qDfUjCgHNZX0pexvz24Cwrm2B7IKk3YDXUwzQK1+1BxdOVJz7z2RtmHEjCaHd4g+l04 MxCgeUb0aQU65PwbS/sonq9Ne0U0QAGf/oyb/mM6vgDnDpxZVIWRvs2fbv3CjnQcdtu186GAFXTa E1yWmfUN76hhkzabTf34gkoYBFIBZGiuY3ZdmGf9Ho6bi7xI/hJtleTAQtx18lRzv8g2rbu2nk7z 3LvKox1WNmoGMa4XyilkeWsrsHTItT+uYqzGPWYuSFv11My+hQE319R7c8bvESIJDWDZe4CE3jr2 GFmdBBcdxJ3Ue/y8wDXgf60U7UymedvfjjlysH0U8f77ueIsfhu5mh9hMj464mqAILvCnTUDrIxE Uvo9nm4C7MA3EnZsTU3ASnlrcei+GfTDYFSAyG2QZQb1IbWqXSgSPHF5BnFS0NXHWexYOfhkEqVg snZN+N9IOTw6HeJZHpWklpqPflnGyJ4tCCvJybOVZIBWnWUK+TwfyUZKfKUGB6m3wbDRYSGZNLXn lGdxxF4Ct0YN+mOc+HoE92VyMJZ064BcHLRsH6eyn5DclCIE9mPblIKX7uC/82POlA7C9+k7okv8 eE7xyPm7AynMKuQKSgz5rrXdPOR2Od36gF1hFqC/wIz25nsO1fSwkyHYZOaBHaWffv9/d2H4SeAO O6zDkUellKmr/VPT0Sx0oIsgn9qJA/sxkgy7t3nSJaImHva0AjNNLqk/o9MFtT7x42LGLdwM3gmP YxsyzkCXjCDzFJtm3tJnIVKplbVzvpOqJX3SU0Y5CciKXhW3jyTZHcjCFKas3Ohp50JTwBOmgUNK g9zuJ8p9cbF6knjA+zZcdcHJVt1GzFQ7YUZTjH+WZX9b2kINBLTOrq4et7odFauSWLeKDn34GkW1 Mby2756do35CFal/laUDZLHGTBTOo4EsS8ruRUb3sE+80lJ9eJnxTJ2j8aUDOQXcn7HPJMIen/Ct BOgrIZ2UCioGTeBLXljPJro9XxtYu+zNHo5poSiA8Q/7DxzOB4voV4skEUClB7f/K0Lgl7XIo/V1 nX4gRuO0omrO7jac5CyfDYftVT8mscjNx1o/4q3NJsz7R7Bn6J++9Y2cdgJPFC45XgB4uwDMq+TC zjOMg6/UcE5Jrg1YrXhAmGcLXiPyf5U6tkspWq23s8pCMAbhPmJKvyRuehGM7HI9Kx4A+c5r8Sli GD4o/9R0yK9XOm/hjOmxAIxIIfDQTuo+LnHBUInmKqW+5jvr6XDt2rSeSX4Ctiug/WhD3FvV9wx+ ywqDaj3/emJzx6lqroPinjZxJYs0ueKrGKzeL0EdrVngjqdZwE1k4ISc+ViIdwkMs9N1OlJstScl FfUkkpt4AZxs7sI2in9Aj5nc5L9IG6NxiLSGEH+/Ng5P6DxiDHaLYhIAsu12mLg3Xz0VaIMx5rKT FrVbLFeEKOKuORDS2Wi78ozOsVC6ZMcoVzwvBQtAyWknxd3Rc0+f6HR4Km16SIphs1jhEHoDAa3Z 9lGVr77O36xlrEmnHDZPsNU/nhTY6xSDUuQqZ0eP5vGMnB8Z/YKAsU4n6+r/ki7f4OBqrYtIyCS/ tZlpfSbGBEyMItDiG7ZPUT2MnnGm2GGvkGj4qak6fmRysffM9qn6su+UBq9q9Erpd9XF0msj2oXh g9sTJc73fAb0VOrzLw3qau2sONEYwZJSOK0Ua+peAIsY0W3qvMDtJS1GuJURJgTztEjCtSMn3day qeRPr03NQY3S/pfedGnAOoLIDmSC+mGnxOO7Yz+X8TkXRt7k1XcsGa2uQ4wRZEvN/MTijWMIEhpi yElkmhgOdMexPlCdBDZuCI8UQ4zodVVkhZhnw0VwotGpwpZsX5CaQF4Oxe0KI/HYTEDO9xd1yYUP QclfmR2S1J/0EU+C/Y2X2KpsR2//EknHkJ4jtGbV2KvaFd15VhmjrcsXoCS/GXYv01SWjdM/aBx3 R+ds3pVsNlziX0XlYu6R8Q+sAhseZjSHHN5wE5EzbC4+YvS4VDYb9GQSH/aJZg74FpQbHWZ/Mf9v Ny0C85nfxizkrCUh3uBNHx9MwaDfVXPIJdrTYxg75ogtNNYSfXqB9gXjz1E1yAJxqMM9Bn4SoML1 FpGv5pb0lNUZyak5fpbyRvfZ3DwIwIycep/+Mdt9FcShLcETE0TuT5VxGaro8hkL5i/Y7zguNeRG o5aaw1mYFHSIQiGhXOOBeWy7HzfwsH/AxUlC6WejGWMNehEyVK3ac9sYac0PO63AsZf7XWK3WoVE 0Dpvc9f0GUZTMg3cedKecEoeTnWr7kBy9w/agRDg8fwSwf2ebqb8wHJM6HWyhYYbCGfjjQBzcAoy FvAy4QiQt0I5QUzOhEBgWhKJnVZx4dKa8KKyVtFzxggDIVJPPsXMpF1gMnDnaVmxz0fdAq0xPFpJ Z4HLZfuYmeQfyt+ei5HL0Er4+ZnNCjYEXoS5T3gMtVV+kiMR74z4PNE3AQe8D4eIQt3BtAyiTJdq i92bhMkGnZKPHdsxrKqv4Wlyekgtbii7UbA5aDZXeXpuoz5aUG4h0dG/lCXbLc36je9cfGktXXF0 5qi+SFehOXQWrWxlSuM+vSGVpWEyzk85mUndMLG+NOhPYW9d6lo9x0/JM9GOKNETtCiGRf9YJ0jk X4zbmHU/jIjZYFoXj304ypDc2ErNPj9JezkFO745IJxVHTYDEEEgZ0cbFT78qpK3SaZZEVPrb2vg DHKe/5D5eD4A4VE9fGIz/35jXQZ0mFow1HHItqY5hHgwjBnfo29BYeykcgbZBeAUWqAhNX+E8t+r QA9Fxb7WuedWGc0ARagdSzAozDZ6HNxFejjbaYXwOWuS4H30qqY42Zccyc9nmqrCOTLucYBPyAXN E2ENCP3Wdv3aGFleNodkVaKOqW3b `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/rd_logic.vhd
19
48192
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8 6zam55ovJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6 P6PVaPylNEwivSbuc64= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5 vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR VTqDp0ZVnyDWp2/qKN0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO 5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ 1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936) `protect data_block VOLhYhIKkXWjetLUjE5rW5DQXkpxt+F3F7mQn6k5W7YbdJ7D0Azpu3IcXaUiginkg1B5Th4HqWU7 osBSHKIuNQ9LD4/HNdyzIs2o3fqQ1P+qXRvablpy2UjG9YhuDEnW9OgVmv9f5La6dVTzEZojW2oE Jw9vS5wgzsBmg2AZjsVx3HbrZyjWXSjhihmuDmrZTJMaKDStk/4XJc+tznIH+sK8G8yeA8jtlQ7U HmT67xWdPf03iaiItCELiOLHHqlolMWUU2Ubq1E2XbrTQjP69rfk9bFHAqohuchDXshcW1rpTVcd MoM/EB9OGgGNWyHGQXg4uI5PDl5U1zW04EZvtKGgMMqDo2d3B4XIZbubk7UOE1twoeqX+iIEzhQb d42uk2oTeemZqxC+THqnNLCA14SDW2x6Kix1J7DqT59W1+KO0M42BfAEWO/4QAXclvgJHR7WiWy2 cgptGj7rDcxjbKbRvSiJ/UljMcbRcULenLv3Gtxylj+0RIMghWJK48W+RIqOZRZbE7rFLcFlb4KZ T/qWXpDzxYdGc5H3CivxzRbMZuYXgxBVEdgEwVzGVZgDlvGfTN++GnoI0AK6ukBztxJhIllLYeAd zutmH/rjrM72X4xngomKftDSWQ7MrBuIf3gIMfXXG31trMiXyNjt7JLVc36vf8GCGMu/F5Q3zUQs 2OlRMpgTg4heUkK3UZGWY117sVeLFfr6wk6losKqPD/QMOLup7xwaC8uk5jBlQaw9QkLTGhJoEdG NiAYraxoDirUYQaOE50v5vUqOXwdaSVfEp7WrsES3fVPZ3d/42yAidFBfK+B5gOg41GNOWcQU0rc Lu9WmkFuGibg+298643vbMjc4juikAunkj7krOUVg+KdcjfSrI8AZevM37uTWy30I3nQcfPCg0tw 6vFU1RDfa3DzblYRXmKlqDcau/LKTwkr9bPIN2krQnel/5wNa72oTWe9ciUL2c8DmjLs3zgjiOvI Ad7po2TP8ebwAplXkib57pWIY+pxqjEsDjSWyu33y5nQGR8pHeOn8iwivdRF29d4CUVqZBWpb8zC 4fXj5SwvtKnvT6v9OT8M0YSH5s55f2//a7BNio9PePo5CebHteSUVjXDSOuC1HSuT41elJ6M8YW0 B3gzDe9/X/8Ay3YYyQ1g1HYgU4kQKwuWSCxb35FGSpRCuMht1INf50pqE0+hazgN1s9Y2KL1iU5K C31mz8uoe9c3C3hjFkvhOw4t+pHAgULRfsmG0DeI3DOhsxnsP0fu33+7Pakv0w1jakB62mjEv51b c5XjoRbM6hx+EPfF0Y34iz4g0Cymdi9mBIOJIXGpLeOvHDpm0UXLS2I0Sbvvgoc6gpkwhSS4WKRr cvW/gSFR9SjY9WbQdSG7/S31Ajy/+3arxU0YG0R8QHIf3TRcMyDYci1LqYw2NtGOylEwpqVP9DI0 9SW/132zv7ciMCt+0kNhFQPuOzIEQdJ6iQmyESYs0PJ7hhdfYLN5KRqILQicbFh3AK2YGET+koHI mThTPugQ2GiyoziMq1/dHLtDHvlnCqYw7TQQPdtPFfRtqMofqKdPWbMZWH0arVJWvjiyUL2215oV c2+W2Yj8RmjiEi3LH8ToszBBxL52Rtk0pCj7C1qsKnCm8nDBHdmBuqiskjo/MSswALP9k/VlTpJ2 c8UvqfYq0mLUi4vua63BoWIOXkROxAS9bUI4LZ+U3Z0+2ohV5xeqQtDqsjUocDq7G1lEMv03gfHO YydiyvJjk+lcVtpTUs2QS1B1tco6tnV6xzmimjuRBAymv8F6hV3Wwdd1qxYxmYmyptXvKv9vR+fk isKaCMXjI2AkZcsmbYrf6LM90DqpkDD+hqyswhPxSm8MeTVq9KGLlUnvzduriJLNtxPS4DiXRmZ6 o/44ZJauPW6B38LMhzeZa37Bpot20wY/OfUgL7EA/KiX3ce8Fs42IgzUiTa5xDc9XQEkLsV0rc/+ hwBqUR327bKXnTug8yVhICQU3CbdsOlbCvxFxDu3rFQ0pRM0PJdDgp5hH2oOBbQ71fe/r1Nhmuoy FPjxkq0buqLHVRypTSLBIcwWZzKzPT7+8Jodm1gNFPoZB0wlLCdGU9dXyR1zKCDYg/RMGQdFWLmd 04tOMIlSPpOaxt9h92yfBvGFNC9BJPLvZPPzIuA1D0GS4a0LLPVMy+qp+44Zas/wj+CgBWCZAdMZ lnCJHPr1PkSVNw7o/YixDIKjh3r7hkKbeiEo0qGLemNMMdcj0Fqk2lSh5nEk6cfzApwbkMtqmxCO kPsDa3xX2LKfTeIHKoKOny5rECp8wJcUDegetyzvLSuGD24QIdaYzXjrtPumMDPNUhQgZjzf9YbT Q8qFjcU6nJ/c/rhSfFSgA9UHQjcMBeIDkk7x4GjUob6Po5zII/4m/Kx+QmeBz/D8TYFuO7UfqyXK ob28G53il0xBFoLXMr2DMrEA5ZhVS6O4NT2QhXupLII5KxUtTNqMgkBWgVf3k9s+o3RsOyR+n7Cq HHbU4HJGDun2nxN6xzQlbqpBq+SZLb4bgD4hHNx3DTrYZGFrw64qiS0iuOU6GCytn6Sq5Pl78KMf icV4V7ZdyDK77zIoQI0Q5IXz5TfB6BtyYHz3rB55suWbt+iXXSxyUauwB3RNbt8XG3SoBSndVE27 zAIcLjdiLuvbLcUJrLsURqOFhoDd77DFjqujA6xkZVwRm8/7VLllvSwZaNksm+tTixoJLHSOhm94 NVPveZfrn9GZIf+cpC1S+sC6MNiI9lX2zvv42eT8gvw8sO5tlLPaqmr5Zdpb7l+OR2pvGOotyFJ3 kdjnyYRqTNQt/VASAPNe1XjfS1CwhRj3Cee/9ESe0XCMI+nRwME3Sw1l7Qz4L/4FRTdYWwQGLyEC ZMTSaEfo2g4OvBqpMW8PpjVkO7zYlI8fGTWrQt98yyN33AjyFBYhR2p+zjFogFOjJ9Hb3YOC+iAB /38qvbM2+2j6syeYqOyKRlAvfWP6YxEtWPAoN1mDi9Z80sxoJ841iLwRkpKWP0t3rvnF318/gZ0F 3FzdI/RjAmxPztODhwRfw2B7E5YJ4V6IpnoiLYPaChldww9oQvCiDyUOcySm/uKkp3gmqqMch8GS uUIzsWT4pKWg9Zk0jpJMkKKKm6F8XPdZVCFZZWPr7K1zn96u9nrElbsgFxEjg2LNE0tR/dcIv/wU eb/aSsNNKmnTlp2Vg0Z0SsdUFdZUsWdVW8foqvjAqqiZ1S1/zem9wilF2B60JXR9wztX0VIhFesD /NK4x7icvBgsyeUcigsD9IVcbJAx/4bXeE3JcUZVT77WoBRTc2jmlnHW/G7cN2VqJdb77lME1dDs CXWcNR7OxOaVCbM0PIEdqlJxaZ9PRhJu+uxWmanywEmTK7rx9v0H9R4vYXRo1sWWecPISjeba3+C GZh0C5+TFvmphiePEJrRzDB78o6V67+Q5TNgJ0AIPlaNuZTqoj8cEg/LubUYz1Sei5LlYiFRMHIh H1FERJHL6dcx/w1isoHNJK1CZNwFH1edFD0alv1lq5n3wr0fYmhlssQn7HkjGxzdXF41aWMtzonw 8jyCqO9j59Co9TpJVhKXf4wKucweAdTk9w38Vy49Q4DPv3j0ETDWflZ8J1NbNspOBhR7AS6vHRcD 28jzM6I2sRkxwi6Hf7+wjiF0LBZcUZeQVgd5pDpD8px+Me3VFCGnoVIn9l8YS82vIL25UJxQqQAp vZXQ86zFFXbSohGme5MxIt+iQ1NjQrizfoToh7+cj2eCyXJuP17ST8cZa2pO1Em/ayBm9ltV5yrT SVFUsAAs6FVJtmg94tk6CaLmutv53EoTYsk8mPZFrdFV8oA8hVllucEtUj4JXcLr2zTdGkxhZJPO SpuaPlDfIHThkyfIttjkwT2xeeToXCpf5gIxzIS7dcE4ndat7EYq3PPGohXO5ZZKe0eiSXSyeAxX vBtAWh6uFpF2m60geL8vUuXMGvm2bAHZm8SZTCxq1KPi/uGoksEWmgUJc5f8/rRT++yr6iQo0Q/A fN2b3SoD0Q9Cv8sMAfM5HbfiPw1g5Vhpew08fNvrEk/KDHmAAo/X3EAFnXzfYHV4XA6i9Kz7rH8o W3n2eQMwBso3VkxTNtS9im1XZ+EitOkbQ9jO//STKyk/RPXfoall2EAcVe3+P4+9bmsPj6YEai16 QYYYOC8It6Ps8z75nnI9HpBSGQvso4jo7f/DOMvZ6TJggcIa8BdZLQIrtlHXEqJUGUfim44kcNUa hrlxM9XFtmKibRtYp17KYZXyuClrYjoBlL3MspaczijP8L20oiW5GamktvH0LilEY8/Alkoctfo4 dZ0w5cj+uFnsS3NlBAjjWsgHQLGpZtXF09dQL4la/rIaMiONTTOuSahWhumfUZSbwYuxfttU+5Lx hrbQDQq2MZ9LD8bq3ZHF4UWFUiDtjchp9ndrjMM3PT97IJ2cgzVPGEHtLtWPn8+jPv2//OYGYXW7 5TO9+vy+jccCoR6wl/sKlsJTdfah+Byec3cqeF8cAAwOKzwz9XlsqW4Wlidd96qyfvWhvfoI26nc ODj68T70+/A5rWUGj94hkKkj0tZGs+3vRPxbFnvA50Fu/JLKn8IwZ+z0RUgnx+3mLZCr+DIFlyEY FasG4nKnPH/1225xxD23s6/wMuQx3YZUg71+lXd+baDjz40BYZ+zQpQ7nf7Jcr3T23qg9yN9Xo57 9bH5n5d+R2PflSdGgR1+ExTZ9yFa5nEIHYdL5FzITW1uq4de/kv6LAv+20Afha4m7tS0LeqvaDHM nwid3v2ca8eN+qOW4FzidqoiUTMVeOGTCt0lPhjzSOuZ5XNshdFP5njBfvshFRiZDZN6oZKexcF0 SQd/mGfMoNnSJSths9F0arZCSd+0Vu4umo7p8kN1o11dF9MP9CgJ/ISciJzp5d3ZMilnxwWpj9Wq 63MEUx/9MmoHI9XXkGffCzohdUlj5lPFciXL7hERZCYqZefpEwrKjIYh4Bk6ZReDfcvuOhOfvKN9 VgBaLIK7m2MbQRL0qz1pFq1APVYo6tsvfisx+2JoewzcnQ4irMibLJEEKxBJGNZ+A7CorgE0WE3M l6XYcivRqUanGR4+dvL48PByHIwaD0rDSaRsjL6ewP4Z2wsVyf2OEAWAqYjHJ3z4uj8R/DZnzblk 7/zaZI9FRa596vyW2FFt9ijgtlJ7DimcfOyrFpDQ4uwQHT4viIcu0w98dJa8ny3etHNuVfnHkKXp R1Ndcoe3plI5WsHvas/co6VB2qzth6bdIZw0oLK+GoyWcSKd4UkzQ2H7AIJrLbFaKtwF9ix29v1M 1S0byI/VjUJsRomkOiPEMsL/BVAXzHUF6mkFhbn/y+JtCykEpdGGwvog+qwFBWd2c0SocE0HMYMW ZciXWDmnlCzBLlUmZnFXKC2yY/YQHpQVEQWnlH4lWvun+wKx9Hsm0pmTtKaCmCGmUPJQ/HiLUiHF /tXr4ZnmGDxmRsD/fA3cZsw1xyIXZV4EgfmSwbgyMWtIt4ruHAKEpLU7oc2JhSEUhDyQUA1E1qxW FwGyyWI3itYO1pViiWCqKa5j4qjXlw9ylCXjF/d19hNbb1WYWATNusqIFSX19LxNIdi0V/mOxJYC jdrkqrqck/7kJG45BNMsoSyJRxbDWdleMc6hs/VYTpVj2+6CPX223Axyu3LFo5KvboZntZnALlnP xHJLAMVGTy6s7ANDlpIAiDHsuiu/1qab8qoeUniPqvDXvrXvU2Fv8hcGbcyIhrcFDGE8Z1mUcQ2M DGTxKhxyUNWh5cS6gYVLYShacQXaPZ79b4iyrv+rps0LM3uheKqw07W6eaWe06GiAii/ow4rAoFX PzW13XzH1m8rxas6eZLamgod36M6X4M8Dnt/azOuB5F8A7tyu9gKM9GBWyDK3bu1cgsxBZ+6hcWj gnlZ6pTnY/j2ENDIXmfeBNSSuZmy2spE+yCI2JV5HvmPZBjCIhBznsiQ9OQrV7LjEuJC+TqgS5ZS olclMUCFxDXjyEJRLp6of5NGl+Sd8sJTfhrEMVU2KgbIRRoZAcHGcF3GAF+hAfSx/u0QH410LL+Q uMJSIazP1Eed3PgYRRNyWNaEfjs8O09BflT5XZGaER9uY/aF0VHv4QY1u4JLJcO2Xf1lGasx89S/ RIhXU93v9QYYGx0GOxU6uw4rdZ/cIbuFkyODV0e2UbR1cNjt7P3tzKjAcoGkQN9uLGnBV8+XA3hk pxochmb0JpoW1UjL52YQrswnqRftE8mEHLtHtxBvcp//zfgfHF5uj4QOUP5N1341/bLYWwOlORVV iXuj6xunkPdAj92yI6/RnDFVhTEKfWtcmWqy6jdmyUy9AFXNES6LTWMN44lNVmaMwEKXB+cEvow0 qFKRpZT2rTcxspfMISTnH+2yxvntl0M3KY9D/T5vKfLR7W03px1Ql/PB3EjiVPaNC4DHtnN98Z2B z3k9uBlVPmz5JZJRGDXlyWr0ArlnsI/y/pAr0/SfnpE8/TB5ByTkYNfooYRb3mNYPX4q7lZ6gBk5 T/f6BwFl6wb2OClwFu9q4uLq26V3B0LsS7mvSosrnlSs5h66FMw46pkvdXhTXm0aSDfsjjDZUgjZ 39+EUR3mzeSohzp1qHQ7r07LFbmgf0pVrjTjZ/CgZWTyd3oMcwdK7C70ohRjYxvWfL8+liwFDWoi ye3Jxc5shzR7/IN7tPB5cGhrjMgeaUwzQO6eIdOwUkfnYdt7oEuCXcieVeEphFFV3TZEDqTRTSZY 0vJBU7oisUQEzQK4gD0tuM0PXRojcNHUucA5yV4tV4sDrunZLkjXuQSXWS/Se5ro0WTW4yWZX6OE oVZAaiBFNFrQX8D4PCWpY+SR11vC45WpRyZUa10wpU6eeZoLMpnFR/ItjZvBtGEDrc5nT/PjXOqY eFA708TvAZn07hxAHLPBUenrshgbulBTIzesNwSidA24Hgxg005bHF5mXLZA9dLpEPosmH3n/xgK 4sJm7AxGovfvLfKffkv+0oYu2bTpWaYrnV/dpAnMtNqCRXvf0Yr1twbensdXuvzjeTZFQ7pXJfpA I1PEhNQmNWyIhEVrwSlpA9qFIQ2PGKmXcq161aGS8lj0H87Dv1ju+3MHa6MbQV8Ing9gYqrjltQo OueAUxf7H84YFWtqXftOktxxx4SQ612Mqf+qb/gDh7jbvF+ItDTB5OeW/WQToumx4vsdVTgbnvfk kD4El45FKFvZIT6PfsfiRj76aCkTy8UESHrnkGtwS06Q4OJ4P89UozIhoQdM8ePE71COVsZnCamN yH93vEJ7zJ2uqFzZs1+84aY7MhNJfwlvkMgIANxpAPYzTZDAAP7dgwVuXCAJOfEs0QnZQNNpupuE gRpge+xQ0tsaO7kEdriIbRlp7TWM1RTx/UYZmJYA6jNNMQ95Gnyt73vA2AP6hdvwGB5i6EHRgoBi rUKeVyNNG1cYFlXOop/TgplqWIhc0fMzfHg9Mm3Xc/z3ThNVTK3yCcAGdbochbG8cq0q+l8XiocB 44D317xqpvJFOXCbXp7dx8arZ2o5R5z+vo4Sp+dIvHJBtsSCzbiDqsFFdbTFlgV8nuK64eOFZkIv 5fGayX3p0oTJKuoD+c+kWuWwkqFkkAC+9Lm0pIRoEeXVeLw+9AqvHHOq4uIu34W5+mMdpkkmrOir nXMKUO824HcxYoN5ZN22t290IDO3JItaPEc92Azy7xL/VwCbs8LOtzRr17URJXCK+lq6Tqoxsoe3 VC+RHF7LWRPv3OkT2R2OUQImqVa9wWDvRWnBkj1etlD7mTyTqB9HnUD6CRoqiYolP5ZOP8UOzXBU woCiFX/5NzzmAt1lXBnbDDZSJDhk/IuBa1iswshzuY6C885mY66aNU9G02Bg5b9aishmWj+qDyW0 m/XduvAKZOYCPjVx/Twx0vkDlBc7OXoUFl7eXYZXBHvCk8FiceLr3JC6neeIivl8G3w6qxNFdh1/ 5pXesLq1QeyC4Zv1j12G/rtukaziTxcnZQti06u7Bgu1yfrMZ3TiTORg4mQYMruQDsaYFUCYHbWm gavrfg1QhMt9omdN3L7woz5DhDsEkTLrXMoEyGkNwV0Gu2N8ftarBAQMGUpyLA+vjdsdOF2Hoo2I NLxYByDaoJ7MOEti8IqhLnzE9uIiNNS/q4bFuLBblLg/pXhgKJA+Do9IzObKojsnRzMSJHDQqHxj rvA/hkUgach660iiBj3CjkW1YbHhyxz2lq9JZHLQxdKoNDOgc3mWDXWPp+7bO3NSsCNZwt9dqr54 QIX7b9PAXOnuhfozsEgQxykY+ioVFyzRMkYdxxl6jM/frLiP33KRlQu70yFblqwD4KO6EX9DUYec 3K2wgtY7tDyMYRiIoUbUYsbmi9gSopMRpya9yrwEO+n7jI6phzovqk2wbqTGEGTtrvVBo7CtOOBQ yZLvdSYu0cn0ki8Re6vLH+9Oz2Y1PLoMZ0dHyhYzXjK3qKjf4iqefpnIatMs9wIeFLQb6+8oQmVC KIiUTNWj3dcVGGaoitRd4QWWd+av8XJ72RQS10vexhV1/q3qtAiI7JWwXeHV1gsBOtUAV84eiCSp Gy3A9b1YqsbzK8UTPjE0cqQ7Zs2EKTymM/AYoGr9dsPFmRexfsIKeTerrCDcjCQZapHS6CEbEQgd GOiZOzGSOBpbRY9P1EuP9l2Nc1kyJqBB8cgLFxiCyl7cxmn3gy9ZwRCH2RfnSTiMCPxXk+gxhpHO MiZygT4mkAAIqaZD80x9A7EgQFLgE5m3xiq6pm7oFZ1+POmIEbM5L1hcUB/H2Z6OVY+7/4Rklay+ PmMpmDXh5wn6m6xhJyPfM7u+HiVqWhlJSIg1XlrYjjWgpL/DKd9Q2LHMPxIlzBDL3JRFG8NOoKrt XnoBouu9MMfGhF+NjdntTk5aKmtDDoT3Hn9rZipfC6fsE9D3nfM8XJiHzp7amNO/u+M3tbIgx6Uc ARssUPnx8CR10puERWpymZAOLEvFMr6gs4TVsEoCSbz4JwogFKTWdvCD8Ocq/7uss4lHb5DF3RyN 5IC3ZnkXPvlRvaqXCP7H8KwQPvik4REVBoQpt5yxwRS3S96C+/NRPxjvxDEm1VuHHMvE6N+eZKS2 Tt8sD5rUjjCb6bQ/vWCyk/gLNbvECm138Ap59gsbD8wV66hcH4SBSdJHQjI/fXo/9LTvaLZgLhtD L2ED1oJJXNuYblyN/a+n+ff+LKWWYd9ZGom3EZXpu8J5BlgGECpmmZyZXJ9pmMDD1fspw1/zDmxj ewEr09yDLZD5fMti0gsirSIqHwn0XE1lw8X3xXbund9Fg3hRa5TlNQPu+OfEsJDCVn234+EdsfTn Jl+aWD7MYBcMEoGGcL9Y/vlnw1geQq89GsSM1DRKlG1ddUafBZ1SfXgEKmd9Bx0qutxMQh+6TM9Q HOGPrSv01HpTIacLDdhQGzjAjLR6ikEhm6WzTuEaG8GmF7wYnofJyF9Nsq+sBFAUs6R65synioz2 3g6ZKkfGExoSsipqvG19necdHPKZOVQQJGzPHdTphRNgU+1kYfxHbX2R23L2YKIn+SN38rKZhd2a Z21ELlRAD8GqeDfrKGPg67qVZAMHkSox8u91THHAr1PCOsg/S3EJG0swW3sbK6tPNZ7QjUqr5bAk vOGCw8YL0o8E+VKbMa1pPfuqjfcRNaVCgyj2BjUQuYKjcB1fvargXZTGfHQYuaOIQTD9yeLzjJdg DZOthIGzeI7719OFrUNrdT84ukipCHrIIlyC6kU0LnhwIOj9vpcBS5VJqXozrOEGhbUIMiWrjjza 22onpZZCtYhEJNEBdNL49WnZDKToHFLl8H+P8Zp0gFZbYFIRrVM29yEzm+yEcJdbGxZc6q8hi0zC iemTBEnhZ+uduQyqNCDSx9zl67boxy59h/YHHkMzkgH/8Gx+/+Urpj8EJIrlmVq6Z4UgNgfx5JrT GJusCuwkTZWrB0dRxVx9m2GtGXTNpesK5OitVrb+N50ygGCp9pMcIaIDBPoRQeVdSRz0pa+BmU0J p5Iu53aq7h1493B/1j9NgRTpaQSyyBRGBFFhZ8AZnMmqUwHGfd97rYB2skk6b9iu32v60IIbQQC3 gWgmJE5iXMOR2XK08VvQxBPfhlsKYiVjsrbeBMsKM9TchBMEfa7QuHmk/MIKHBzicwmlpPU4MW3f o0rasNdqS1zwIFjZt/AjCDlbxU/Rc8WT8GlzcHGZhDGcHvHOpKzKfXUybKGGjJB4M6XiTk2RP+UJ djzVqqWp5R9STR4bEROYU6Cbe/72z+hjocSMAUinNJHqgVAMUBYq3nW0dlG3uypczXGPCjJ8ChbC zRYs+bQVRPNuQjjljlV+zDYfBac028JpbYMnOAnwYK6qUnofzePQhQg/4hw5ISXDe6VX1aG2D57D hsTby+Bo9o5nh3x6qZUpc+4QXWD90EsSKsSt8DSKO6+7di5F7o5lIgIB3HvypU9v4/Fh8zKwRFTI P0d34KiEwBooLQJXoWlC6ffvADw5tZt982TCO6BfKeU7QzNtXG/FHf4zb1kXiqcEt1pFI4RbSOV1 1HKUPTvx2u3T/PDODUFQchG/1fnVNZh4vsz3Vl+A3GtiMpf6xtdrwSjy3NjmksqXgJECk2NDLb5g BB6j3KicUeBzRjj7igl1fGYKAgPNYs9vRQZ64uWpU5Vq+VWJBCKo0tEsUAsgXCY6U7yPmlVEQcnZ oD+4Uv8Qk6qBx0ZDyMoZ5rEtrY7ljLSGLM+pw7/D5Hm4O0Bk2PnNZxKWei7TOejCrUD6oSW8mkk0 q+HL1C7r9n6XNDI5LyVX4/0WfPCFDR13LUxa8JUbGT6Y3bRzG/A8XFfuhNFCyRdaS2SRF2ITic9c hrPIxA/+4WJhEw4qE29CdSj1BCFmVso39oFs1z62xZQ4d3jd8YPwkoKj9q7f6CDMri/ud3zOFdWN nb8BR8JvOvDDSAq1c8mDsBfIySH3FsTZ3rTnRpO5aZ2ZJ3kmZcYxRw+isaKuY15LoZQlBW7bvJDF vvfXSDjToRiE/d+RKdWiEgsZtr2dUHFSG9N6DWxJMqpchHErqOBj6Y6BtzvARWXCaMICkxDo/ozH StWnIzO9ZTkaF34ucHj3ovvBI70ssJX6Ojza3HNaCUyTdcAE8+e/0FmI9nfWjT6C7RmqS23Csafv bgl1cEpLLJRG+PCR5t+g78QU2nikiMLJ6o/oIDbIqr0Elkv6reEmo0hZ5KZlJn/AGriaFrraXjOy fns2EDTade5fdKai2iG8cX9Nq1nEoU7O9xN6zTR8cXKMAc0dSqt2VIhyuQd83oZZLlf0VIyvs1Ku wtyJgBSbH7NODDXPI5+Pkb1HEybg9HCwsEPQaUAmyisN7Cqgyp/0+tZC76m+X6eTY0D9FtlHoSUJ GmGMwR/JXBdpwJtM7qmp3wqaTTV5jYXnpwE8DPehYdcGZ8cLzs5jKGNtcVmq77CVhsMqIjkKNVEK 6q3wQki1Ex/ch3aygOjXPZiGfRTnSRrqbeyoNY7iw95P7SvMMk1TzXdMe2gH0rjPGxukuNyPjitD 5sdKQR78vUlheTykMN0W2SlbetZfuohDwHpo6EfMqpNVanCsvbIFoaD9ybUKATfcxxzMvIAqYdHz BdEx4R8nuJZ6/ZGDRbQ8Scjlp5xAPO+AGNCLajRAlrlE4IlbiiksqlpHEfk+S4yjoOnWyMR9h/dt vahZJxbALZgoP80HnyDGgWSwzzOJDGjUrXVBLubHNFfdIxvmb+V/AsfGa53lFF6iqjEcZfm+W1oi aT0uBfsat/lNp08jKJG1DIEe+FAFQtS60Mx6RcqxVua7Cqs8/lQ1WYyAkMgonyMNWcdoelCY7s3P G9YiOsKMyNVqvJgio7SYsEGRFvtKqfU2mZXM3N7H4+uND4+jvpECzPDvIn13FKI3F1mot/rlpy6B P4GU6tyjJcq6zCTn/ojjBO3h/Mt71ZgWjVGs6m7eYsFstteaXAx6EdjQsrr8QqzBwnIXnY6J1X+q hLU8xWEBmmpo2UDVorYnINLNAraxhVPtb20Lvf4Oidotq2cdFmU/ykNgwuvjcgPqo2bjobT2BCu3 rSDfulNSapSv090ou57LXTz0Lic/WU5xPmb+XNxNYSRKAKuPNGqhfbKsK+Xts8LvoXonRV1t/qLq Lo8WzqK4P/2j3azz8Zvc4DOG6RZYo2RWcgJiLFfOF3aa+yddeAIGYxHKGRyodmSK6QWEltZxNWN9 yKJV56mJgvl/1UuwCwmP1ta8sm8pxsu43KP+ufHYVYztJOjlC1Cag/K41Y/EYUvioEu+GbnVa6ZF WzROKME7xdZEOlAeXT+7ttrVVNgtaa3J/42w0dyr6N+TB2OjFRwm8uXSIah4dXc/Xiow+E10XKux r6is0/npYamZpR1np3/ZgKOj6Ob9Rxvo/rUhyH8at0WRAE+Rn3jQrxGn/UOmLprc9x/GvJgXTtxZ 7P97PuXRpS4/AD03sQbIqOgsJDIgSarov4UvdBJ0oy9a+sFNyLoEm8uwIvGDSV84/1ziGCJ6Sbhw EC/y8cDH7tJ9w6C7FZDaSmO71vYvClPo4u/L0Q6s1FjLPqyhk9zW3Zl0SusYy2HoTXGxEromOjhU 1AaMQS94N5g0RK9kZYMMsmcdXpN2wKzKdym+fFXp0EKhKjMBb4hP/zjhEaxe4WmhzJT0qzwZw6km imxlcj1S04xu2gD1zNDr2fYarJW4GFYMfUngULyVbGk9zp0e3OLmt63asEn1kjgl1iREQFp/6l/u PQzZoD63SRK4QF8j2fLG/HQilfcUQkNE2VRQvScN9Vbo72wQdzTaPg0XD6jmpuSBjpZ6jCoUxHdi +yxFX67B1q4gnECCjQtQJxV6edEAXU3RUu4gf2YCbZ/g/8TLqeDPKL3ipsJNrzGQrMT86ViM5DPW Q8Iec550gGJyIKlw8EuA7Ly4TyuAP6UOKWDDspHqM7QJv/Pip6JMrhji0Vt8Vw8UuI95pIQ7jFDC N6Y/OUBzSWz3Sl+Ht3+M/uU6gIZnhSK7DQ/RqsWtoMJKoaoeA0sq4IKXtnWLGgxEcCNxKcsTgfeg 0pZEgqaqTDox/tD9IYiwUEJeUiaT6TNESB1GjKvuhiAPABG/mRitu0CVnkRMT6b2m6bg5kpfuYKB +lqpbzRHlq2Z2tbu5Euw2vo+lHubdOw8Pg8xRevLntyq4veRSBAB7QlT6GBYyZ7QF51xdiLSc8rz Enk31WczBhWkJZEHahUrUmc3hgqSkQGbjjHxD9moQpoSc8kMHUFtYAwfqmnKhesdZi5nFrHTthyk n5uBJjsehOrCwAmG0PTAoHptO4ntteAp5znGeT01B4ecHlFRxs8v3M+eEIfMsPivTvfdyrXcVeR4 R6EsEl1M9SYjP5UFWjPXuI85H+CF89MiPAu6NNxnFLAaIBoU19K/NgtZHCOZV4zQWVJCAHowvD1Y YAHDAESJhmULERWrMYM41kinr3o6jusBjQpQEccBJOIW7Q7RQWsFZQUvaKVV8o+vY9IqRE8wS8Fx TZrDUL8SNh7gsGqVNvlUx17mnCdOGa2ZvGHeCIcsqlNrUpsVjC8eaOYzyf9N0r8ixb4KxxXLtjWd f/rBOdCtVmnVyebvNhpu9BiILwHlxmZDRVZa2PLR9qVqhwJyRhBY+ve8hlQqscLM73L3SMQre4d9 bwWOKbG8OP9L/Y224kGaMAVqmIBLi5GJbHm/MIsToNOzJdBTM1jSrcV2arBi5tx1DdOz/NPBXc+e cXWn83/u7Y/eIuEO+3gHRrGJQfa0Kb/XduRX1a8qcFaZmffXe3wbK2lq6JEOuBZGwdnmFq5xWtmQ Xq+LPrqPXdyVarDgFQ+tWX82/88VWdsK6gausMn5FHF14Had2J1Dlge+JytAN7UZBVW08vRTw3f2 nqmuz05OEXdzJKH10xPIBfPTgcH2A4UsDi9zebkvjn79N1wfJ4ZpZCyVYG6AIGFc1hdfYdYSaO4K sbTLWC13/AXSAH9BBjeHMBIzyF7OpIm2cVMcrQD7GJjkDVXR1ei6UIVTmD+1EVtYc5CEAsffoda+ EXe6it1S3FEdh2PvPPrRQhro0k7ErdhBu09hKjoDbl/I2OMgnA+fjquRzJLGEB7ZIfQ2kYJVBVQT lB35agbmEXW0NUJf18hXr52Y+Jqs8VfCRwzx2HfDwjejEpdbsS0h30fUg5u6VQ+BykJ7ozSghZT3 h9RTUmFffGXL3rEVzwby8ZocNbHNlHI2mbwOzPz9UP/Hcp4sOb9iaPhtvtK+3YSwXGGHn+ZnlBpk R9Ki8kRbt/iTMmjhtoBOpVWKgScMXc1CH+SYLmfhOsVSXhiUDXU+GVGpM5+IMxNoeOpo4rXYp6xZ ArEPuGWIuZ/JEuaEp9BjNIihF3ux3aF0z38NrUSC5ZUIPIGhAfrI6HIHu9wviMdvmGI7Dq6GK9F8 K0Lxn+8c0jBqRVOFxlMczx2VSyKMQLRuWY4PmZilKNhDZ6VgUzGebnKn2rvTYbM2G3MtIGFrK5zI MdYDUMVT9/NfT0ISyQ5i+aDp9aT9/GamyfGtitkYezmiZuikRVeYCx29DnkHUiFXBxN6ksOGhggC 7gqYd7jNxMYGmBbOG42pei9w43pPoWX2M1BEJqqoS9x30qD7HaT1bzJaqQ8dqhO1sOQT+DtKz2gl 1/HSl2Cc0rrga9w5Wz8QOqUXh8B8/UZEhIj4b8RdlaeJHXJ+yDuo/twqt0zXi3QTGQmcsQTf5XzA CYGOoIffavg+ecR1463nJan1O3FdOJeP4Kg/OQRxz7xqTteuAQ4u2l0Kv4j3qqSvsZKiCz4hvrCH REPuBLBftvVmmb+eMx3jQqoXs9vSC+lTvXj4iSM8ZP51qaXHrzXmmTaOTOrk7amMxrNR05Y1rUap SgnhNt7U8Sw9sp/tTozToalxkO3La9Uq6RZfZlWOncfbuPttDUZLCULq0sRjlx85AWm0uo6AkbAX W0dxcQ0DuyBTWrxkM900hTcKIVIipETljP9PEgPJCsPJEvp/3xfo1IZED7Nsizb+dlfJ5pcBVsgL Bm56adCZzBnu7lmVpnptrRJUe9/+zL+Fe29jxKlou6y3/gigaRunV43MpjTvlUa9zXhGqDJmYpas mAGzp7kJIInowQgHt5wZGKUTyHfofPLyhOE6aS2ZtC4zE9o1mk0rm4WicRsZqV/oY9+phoL7H1HG BWcy8C7OWDB9PfdvUysTAVLzLW+3YMOASm+Fwo9GzMmK4X7Mdu12TbHvgdXWku4AR6trOGEUYb+m WRVCt3sNX8dVYApn2GsYVNTyOQ64BoIgVo8JG54ViNEEYPJNDGRQXKf8tYsYHp8FytLdp1y/gPlM 2TQLHCg2eeMnN0KJVHHuD+CvxYHJLbHDnQHP7E6+vSajU+ENs4CWBD0Wq9VQ5SrK0d/barBYxnNT 6yTfWtJYsSbB+mLdhAwyCyRdXKPsKoCtXEl5n2s/gzEL9yizd9IdwV18tEt0C+OdQhjEAOof5jpc Yr/bgkdxKP9+5uiPAzKooZS8iIOQZR6X3kDFT793XOcT4o32IMOVsVIXOPykVvZ072FELzj2PoCa qzKmE0vHk1A/VPBsAub6BHDiNmezXbUeaXd5Na3R/fDrpdloHkBIANF5dm4CQCvv2wZfzunCXjYJ MtWV6ma/0dyyStEJwHoCnMmZGyByjCBQPMWmR9iI5oQd8nGuBOzltpH8KnYcxupiGGgNhxaGzpFu XPH1fzuKsKIc3zxhJH0RRFMrjG+eZI8q7SUjqN7ReE0nNS3v3vGbKca+TrTOSMs3a2Hsem2rM7jm C8/eXHXdM5UT2L/d5oG2Wk4dlTc84TrfwRbk3COqf6oDPCTeC2DPUjHZtXvj4qqKqfLFxJn5jKXy f7OQpBn0Y6H9rzqSqqWk+DyxK/1eqx4rGbQ/mQXuXU96zsft15KgR7JP9omft3AbSAmvCZgs8i+d KugqRn0mHnnJeeghvrqMeuBofFHDDq003bDpkIHrbfckyl4oqwvl+T1/RgE/p4d5/nhLKVJH/0H/ rtztQj+R+zp0JjSiydb8SDxbEma9sdEx2FtkpvUXcbs+XunmIXI9dWzxdg8IS4jNcCj6Tztv162W rppePVfwWFjujIQuqNbAnQ0WWoKy1MkIhbTUZHnO8CPBJRHpihVO3GEjwjFqfVNOztaMPqzrs0bf fbzWrV8i3gbvdjmB+n2+LrfEg03+qE+56LxHEG2z/zyMEOwpXzYRp4XFAjzS/Ft58/XZtIJrLyWT /7aRwVmzkgiNwDu5kOICGnprxlQw9vnMvkjzwO5JF/BJujv7pmZQn6vnfnnqvh3uYhCdTtqa9aXB 0o0/VF+9s9L/HdIMEB2lSU5ELrNHTkGX+xOdu4M78wNFwWUjKINAaOTlCqkjld7SXAC9xhO0htBO OBXqkSHCAPKysMDT0giFk+45THjtILUceXXlQNXFLXBlFYirhwYD++qyerenxgaNLp4sJTDQWPWG Wkhp20eFZ+ukA1KfzwrX+vcqcCHqSRnpKOOO+AMF3vwHvd0J+7cD01U11Jm9KzaWxh1ooXCswH8L AJ89TV2MYadVR50JLByuxDom4I26GrxCcGfuO25KJCDFlIjtQ8bJIIJMVme7Ga90jLKyTSZVWGWW fP5sCVoVc8buEosC0sdddhcXRIEJFu0wVMX8bD3cE8Dvuz6914yBmllGxVCDsX3NwXUMl1NdigQh USDQ7vkv6WUC/CP55mVx2HM08LwoDNWvGY3pOs32wceiFvJSXnnrOhN56MvWXHmLNy5DzhEkc4L5 GXkwjkbhT/rzji8JZLkb7z/KKljdEJPzAsTZHlWqdHULTeFnZuCNMWfu2OCGsX1fLVOWQzWaDJ1c sLrnhv7TaEV639R9OvZBLnal4aQSa8008v/R+2FVMMP/6NNwQ0bHgB+apY6ZsnYKlOrLMfvE5eGC bB8mlrkwOVnegmeeArTG9VUBDSiQ9Pf5HM4KpzJcomOdd7fw4vPm7kp6/YCqTcXVlKmNtvTzbzvu AMP87C1yHs+RPfOeurZKviammzOAUMv4/hYkq04O5aksB+SVwQ0m4fsVWcjI//V0pLX37Ibh3QDA JXYWgReJ2CcHNHIlGtSGUKlZv4scTtTDXmDH5oP4GPwrpR+UyvzkfXX70HFqaDanLS8FJJd6x7XU OxrVNYKKPYnmOk5HsETm8Rt2nK3eh/99RKO4TA5l0Ln5lQBLcRbE1Zvwq6Ifj5d2JQVqhjk+zaAX BoBfsDKbGL7se281Stym4Af87XOkpfU/7TZfOAkKePyx4intS3X374wCTpUJt6pVyF5YNyhYzSXX 1CuM8Hzb8Xa4N6ib2QKQb4vhE9Towg+GiJJ646M57t/Yz4fgBGMHGI22V1coE/SOEUMGIPcVqomC Y/FAjBBkVdTCNt8/byYrUVV8t0ZwPcBakE8m+8/IO/6uymp4nAndPkWl4/VjGO1cbsBBm3m4Zh6o +NxOVz8I69Aw0XJDAAeurQNEiqjUOHrurqzU4DJ30gw+Tg4I+WMLNMqF5jGjTYalNEMtVyxCnyKt aRoO8UQ7EDJzrTsLz06tWN4HHJfgLkCP2NL41AdvuH/nvnQUGjM8ZWiu9173vkzzEaWpBhEwToQd gq6OA7zbyi3q7t643sAdsm0o2QEDXeWMan5zKcmonApVoHFAtCJW1nUsw2P39Ooykq4IPkfU1AN8 kI/dZZHOdc056wvEihh5gKV0Yf8HLFC8oaTEtxSr+Z6hF6ao7dmaLZVLJGYUH9zAb/J4iMY2FA5l j3m/wByyJDED+fqQlvnAl0+M1JZyUoC+5qfLmc7T38srKQW3oGOFlqGf7ROaq3L37Rslq1S7DR0j MotOVTpjTw3dLuyrUzKpNyxI++XLbf7XohWiVbThEF+I52v6LZUXqh4neSyIyYoaRXCqjY7bqWaP hKd6B10mqL5PjeNi46KHiNOBb4+5QlmHFI9AiImZYjAHMMo9ahXyi2IfHF07MiPM5UrfSw6130DL B8KPpEUspxODrazsH8XzP/HgZHJh0E6PY6fbedz432xx7/ASXB+v/G87fkDFekfvdJBVPsAVpKGU NOQ8ukqsAd2S0oRmi/4kIg8TUNaihzy9Vx1DEv6aEK6Lebc3VCTU4/MhB5EOTcn8vKW3W+z57CKO G1vaIMU3WrP9TI25HKhWlAri4OhjT+dM2SY23JMbAmpORfJyIOZTFsTBEs5nEZEGaXOfFAsHlNlr pBtPvqKmb/KIVo1WwqLNiBS3tEkskc2h7RXAf+7Uz91Zt1CvhaulLpMdR3FDq9qZuIZvxTi1349X BgvRJQb87iW8qbnSlA6SYifQ2wJewqsH+6xDydmdCayUIjCPNEWcE+kxemRtR1DXuL5zlJ0gGkDc L5G3J7W15zur376Bjcod2cZsOh82A30n2E4kUWCHtm8P0C1il5J8s/uJXSJlPvK/P6O6YZOTcUn1 jK7vYqPpvDnys5Z11VWITDVE8yU6SlHIXSOfV4MegSG8SP0922kLAyfp6kfjRtvwC8oGHiRcQdFz oyQEs3N0eZuqBTcxbYwp8vjdrf+efyQofKqxOq+hB01gOZiuureY4uwtOJo0zLVjaLsr/Vr8qV1d 9Cq7AL6NGFQ6vnMMTuBbb2ouQiL+eWs3M9PQGA99Y8NIe5S4OYHLxaKQjBSEoIJK7NIsduWtK3PZ ennsydxEfCpHQSUZprlMBNroklmR7j1+D8TiuwHY74rrr1vfZvxOvFs8UhiSjCKdT/P6C1Ak/rh/ lD3Qy+1rFHOwzcepif00s7+9TqwtNihAW5+DzI+MeXiENrJ/Nt5G4z8W7Bk/MvB/13mg5LZL3pTj vghofzFevv+BmRSAcdOYGDjzjZzjZebwzwYCkfFRhYhjFT4Cd34FyOpSADgEXKo23E63y0Y6Yr+G MvM+S5hEPmC7oWK4RtH+Nqv67919sBKlQNWYLjmAbVmefYNavmz4nEwxG8o3YdQ6UKT7KmA7XqEz kjLYMdNlBF1HdQpCmZ/4vMJQifiDAhoQ5mXWoxrvf5QlPeLflKu+oyzoBE/VxkpiUaSN/fl3lYdp q0R6y24kIACJ67jnJhjBfeLA6k3e8XPkGm3JL8IL8FN/eaYvt54CeOvquAViH8Sph3Zq58FKKL2d FY7gHFvqy4y9pAoOEfbi+yvYxfl6wFv3HtEfzWWtj3P0I6hIM64QlmGjsKZTDirJ6aQhDDIOR3pb sfrVkBeXUVasuuKmTx10CRktuXBlp/iiDEz2lCkKvGTYHeXcuA0rDt4IPeEAljJmMA9g9LP1mV4r yvbse8KaNM5aijKhMH6JB9ngAx/xCcBjhfu6BVRCqlM5ZNYnEJCSP29ueU8rXfJNDPdfdWXdNx4t mW1WJk9LPw6NYqaFhU9vjIQ4efO+uJe/V+PaHYLBvBN0yPvWAgpuNoOJJRF2/HxlTgd9rKNDbBy/ wEMTnIQoVjuPgmbXFUnxK4862wp2STIhw+byb584TPkZEd9m9v8+TAergKkexGuySCMsUAJuqtoK TsAngN4XFR02BmmaLnzySseR0uUmz7HP/FueFV3DKdPESf6dkbUmzVRHoNWiTEQ5R8XMlt3xwT9p H5q/Dh8fe0HewO79UZa2ckqJYOWS8ZLtwVhcxMoquWTY3ua5x1oSn2668UO4nASEI89am2FobGpq Aw4oqbTcpah+iycMtJf+IxgF4f7MFCjqmFkEcKBNnKTgG3tKr6FFBeswli/qTFhoFQklX9sPlbEs hQI/BzNpCuQSQYVUD2Qz/tbNjk6vvcSUDt7EDjlCvxbRQ1n/LgdAodXEUvDbOeaNhSNqvOmVqmI1 2c4WuysYH7LXpb+MVieD98rSSXpoqex9zjdvTfoJnZxv+MBaQfwXMYBY5HSuiRKgflaW7TPN+SGt VbX2z4ki4dLajUXjKs5C5qTfrGGcOEq3NnZfCdunw8ft1haNP2Bjv3c/w8Nh0oAdmkWxUvWuMbgE 47a20+64Yee1YRvTwi3C+/xuwGzoQmxTGUEkhrIGv0wCMrD7q5hmPy/S1cBwvK/pMTT7x6Ix3gCK FXuHINwea0GMMvNLeBU0MSQ7LQquOdG+hLs0si93LRdw3BKpV2V/k9RTuVs6wLi8vboo6jo1meHM xliIGbqoOYrCshFwrK6vjkwlNR7YKj7fV4j/4viQUgcZQPlPFOh07mkFMwxtiW6fKDjD1Prt9bp+ WSX11M69ionRP2+zCrTA0fdOYHmf5kq/qhwIL4y0ag8o0dsUlgYy6LM3cfphz+0utu1uDG5UjxPX bP/XBd7v+yiSIOEbKupkTkiRpuk0lS/bySu6Oba9+Dp0sp/ZRXbto2/f6ZPjKjdZSzwn/UPdYCue /MtCAoO06m/Oks5DDFlRdrO8arxpfG58QbRdlvO+ax6uFHlQhKxPr6c5RJMwpZ0gLBU0ZdPtklIs xXln7vmJ897F78gpresW2bNx+le2JVW2NkqYdHFBa8lRmyrSfUL/GVhu/tnDa+9ruVoTOFSHv+UE iCI9OP2S9YKpMxDA8y9ZtfVe2we90drar3RjWuO96fYLYWym+TFWogLD5Ub0TY3VPHnuKcw6FLjm 09sCLREkVWLCH5+UQjGWO1LioT0T2EK5BXz88537nvdy55aEdTk5T19RhveXbFCm9p8w+jYKKb+l FznwCl2IN/qpUHpRsNkj9TreqVQvmX3dIIeZRlBhc/BXeV4o++Ewbe0kRUkzV3yC5ugqV+a6SIa4 GLRTwhBnzJJKE/PzywADxgyDbFL6LwjE/lGGLryzEefVYNE5tShcOzYyxWuYx3UY3Napm9LtU5iL 4mQ4lcxxbIobqbwFjeDDao9yfofqMsVYaUnjSf0AwzsiNpYPu9EVcw3TC404Frd/qMl5lQvxyKGi H+UWgMxKgNhpXUuZiBJopmCsm/H8vtE64l4yVAxjgFCZ5u94pf8GVCFdU0qRnTyLd6lmkV0fHXhr gDXvKv02BjQwQdgdEASXRapYWFwNWS6NJzzO6Dpd0fJDS/PZuvjp8arv816twVSxArxe2c50MpcE gGHgBvDHmyGRLgPT6mPqC3pnztcA43/90ndbMqof29lRqTTOGNIXA2GzaRqc4HoyAS66qvvQoU0S GxoKacz+w6v2dxjXAg4i75ZP63ilTDclvJ03ACXY320Hb07QD+bECfDfmKxvXH8zhf4hCuoNVAUv 95XKtfpQ4h97DcSo5JFLsWPYTyOhT+2y9mohDcMjg+LhSpwuoyTys7ZxGKC+MX27uNSeb6n2xn6k 8AGBSitm3L1d7JQvT/4YFiuiElgNt/4AbT4LihUyhSLG18HjlUFajHv4dKB2tzxJbGF6htBKag4l zRpKG1mVNpicIygI/IJk12G3sLP9LMwSNg38kdfbZataoIPKydbVYJ3QmYc7JI2Fv8tZ0IThVoaK 5IZx0h9/L8C8CFjxfOi4/kL3O/oTg9SdwBa2I+ztMVdBErwxlDmWYURhGFz9iZXkHbvJHOkt32hg sIPYg0CArgFiR0vv9XKxH/uWhPbDMJFwisi+oIATn+4go3g5iZSNPO0t/A/CMPcSmwfyMHDa+NkQ cxyQuRaTRohTdKqXPVgkYKjv9e1iXK6+JP/tSWul4STPWaH+J6HX3lbxJunFheNqNqM9lIRva/Ja TYCyVGZxSbKmDyFsxZipfHUaaRviFOJeF+VRGg1tuYBmQFjt213HatM6QPsaw8mlI4Oc3zLLrPVv SDHc+uzPsnnR6uCI6F9X6zZ5/gGrixPBJEkDKKFoqDN/YVY4Bas/OsdB12UDxQHNKrrP335wQ8G4 rWO2w1fjGL4FbJIxQDTQLajp4pLace3AeZWW92ho5RDBiJFjn26l8hKLnGXSBvWFWOUyFUGw+FVp zzuO01NipuuyyHi1/J5OJvGBWzRmvuFkLG6tj4mqWehnIo6S/S+JHYIlP6oFnbC/F2b+ccIZ4585 yxXHTViVT+YDtGGG2zBzUdFHlo0+egeLTqrk/3OdgcyIYcucJxGwbGj94U6JxIx7ZaP/5kL4PKuC 5GmMAawJHpk3Jmq566N25PPL5MPL4IvSW514bW1eWOZluMgco5Y3jLp6IB3inYT/hbFPpZ33qEYQ AuMEIWX1L02r+1xMCit6tx0LxIilnRuZMwfI2jAbBnBZGv+yfR/5v1BMXBu8+cZ9IAeWLgWcGLuU /FkWvzEWhANumV5T/doHjUIPl6lfjzeV1as8d2NfA/ppjLTbOlTshBvcH5BIfQlpzFJNB9MCXc4f y2shyF+y/9tqlYRjPkw0YiCNBEEE39ySZYSkCFmKlOtAxAqI9QtABDK8XL1V8k/HdKSLzi/DV2UV ZmjIJRfsrz7UBiPLOjVVYDn4+fQXWtsPf1FiymcnoE/sYxkQCJL4MVtmFaZapMfY8H/3txUMuUnV 6IVwVOTum6Ngu2ll64mBBDYfjhy82UMiV2OyAz+o7PIAK0lx1/LdlqscOab8K+tEl3HQwbr1+bed MpGDjgEVdWmrcngm8fsmSj8dcXZpxhthpVLKrHpn2YsVbUOCzYmjutarc5vQuoGzL8MYF2e6oJwB S1n7qGdpVCh5xgXQplqWi6F/2epehK192qG4TIrfv6YkNwnOeNcXTlVeeElPQjD3CU+C+QAHvwhz S+WHmY9FO+Y8HaBzRHrMf/hJl4WHYifK4p2zhm6jFGIiu76c2PjNb2JuAxr8uKThJHyMqT0JHAU3 sfEC2ftl6zYsOvntYy6Uqjv5HamV0MeS+ENzvtB2mFfYN2oIN/msor0Lhz+EUqvoWZpAWLbTV1Ke zHoj+cAjYU3ifRrkmA44dxxFjCVS33Jdv7dY8kk+KK21+5vA12XZ8WW4oFDuBAa9KbBt9Ex8wusd o0cIXLMGXFG5uhUUNCrj4NlklCEII083pX+X3hsRlYjG+gfuPupSeMKj2y18fcacB3Pd+HA/0flz 7ro0JnLxcbJpWHcbd8z4Vl/e8AtVqbAwyQ0nix6NVgdU+Mfp7sxjUJ3mC7D6jlKTslINekqVHXtQ 7KvG0jIW9uTGkgQ+TTLQCNrJ6Mj9rVRL3BUF7qNLftnu4c+OCU23nIzlretX91BwNKBwyk2eaQTT yqHN1lNvdmR6wTQiU+HtDRw2ZgAsrTx3ziiS+Tjhg8zqQQ9yO2rFEzIvS8xwrV0TceJvXUtURC42 XjQRu0ygbebvL4gudhOr4XOwI6uhqsPG/TUrCSFOqz83II/7FIG5fLWCtDID/JK/mneEXbL0A3sY w3yMVfjcktNA9oUHVkofMPLjDlT9e+JIVWI34++Ebv/vQ5IyH3ldvLZr/yR2viFmPC2gx7zMwSWB FIIE2YG5AEEcj3BpdO2GpKt7VYBUy3ZkMCBVvqEecmnEkO1UCmvfl1kaKmTtv+RxNQJGfX6xN7LP dIzPlN0e7Fu2J/CNy10zpj+U6x2LxK6hslNzehnBYWKkKzF4MLm+FFajoccglHHQOm7YAdfsqmwv 13SVXIN+LKbHfh1hd6sBNwbxSPJmxza++QsFPww/msCNRAhE9QZvLsYFWC82fBQECxODWKUmDMDk 4+IzR+7wD/YNJ0i4a/212+VZ6IjcmfS23rs0PbkxD2ZRDYec2nfSknMEQHL5eOatalC2CiYdmLwS JMIvM8l/lJRU/oeW15DekpO8SkU6sT6H0Oeyu382YTdSZfvn9SsArLFe4wZRiYYLB052NmKDeRgm fpYvysZEC6nQ3YfqhYW+ul1bm/M3TnoKy00VWcn1WVfAMKs5vVv3lB4gBQIGa8M3jMA9TkffhJ4Z e78MuSHXlUwcaF0C3S/bYlpvj89q5CIBFz+ZeLfSsHq757YqASiehzSJQrXgWHcvORsH/pchlS80 RfHREStnOA8tchmXDhW3Soal8BZedX948tD3WnhwtPsgGiNODtcmS2VQEwmiHMMh+twxXZNVfBCR HWYs75GUYEAwhHqxo4tuifhb+PHkHoH8GPJ2yDEDqfPfOBJfi1JjEXNpEBpwzp806iYi9cG+LhHu hUqhwsgav0b4TipS2ObZDK0/Jxkmp3WFzK/uq3hzxW1Ywd4GXYsYLNoQ5PQn2m99ftXNq/KJnNLN WZ9A9nipbziZ6+R7Zy5HydjL/dnAqKMBFYazca10OHG1IZMpPsHUG25+S6oBGfx3J91n8yf6pg4U XsHv7/R6Ld3cWg5grwPrvIbZaACuEAwqXI5dGBuFi9JkhvM1YYoqxrPyhMh3J9Ra13zTN0P3LGiG 3iKjbon0/eFCjSN31pTMYGi4gFyN4vz8JfaUriivqYaNStQJl0RrNuuM8auBNzaTRiIlIdT9HajA bSpub5TFdqGROBFcYi4q1Fi54JWC5PWuFGfqhtBeuLXiFXhrSgsNXgTqa2WLA9/3oPjW2ZijRaEB bIZ6D+crIrng0DV2bJiN9i+PHhGadI80Z56gwQt+61b5trP+bkpNHbwl6xvrret1ZhEC7pC1HaER h3mzy4e1P08VGVjPqeTbZauitx1gnr2+WEFG9O3YeqC+2EdQEJdYSS9+We2xjFBzgylQDdKptCQ2 PAgtnB/LT9vUSbqw4kbDokp5RlQE15qOIs/DxnMe398tbvAFbNFlUtU8ii8otwJyI/tus9CtrXf4 uDvHbgugI+rRMnzjrXwi9wHb8UxLnfX+knnJwUCFpXOEbUmJfLsvaG/2U08Q2StRjqZr2FoQ0ZFB gSKIhtPXKlEgVtO5jvgztAYwr5M/XTKWJoDZRfTKLOntCOc15rGiVy+964gm6Fgwmf/LRb1Mn3cT lmx+gcsVlliUUeg7CjEWeYA8mejWlB6B5k6C9J5p19B+Vao2QkZSvZAuDKdmr2KK28K7lcabLTFE URwYofBoOG6MFxg0FIaDUbI5JQPr0DvxHe7nTdQcJr8Q9I4Yxg+xccfhC/MOroPV9kka13ALNqQs Lt2Qcv9qSXGNfBrPl/2rL6DVU2q5Bly5rY+7Qzj8ZC8yAlkP3W1K/CKtFoQCfnib+4MaQgIXHsve x4OahxNblEpX//V7xn/0bzPbnQjY5Nw2H2WFojZT/ZIiBnly/PAEaurJA4wps7L6BBEgjz5TiiPa LXzvti84ooMNxNDZlB2LOKCcicZDLfd0p12Bkmsy4AUTnch5O+wN8W9cZsvvF2ltHDmDakQmqjLL Ga0FY87TvbEi05sMzdvqMSyZtSAQRLI1cQWap1SGszvmCp+P78t+jcYVZ5uPVyW4mcKrRAdMuBWI /FNu/eXzBSFq6q2pTaAIGioegcT/hNvLUev+IVp/7gnHRCwJaEAFJgcHBbzsXPm1htQXo+UDCWK6 NeOy+JYuYoXzblfkVHO/q23vKz2AOLTrMr3u0yqMxOeurdCG9n9XFoRossXhVF60dU4pEyeU8U99 eqn4O87F1k3M0QOCjfY3/1YYCzAcziCO3DGFdi42ufkPgYH3V+0X8oUkEk1tV7t7aiLapq949b3J ynuZHZR9Cy1oQ0lJhIoBsy088A9P28oJSTGsM17DRjiL4TE6TQ4Woh7C4bkcogfdFsqCqpGCIYZO tTia/iMsDcDGucYqkgpfttLv8TzmusCKeJT/5ZTe6VZuST9fUlB1IUT8X72jJ518Bk48Sj0yiF8C a4rS3hk4oVMaUT3Xr8DEkz8rcI0Yqi1LkXy9aKiGFByoqBpJjadOEJsF0YL1r95X/oxcN02FmTM5 57P+Xmq1XO8k9RsjH4Cw/NvOkzur7qP5NoYZW46hv9xDh1ExW3lvM0K6+DaOKOy1NdvbzkN1kPD6 932dNFpYAPtUf6b/PbLGM7BO5fjtVm1txA9n/GG4LuMuzPep8EQY9O17QG2PcJmv09Q9hUOm73ik E2rhSM/HOT2MFI2MUSJObCJOA9UIEGoYugEkeB3FeORc91QLapRoAqMVsEilQiKWmT0MFZvZHkyF Uc4diGfJT07NKwuwzNaLk72mpndIEe52qKAF012yQ17KkKooreSEo3JVgPequrtOG+QXjv6Vq/2r hL4KYN4s5Uslgqp0ptM68Rc9FlF6so6hQyuVbcmSMKHSN90XD6rZkqWCTvsJR+qsXyzCewF3j3Fc R2ahFLsXVKnKFZExjJhSUH+b0UJyHSx2Myvj6LdSAIQzlYuXl+eMVvLVGpF2IP1CNONc23tOvfLk deM5wa5O3ga5I+VW4zT3sCL6qYWLXNOORtZa+bZITOoUgSY10x2p6rS68EoBSOwksYcx0F/yWq7x s9cYNhDb3+RvJqB3jFGJleHQF1XU1zYJGJktktBQd8Uh+qmMM3XzcCSzQJjks7a0cGgWU0Dg4zxu f2tnT1TEnogGEGpo/h57L1yGdR60GXUVK/rrUkblBeis3D6IZckTaWIl6w19FZdD6C75T+3YYgp7 7r4GERNU5iNIbHi1cf9Cki1Csna1w5/2ic1wpRzwvW0AVxtpDyGqg4qUu/Pq8bS1/zxoDQ25rhNN rp0CF5UIKwvSUyQhvMcKS4XWyDEMhnoV0csknsUdmvGvp9G1/iz4wmy5GbMJbGfhyMm/fBevFw+y 2QumnDLkpqJ/PN1SDMmdEjFOkd52S0KqV/Gv9nadHo589a2zpFheqf5lApQELdyzpsDrj1Vfdb0a kKMbuSWmhOM3Q0qTTYSQ/02SaYCMiQdfscp5lcjyunBCQeXdAm07MmneqTdWvKTRjtmGNhtfPuei c65RZho/EDc/9Gk3C5MPBVnPyE5pTdwuHOm69v7qZ7ZjPN0Y598eh9srQlZ6a1VmE3J9P8j6mBCp pOc7riZTkecSl1fvmPi7UCek5qjyeCom9Q37BSLsarsAOdD8XAYLRd/BfBVmaTp8B8a2/T5HugPo y5M45DZHvILLOhQ8NkCDtc/G5YU7advoPcro66K60gnbl/ysmLRan8sJJMqGNz06yuemFe0hOPEc oMoqPTR/FABokt00i/rmcY3RidJiSlr/Z4orTs65cjP/17yU0p3W6kG+Gy7ctJNxr8iHBK+JTXod BzQ9ewBmkTP3fBKqlmjYMEb320WwEDN+5yUL90M3ReZ/+VfDhU5GRH6YogyNQM8V7ElVE8zyqjvn eW4i8IDMkYuE59tBs85xIJ8wArGz38lJGPik5p4WuwKowkTsiC0JZR0tbFWlAjXTZN6dE2q6QtwW Zefv3VPnokw5Ix6//Q492PPqtwF3eI/FPTULiXc17dym/lkh3Wyuf932fX75JX3VmPEeZTIilo99 6yMWuNEjYB9S0pt0GraUFgB6FrxFJoKBNJVoYIge4E0Qr9DPSxlxa2Sov7NvB0T4o1ohqeN+aMai Dsbfb5mr+mDO7LCR20wwF/I8F2K0bMuPAgSSZ5otcDyMximPayyw7vefX0qpFIJwcov36HTOdoXu uSTPKQ3HhfFTi73Nc8KuOr22OJQN7Sk9RUNgKVupGQ/ZDdz7ePGCrKqALZMX4fQAaxQ2xNS6G9B7 IbPCV4mXmJ7tRVO9FPZm0dtb7eYav9t3bMcAuL05GS0/f/ZTYv+L57GZZXewiehPFwN+138vVeGn evL3XytYdjW/ZhzAwu2EJNdlDoDmKFVyl6V90cZ/IFloXSTo9rbsMGdRX3mFUUCZBp6m48ShI0Ck Tvs1JJ7WXrTaYsltzmJ1IooGQQDq8ctxBY6saGZ8VE0I9pVLO2hcaHwPJqBvoH4Ry/rx4cXua5dn xgWIA7gx+aeQR466d7+GzAy6ULQlwdD0kiK1VYcuZhR3iVGggW0nbfKywX8iBInfg0ALmwsVfIRZ qI5swIMlCPbPSExmS8adkLggoXb/0+9MizGivBWkz4rLT5W+ZkRLIxWvNdBL8W4IkBvlPQ6E2Ia5 9yPpMTdzGS44/L76WflVRB/w9aJqs5cOa/l6ey0SzsNOi24lut4DJZP/LOjL4ZjliNOPqF4WjVk9 PTURF3Y+oBbz4+LaT0HqwvYBrMPPmj7yz6nN2lq+PGsmIxtIcDsUUV3PrJ5jgv5NUWIdJ4S5fNPv /oYoJv26Y3ogT+T84BleyIraQQVNvS3/BjtuWV2L8RmUGgTWx9idPREoLuvjGwPh63MEi7XaO8EY fvAUQSdy+zeVG7f9E1TYqHBURqL6549InSgclxOJ4zRnY6IguSbo+P6z7njLGRdsaCXONzhGGkJV ow/78r60RLJnf7WvrUoEDtkxXJu8CnNHbb+vZVYU3FXcY3LYM1I4NaA0uDweze2NQYlebYxfTDJc 6lwgNC5EqX9GVtWcdsvR1/PCswK20HVaYdHwJP6R6gNVkRBlkE8uv7yPWKqDseP0a6IfIRX85tM8 wy2Jmtm7Rz4wg/MZ7KNwc2gjxFIMJSggPiJwiuX1ov9fHpzqLePw+7DwDRPkTWeUsd9JD7BvNNBr QXlOWG9ercwekslSqRGZqfhpiUNjkoKTUarDu6j8geh6dUXOGp6UL2KxX2WId2r4PGKRjdlbfoZf 5IT3P46lUNaN5/+j4UIPnIeBXhN04vdZ1YjqMs/88gsK7vh1kpFTlN9tT6Fbdxx3AvZWVY0LaCwg aS3WBwhHYOZx7wFUgrnwCito2wKHjDdxoOaUdSjvLf5Xl+hUSlHrzNxHLP+cq3dCHMbXfP0uXeUl BGGz1ep7mhXG2IVjIavSsVgdX0zPRCOkhJV98CUYtaEMj+eNi7rN+QF3Yoi7wn7zwnh4kUrjqQe0 b9GXCIk+GrpfsOjFnOcHwJ58G0zEogZ1xjUCZcW+qE92In2VeStTTv2Xfnk6ZPGo1fTZWy/SjAC9 IAUFI03Dyc40LPa0NF7OnBc/9u6eE/38Aynkwf+D0jzJ0BFqdB1/9cOZMAygXKzdYEY9TJIs6RC9 usP3CjqaPDG8NQ+xpj0W4LVenlsnLHX2yc3PaIC4iF7GcSwzey5lD/go3s+z9V/Dkf470s9YVIG4 7BU8WyA6qnkMiImFp2H54hNz2s5S3zDCcU4eNjJ9v8BNOu+1uKfM5XUY4v+Wuqp3P0L0VF3jvTcW KlhxFsFR5SLBspRGS/utmhaoq2Fh0lbGmUzo5PCRkPrp8zCrvt1oTM+k0ZHgYCgCq2+5UKymxFin M1O4SqSx24QA998yU2psNBK1HFFPPdfrMdUQJiVFWIBgR+1BM0purBInCYuzEirCx9FkKk/Q2S+1 P+l76MFY3LSsXvPd6nB+amm3J2ju3SjVtfvsW+Dt94z04DTvSXFqyDk8kQhmFhU5T7R64hhn0iPj T7u00VFjtBX9hcfyZRK7OgzxpScuINQitb0yNThPVwgAyqsYoMlpXchcRqE9aukTKY344JTqzfmo DzYbJD7GAPZ18+wZjEU2gLr/hUNog7Zgtk1fJ921hLUK3e6qe6zMssDwUNU0kdyJ3T8ZIeT6pNam LWDYfi+NsikY3fuhQkgJ++Dd7JQyGDJ5Jg5oLsDX6RDgyPpouZDBteukiYxxtrtylrm8aEapcPlu 6LeDf1dVP2Afib0M6RA7uLkHTcfhR6xLkDlGdAvn4vb8NY5dNjbTayYFI/FEgCebvBI68t4UrpmM MYTwqkr/h+4fDZjYg6tcVdmt/J1RbmvfolWf6n2AabHaIcjqlIIu0gs5l45EPN5s5aWT3qciFt3M U2JFFpmrSI3zjxdjA571lLWXRm1gM1Wz/K6aY9uCvSmRTgp9RWflcB33CCq3548luJUS8pxmD3BH N2XtOmovMIHUcudPvulbhm9kmkYzEu00qSp+OEkjs3gNvjCTZ5m75Unfw2atwaWo+0kABz3JXbZv L70KYL0B+hf2MSMVRx+Lc6XudMOZsVQ77Kb3q7SrFi3rzvdSUhE2SDcun/AH+cgb+qBA6qeWnZnN ayjc0LBLwUmi7xjHt6Bm+0UoqhvgpecCV2nNBXHcYvFZNReQPZ4UWwYE7+4TsRmlyer179jUH4Kl Ii/pDiCBaoJWULis1XxNODtcUed0lXiPxrlzxPbmzntqvpNob8kgpkiE8ekMLYL8uYy7YaBcpqqI BFkN7DJUrTJ7pBqUH5vleq0zQVkPjHj3+kVdVoQDGFv7fp0D1SEJmlrszZzyncK/5+rlH4CpvSgE ja+e4xPLklBRYRNaC+jO2tA4Xf2kRnjgrs5Aqp8XVFCC5mBRm/Viaj6qQA+bd0fQtAiqGyg+IChU bR39uv1Mh83dJAoMB/zfCkRMiQthYomooXHQGOPQL+01S4aguqSNSgOoGkfol4Zo+924YVUpoTLc Tf1Lw4SOhD/6h089dlSFGrU52MZ77qUJNUYi+Y3ehXh87btIqoiQpgtpZqqd8CdMIK3zLkvfhlaH 1qKlZkYR/pHXiaWwqeupBmXZjbYtq6yPCQKAnButFUNHFjrdUe9koUBJS5IyA6iSNXJn8G+tlHk9 7Rn1ELq73cCS/CeIK97GRlOIjLNA+gL3UN0FhKsn3rx7S9w+f7p8zXvDNyW+ZzV6wSLdrcsou+sX 7ByfJBUFEAhEA7Wx6b0qHdfd6pzdXXZmtSde4OH9Lb2n1TA79y3eAqQgn+q714mb/mQLqCO7xUwY v1jvejt0i89sPZ+5WAM2IalzicnSPVb2f7xnjj0YtBYn7XnU9lQdIInS1VIBuTvRGCpX8bO2pXss Fb3ucWBWMRPiz8h4IeqMTj0kbAAEb7lO8Nq2yuZCL7zptcVS+k9xdaTb3V0pc9GHf9Sdm+PuE4cT ax1aIKCOpce38VtIqoNnjx5MxN9kkqsNaN0c/USRgtJIK6eG250lsqEY634vKw2g7BEjGzd+nV21 /UCvAb91G83vAf2KkOvd/VwkpWnlGLaeu2muV2iu/PXiLuai3UkiY8SphOK6jlaOvTzUAhTn+h6f HS/AZSA+C6YoVVyQbTa3UyhP9zEiEUGTwntHvvOrDR6n6y9pIbu1qrENKuznmYlmqeWoWuHdJYPM CzyCLOcgHDmFD2QbnQSctLYWnN0t7DCpl/kvP4UsS7/OIynPwCKpuqpfln/tpLz3NixEWCdbO8qu zj+6uBM7SOSKesg4ykb52Y+OA8TVR6MLfUkCM9xIJb/RY1TSjAt1Ab4XFaUMKGNs5c+b87E3Sw9v X0qA3XEYzxmI0n95PxdR39geXF9EaUF9SE9JVc5TVSU8rUXae3p2ITuHP3mB5e1GTuKDcjQmq5Zp KF5kLbQAETNxUkUOuum+IkFaCjgh40HxswCtI0ddaS/INQ0NSqhHjc2AXT+cTMqbDVR6ptH6xp+F 0Ui4NqkVaXiEL2MxdBdWSYc/lfpXeusjWNS0j8FM8EgHWE+xCIZmJBWMJ9NUiT+c9BRs9jz/G2V1 6w6kbSdBCWbP6cvb/F2lRCOUaWARoajgdkxxN3HUBwJxW6kPZAqnSElt14aZN2Q5tCJaDvLmgGhm o65aPvIWwtiqxWyCnrx7IXXsaq9tc4huE7Fs4ZW0BRapVRsCfP4lvMi2HqfPYOGWai0UIJ1+a6hG wXDYHj3Kr7zBGNpcGX32gMYE17/6tANZoqnFPzeT1uRQuusB3jdRAsV8Gz8XvpEDfrcaD/0yL4QL F6nb7arIcS6XelqNm5zOqmcscpuzDn1Il6dH7au9i/P9lhi/EmErW+s7Cka4B+UUi90a5qR5G0Q3 NI+8bRpqo7AjGpMw4L9R/RKKACNXEMYWdlb6TVoLpCjioVLIm4C+9c81aqg20XyzC59+kBc32Z2Z 0F4kJecDghmRtzOxkG5xpCRvCnnvttR7ErWKaF//fs64qqZhHPOqaOF5Ha3WodfiwtJIKAN69Pd8 XVNjmWia/EFXXTcHfTO+lXgLkW0tJiy/6tx5Uk1t/M/SBfX2MLd6mHZxg3MqgSTjh2opv2B9wQ0H QyXPP9wPk3Jx93qqXiV79EEKmDE8bYTdKpN5EYVdu0iexMRc/WpvciMKprqFdyb4iO99Pzopv691 cjGYF2l0pfTr3QofgMIewSoJlq7RnXurYNX0O98y2fS1DvDErhp4jM7s4B+Ru8Vorpwbd0pJQBZb Hc1+F62M0Jeeya1Gfb4dJZD/ZVTF8p3OTLn8EBBXx1MSrNBofVKlVJ45y1DCrR+AiuRzVWhOfpau 1L/KGKAzr5oRl8JgXn4SxxDgekekZOO40gqWGFaJp0Qt9w89DJ3FSSxYwfBG/ILK0PaDC8RzGU3j hOeMGmW+Zs2XC5LhTiJaZBYCAPrMMPx17qBg7QOpEQtBryMEUu91SInPRjcSEdHoL41NQrVOg25f k76hhn2kYW8vFNh3ePafoPjKVxJ1M690g0/pFhsJX8BnW7ToEpGbM2/Geu4exdkPvxwcJnQ29E/H c0xB/HB1v+JUA/ZyGqrHkvYv2YfCg6g517FoYlTXRILo3Lx8QeDa2OCxi/4ZUwYhj7pTtk/NNVW5 /NzBiRoapsn62IDGYWHuCE0AZGwTCp4BQztECTxMMTH8ltwegD7JHpFTwAd3sFh3Y1yF3WuzZpFh x+SgS6GhWWactdqHSxCTFLNqthdXvwgW0TRcPWDaGUF0Q0iWT7WPHB76ZaKo6Urbx7QqXG3VhQJH k2iN667G48bEv6Qhr0PfnUgcmUT1eDDCfvOxgr63tNK1IelKvv7yKomAcxLc0C0kAespgYH9cX2N xUDxk/2xKLK2zCxKM6S35o9RHyfaPVjclFf79J7puTtX1SLJcVcqkg90HwzG+ceclcGo9ZBtbxUb 4zEYQHzlSSM4wcSOnJprSTxGzIvcBQ5+eW51PIFc9BRzTYQQV6YmrlmLpzKv8xKaTpHzTz8qU/5p RaDpYhb+2aqXNZmxYuk5P/wm+cZp/fRdjY+q+8YwCD+6Z5VgJygvpnCHdxJMXoHjsAi4pZDnDEN3 TYDtMq9e5zhk4wp0mhtViItaZ0q1Cxc3g0YzsKo8xFhC/16Bkz0VkWVsaDWXIKruOtVsLPZ/Y+kQ 0cTd7NajmiPGaIKIIiLD4EIjgCXH+zotiWmfVu8HfXgSB+vK5iafVECKQy7abdWCFWG+GPGIPwlG Tmg9WZQiBErn7544Dvf3QISp/GtllhsR3WTeVLOO0qx2K3pDgH8l63u5aZVt4YsJb1DeUz7Tv6px mxcdxPF5whQnbhr9ux3ImpekOhgAvGgeFNxKB8r3WQAx5ALujelJLJh5NGxH1Vir6OvelLHLYfn1 39ugEYy4t8/dE6IvugjYRumh3PpOxl98SJR4hW8E+FZjCeKZRwxPCmCqpZPbrDIfKfhtLe0TwGrJ f6hF+llKgJqawvGQlfYTj7z2w1LacVa2SM5EFUXotvlIjpy6oNpy8jxE+Wy3Sujfm+a8EhqM1m8v /vt7pvsmT/A5wKcN78oYJONtN5xgwqOJciVECzUoqPEAh+uiyo4+2ROeFISkNzxgvw3EMWPfyZ4W sC8h5VMBSkYelXW0x2xurxLvDNeDxAtWBOd2T6WbeNOikVeZOzcVVi31sBj3zJZeimQH88oq5DiG 2U1AEdu/P+NiBRcHqiPDFs53ODm1Uz0o8pnKpZ1zOIAb5YRu4wBCBAY6vePRilBGOPxpZbsUReiK ESyUFiwiudgZj7DU27KUAigH+3JBlNJELlum/KoGSxYAoSV3uv/SjjKG4Fqld20+ZTscudY+KGBZ Vd2HXfk6QCbZ3wkp3CT2ymJs+a5D2xWEn39YHEa+woNSUt4XUfZXvVigSSn1IzuwEdWOaZ7BK4F+ dCgC84pB0x+1EWDYLWdyuZfepEX+armijXOHYOECjTTZmpSQE/7epXYJu1P0folR7XmwpZLN3KZd dh6j/7n7bbj8OKcCq8dDSqtQoe37Zs8N/i2VukxkWAX9c0t+apAhaQVdS1q3XktOn0unF5uOFJr6 +rGY3131V+ZfqfSsJnqdT5aRPRmb8FwWVv4zHlAyk5GcskH2lIAIMrB8WuoXdbCig1JqmYNDhPbV N3oh+U5YJY4a9Ar1SVUdc7fDibHYRdAHeUryo9ERq1BBJF7/REQoPioT4bjwNNfLzNOA2iqgVKm6 Z1Y8rv8QeRYIWZKKUEaqn3L7SEuqjeLRDjPJe5ktCsZzsAp6blQWODTj+IM1KmBhkzUbbCI/ouNl RImtpf0FdwJxX6k54V9yNh9w7b6zd1dPpsTXS47daHbZtn9bslZmqxlqBOhPwowAzu0cNTHlcTrd gVDx6vBqh6R++uDdAqLhXRcuwPtaiMRo3/Hq5goYVQltSnP9Q1IXJpPLDJl8XkMdoInsV5KpWpDL taFj+euc/sFBaoteMN+qSV6pM2MbibZEKgY3W2ZS35NWHLLgJZALLmjU+8N8XEBGIKWWvQNVRD5o lDA7CCh1KqhIIvySlURidUhLHaL7blpBcQmOhFy5Ahtd6+sAUyjX/JeAfISMY+G319AVTVJICMkb 64j4iJrFul0a3t4TRwKM7yiJPkxb6uWOIJnLNY0tW0rzXU5aK3qp/kOfRZ+HskIFT1p1Is7sQxRo 1h29yT0HaIqOuB40gGOtzcR4A5yk7FgR4TigsT7rkXWnJJ+Tk6ufMsYHTeVqf4R3Jl3Nec0eODCD vEjE4Bnr0Xq5IVXo5eyAc4GsnT08XgrPEDC89aLDBiASQ9/RR5WjmcDL+8V3PIDJcQ/Pbie5GYlB 8H95BYBKVbPUziYS7CK/TgUTdRsXVbbgVw0Uw937Qkxt934uyHgVT3L3gqrzqQLEjva7MjIyZSkw iyj16wJ5G0eA0uRXAYxHRJSpnxC4khsj6DPNXQ/kIoWDWCI6jatj3Jd+3z4CigwnNZF3xUAA+i/Z 4hBRJEfh3LK3sFR+sRWSr0byfpfOGu+zpF3KPrgDbGTMniiQp8otym4OcCW/gCmGEgKD9urUH4gZ 0f7ACHpRfDYNgh3jpkkV/PCl6zdVKIkVm/3DJlsOQntSuwaP/1bh7auVB5hPYMIESYdNf5B+vcpO eOeAtzYbKmEqr02R31hpezZnGbcIgfj4rxNLUvLm7RAWMxlwPHRK1nH0t+xZRofOm/DantwREH0z 1Pm9izlFHsgYrQ1jNy4Ym/1FajaRJkKwYh73TVEoSzAR5mjRVH4lqBKCL6/Winmisu01YmQGAeJ5 T6fRaJiKVZkWjIvDm2zlbXG5PsnuzGLXP13vkf1SmVCRcwKHXn+LDqIauIuksQ/H5ykKo6VO26v9 s/LvnhBN469FvQAkMNoSS8AOD6K+RynB/h24dWzZKmqjib6tid6KnIOpOSgctySBgzDe5nKNz5cs TMs8vrrR8O2n5fg4PaNWTiGLPQxdLkgWYzkaGl8F5BPnkuUefATI09KZwUg9Fj6TBVOTu7loGuwv IF/hTqVDuNzFBCO0TKwpJvCLp6/BP3qw7fmWLAzPteDYh4wWzIr13Vbi1Z36Uh3OhsZqXsjqj1np QgveeYvcsPDqk5FNWxtjdDR77AtOEmc4KECMxrtQcB+3ZfQg4cza47fBzEFJdsgTN1U5QF7D1SfA YjDc5Vp5ZXUIrIgQs6O8voYn+Ps+erVUrwZUDL8IgCj16SrkAillQR9cy1tWU/+A4bc9L50KJPBP JPrX0kb99pvULelY62HEIYoUt/Cn23tziN7BMx0BOoMROI2OlskrzuYlQ9BQbylGhsu73e+0L413 Ob3Cgq0EK+B3KecjU2JO3b5sQEGzW4GDIGvktSzjasR9xNOaaHEGgmXrwN3muLhMBoIO1JHs+9Q4 zNRYRKWeKAdNlBHS55jjCstliW32ExKc6HshKdHkfPoDCzLuWuW6ZmMFE6z+bh0l6JmMdaI/WaeH KQi6HLgPDhIoSvIyOPBaq5ldwUgkoGHJJACseJIbDYS5/1GRDnlXD6qzPlO608usGApMzzh+189Q oGgn/Zrd9Jdg5rYsra1XBIn9AfD16+d/7aMbx8kVPmrjV1Rg3rz2itITndIZraO5XqqxW0IEn0u1 zx2hrFPVz86tu4VDP96IsK+iLxKLu6kJDMX/teJIhVJfsWm0NVSja4Rfj4TaGFp/MEuFWMlNfwT2 Q91CuOg76QprJRxrKpQShy37iB4XQxSpsLRwdcVB+bZ/LywQ4/hOZN/t0jGDIgfqHWx+gzaEd2y7 rkP08X9YRlh/+Duzpsdgj1trRNIY63E0sLFnW6JzU+Yt4Tip4b9Az2fReZBVFLM1bTshvYuP2cGm i4FjL3TSa5YoSikUpr+EgJKcg8aBUHBz302SGHPOvcw2fm/TL1DCFKyh/R9epsxDJNwdPwTbP0Kq JRjO+o3q+vBhuP+k16lGmWp+GrlCxoFyJSlulEw34GIQ4bYXM3c5AHknmQpnMWSuWnV0Uj5KBfYy EkKSgsjc/Ti9c9mtWyKgTTNIUdUjIavhwj3ApMp2U7bh1Iz8oKNsc9vS9zxI+42tAWT6nk5ABcAU TuIuTyW9oCsLXduMkJwQLcl97pQJ2vyNq9g8bYTDyukFLMiYet1fp3MRz6dYZ/mZm+T1Z58FOSdo 26gqhPbGV3qFSkI74AFKl5NOZr3mA6XrThP1VYU/ShNQRNoWiuoTwYYmJYedFDTE65RLOPVT5O9I PPBH6VvEsjF3veBHZihnl4Z73KOFqpxOWY2DDkE+5hBAojJTiokvKCEQxXJmKmBK4ciM3RDNG54m ITUtZA4JJyCPGXaLvskKEBiDg/1gXN0xLh9EI1hs4BldbVTHbn3yZeSWvP/tsFNxS36q88MUXLZh JVg33mMx8Rlp7F/jElM7ldmhd4UaDcBubsiB2WmqA0EHcXhGDR1oaxqWgLiKIxPD1eib6E0k/AXo kt4HvdwYV/xqucX51CpTgzrgI+gu7hoqvKn5ANdCIEI4SQzsljrqkfKvDYvWp/XpFn8AYQ90kTel OQZj14cVTlJnkFYJOTEi0sf9hQYMjtXnpMcTSehPBVhVCQrqO4b8AwOzIH2v3AqVmAQszGyXmRX7 KM+4iIH/PWmOe72E+5tmEypTP19GI3XU0ZDiyta0aSuNKRZa+YILvSlQmcIvjJzrtBTuoB8DK+IO JbJQxj+iLzny3mpN1EnjJMKAuAJylu2X6r6c56drSUTNbtHFfKMkohdjoTAqzniAv31dtrHfJEEF 4YQl63i+drotBLCyrs3GTmCvgdAusAyhKOdwEZYsY0vNDxJZ6nVuW+tYtZ2gV4UEvPO0lvbp9qMg iGIQG47P5peZy7I507V7G7AiKfIHW1vwP8JmDAq6cbCfX6vCN9B/7LwFhdEMOvPRqgzR1W/k6iFT LcqTorvcBPD7insT4IJWlSPXrT513/UtF7KT7JtBlrfCR9g0+ZDo+uaPzBc+IR5q0gfceyobRwmk c9b7WY4OEmkRcKl5EQCLY36ikTRhQiC291UxVtVLk5GOCxCOWd1FMT+1ZUKnHJ7XSNfe+YcAbm7t zSlebVOUktdpsF8Vgx16LhVpXXtFxLw/+Ul92bGG25nEoahaOOIie5sm721Ul93OUdJeoc3FfEwu 4CLFZJ45v11Tg6QrAZPT7wDxi/hwjsSbrW3Vwyy0ZOerbvLhJEVThTnoZ6wLqD/aO8AQOlPnXnpd D1Zuo4m/BzGDDcQx3bs2b1R/KT73BhUK2/BSLNbqLKnmwKP4lR+zoqGhSXonPK+8Ya86MkhJ1t40 PiEpEIgwa3eRlnlmNa/+X2bxub5265e7meGCTHZbhO40B2c/9oNeJfJozW+6KfWCvzg+cYHp8N4Q wppcNB0LZdh38dXuXXTtBv16EZ/ZXfG/8LbWpSaiI9/cedpn6dMKAzJvpB+MWnXqkhTHFN+gI4ev UynU2uqSU9sdUtpAk1w9433kR88SCWw5nimYYWlSlGGSXSD3fUX0Jkjel0LpV+2EWxc+Q+aY+ppA yJQErKD00hHY8LYVKOa4DHB9Ugsb2ti/ZLGHbJ15+Dx0YKpf3eHsEhRjlKKEZT3WhN3ZqvB0R+Iy k+6Ev8Hl8jNBx3Un9XDBU96EShI4kM5/2YSljkx0UWKN/JGA2MbHdGnfelaY2tvyhaocw55VZf3A TsR++h00zxqsXrXyC0vV5SNPJkhNBHFkTyWhJZs/HMMIoPVxlPQcV+cCTy9ak0Ez7GXFZecJu3Uz tmbwOPQcExOF20OmJl5+tDfbM2DThbkkYUUyUfzjLW5WuR5wI3jU5dMLjlOckqwjnPD9TQRG9Evq iboNJySxHaXXmFfOrGGDeOW1dFNnqQtfjRYIfewAW+NS/hoWhJMHd7ssL6JggY9dn8+uPMyE4WyY UFX8n4jn65oRmNBpMkoFPdpXY4mn0wyL8S8hGlJS6GvJTKU8hzygVHp2PUPn5EpzEz0EfDTpdNhm d1J1X8+JThDe+kLZlTEv64UE9+JlQUNmj6yq0ScpW+YPUwcs6MM5PbfpfpbqA4hLoHDrT0ENlLiP 1E9936k9sfKgcUci+vH+OWf/XAkNjFcbbl/371sC5VitcW9TCeq7SapY7bWNb5ATY1Y3iFkQiLZY GYIdr4ufCjqgDBQ0YSgY4rHF+/CLr70/HYGOYHMdea45+nwQoG+Lm+VQaMFk8fWg2pGC4kdN233f 8C5NnzbgCkvK1WAVivflUTU3Y6tQFeMQnXo3C7r9CNW5jtvXubI2QjG95xzRtQzvORnk3YC9t5Gp EgR0FmBZ96gMDJCGuVt3EZIWaHfFUiBR7sgZfY6AGNsKxnn7U/79FcfB4CXJ6m4nPExgH7HjGQVp eZoY8oSX5U8F77tEvixoglwqSUAy8YpqoN8Bh0Gm/uQ1tJJjy//wMMHuEjifG+e/EJyS6EZgRj1z yjvXZrv8Tl79V+lt/o8morI0gqtQUJ1ftAddlJ35bUgj7EP0iZ98Aup36GtDcx9Ie68QQ1egFDk0 Y5FZ65mRvLdRJ1pKk6G1fXzBpaA9k48ZuNW+LzZV3h763JuJ+RJ7Tcawsar7Wc1wCUeFx7I6DkS/ xCVMlZ5K+Kv722IL2qwCvxNLaU5aTy263GukPybEvbuWedy6cF0YPqXRiBs733818Tuox8EGSQT7 1W9vx+IB0AQDmiQ2B89HJB67KOPa7rxtYZ6Rg5eBapAiCTqsf50hMQyPrnCJVMwsLEm+ItBTW4dw j9B+iVMTW4Cobs8QHs5KLgWvFddFxw+WDdCDbjKV8bv5DZaEyGC7uEHlR2mWS0cEVLyZbkV8jOK4 BQe0xCpSn8alSkW7EKNscX7hdLNn/oUUleN8DOg9Ib/VoEHdk/OvGo++J/mNmD6YYzrhSwQvCLRV LqdbWkeIQDgcHe0Ivur9kky1h2RqJci1Fqikv+3/xCAdrSgHXgx3q7mAKtHbXxzGH9a7WV8u/QYI hfwxS7wwp5cSxJrUc+UONL11922Z1pdxKDz7ezh8Jhy63RR2If1EFLOO1YrizK7/0el8OQDMZMhe GqBOUTgwmutawgdSoKXmLzM4/3GluMztUz50b/ukJDbs9lZPf44HufwYeZSu2QolYlhpStKD9Xsp iZKKaglJmwqLr52jYDERCXtpH694T6KRNdZ5NPLWMJZUdJMZlnuzA9ENqZp4uW3GS/XFVfB3cG3U CD6H3pmtLeUJMiGUOwbKti/pOytvXnvMTTyppue/3mOh4x8b3z0+/UpfoiXH0MCVdgShYxyKqT6f CqGraS4JwlhkmjTt4WPPe1mNdQzsXFANPQPdUKTOBwN3TNTmjVMccC3F7MTQCd5I4w+teHwOOx3N +5+GZuyVurU7RtJKimblWyGV+AlZwHybKy2gVvADvkv5S3jzkqvT6/cHL387rSM2mob4b4z7KX39 jsb8rBhGR1pUeUh1HZ8b/eLDyVWIHeg2z1dbQKgre+DIZTJ3NRD+HWU8KREWIXJdMNsHd8saECVQ /HjxuRFVpo71eEg6qOZKaLp1ePo4crXrYM1AaNlyROdptOpvA2uSP6qqrEMawvonJD6gRhNLDlWU TF57tScGuo2LdIHgKC0A8THwoJs5y4ElwN/fsVtbJOSXzZ+z3uuLeexPNYyR+6m1SbyQgYyFqfP3 NlbYwlM9HRG9WoIeLIC9UuHccZi+960bnhuImz1Y3SwtWPhOykePRt9iHSRcZuTYxn7wVSeBVmtU EOrLY97xX7o29+1YSO4jeyk8eBqwhDZJcehdImIHlhMsMGBCRqzJkRgrCgv9YxjiY2sTySnQWzJf PEWGyCJvqqJ4JYvF9hoYYbB5A0Acs1PZocqNBDG6r3xaoTbNWIk12D4qgL8hCEPVWXa7AB1Gz5zD ocg3wj4yDblBLDFwH4edHZjydb7zWGzc8nPpVqpOZYZ5dwEswCtL1s9R/8AVudH6IJq/Cs0jaiEg XPl5TiFM6EBvtxNlvk/RErIijba781vEhpsvLFEaQIZscOkTxlZpEtPOfblYL7qrk/XfJ+BAXZ4u EA4wnoq2rc6ANoPXZyxa3bYpWEojVnEwDy6wWHcEZEmxyIW7ZPTumMoDun1aWUAvtTllTA3P7sFv VvNi0QbY8wv9PXLZqjS3BTNENzfza78zPTGlNdwAMxIrzTCYnhTUVjAUKjSWz6yDn2oXzEqfr+nQ mHZ5GC7xv1scBkD6alBMc0aW1N3A331DRHIEeR8VT7Cfoeugiq5c3Ianq9wUVNcE+tWvwdTvx4oe gbeylq5WBx9yFsDiGD2zexk1viqq8BWa3vbbVzorB6WYy5vbgt61z1UM9wa1gCKW0WKXp/MoEIBe VtNmp1aZcyIUWy1ZUy3frt3emy1OnN2Mj3+q9AXC/0HryS79qyxNe+lXJA1zu66DnHDfvFh1ckRC e34e30m83Z8Y1eW2ql4Z/StkdKdwYEkjyreOVr7gLuufh8yH/8kYmts2SplSkL0gLau7HT8xSqub FIXX4UrsdHAeSBbd2ZyuUA1fRX+cqV8TVFY7aO9b3/l3pjc9TqprD0Gp6lJhACntrQlDEpQ88Sdi 20peUI8TViv3suLd3MTwqQbOZIKEJXFWtwtjISaQ7nq5W5ERmqxvxgcqCprZkVYU3zv+itwB4ulQ 3SsLXLyTFqe5tboe2A7zJFGv49RP5qVMJrihLBzkEDLSAMi2cGE/aV/EM6yT8D8ORolWQUT/SdVg Xyg9jRy/jWhL8AuTztEQ2/kAnfW2noIfcciAtq+QEMIynOtKFtGmKiaPO7PB4XMxfa7SOVWp6eny czRqxiYT2xrswYWmFXWSchxNCOXpWwZSmNJ40PGFr/YS/PufcucMy4w+UkGhqX1/l9B8XpB4Obia /m0IYymBt4qrfKy7G3DZS/YMgh4MUdt0t6uE21VBQjtvqZ1kVTPgspFtd2o6XliZ3mlM3U/yDpjc gxaJ9BE7i0yLaGBd0uCpm5z8Sotw/uc9B5CLC8h1e75XBHmPUKsQ4SpXtXPQnJ7CsT1Q4ycCU3dO ajt3D3TX3Juf4uccXGMs1oDvOyyL+5lVbvZ7gED3TqTeSEdil047S2w14Pnkar2NEfDdxxrobna4 SlIiRRmQqixWpicj48S2St/TLaDyvUTK6IH+MUbP5NqIJZ86cJcZiOP5SRO1ZOXirwz2UlJS1Hmz Hq+wtLfNkU104rYLbmc4t6bRqVLRNtEtH/UYLPtVG8TovlU8pqsDm+YVdtGUnLean3XkHkLTbT5A XM3yKJLW/kj3lEHVaCqyEUiIffnu0R7Mju/V9v5CQge/pom41G3NcfxxU0BlM9nfeTPwG762kwTi nx8rL5cFWLmbX5N8Edx+oq5xMVHVKx3r4re4xObdkAt5y1ONo143PdtHuO7h+1CN4fdpMiFGeFro 9pvP23ft/rL/vZL4/PfQnXNIeUIcnfKR+5s9pidvrPBQaV8QyMa0dSm6aKySQDbQ9+K1tJrijDSm bDLFYgg7kltO/rxaF4fEt5TbCiVgSQWtdDWHo98oJMKNOmBQvcCZUvxl05UXOb6AupwIeQ2werlN 2XzdWNwLhDVdN3rcmAUa4NPX5yuKVgJM/igcfU310oiTFIyhYT8ONknCmqCFIYUjrOC4pfbCtI1b moFhv674SM920DZUh9xg5Al2mr6KrPVvxVwtAU+ovRYM9XOTzziL4bWTR+suTQZnvWY/66jUtSQK Tc+RlSZ5E+Bf2F69fYwoIaq07OPTWwP6RYAjFKs4/2Ap4o/B/qzzTKwdaWhgQxr2b1NN13BiP0AB u7qp0ZEae9Neh8VPkk9v882oHCB/lU+KThfQQLy2gQJMy4yZ2viQYdx1UjDnjbVUB+30Km//nQhr azePWU3eeoTqbjqdKFD8fLBMefNN3mY4G2iqyW2CIo1ZZa31pMGvJSvQ0Z1szye5ZM2NJ6ASGbBP PSZO19Yn3QHsgbde+u41wEro2TcY7n4pXFvXzrhph8EF1K8S6Kv0YTPDIIXAI8Z/E98AO7uypgRa zPe03pXwZQXhUToD967ZaX/mys1ai4rqAPWn9PPOHqrPh6LoDrlVdBTF6wGaW4NTPzYQQPvyY9wY 0qV1jptlezirUkjmNcTQG90Kkl9zpvd7QcIdPluOC46zlY1IFho+a+uoSwQbPfArNMOpQR72endn 2IHS5Hwi+qDfUjCgHNZX0pexvz24Cwrm2B7IKk3YDXUwzQK1+1BxdOVJz7z2RtmHEjCaHd4g+l04 MxCgeUb0aQU65PwbS/sonq9Ne0U0QAGf/oyb/mM6vgDnDpxZVIWRvs2fbv3CjnQcdtu186GAFXTa E1yWmfUN76hhkzabTf34gkoYBFIBZGiuY3ZdmGf9Ho6bi7xI/hJtleTAQtx18lRzv8g2rbu2nk7z 3LvKox1WNmoGMa4XyilkeWsrsHTItT+uYqzGPWYuSFv11My+hQE319R7c8bvESIJDWDZe4CE3jr2 GFmdBBcdxJ3Ue/y8wDXgf60U7UymedvfjjlysH0U8f77ueIsfhu5mh9hMj464mqAILvCnTUDrIxE Uvo9nm4C7MA3EnZsTU3ASnlrcei+GfTDYFSAyG2QZQb1IbWqXSgSPHF5BnFS0NXHWexYOfhkEqVg snZN+N9IOTw6HeJZHpWklpqPflnGyJ4tCCvJybOVZIBWnWUK+TwfyUZKfKUGB6m3wbDRYSGZNLXn lGdxxF4Ct0YN+mOc+HoE92VyMJZ064BcHLRsH6eyn5DclCIE9mPblIKX7uC/82POlA7C9+k7okv8 eE7xyPm7AynMKuQKSgz5rrXdPOR2Od36gF1hFqC/wIz25nsO1fSwkyHYZOaBHaWffv9/d2H4SeAO O6zDkUellKmr/VPT0Sx0oIsgn9qJA/sxkgy7t3nSJaImHva0AjNNLqk/o9MFtT7x42LGLdwM3gmP YxsyzkCXjCDzFJtm3tJnIVKplbVzvpOqJX3SU0Y5CciKXhW3jyTZHcjCFKas3Ohp50JTwBOmgUNK g9zuJ8p9cbF6knjA+zZcdcHJVt1GzFQ7YUZTjH+WZX9b2kINBLTOrq4et7odFauSWLeKDn34GkW1 Mby2756do35CFal/laUDZLHGTBTOo4EsS8ruRUb3sE+80lJ9eJnxTJ2j8aUDOQXcn7HPJMIen/Ct BOgrIZ2UCioGTeBLXljPJro9XxtYu+zNHo5poSiA8Q/7DxzOB4voV4skEUClB7f/K0Lgl7XIo/V1 nX4gRuO0omrO7jac5CyfDYftVT8mscjNx1o/4q3NJsz7R7Bn6J++9Y2cdgJPFC45XgB4uwDMq+TC zjOMg6/UcE5Jrg1YrXhAmGcLXiPyf5U6tkspWq23s8pCMAbhPmJKvyRuehGM7HI9Kx4A+c5r8Sli GD4o/9R0yK9XOm/hjOmxAIxIIfDQTuo+LnHBUInmKqW+5jvr6XDt2rSeSX4Ctiug/WhD3FvV9wx+ ywqDaj3/emJzx6lqroPinjZxJYs0ueKrGKzeL0EdrVngjqdZwE1k4ISc+ViIdwkMs9N1OlJstScl FfUkkpt4AZxs7sI2in9Aj5nc5L9IG6NxiLSGEH+/Ng5P6DxiDHaLYhIAsu12mLg3Xz0VaIMx5rKT FrVbLFeEKOKuORDS2Wi78ozOsVC6ZMcoVzwvBQtAyWknxd3Rc0+f6HR4Km16SIphs1jhEHoDAa3Z 9lGVr77O36xlrEmnHDZPsNU/nhTY6xSDUuQqZ0eP5vGMnB8Z/YKAsU4n6+r/ki7f4OBqrYtIyCS/ tZlpfSbGBEyMItDiG7ZPUT2MnnGm2GGvkGj4qak6fmRysffM9qn6su+UBq9q9Erpd9XF0msj2oXh g9sTJc73fAb0VOrzLw3qau2sONEYwZJSOK0Ua+peAIsY0W3qvMDtJS1GuJURJgTztEjCtSMn3day qeRPr03NQY3S/pfedGnAOoLIDmSC+mGnxOO7Yz+X8TkXRt7k1XcsGa2uQ4wRZEvN/MTijWMIEhpi yElkmhgOdMexPlCdBDZuCI8UQ4zodVVkhZhnw0VwotGpwpZsX5CaQF4Oxe0KI/HYTEDO9xd1yYUP QclfmR2S1J/0EU+C/Y2X2KpsR2//EknHkJ4jtGbV2KvaFd15VhmjrcsXoCS/GXYv01SWjdM/aBx3 R+ds3pVsNlziX0XlYu6R8Q+sAhseZjSHHN5wE5EzbC4+YvS4VDYb9GQSH/aJZg74FpQbHWZ/Mf9v Ny0C85nfxizkrCUh3uBNHx9MwaDfVXPIJdrTYxg75ogtNNYSfXqB9gXjz1E1yAJxqMM9Bn4SoML1 FpGv5pb0lNUZyak5fpbyRvfZ3DwIwIycep/+Mdt9FcShLcETE0TuT5VxGaro8hkL5i/Y7zguNeRG o5aaw1mYFHSIQiGhXOOBeWy7HzfwsH/AxUlC6WejGWMNehEyVK3ac9sYac0PO63AsZf7XWK3WoVE 0Dpvc9f0GUZTMg3cedKecEoeTnWr7kBy9w/agRDg8fwSwf2ebqb8wHJM6HWyhYYbCGfjjQBzcAoy FvAy4QiQt0I5QUzOhEBgWhKJnVZx4dKa8KKyVtFzxggDIVJPPsXMpF1gMnDnaVmxz0fdAq0xPFpJ Z4HLZfuYmeQfyt+ei5HL0Er4+ZnNCjYEXoS5T3gMtVV+kiMR74z4PNE3AQe8D4eIQt3BtAyiTJdq i92bhMkGnZKPHdsxrKqv4Wlyekgtbii7UbA5aDZXeXpuoz5aUG4h0dG/lCXbLc36je9cfGktXXF0 5qi+SFehOXQWrWxlSuM+vSGVpWEyzk85mUndMLG+NOhPYW9d6lo9x0/JM9GOKNETtCiGRf9YJ0jk X4zbmHU/jIjZYFoXj304ypDc2ErNPj9JezkFO745IJxVHTYDEEEgZ0cbFT78qpK3SaZZEVPrb2vg DHKe/5D5eD4A4VE9fGIz/35jXQZ0mFow1HHItqY5hHgwjBnfo29BYeykcgbZBeAUWqAhNX+E8t+r QA9Fxb7WuedWGc0ARagdSzAozDZ6HNxFejjbaYXwOWuS4H30qqY42Zccyc9nmqrCOTLucYBPyAXN E2ENCP3Wdv3aGFleNodkVaKOqW3b `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/ramfifo/rd_logic.vhd
19
48192
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8 6zam55ovJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6 P6PVaPylNEwivSbuc64= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5 vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR VTqDp0ZVnyDWp2/qKN0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO 5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ 1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936) `protect data_block VOLhYhIKkXWjetLUjE5rW5DQXkpxt+F3F7mQn6k5W7YbdJ7D0Azpu3IcXaUiginkg1B5Th4HqWU7 osBSHKIuNQ9LD4/HNdyzIs2o3fqQ1P+qXRvablpy2UjG9YhuDEnW9OgVmv9f5La6dVTzEZojW2oE Jw9vS5wgzsBmg2AZjsVx3HbrZyjWXSjhihmuDmrZTJMaKDStk/4XJc+tznIH+sK8G8yeA8jtlQ7U HmT67xWdPf03iaiItCELiOLHHqlolMWUU2Ubq1E2XbrTQjP69rfk9bFHAqohuchDXshcW1rpTVcd MoM/EB9OGgGNWyHGQXg4uI5PDl5U1zW04EZvtKGgMMqDo2d3B4XIZbubk7UOE1twoeqX+iIEzhQb d42uk2oTeemZqxC+THqnNLCA14SDW2x6Kix1J7DqT59W1+KO0M42BfAEWO/4QAXclvgJHR7WiWy2 cgptGj7rDcxjbKbRvSiJ/UljMcbRcULenLv3Gtxylj+0RIMghWJK48W+RIqOZRZbE7rFLcFlb4KZ T/qWXpDzxYdGc5H3CivxzRbMZuYXgxBVEdgEwVzGVZgDlvGfTN++GnoI0AK6ukBztxJhIllLYeAd zutmH/rjrM72X4xngomKftDSWQ7MrBuIf3gIMfXXG31trMiXyNjt7JLVc36vf8GCGMu/F5Q3zUQs 2OlRMpgTg4heUkK3UZGWY117sVeLFfr6wk6losKqPD/QMOLup7xwaC8uk5jBlQaw9QkLTGhJoEdG NiAYraxoDirUYQaOE50v5vUqOXwdaSVfEp7WrsES3fVPZ3d/42yAidFBfK+B5gOg41GNOWcQU0rc Lu9WmkFuGibg+298643vbMjc4juikAunkj7krOUVg+KdcjfSrI8AZevM37uTWy30I3nQcfPCg0tw 6vFU1RDfa3DzblYRXmKlqDcau/LKTwkr9bPIN2krQnel/5wNa72oTWe9ciUL2c8DmjLs3zgjiOvI Ad7po2TP8ebwAplXkib57pWIY+pxqjEsDjSWyu33y5nQGR8pHeOn8iwivdRF29d4CUVqZBWpb8zC 4fXj5SwvtKnvT6v9OT8M0YSH5s55f2//a7BNio9PePo5CebHteSUVjXDSOuC1HSuT41elJ6M8YW0 B3gzDe9/X/8Ay3YYyQ1g1HYgU4kQKwuWSCxb35FGSpRCuMht1INf50pqE0+hazgN1s9Y2KL1iU5K C31mz8uoe9c3C3hjFkvhOw4t+pHAgULRfsmG0DeI3DOhsxnsP0fu33+7Pakv0w1jakB62mjEv51b c5XjoRbM6hx+EPfF0Y34iz4g0Cymdi9mBIOJIXGpLeOvHDpm0UXLS2I0Sbvvgoc6gpkwhSS4WKRr cvW/gSFR9SjY9WbQdSG7/S31Ajy/+3arxU0YG0R8QHIf3TRcMyDYci1LqYw2NtGOylEwpqVP9DI0 9SW/132zv7ciMCt+0kNhFQPuOzIEQdJ6iQmyESYs0PJ7hhdfYLN5KRqILQicbFh3AK2YGET+koHI mThTPugQ2GiyoziMq1/dHLtDHvlnCqYw7TQQPdtPFfRtqMofqKdPWbMZWH0arVJWvjiyUL2215oV c2+W2Yj8RmjiEi3LH8ToszBBxL52Rtk0pCj7C1qsKnCm8nDBHdmBuqiskjo/MSswALP9k/VlTpJ2 c8UvqfYq0mLUi4vua63BoWIOXkROxAS9bUI4LZ+U3Z0+2ohV5xeqQtDqsjUocDq7G1lEMv03gfHO YydiyvJjk+lcVtpTUs2QS1B1tco6tnV6xzmimjuRBAymv8F6hV3Wwdd1qxYxmYmyptXvKv9vR+fk isKaCMXjI2AkZcsmbYrf6LM90DqpkDD+hqyswhPxSm8MeTVq9KGLlUnvzduriJLNtxPS4DiXRmZ6 o/44ZJauPW6B38LMhzeZa37Bpot20wY/OfUgL7EA/KiX3ce8Fs42IgzUiTa5xDc9XQEkLsV0rc/+ hwBqUR327bKXnTug8yVhICQU3CbdsOlbCvxFxDu3rFQ0pRM0PJdDgp5hH2oOBbQ71fe/r1Nhmuoy FPjxkq0buqLHVRypTSLBIcwWZzKzPT7+8Jodm1gNFPoZB0wlLCdGU9dXyR1zKCDYg/RMGQdFWLmd 04tOMIlSPpOaxt9h92yfBvGFNC9BJPLvZPPzIuA1D0GS4a0LLPVMy+qp+44Zas/wj+CgBWCZAdMZ lnCJHPr1PkSVNw7o/YixDIKjh3r7hkKbeiEo0qGLemNMMdcj0Fqk2lSh5nEk6cfzApwbkMtqmxCO kPsDa3xX2LKfTeIHKoKOny5rECp8wJcUDegetyzvLSuGD24QIdaYzXjrtPumMDPNUhQgZjzf9YbT Q8qFjcU6nJ/c/rhSfFSgA9UHQjcMBeIDkk7x4GjUob6Po5zII/4m/Kx+QmeBz/D8TYFuO7UfqyXK ob28G53il0xBFoLXMr2DMrEA5ZhVS6O4NT2QhXupLII5KxUtTNqMgkBWgVf3k9s+o3RsOyR+n7Cq HHbU4HJGDun2nxN6xzQlbqpBq+SZLb4bgD4hHNx3DTrYZGFrw64qiS0iuOU6GCytn6Sq5Pl78KMf icV4V7ZdyDK77zIoQI0Q5IXz5TfB6BtyYHz3rB55suWbt+iXXSxyUauwB3RNbt8XG3SoBSndVE27 zAIcLjdiLuvbLcUJrLsURqOFhoDd77DFjqujA6xkZVwRm8/7VLllvSwZaNksm+tTixoJLHSOhm94 NVPveZfrn9GZIf+cpC1S+sC6MNiI9lX2zvv42eT8gvw8sO5tlLPaqmr5Zdpb7l+OR2pvGOotyFJ3 kdjnyYRqTNQt/VASAPNe1XjfS1CwhRj3Cee/9ESe0XCMI+nRwME3Sw1l7Qz4L/4FRTdYWwQGLyEC ZMTSaEfo2g4OvBqpMW8PpjVkO7zYlI8fGTWrQt98yyN33AjyFBYhR2p+zjFogFOjJ9Hb3YOC+iAB /38qvbM2+2j6syeYqOyKRlAvfWP6YxEtWPAoN1mDi9Z80sxoJ841iLwRkpKWP0t3rvnF318/gZ0F 3FzdI/RjAmxPztODhwRfw2B7E5YJ4V6IpnoiLYPaChldww9oQvCiDyUOcySm/uKkp3gmqqMch8GS uUIzsWT4pKWg9Zk0jpJMkKKKm6F8XPdZVCFZZWPr7K1zn96u9nrElbsgFxEjg2LNE0tR/dcIv/wU eb/aSsNNKmnTlp2Vg0Z0SsdUFdZUsWdVW8foqvjAqqiZ1S1/zem9wilF2B60JXR9wztX0VIhFesD /NK4x7icvBgsyeUcigsD9IVcbJAx/4bXeE3JcUZVT77WoBRTc2jmlnHW/G7cN2VqJdb77lME1dDs CXWcNR7OxOaVCbM0PIEdqlJxaZ9PRhJu+uxWmanywEmTK7rx9v0H9R4vYXRo1sWWecPISjeba3+C GZh0C5+TFvmphiePEJrRzDB78o6V67+Q5TNgJ0AIPlaNuZTqoj8cEg/LubUYz1Sei5LlYiFRMHIh H1FERJHL6dcx/w1isoHNJK1CZNwFH1edFD0alv1lq5n3wr0fYmhlssQn7HkjGxzdXF41aWMtzonw 8jyCqO9j59Co9TpJVhKXf4wKucweAdTk9w38Vy49Q4DPv3j0ETDWflZ8J1NbNspOBhR7AS6vHRcD 28jzM6I2sRkxwi6Hf7+wjiF0LBZcUZeQVgd5pDpD8px+Me3VFCGnoVIn9l8YS82vIL25UJxQqQAp vZXQ86zFFXbSohGme5MxIt+iQ1NjQrizfoToh7+cj2eCyXJuP17ST8cZa2pO1Em/ayBm9ltV5yrT SVFUsAAs6FVJtmg94tk6CaLmutv53EoTYsk8mPZFrdFV8oA8hVllucEtUj4JXcLr2zTdGkxhZJPO SpuaPlDfIHThkyfIttjkwT2xeeToXCpf5gIxzIS7dcE4ndat7EYq3PPGohXO5ZZKe0eiSXSyeAxX vBtAWh6uFpF2m60geL8vUuXMGvm2bAHZm8SZTCxq1KPi/uGoksEWmgUJc5f8/rRT++yr6iQo0Q/A fN2b3SoD0Q9Cv8sMAfM5HbfiPw1g5Vhpew08fNvrEk/KDHmAAo/X3EAFnXzfYHV4XA6i9Kz7rH8o W3n2eQMwBso3VkxTNtS9im1XZ+EitOkbQ9jO//STKyk/RPXfoall2EAcVe3+P4+9bmsPj6YEai16 QYYYOC8It6Ps8z75nnI9HpBSGQvso4jo7f/DOMvZ6TJggcIa8BdZLQIrtlHXEqJUGUfim44kcNUa hrlxM9XFtmKibRtYp17KYZXyuClrYjoBlL3MspaczijP8L20oiW5GamktvH0LilEY8/Alkoctfo4 dZ0w5cj+uFnsS3NlBAjjWsgHQLGpZtXF09dQL4la/rIaMiONTTOuSahWhumfUZSbwYuxfttU+5Lx hrbQDQq2MZ9LD8bq3ZHF4UWFUiDtjchp9ndrjMM3PT97IJ2cgzVPGEHtLtWPn8+jPv2//OYGYXW7 5TO9+vy+jccCoR6wl/sKlsJTdfah+Byec3cqeF8cAAwOKzwz9XlsqW4Wlidd96qyfvWhvfoI26nc ODj68T70+/A5rWUGj94hkKkj0tZGs+3vRPxbFnvA50Fu/JLKn8IwZ+z0RUgnx+3mLZCr+DIFlyEY FasG4nKnPH/1225xxD23s6/wMuQx3YZUg71+lXd+baDjz40BYZ+zQpQ7nf7Jcr3T23qg9yN9Xo57 9bH5n5d+R2PflSdGgR1+ExTZ9yFa5nEIHYdL5FzITW1uq4de/kv6LAv+20Afha4m7tS0LeqvaDHM nwid3v2ca8eN+qOW4FzidqoiUTMVeOGTCt0lPhjzSOuZ5XNshdFP5njBfvshFRiZDZN6oZKexcF0 SQd/mGfMoNnSJSths9F0arZCSd+0Vu4umo7p8kN1o11dF9MP9CgJ/ISciJzp5d3ZMilnxwWpj9Wq 63MEUx/9MmoHI9XXkGffCzohdUlj5lPFciXL7hERZCYqZefpEwrKjIYh4Bk6ZReDfcvuOhOfvKN9 VgBaLIK7m2MbQRL0qz1pFq1APVYo6tsvfisx+2JoewzcnQ4irMibLJEEKxBJGNZ+A7CorgE0WE3M l6XYcivRqUanGR4+dvL48PByHIwaD0rDSaRsjL6ewP4Z2wsVyf2OEAWAqYjHJ3z4uj8R/DZnzblk 7/zaZI9FRa596vyW2FFt9ijgtlJ7DimcfOyrFpDQ4uwQHT4viIcu0w98dJa8ny3etHNuVfnHkKXp R1Ndcoe3plI5WsHvas/co6VB2qzth6bdIZw0oLK+GoyWcSKd4UkzQ2H7AIJrLbFaKtwF9ix29v1M 1S0byI/VjUJsRomkOiPEMsL/BVAXzHUF6mkFhbn/y+JtCykEpdGGwvog+qwFBWd2c0SocE0HMYMW ZciXWDmnlCzBLlUmZnFXKC2yY/YQHpQVEQWnlH4lWvun+wKx9Hsm0pmTtKaCmCGmUPJQ/HiLUiHF /tXr4ZnmGDxmRsD/fA3cZsw1xyIXZV4EgfmSwbgyMWtIt4ruHAKEpLU7oc2JhSEUhDyQUA1E1qxW FwGyyWI3itYO1pViiWCqKa5j4qjXlw9ylCXjF/d19hNbb1WYWATNusqIFSX19LxNIdi0V/mOxJYC jdrkqrqck/7kJG45BNMsoSyJRxbDWdleMc6hs/VYTpVj2+6CPX223Axyu3LFo5KvboZntZnALlnP xHJLAMVGTy6s7ANDlpIAiDHsuiu/1qab8qoeUniPqvDXvrXvU2Fv8hcGbcyIhrcFDGE8Z1mUcQ2M DGTxKhxyUNWh5cS6gYVLYShacQXaPZ79b4iyrv+rps0LM3uheKqw07W6eaWe06GiAii/ow4rAoFX PzW13XzH1m8rxas6eZLamgod36M6X4M8Dnt/azOuB5F8A7tyu9gKM9GBWyDK3bu1cgsxBZ+6hcWj gnlZ6pTnY/j2ENDIXmfeBNSSuZmy2spE+yCI2JV5HvmPZBjCIhBznsiQ9OQrV7LjEuJC+TqgS5ZS olclMUCFxDXjyEJRLp6of5NGl+Sd8sJTfhrEMVU2KgbIRRoZAcHGcF3GAF+hAfSx/u0QH410LL+Q uMJSIazP1Eed3PgYRRNyWNaEfjs8O09BflT5XZGaER9uY/aF0VHv4QY1u4JLJcO2Xf1lGasx89S/ RIhXU93v9QYYGx0GOxU6uw4rdZ/cIbuFkyODV0e2UbR1cNjt7P3tzKjAcoGkQN9uLGnBV8+XA3hk pxochmb0JpoW1UjL52YQrswnqRftE8mEHLtHtxBvcp//zfgfHF5uj4QOUP5N1341/bLYWwOlORVV iXuj6xunkPdAj92yI6/RnDFVhTEKfWtcmWqy6jdmyUy9AFXNES6LTWMN44lNVmaMwEKXB+cEvow0 qFKRpZT2rTcxspfMISTnH+2yxvntl0M3KY9D/T5vKfLR7W03px1Ql/PB3EjiVPaNC4DHtnN98Z2B z3k9uBlVPmz5JZJRGDXlyWr0ArlnsI/y/pAr0/SfnpE8/TB5ByTkYNfooYRb3mNYPX4q7lZ6gBk5 T/f6BwFl6wb2OClwFu9q4uLq26V3B0LsS7mvSosrnlSs5h66FMw46pkvdXhTXm0aSDfsjjDZUgjZ 39+EUR3mzeSohzp1qHQ7r07LFbmgf0pVrjTjZ/CgZWTyd3oMcwdK7C70ohRjYxvWfL8+liwFDWoi ye3Jxc5shzR7/IN7tPB5cGhrjMgeaUwzQO6eIdOwUkfnYdt7oEuCXcieVeEphFFV3TZEDqTRTSZY 0vJBU7oisUQEzQK4gD0tuM0PXRojcNHUucA5yV4tV4sDrunZLkjXuQSXWS/Se5ro0WTW4yWZX6OE oVZAaiBFNFrQX8D4PCWpY+SR11vC45WpRyZUa10wpU6eeZoLMpnFR/ItjZvBtGEDrc5nT/PjXOqY eFA708TvAZn07hxAHLPBUenrshgbulBTIzesNwSidA24Hgxg005bHF5mXLZA9dLpEPosmH3n/xgK 4sJm7AxGovfvLfKffkv+0oYu2bTpWaYrnV/dpAnMtNqCRXvf0Yr1twbensdXuvzjeTZFQ7pXJfpA I1PEhNQmNWyIhEVrwSlpA9qFIQ2PGKmXcq161aGS8lj0H87Dv1ju+3MHa6MbQV8Ing9gYqrjltQo OueAUxf7H84YFWtqXftOktxxx4SQ612Mqf+qb/gDh7jbvF+ItDTB5OeW/WQToumx4vsdVTgbnvfk kD4El45FKFvZIT6PfsfiRj76aCkTy8UESHrnkGtwS06Q4OJ4P89UozIhoQdM8ePE71COVsZnCamN yH93vEJ7zJ2uqFzZs1+84aY7MhNJfwlvkMgIANxpAPYzTZDAAP7dgwVuXCAJOfEs0QnZQNNpupuE gRpge+xQ0tsaO7kEdriIbRlp7TWM1RTx/UYZmJYA6jNNMQ95Gnyt73vA2AP6hdvwGB5i6EHRgoBi rUKeVyNNG1cYFlXOop/TgplqWIhc0fMzfHg9Mm3Xc/z3ThNVTK3yCcAGdbochbG8cq0q+l8XiocB 44D317xqpvJFOXCbXp7dx8arZ2o5R5z+vo4Sp+dIvHJBtsSCzbiDqsFFdbTFlgV8nuK64eOFZkIv 5fGayX3p0oTJKuoD+c+kWuWwkqFkkAC+9Lm0pIRoEeXVeLw+9AqvHHOq4uIu34W5+mMdpkkmrOir nXMKUO824HcxYoN5ZN22t290IDO3JItaPEc92Azy7xL/VwCbs8LOtzRr17URJXCK+lq6Tqoxsoe3 VC+RHF7LWRPv3OkT2R2OUQImqVa9wWDvRWnBkj1etlD7mTyTqB9HnUD6CRoqiYolP5ZOP8UOzXBU woCiFX/5NzzmAt1lXBnbDDZSJDhk/IuBa1iswshzuY6C885mY66aNU9G02Bg5b9aishmWj+qDyW0 m/XduvAKZOYCPjVx/Twx0vkDlBc7OXoUFl7eXYZXBHvCk8FiceLr3JC6neeIivl8G3w6qxNFdh1/ 5pXesLq1QeyC4Zv1j12G/rtukaziTxcnZQti06u7Bgu1yfrMZ3TiTORg4mQYMruQDsaYFUCYHbWm gavrfg1QhMt9omdN3L7woz5DhDsEkTLrXMoEyGkNwV0Gu2N8ftarBAQMGUpyLA+vjdsdOF2Hoo2I NLxYByDaoJ7MOEti8IqhLnzE9uIiNNS/q4bFuLBblLg/pXhgKJA+Do9IzObKojsnRzMSJHDQqHxj rvA/hkUgach660iiBj3CjkW1YbHhyxz2lq9JZHLQxdKoNDOgc3mWDXWPp+7bO3NSsCNZwt9dqr54 QIX7b9PAXOnuhfozsEgQxykY+ioVFyzRMkYdxxl6jM/frLiP33KRlQu70yFblqwD4KO6EX9DUYec 3K2wgtY7tDyMYRiIoUbUYsbmi9gSopMRpya9yrwEO+n7jI6phzovqk2wbqTGEGTtrvVBo7CtOOBQ yZLvdSYu0cn0ki8Re6vLH+9Oz2Y1PLoMZ0dHyhYzXjK3qKjf4iqefpnIatMs9wIeFLQb6+8oQmVC KIiUTNWj3dcVGGaoitRd4QWWd+av8XJ72RQS10vexhV1/q3qtAiI7JWwXeHV1gsBOtUAV84eiCSp Gy3A9b1YqsbzK8UTPjE0cqQ7Zs2EKTymM/AYoGr9dsPFmRexfsIKeTerrCDcjCQZapHS6CEbEQgd GOiZOzGSOBpbRY9P1EuP9l2Nc1kyJqBB8cgLFxiCyl7cxmn3gy9ZwRCH2RfnSTiMCPxXk+gxhpHO MiZygT4mkAAIqaZD80x9A7EgQFLgE5m3xiq6pm7oFZ1+POmIEbM5L1hcUB/H2Z6OVY+7/4Rklay+ PmMpmDXh5wn6m6xhJyPfM7u+HiVqWhlJSIg1XlrYjjWgpL/DKd9Q2LHMPxIlzBDL3JRFG8NOoKrt XnoBouu9MMfGhF+NjdntTk5aKmtDDoT3Hn9rZipfC6fsE9D3nfM8XJiHzp7amNO/u+M3tbIgx6Uc ARssUPnx8CR10puERWpymZAOLEvFMr6gs4TVsEoCSbz4JwogFKTWdvCD8Ocq/7uss4lHb5DF3RyN 5IC3ZnkXPvlRvaqXCP7H8KwQPvik4REVBoQpt5yxwRS3S96C+/NRPxjvxDEm1VuHHMvE6N+eZKS2 Tt8sD5rUjjCb6bQ/vWCyk/gLNbvECm138Ap59gsbD8wV66hcH4SBSdJHQjI/fXo/9LTvaLZgLhtD L2ED1oJJXNuYblyN/a+n+ff+LKWWYd9ZGom3EZXpu8J5BlgGECpmmZyZXJ9pmMDD1fspw1/zDmxj ewEr09yDLZD5fMti0gsirSIqHwn0XE1lw8X3xXbund9Fg3hRa5TlNQPu+OfEsJDCVn234+EdsfTn Jl+aWD7MYBcMEoGGcL9Y/vlnw1geQq89GsSM1DRKlG1ddUafBZ1SfXgEKmd9Bx0qutxMQh+6TM9Q HOGPrSv01HpTIacLDdhQGzjAjLR6ikEhm6WzTuEaG8GmF7wYnofJyF9Nsq+sBFAUs6R65synioz2 3g6ZKkfGExoSsipqvG19necdHPKZOVQQJGzPHdTphRNgU+1kYfxHbX2R23L2YKIn+SN38rKZhd2a Z21ELlRAD8GqeDfrKGPg67qVZAMHkSox8u91THHAr1PCOsg/S3EJG0swW3sbK6tPNZ7QjUqr5bAk vOGCw8YL0o8E+VKbMa1pPfuqjfcRNaVCgyj2BjUQuYKjcB1fvargXZTGfHQYuaOIQTD9yeLzjJdg DZOthIGzeI7719OFrUNrdT84ukipCHrIIlyC6kU0LnhwIOj9vpcBS5VJqXozrOEGhbUIMiWrjjza 22onpZZCtYhEJNEBdNL49WnZDKToHFLl8H+P8Zp0gFZbYFIRrVM29yEzm+yEcJdbGxZc6q8hi0zC iemTBEnhZ+uduQyqNCDSx9zl67boxy59h/YHHkMzkgH/8Gx+/+Urpj8EJIrlmVq6Z4UgNgfx5JrT GJusCuwkTZWrB0dRxVx9m2GtGXTNpesK5OitVrb+N50ygGCp9pMcIaIDBPoRQeVdSRz0pa+BmU0J p5Iu53aq7h1493B/1j9NgRTpaQSyyBRGBFFhZ8AZnMmqUwHGfd97rYB2skk6b9iu32v60IIbQQC3 gWgmJE5iXMOR2XK08VvQxBPfhlsKYiVjsrbeBMsKM9TchBMEfa7QuHmk/MIKHBzicwmlpPU4MW3f o0rasNdqS1zwIFjZt/AjCDlbxU/Rc8WT8GlzcHGZhDGcHvHOpKzKfXUybKGGjJB4M6XiTk2RP+UJ djzVqqWp5R9STR4bEROYU6Cbe/72z+hjocSMAUinNJHqgVAMUBYq3nW0dlG3uypczXGPCjJ8ChbC zRYs+bQVRPNuQjjljlV+zDYfBac028JpbYMnOAnwYK6qUnofzePQhQg/4hw5ISXDe6VX1aG2D57D hsTby+Bo9o5nh3x6qZUpc+4QXWD90EsSKsSt8DSKO6+7di5F7o5lIgIB3HvypU9v4/Fh8zKwRFTI P0d34KiEwBooLQJXoWlC6ffvADw5tZt982TCO6BfKeU7QzNtXG/FHf4zb1kXiqcEt1pFI4RbSOV1 1HKUPTvx2u3T/PDODUFQchG/1fnVNZh4vsz3Vl+A3GtiMpf6xtdrwSjy3NjmksqXgJECk2NDLb5g BB6j3KicUeBzRjj7igl1fGYKAgPNYs9vRQZ64uWpU5Vq+VWJBCKo0tEsUAsgXCY6U7yPmlVEQcnZ oD+4Uv8Qk6qBx0ZDyMoZ5rEtrY7ljLSGLM+pw7/D5Hm4O0Bk2PnNZxKWei7TOejCrUD6oSW8mkk0 q+HL1C7r9n6XNDI5LyVX4/0WfPCFDR13LUxa8JUbGT6Y3bRzG/A8XFfuhNFCyRdaS2SRF2ITic9c hrPIxA/+4WJhEw4qE29CdSj1BCFmVso39oFs1z62xZQ4d3jd8YPwkoKj9q7f6CDMri/ud3zOFdWN nb8BR8JvOvDDSAq1c8mDsBfIySH3FsTZ3rTnRpO5aZ2ZJ3kmZcYxRw+isaKuY15LoZQlBW7bvJDF vvfXSDjToRiE/d+RKdWiEgsZtr2dUHFSG9N6DWxJMqpchHErqOBj6Y6BtzvARWXCaMICkxDo/ozH StWnIzO9ZTkaF34ucHj3ovvBI70ssJX6Ojza3HNaCUyTdcAE8+e/0FmI9nfWjT6C7RmqS23Csafv bgl1cEpLLJRG+PCR5t+g78QU2nikiMLJ6o/oIDbIqr0Elkv6reEmo0hZ5KZlJn/AGriaFrraXjOy fns2EDTade5fdKai2iG8cX9Nq1nEoU7O9xN6zTR8cXKMAc0dSqt2VIhyuQd83oZZLlf0VIyvs1Ku wtyJgBSbH7NODDXPI5+Pkb1HEybg9HCwsEPQaUAmyisN7Cqgyp/0+tZC76m+X6eTY0D9FtlHoSUJ GmGMwR/JXBdpwJtM7qmp3wqaTTV5jYXnpwE8DPehYdcGZ8cLzs5jKGNtcVmq77CVhsMqIjkKNVEK 6q3wQki1Ex/ch3aygOjXPZiGfRTnSRrqbeyoNY7iw95P7SvMMk1TzXdMe2gH0rjPGxukuNyPjitD 5sdKQR78vUlheTykMN0W2SlbetZfuohDwHpo6EfMqpNVanCsvbIFoaD9ybUKATfcxxzMvIAqYdHz BdEx4R8nuJZ6/ZGDRbQ8Scjlp5xAPO+AGNCLajRAlrlE4IlbiiksqlpHEfk+S4yjoOnWyMR9h/dt vahZJxbALZgoP80HnyDGgWSwzzOJDGjUrXVBLubHNFfdIxvmb+V/AsfGa53lFF6iqjEcZfm+W1oi aT0uBfsat/lNp08jKJG1DIEe+FAFQtS60Mx6RcqxVua7Cqs8/lQ1WYyAkMgonyMNWcdoelCY7s3P G9YiOsKMyNVqvJgio7SYsEGRFvtKqfU2mZXM3N7H4+uND4+jvpECzPDvIn13FKI3F1mot/rlpy6B P4GU6tyjJcq6zCTn/ojjBO3h/Mt71ZgWjVGs6m7eYsFstteaXAx6EdjQsrr8QqzBwnIXnY6J1X+q hLU8xWEBmmpo2UDVorYnINLNAraxhVPtb20Lvf4Oidotq2cdFmU/ykNgwuvjcgPqo2bjobT2BCu3 rSDfulNSapSv090ou57LXTz0Lic/WU5xPmb+XNxNYSRKAKuPNGqhfbKsK+Xts8LvoXonRV1t/qLq Lo8WzqK4P/2j3azz8Zvc4DOG6RZYo2RWcgJiLFfOF3aa+yddeAIGYxHKGRyodmSK6QWEltZxNWN9 yKJV56mJgvl/1UuwCwmP1ta8sm8pxsu43KP+ufHYVYztJOjlC1Cag/K41Y/EYUvioEu+GbnVa6ZF WzROKME7xdZEOlAeXT+7ttrVVNgtaa3J/42w0dyr6N+TB2OjFRwm8uXSIah4dXc/Xiow+E10XKux r6is0/npYamZpR1np3/ZgKOj6Ob9Rxvo/rUhyH8at0WRAE+Rn3jQrxGn/UOmLprc9x/GvJgXTtxZ 7P97PuXRpS4/AD03sQbIqOgsJDIgSarov4UvdBJ0oy9a+sFNyLoEm8uwIvGDSV84/1ziGCJ6Sbhw EC/y8cDH7tJ9w6C7FZDaSmO71vYvClPo4u/L0Q6s1FjLPqyhk9zW3Zl0SusYy2HoTXGxEromOjhU 1AaMQS94N5g0RK9kZYMMsmcdXpN2wKzKdym+fFXp0EKhKjMBb4hP/zjhEaxe4WmhzJT0qzwZw6km imxlcj1S04xu2gD1zNDr2fYarJW4GFYMfUngULyVbGk9zp0e3OLmt63asEn1kjgl1iREQFp/6l/u PQzZoD63SRK4QF8j2fLG/HQilfcUQkNE2VRQvScN9Vbo72wQdzTaPg0XD6jmpuSBjpZ6jCoUxHdi +yxFX67B1q4gnECCjQtQJxV6edEAXU3RUu4gf2YCbZ/g/8TLqeDPKL3ipsJNrzGQrMT86ViM5DPW Q8Iec550gGJyIKlw8EuA7Ly4TyuAP6UOKWDDspHqM7QJv/Pip6JMrhji0Vt8Vw8UuI95pIQ7jFDC N6Y/OUBzSWz3Sl+Ht3+M/uU6gIZnhSK7DQ/RqsWtoMJKoaoeA0sq4IKXtnWLGgxEcCNxKcsTgfeg 0pZEgqaqTDox/tD9IYiwUEJeUiaT6TNESB1GjKvuhiAPABG/mRitu0CVnkRMT6b2m6bg5kpfuYKB +lqpbzRHlq2Z2tbu5Euw2vo+lHubdOw8Pg8xRevLntyq4veRSBAB7QlT6GBYyZ7QF51xdiLSc8rz Enk31WczBhWkJZEHahUrUmc3hgqSkQGbjjHxD9moQpoSc8kMHUFtYAwfqmnKhesdZi5nFrHTthyk n5uBJjsehOrCwAmG0PTAoHptO4ntteAp5znGeT01B4ecHlFRxs8v3M+eEIfMsPivTvfdyrXcVeR4 R6EsEl1M9SYjP5UFWjPXuI85H+CF89MiPAu6NNxnFLAaIBoU19K/NgtZHCOZV4zQWVJCAHowvD1Y YAHDAESJhmULERWrMYM41kinr3o6jusBjQpQEccBJOIW7Q7RQWsFZQUvaKVV8o+vY9IqRE8wS8Fx TZrDUL8SNh7gsGqVNvlUx17mnCdOGa2ZvGHeCIcsqlNrUpsVjC8eaOYzyf9N0r8ixb4KxxXLtjWd f/rBOdCtVmnVyebvNhpu9BiILwHlxmZDRVZa2PLR9qVqhwJyRhBY+ve8hlQqscLM73L3SMQre4d9 bwWOKbG8OP9L/Y224kGaMAVqmIBLi5GJbHm/MIsToNOzJdBTM1jSrcV2arBi5tx1DdOz/NPBXc+e cXWn83/u7Y/eIuEO+3gHRrGJQfa0Kb/XduRX1a8qcFaZmffXe3wbK2lq6JEOuBZGwdnmFq5xWtmQ Xq+LPrqPXdyVarDgFQ+tWX82/88VWdsK6gausMn5FHF14Had2J1Dlge+JytAN7UZBVW08vRTw3f2 nqmuz05OEXdzJKH10xPIBfPTgcH2A4UsDi9zebkvjn79N1wfJ4ZpZCyVYG6AIGFc1hdfYdYSaO4K sbTLWC13/AXSAH9BBjeHMBIzyF7OpIm2cVMcrQD7GJjkDVXR1ei6UIVTmD+1EVtYc5CEAsffoda+ EXe6it1S3FEdh2PvPPrRQhro0k7ErdhBu09hKjoDbl/I2OMgnA+fjquRzJLGEB7ZIfQ2kYJVBVQT lB35agbmEXW0NUJf18hXr52Y+Jqs8VfCRwzx2HfDwjejEpdbsS0h30fUg5u6VQ+BykJ7ozSghZT3 h9RTUmFffGXL3rEVzwby8ZocNbHNlHI2mbwOzPz9UP/Hcp4sOb9iaPhtvtK+3YSwXGGHn+ZnlBpk R9Ki8kRbt/iTMmjhtoBOpVWKgScMXc1CH+SYLmfhOsVSXhiUDXU+GVGpM5+IMxNoeOpo4rXYp6xZ ArEPuGWIuZ/JEuaEp9BjNIihF3ux3aF0z38NrUSC5ZUIPIGhAfrI6HIHu9wviMdvmGI7Dq6GK9F8 K0Lxn+8c0jBqRVOFxlMczx2VSyKMQLRuWY4PmZilKNhDZ6VgUzGebnKn2rvTYbM2G3MtIGFrK5zI MdYDUMVT9/NfT0ISyQ5i+aDp9aT9/GamyfGtitkYezmiZuikRVeYCx29DnkHUiFXBxN6ksOGhggC 7gqYd7jNxMYGmBbOG42pei9w43pPoWX2M1BEJqqoS9x30qD7HaT1bzJaqQ8dqhO1sOQT+DtKz2gl 1/HSl2Cc0rrga9w5Wz8QOqUXh8B8/UZEhIj4b8RdlaeJHXJ+yDuo/twqt0zXi3QTGQmcsQTf5XzA CYGOoIffavg+ecR1463nJan1O3FdOJeP4Kg/OQRxz7xqTteuAQ4u2l0Kv4j3qqSvsZKiCz4hvrCH REPuBLBftvVmmb+eMx3jQqoXs9vSC+lTvXj4iSM8ZP51qaXHrzXmmTaOTOrk7amMxrNR05Y1rUap SgnhNt7U8Sw9sp/tTozToalxkO3La9Uq6RZfZlWOncfbuPttDUZLCULq0sRjlx85AWm0uo6AkbAX W0dxcQ0DuyBTWrxkM900hTcKIVIipETljP9PEgPJCsPJEvp/3xfo1IZED7Nsizb+dlfJ5pcBVsgL Bm56adCZzBnu7lmVpnptrRJUe9/+zL+Fe29jxKlou6y3/gigaRunV43MpjTvlUa9zXhGqDJmYpas mAGzp7kJIInowQgHt5wZGKUTyHfofPLyhOE6aS2ZtC4zE9o1mk0rm4WicRsZqV/oY9+phoL7H1HG BWcy8C7OWDB9PfdvUysTAVLzLW+3YMOASm+Fwo9GzMmK4X7Mdu12TbHvgdXWku4AR6trOGEUYb+m WRVCt3sNX8dVYApn2GsYVNTyOQ64BoIgVo8JG54ViNEEYPJNDGRQXKf8tYsYHp8FytLdp1y/gPlM 2TQLHCg2eeMnN0KJVHHuD+CvxYHJLbHDnQHP7E6+vSajU+ENs4CWBD0Wq9VQ5SrK0d/barBYxnNT 6yTfWtJYsSbB+mLdhAwyCyRdXKPsKoCtXEl5n2s/gzEL9yizd9IdwV18tEt0C+OdQhjEAOof5jpc Yr/bgkdxKP9+5uiPAzKooZS8iIOQZR6X3kDFT793XOcT4o32IMOVsVIXOPykVvZ072FELzj2PoCa qzKmE0vHk1A/VPBsAub6BHDiNmezXbUeaXd5Na3R/fDrpdloHkBIANF5dm4CQCvv2wZfzunCXjYJ MtWV6ma/0dyyStEJwHoCnMmZGyByjCBQPMWmR9iI5oQd8nGuBOzltpH8KnYcxupiGGgNhxaGzpFu XPH1fzuKsKIc3zxhJH0RRFMrjG+eZI8q7SUjqN7ReE0nNS3v3vGbKca+TrTOSMs3a2Hsem2rM7jm C8/eXHXdM5UT2L/d5oG2Wk4dlTc84TrfwRbk3COqf6oDPCTeC2DPUjHZtXvj4qqKqfLFxJn5jKXy f7OQpBn0Y6H9rzqSqqWk+DyxK/1eqx4rGbQ/mQXuXU96zsft15KgR7JP9omft3AbSAmvCZgs8i+d KugqRn0mHnnJeeghvrqMeuBofFHDDq003bDpkIHrbfckyl4oqwvl+T1/RgE/p4d5/nhLKVJH/0H/ rtztQj+R+zp0JjSiydb8SDxbEma9sdEx2FtkpvUXcbs+XunmIXI9dWzxdg8IS4jNcCj6Tztv162W rppePVfwWFjujIQuqNbAnQ0WWoKy1MkIhbTUZHnO8CPBJRHpihVO3GEjwjFqfVNOztaMPqzrs0bf fbzWrV8i3gbvdjmB+n2+LrfEg03+qE+56LxHEG2z/zyMEOwpXzYRp4XFAjzS/Ft58/XZtIJrLyWT /7aRwVmzkgiNwDu5kOICGnprxlQw9vnMvkjzwO5JF/BJujv7pmZQn6vnfnnqvh3uYhCdTtqa9aXB 0o0/VF+9s9L/HdIMEB2lSU5ELrNHTkGX+xOdu4M78wNFwWUjKINAaOTlCqkjld7SXAC9xhO0htBO OBXqkSHCAPKysMDT0giFk+45THjtILUceXXlQNXFLXBlFYirhwYD++qyerenxgaNLp4sJTDQWPWG Wkhp20eFZ+ukA1KfzwrX+vcqcCHqSRnpKOOO+AMF3vwHvd0J+7cD01U11Jm9KzaWxh1ooXCswH8L AJ89TV2MYadVR50JLByuxDom4I26GrxCcGfuO25KJCDFlIjtQ8bJIIJMVme7Ga90jLKyTSZVWGWW fP5sCVoVc8buEosC0sdddhcXRIEJFu0wVMX8bD3cE8Dvuz6914yBmllGxVCDsX3NwXUMl1NdigQh USDQ7vkv6WUC/CP55mVx2HM08LwoDNWvGY3pOs32wceiFvJSXnnrOhN56MvWXHmLNy5DzhEkc4L5 GXkwjkbhT/rzji8JZLkb7z/KKljdEJPzAsTZHlWqdHULTeFnZuCNMWfu2OCGsX1fLVOWQzWaDJ1c sLrnhv7TaEV639R9OvZBLnal4aQSa8008v/R+2FVMMP/6NNwQ0bHgB+apY6ZsnYKlOrLMfvE5eGC bB8mlrkwOVnegmeeArTG9VUBDSiQ9Pf5HM4KpzJcomOdd7fw4vPm7kp6/YCqTcXVlKmNtvTzbzvu AMP87C1yHs+RPfOeurZKviammzOAUMv4/hYkq04O5aksB+SVwQ0m4fsVWcjI//V0pLX37Ibh3QDA JXYWgReJ2CcHNHIlGtSGUKlZv4scTtTDXmDH5oP4GPwrpR+UyvzkfXX70HFqaDanLS8FJJd6x7XU OxrVNYKKPYnmOk5HsETm8Rt2nK3eh/99RKO4TA5l0Ln5lQBLcRbE1Zvwq6Ifj5d2JQVqhjk+zaAX BoBfsDKbGL7se281Stym4Af87XOkpfU/7TZfOAkKePyx4intS3X374wCTpUJt6pVyF5YNyhYzSXX 1CuM8Hzb8Xa4N6ib2QKQb4vhE9Towg+GiJJ646M57t/Yz4fgBGMHGI22V1coE/SOEUMGIPcVqomC Y/FAjBBkVdTCNt8/byYrUVV8t0ZwPcBakE8m+8/IO/6uymp4nAndPkWl4/VjGO1cbsBBm3m4Zh6o +NxOVz8I69Aw0XJDAAeurQNEiqjUOHrurqzU4DJ30gw+Tg4I+WMLNMqF5jGjTYalNEMtVyxCnyKt aRoO8UQ7EDJzrTsLz06tWN4HHJfgLkCP2NL41AdvuH/nvnQUGjM8ZWiu9173vkzzEaWpBhEwToQd gq6OA7zbyi3q7t643sAdsm0o2QEDXeWMan5zKcmonApVoHFAtCJW1nUsw2P39Ooykq4IPkfU1AN8 kI/dZZHOdc056wvEihh5gKV0Yf8HLFC8oaTEtxSr+Z6hF6ao7dmaLZVLJGYUH9zAb/J4iMY2FA5l j3m/wByyJDED+fqQlvnAl0+M1JZyUoC+5qfLmc7T38srKQW3oGOFlqGf7ROaq3L37Rslq1S7DR0j MotOVTpjTw3dLuyrUzKpNyxI++XLbf7XohWiVbThEF+I52v6LZUXqh4neSyIyYoaRXCqjY7bqWaP hKd6B10mqL5PjeNi46KHiNOBb4+5QlmHFI9AiImZYjAHMMo9ahXyi2IfHF07MiPM5UrfSw6130DL B8KPpEUspxODrazsH8XzP/HgZHJh0E6PY6fbedz432xx7/ASXB+v/G87fkDFekfvdJBVPsAVpKGU NOQ8ukqsAd2S0oRmi/4kIg8TUNaihzy9Vx1DEv6aEK6Lebc3VCTU4/MhB5EOTcn8vKW3W+z57CKO G1vaIMU3WrP9TI25HKhWlAri4OhjT+dM2SY23JMbAmpORfJyIOZTFsTBEs5nEZEGaXOfFAsHlNlr pBtPvqKmb/KIVo1WwqLNiBS3tEkskc2h7RXAf+7Uz91Zt1CvhaulLpMdR3FDq9qZuIZvxTi1349X BgvRJQb87iW8qbnSlA6SYifQ2wJewqsH+6xDydmdCayUIjCPNEWcE+kxemRtR1DXuL5zlJ0gGkDc L5G3J7W15zur376Bjcod2cZsOh82A30n2E4kUWCHtm8P0C1il5J8s/uJXSJlPvK/P6O6YZOTcUn1 jK7vYqPpvDnys5Z11VWITDVE8yU6SlHIXSOfV4MegSG8SP0922kLAyfp6kfjRtvwC8oGHiRcQdFz oyQEs3N0eZuqBTcxbYwp8vjdrf+efyQofKqxOq+hB01gOZiuureY4uwtOJo0zLVjaLsr/Vr8qV1d 9Cq7AL6NGFQ6vnMMTuBbb2ouQiL+eWs3M9PQGA99Y8NIe5S4OYHLxaKQjBSEoIJK7NIsduWtK3PZ ennsydxEfCpHQSUZprlMBNroklmR7j1+D8TiuwHY74rrr1vfZvxOvFs8UhiSjCKdT/P6C1Ak/rh/ lD3Qy+1rFHOwzcepif00s7+9TqwtNihAW5+DzI+MeXiENrJ/Nt5G4z8W7Bk/MvB/13mg5LZL3pTj vghofzFevv+BmRSAcdOYGDjzjZzjZebwzwYCkfFRhYhjFT4Cd34FyOpSADgEXKo23E63y0Y6Yr+G MvM+S5hEPmC7oWK4RtH+Nqv67919sBKlQNWYLjmAbVmefYNavmz4nEwxG8o3YdQ6UKT7KmA7XqEz kjLYMdNlBF1HdQpCmZ/4vMJQifiDAhoQ5mXWoxrvf5QlPeLflKu+oyzoBE/VxkpiUaSN/fl3lYdp q0R6y24kIACJ67jnJhjBfeLA6k3e8XPkGm3JL8IL8FN/eaYvt54CeOvquAViH8Sph3Zq58FKKL2d FY7gHFvqy4y9pAoOEfbi+yvYxfl6wFv3HtEfzWWtj3P0I6hIM64QlmGjsKZTDirJ6aQhDDIOR3pb sfrVkBeXUVasuuKmTx10CRktuXBlp/iiDEz2lCkKvGTYHeXcuA0rDt4IPeEAljJmMA9g9LP1mV4r yvbse8KaNM5aijKhMH6JB9ngAx/xCcBjhfu6BVRCqlM5ZNYnEJCSP29ueU8rXfJNDPdfdWXdNx4t mW1WJk9LPw6NYqaFhU9vjIQ4efO+uJe/V+PaHYLBvBN0yPvWAgpuNoOJJRF2/HxlTgd9rKNDbBy/ wEMTnIQoVjuPgmbXFUnxK4862wp2STIhw+byb584TPkZEd9m9v8+TAergKkexGuySCMsUAJuqtoK TsAngN4XFR02BmmaLnzySseR0uUmz7HP/FueFV3DKdPESf6dkbUmzVRHoNWiTEQ5R8XMlt3xwT9p H5q/Dh8fe0HewO79UZa2ckqJYOWS8ZLtwVhcxMoquWTY3ua5x1oSn2668UO4nASEI89am2FobGpq Aw4oqbTcpah+iycMtJf+IxgF4f7MFCjqmFkEcKBNnKTgG3tKr6FFBeswli/qTFhoFQklX9sPlbEs hQI/BzNpCuQSQYVUD2Qz/tbNjk6vvcSUDt7EDjlCvxbRQ1n/LgdAodXEUvDbOeaNhSNqvOmVqmI1 2c4WuysYH7LXpb+MVieD98rSSXpoqex9zjdvTfoJnZxv+MBaQfwXMYBY5HSuiRKgflaW7TPN+SGt VbX2z4ki4dLajUXjKs5C5qTfrGGcOEq3NnZfCdunw8ft1haNP2Bjv3c/w8Nh0oAdmkWxUvWuMbgE 47a20+64Yee1YRvTwi3C+/xuwGzoQmxTGUEkhrIGv0wCMrD7q5hmPy/S1cBwvK/pMTT7x6Ix3gCK FXuHINwea0GMMvNLeBU0MSQ7LQquOdG+hLs0si93LRdw3BKpV2V/k9RTuVs6wLi8vboo6jo1meHM xliIGbqoOYrCshFwrK6vjkwlNR7YKj7fV4j/4viQUgcZQPlPFOh07mkFMwxtiW6fKDjD1Prt9bp+ WSX11M69ionRP2+zCrTA0fdOYHmf5kq/qhwIL4y0ag8o0dsUlgYy6LM3cfphz+0utu1uDG5UjxPX bP/XBd7v+yiSIOEbKupkTkiRpuk0lS/bySu6Oba9+Dp0sp/ZRXbto2/f6ZPjKjdZSzwn/UPdYCue /MtCAoO06m/Oks5DDFlRdrO8arxpfG58QbRdlvO+ax6uFHlQhKxPr6c5RJMwpZ0gLBU0ZdPtklIs xXln7vmJ897F78gpresW2bNx+le2JVW2NkqYdHFBa8lRmyrSfUL/GVhu/tnDa+9ruVoTOFSHv+UE iCI9OP2S9YKpMxDA8y9ZtfVe2we90drar3RjWuO96fYLYWym+TFWogLD5Ub0TY3VPHnuKcw6FLjm 09sCLREkVWLCH5+UQjGWO1LioT0T2EK5BXz88537nvdy55aEdTk5T19RhveXbFCm9p8w+jYKKb+l FznwCl2IN/qpUHpRsNkj9TreqVQvmX3dIIeZRlBhc/BXeV4o++Ewbe0kRUkzV3yC5ugqV+a6SIa4 GLRTwhBnzJJKE/PzywADxgyDbFL6LwjE/lGGLryzEefVYNE5tShcOzYyxWuYx3UY3Napm9LtU5iL 4mQ4lcxxbIobqbwFjeDDao9yfofqMsVYaUnjSf0AwzsiNpYPu9EVcw3TC404Frd/qMl5lQvxyKGi H+UWgMxKgNhpXUuZiBJopmCsm/H8vtE64l4yVAxjgFCZ5u94pf8GVCFdU0qRnTyLd6lmkV0fHXhr gDXvKv02BjQwQdgdEASXRapYWFwNWS6NJzzO6Dpd0fJDS/PZuvjp8arv816twVSxArxe2c50MpcE gGHgBvDHmyGRLgPT6mPqC3pnztcA43/90ndbMqof29lRqTTOGNIXA2GzaRqc4HoyAS66qvvQoU0S GxoKacz+w6v2dxjXAg4i75ZP63ilTDclvJ03ACXY320Hb07QD+bECfDfmKxvXH8zhf4hCuoNVAUv 95XKtfpQ4h97DcSo5JFLsWPYTyOhT+2y9mohDcMjg+LhSpwuoyTys7ZxGKC+MX27uNSeb6n2xn6k 8AGBSitm3L1d7JQvT/4YFiuiElgNt/4AbT4LihUyhSLG18HjlUFajHv4dKB2tzxJbGF6htBKag4l zRpKG1mVNpicIygI/IJk12G3sLP9LMwSNg38kdfbZataoIPKydbVYJ3QmYc7JI2Fv8tZ0IThVoaK 5IZx0h9/L8C8CFjxfOi4/kL3O/oTg9SdwBa2I+ztMVdBErwxlDmWYURhGFz9iZXkHbvJHOkt32hg sIPYg0CArgFiR0vv9XKxH/uWhPbDMJFwisi+oIATn+4go3g5iZSNPO0t/A/CMPcSmwfyMHDa+NkQ cxyQuRaTRohTdKqXPVgkYKjv9e1iXK6+JP/tSWul4STPWaH+J6HX3lbxJunFheNqNqM9lIRva/Ja TYCyVGZxSbKmDyFsxZipfHUaaRviFOJeF+VRGg1tuYBmQFjt213HatM6QPsaw8mlI4Oc3zLLrPVv SDHc+uzPsnnR6uCI6F9X6zZ5/gGrixPBJEkDKKFoqDN/YVY4Bas/OsdB12UDxQHNKrrP335wQ8G4 rWO2w1fjGL4FbJIxQDTQLajp4pLace3AeZWW92ho5RDBiJFjn26l8hKLnGXSBvWFWOUyFUGw+FVp zzuO01NipuuyyHi1/J5OJvGBWzRmvuFkLG6tj4mqWehnIo6S/S+JHYIlP6oFnbC/F2b+ccIZ4585 yxXHTViVT+YDtGGG2zBzUdFHlo0+egeLTqrk/3OdgcyIYcucJxGwbGj94U6JxIx7ZaP/5kL4PKuC 5GmMAawJHpk3Jmq566N25PPL5MPL4IvSW514bW1eWOZluMgco5Y3jLp6IB3inYT/hbFPpZ33qEYQ AuMEIWX1L02r+1xMCit6tx0LxIilnRuZMwfI2jAbBnBZGv+yfR/5v1BMXBu8+cZ9IAeWLgWcGLuU /FkWvzEWhANumV5T/doHjUIPl6lfjzeV1as8d2NfA/ppjLTbOlTshBvcH5BIfQlpzFJNB9MCXc4f y2shyF+y/9tqlYRjPkw0YiCNBEEE39ySZYSkCFmKlOtAxAqI9QtABDK8XL1V8k/HdKSLzi/DV2UV ZmjIJRfsrz7UBiPLOjVVYDn4+fQXWtsPf1FiymcnoE/sYxkQCJL4MVtmFaZapMfY8H/3txUMuUnV 6IVwVOTum6Ngu2ll64mBBDYfjhy82UMiV2OyAz+o7PIAK0lx1/LdlqscOab8K+tEl3HQwbr1+bed MpGDjgEVdWmrcngm8fsmSj8dcXZpxhthpVLKrHpn2YsVbUOCzYmjutarc5vQuoGzL8MYF2e6oJwB S1n7qGdpVCh5xgXQplqWi6F/2epehK192qG4TIrfv6YkNwnOeNcXTlVeeElPQjD3CU+C+QAHvwhz S+WHmY9FO+Y8HaBzRHrMf/hJl4WHYifK4p2zhm6jFGIiu76c2PjNb2JuAxr8uKThJHyMqT0JHAU3 sfEC2ftl6zYsOvntYy6Uqjv5HamV0MeS+ENzvtB2mFfYN2oIN/msor0Lhz+EUqvoWZpAWLbTV1Ke zHoj+cAjYU3ifRrkmA44dxxFjCVS33Jdv7dY8kk+KK21+5vA12XZ8WW4oFDuBAa9KbBt9Ex8wusd o0cIXLMGXFG5uhUUNCrj4NlklCEII083pX+X3hsRlYjG+gfuPupSeMKj2y18fcacB3Pd+HA/0flz 7ro0JnLxcbJpWHcbd8z4Vl/e8AtVqbAwyQ0nix6NVgdU+Mfp7sxjUJ3mC7D6jlKTslINekqVHXtQ 7KvG0jIW9uTGkgQ+TTLQCNrJ6Mj9rVRL3BUF7qNLftnu4c+OCU23nIzlretX91BwNKBwyk2eaQTT yqHN1lNvdmR6wTQiU+HtDRw2ZgAsrTx3ziiS+Tjhg8zqQQ9yO2rFEzIvS8xwrV0TceJvXUtURC42 XjQRu0ygbebvL4gudhOr4XOwI6uhqsPG/TUrCSFOqz83II/7FIG5fLWCtDID/JK/mneEXbL0A3sY w3yMVfjcktNA9oUHVkofMPLjDlT9e+JIVWI34++Ebv/vQ5IyH3ldvLZr/yR2viFmPC2gx7zMwSWB FIIE2YG5AEEcj3BpdO2GpKt7VYBUy3ZkMCBVvqEecmnEkO1UCmvfl1kaKmTtv+RxNQJGfX6xN7LP dIzPlN0e7Fu2J/CNy10zpj+U6x2LxK6hslNzehnBYWKkKzF4MLm+FFajoccglHHQOm7YAdfsqmwv 13SVXIN+LKbHfh1hd6sBNwbxSPJmxza++QsFPww/msCNRAhE9QZvLsYFWC82fBQECxODWKUmDMDk 4+IzR+7wD/YNJ0i4a/212+VZ6IjcmfS23rs0PbkxD2ZRDYec2nfSknMEQHL5eOatalC2CiYdmLwS JMIvM8l/lJRU/oeW15DekpO8SkU6sT6H0Oeyu382YTdSZfvn9SsArLFe4wZRiYYLB052NmKDeRgm fpYvysZEC6nQ3YfqhYW+ul1bm/M3TnoKy00VWcn1WVfAMKs5vVv3lB4gBQIGa8M3jMA9TkffhJ4Z e78MuSHXlUwcaF0C3S/bYlpvj89q5CIBFz+ZeLfSsHq757YqASiehzSJQrXgWHcvORsH/pchlS80 RfHREStnOA8tchmXDhW3Soal8BZedX948tD3WnhwtPsgGiNODtcmS2VQEwmiHMMh+twxXZNVfBCR HWYs75GUYEAwhHqxo4tuifhb+PHkHoH8GPJ2yDEDqfPfOBJfi1JjEXNpEBpwzp806iYi9cG+LhHu hUqhwsgav0b4TipS2ObZDK0/Jxkmp3WFzK/uq3hzxW1Ywd4GXYsYLNoQ5PQn2m99ftXNq/KJnNLN WZ9A9nipbziZ6+R7Zy5HydjL/dnAqKMBFYazca10OHG1IZMpPsHUG25+S6oBGfx3J91n8yf6pg4U XsHv7/R6Ld3cWg5grwPrvIbZaACuEAwqXI5dGBuFi9JkhvM1YYoqxrPyhMh3J9Ra13zTN0P3LGiG 3iKjbon0/eFCjSN31pTMYGi4gFyN4vz8JfaUriivqYaNStQJl0RrNuuM8auBNzaTRiIlIdT9HajA bSpub5TFdqGROBFcYi4q1Fi54JWC5PWuFGfqhtBeuLXiFXhrSgsNXgTqa2WLA9/3oPjW2ZijRaEB bIZ6D+crIrng0DV2bJiN9i+PHhGadI80Z56gwQt+61b5trP+bkpNHbwl6xvrret1ZhEC7pC1HaER h3mzy4e1P08VGVjPqeTbZauitx1gnr2+WEFG9O3YeqC+2EdQEJdYSS9+We2xjFBzgylQDdKptCQ2 PAgtnB/LT9vUSbqw4kbDokp5RlQE15qOIs/DxnMe398tbvAFbNFlUtU8ii8otwJyI/tus9CtrXf4 uDvHbgugI+rRMnzjrXwi9wHb8UxLnfX+knnJwUCFpXOEbUmJfLsvaG/2U08Q2StRjqZr2FoQ0ZFB gSKIhtPXKlEgVtO5jvgztAYwr5M/XTKWJoDZRfTKLOntCOc15rGiVy+964gm6Fgwmf/LRb1Mn3cT lmx+gcsVlliUUeg7CjEWeYA8mejWlB6B5k6C9J5p19B+Vao2QkZSvZAuDKdmr2KK28K7lcabLTFE URwYofBoOG6MFxg0FIaDUbI5JQPr0DvxHe7nTdQcJr8Q9I4Yxg+xccfhC/MOroPV9kka13ALNqQs Lt2Qcv9qSXGNfBrPl/2rL6DVU2q5Bly5rY+7Qzj8ZC8yAlkP3W1K/CKtFoQCfnib+4MaQgIXHsve x4OahxNblEpX//V7xn/0bzPbnQjY5Nw2H2WFojZT/ZIiBnly/PAEaurJA4wps7L6BBEgjz5TiiPa LXzvti84ooMNxNDZlB2LOKCcicZDLfd0p12Bkmsy4AUTnch5O+wN8W9cZsvvF2ltHDmDakQmqjLL Ga0FY87TvbEi05sMzdvqMSyZtSAQRLI1cQWap1SGszvmCp+P78t+jcYVZ5uPVyW4mcKrRAdMuBWI /FNu/eXzBSFq6q2pTaAIGioegcT/hNvLUev+IVp/7gnHRCwJaEAFJgcHBbzsXPm1htQXo+UDCWK6 NeOy+JYuYoXzblfkVHO/q23vKz2AOLTrMr3u0yqMxOeurdCG9n9XFoRossXhVF60dU4pEyeU8U99 eqn4O87F1k3M0QOCjfY3/1YYCzAcziCO3DGFdi42ufkPgYH3V+0X8oUkEk1tV7t7aiLapq949b3J ynuZHZR9Cy1oQ0lJhIoBsy088A9P28oJSTGsM17DRjiL4TE6TQ4Woh7C4bkcogfdFsqCqpGCIYZO tTia/iMsDcDGucYqkgpfttLv8TzmusCKeJT/5ZTe6VZuST9fUlB1IUT8X72jJ518Bk48Sj0yiF8C a4rS3hk4oVMaUT3Xr8DEkz8rcI0Yqi1LkXy9aKiGFByoqBpJjadOEJsF0YL1r95X/oxcN02FmTM5 57P+Xmq1XO8k9RsjH4Cw/NvOkzur7qP5NoYZW46hv9xDh1ExW3lvM0K6+DaOKOy1NdvbzkN1kPD6 932dNFpYAPtUf6b/PbLGM7BO5fjtVm1txA9n/GG4LuMuzPep8EQY9O17QG2PcJmv09Q9hUOm73ik E2rhSM/HOT2MFI2MUSJObCJOA9UIEGoYugEkeB3FeORc91QLapRoAqMVsEilQiKWmT0MFZvZHkyF Uc4diGfJT07NKwuwzNaLk72mpndIEe52qKAF012yQ17KkKooreSEo3JVgPequrtOG+QXjv6Vq/2r hL4KYN4s5Uslgqp0ptM68Rc9FlF6so6hQyuVbcmSMKHSN90XD6rZkqWCTvsJR+qsXyzCewF3j3Fc R2ahFLsXVKnKFZExjJhSUH+b0UJyHSx2Myvj6LdSAIQzlYuXl+eMVvLVGpF2IP1CNONc23tOvfLk deM5wa5O3ga5I+VW4zT3sCL6qYWLXNOORtZa+bZITOoUgSY10x2p6rS68EoBSOwksYcx0F/yWq7x s9cYNhDb3+RvJqB3jFGJleHQF1XU1zYJGJktktBQd8Uh+qmMM3XzcCSzQJjks7a0cGgWU0Dg4zxu f2tnT1TEnogGEGpo/h57L1yGdR60GXUVK/rrUkblBeis3D6IZckTaWIl6w19FZdD6C75T+3YYgp7 7r4GERNU5iNIbHi1cf9Cki1Csna1w5/2ic1wpRzwvW0AVxtpDyGqg4qUu/Pq8bS1/zxoDQ25rhNN rp0CF5UIKwvSUyQhvMcKS4XWyDEMhnoV0csknsUdmvGvp9G1/iz4wmy5GbMJbGfhyMm/fBevFw+y 2QumnDLkpqJ/PN1SDMmdEjFOkd52S0KqV/Gv9nadHo589a2zpFheqf5lApQELdyzpsDrj1Vfdb0a kKMbuSWmhOM3Q0qTTYSQ/02SaYCMiQdfscp5lcjyunBCQeXdAm07MmneqTdWvKTRjtmGNhtfPuei c65RZho/EDc/9Gk3C5MPBVnPyE5pTdwuHOm69v7qZ7ZjPN0Y598eh9srQlZ6a1VmE3J9P8j6mBCp pOc7riZTkecSl1fvmPi7UCek5qjyeCom9Q37BSLsarsAOdD8XAYLRd/BfBVmaTp8B8a2/T5HugPo y5M45DZHvILLOhQ8NkCDtc/G5YU7advoPcro66K60gnbl/ysmLRan8sJJMqGNz06yuemFe0hOPEc oMoqPTR/FABokt00i/rmcY3RidJiSlr/Z4orTs65cjP/17yU0p3W6kG+Gy7ctJNxr8iHBK+JTXod BzQ9ewBmkTP3fBKqlmjYMEb320WwEDN+5yUL90M3ReZ/+VfDhU5GRH6YogyNQM8V7ElVE8zyqjvn eW4i8IDMkYuE59tBs85xIJ8wArGz38lJGPik5p4WuwKowkTsiC0JZR0tbFWlAjXTZN6dE2q6QtwW Zefv3VPnokw5Ix6//Q492PPqtwF3eI/FPTULiXc17dym/lkh3Wyuf932fX75JX3VmPEeZTIilo99 6yMWuNEjYB9S0pt0GraUFgB6FrxFJoKBNJVoYIge4E0Qr9DPSxlxa2Sov7NvB0T4o1ohqeN+aMai Dsbfb5mr+mDO7LCR20wwF/I8F2K0bMuPAgSSZ5otcDyMximPayyw7vefX0qpFIJwcov36HTOdoXu uSTPKQ3HhfFTi73Nc8KuOr22OJQN7Sk9RUNgKVupGQ/ZDdz7ePGCrKqALZMX4fQAaxQ2xNS6G9B7 IbPCV4mXmJ7tRVO9FPZm0dtb7eYav9t3bMcAuL05GS0/f/ZTYv+L57GZZXewiehPFwN+138vVeGn evL3XytYdjW/ZhzAwu2EJNdlDoDmKFVyl6V90cZ/IFloXSTo9rbsMGdRX3mFUUCZBp6m48ShI0Ck Tvs1JJ7WXrTaYsltzmJ1IooGQQDq8ctxBY6saGZ8VE0I9pVLO2hcaHwPJqBvoH4Ry/rx4cXua5dn xgWIA7gx+aeQR466d7+GzAy6ULQlwdD0kiK1VYcuZhR3iVGggW0nbfKywX8iBInfg0ALmwsVfIRZ qI5swIMlCPbPSExmS8adkLggoXb/0+9MizGivBWkz4rLT5W+ZkRLIxWvNdBL8W4IkBvlPQ6E2Ia5 9yPpMTdzGS44/L76WflVRB/w9aJqs5cOa/l6ey0SzsNOi24lut4DJZP/LOjL4ZjliNOPqF4WjVk9 PTURF3Y+oBbz4+LaT0HqwvYBrMPPmj7yz6nN2lq+PGsmIxtIcDsUUV3PrJ5jgv5NUWIdJ4S5fNPv /oYoJv26Y3ogT+T84BleyIraQQVNvS3/BjtuWV2L8RmUGgTWx9idPREoLuvjGwPh63MEi7XaO8EY fvAUQSdy+zeVG7f9E1TYqHBURqL6549InSgclxOJ4zRnY6IguSbo+P6z7njLGRdsaCXONzhGGkJV ow/78r60RLJnf7WvrUoEDtkxXJu8CnNHbb+vZVYU3FXcY3LYM1I4NaA0uDweze2NQYlebYxfTDJc 6lwgNC5EqX9GVtWcdsvR1/PCswK20HVaYdHwJP6R6gNVkRBlkE8uv7yPWKqDseP0a6IfIRX85tM8 wy2Jmtm7Rz4wg/MZ7KNwc2gjxFIMJSggPiJwiuX1ov9fHpzqLePw+7DwDRPkTWeUsd9JD7BvNNBr QXlOWG9ercwekslSqRGZqfhpiUNjkoKTUarDu6j8geh6dUXOGp6UL2KxX2WId2r4PGKRjdlbfoZf 5IT3P46lUNaN5/+j4UIPnIeBXhN04vdZ1YjqMs/88gsK7vh1kpFTlN9tT6Fbdxx3AvZWVY0LaCwg aS3WBwhHYOZx7wFUgrnwCito2wKHjDdxoOaUdSjvLf5Xl+hUSlHrzNxHLP+cq3dCHMbXfP0uXeUl BGGz1ep7mhXG2IVjIavSsVgdX0zPRCOkhJV98CUYtaEMj+eNi7rN+QF3Yoi7wn7zwnh4kUrjqQe0 b9GXCIk+GrpfsOjFnOcHwJ58G0zEogZ1xjUCZcW+qE92In2VeStTTv2Xfnk6ZPGo1fTZWy/SjAC9 IAUFI03Dyc40LPa0NF7OnBc/9u6eE/38Aynkwf+D0jzJ0BFqdB1/9cOZMAygXKzdYEY9TJIs6RC9 usP3CjqaPDG8NQ+xpj0W4LVenlsnLHX2yc3PaIC4iF7GcSwzey5lD/go3s+z9V/Dkf470s9YVIG4 7BU8WyA6qnkMiImFp2H54hNz2s5S3zDCcU4eNjJ9v8BNOu+1uKfM5XUY4v+Wuqp3P0L0VF3jvTcW KlhxFsFR5SLBspRGS/utmhaoq2Fh0lbGmUzo5PCRkPrp8zCrvt1oTM+k0ZHgYCgCq2+5UKymxFin M1O4SqSx24QA998yU2psNBK1HFFPPdfrMdUQJiVFWIBgR+1BM0purBInCYuzEirCx9FkKk/Q2S+1 P+l76MFY3LSsXvPd6nB+amm3J2ju3SjVtfvsW+Dt94z04DTvSXFqyDk8kQhmFhU5T7R64hhn0iPj T7u00VFjtBX9hcfyZRK7OgzxpScuINQitb0yNThPVwgAyqsYoMlpXchcRqE9aukTKY344JTqzfmo DzYbJD7GAPZ18+wZjEU2gLr/hUNog7Zgtk1fJ921hLUK3e6qe6zMssDwUNU0kdyJ3T8ZIeT6pNam LWDYfi+NsikY3fuhQkgJ++Dd7JQyGDJ5Jg5oLsDX6RDgyPpouZDBteukiYxxtrtylrm8aEapcPlu 6LeDf1dVP2Afib0M6RA7uLkHTcfhR6xLkDlGdAvn4vb8NY5dNjbTayYFI/FEgCebvBI68t4UrpmM MYTwqkr/h+4fDZjYg6tcVdmt/J1RbmvfolWf6n2AabHaIcjqlIIu0gs5l45EPN5s5aWT3qciFt3M U2JFFpmrSI3zjxdjA571lLWXRm1gM1Wz/K6aY9uCvSmRTgp9RWflcB33CCq3548luJUS8pxmD3BH N2XtOmovMIHUcudPvulbhm9kmkYzEu00qSp+OEkjs3gNvjCTZ5m75Unfw2atwaWo+0kABz3JXbZv L70KYL0B+hf2MSMVRx+Lc6XudMOZsVQ77Kb3q7SrFi3rzvdSUhE2SDcun/AH+cgb+qBA6qeWnZnN ayjc0LBLwUmi7xjHt6Bm+0UoqhvgpecCV2nNBXHcYvFZNReQPZ4UWwYE7+4TsRmlyer179jUH4Kl Ii/pDiCBaoJWULis1XxNODtcUed0lXiPxrlzxPbmzntqvpNob8kgpkiE8ekMLYL8uYy7YaBcpqqI BFkN7DJUrTJ7pBqUH5vleq0zQVkPjHj3+kVdVoQDGFv7fp0D1SEJmlrszZzyncK/5+rlH4CpvSgE ja+e4xPLklBRYRNaC+jO2tA4Xf2kRnjgrs5Aqp8XVFCC5mBRm/Viaj6qQA+bd0fQtAiqGyg+IChU bR39uv1Mh83dJAoMB/zfCkRMiQthYomooXHQGOPQL+01S4aguqSNSgOoGkfol4Zo+924YVUpoTLc Tf1Lw4SOhD/6h089dlSFGrU52MZ77qUJNUYi+Y3ehXh87btIqoiQpgtpZqqd8CdMIK3zLkvfhlaH 1qKlZkYR/pHXiaWwqeupBmXZjbYtq6yPCQKAnButFUNHFjrdUe9koUBJS5IyA6iSNXJn8G+tlHk9 7Rn1ELq73cCS/CeIK97GRlOIjLNA+gL3UN0FhKsn3rx7S9w+f7p8zXvDNyW+ZzV6wSLdrcsou+sX 7ByfJBUFEAhEA7Wx6b0qHdfd6pzdXXZmtSde4OH9Lb2n1TA79y3eAqQgn+q714mb/mQLqCO7xUwY v1jvejt0i89sPZ+5WAM2IalzicnSPVb2f7xnjj0YtBYn7XnU9lQdIInS1VIBuTvRGCpX8bO2pXss Fb3ucWBWMRPiz8h4IeqMTj0kbAAEb7lO8Nq2yuZCL7zptcVS+k9xdaTb3V0pc9GHf9Sdm+PuE4cT ax1aIKCOpce38VtIqoNnjx5MxN9kkqsNaN0c/USRgtJIK6eG250lsqEY634vKw2g7BEjGzd+nV21 /UCvAb91G83vAf2KkOvd/VwkpWnlGLaeu2muV2iu/PXiLuai3UkiY8SphOK6jlaOvTzUAhTn+h6f HS/AZSA+C6YoVVyQbTa3UyhP9zEiEUGTwntHvvOrDR6n6y9pIbu1qrENKuznmYlmqeWoWuHdJYPM CzyCLOcgHDmFD2QbnQSctLYWnN0t7DCpl/kvP4UsS7/OIynPwCKpuqpfln/tpLz3NixEWCdbO8qu zj+6uBM7SOSKesg4ykb52Y+OA8TVR6MLfUkCM9xIJb/RY1TSjAt1Ab4XFaUMKGNs5c+b87E3Sw9v X0qA3XEYzxmI0n95PxdR39geXF9EaUF9SE9JVc5TVSU8rUXae3p2ITuHP3mB5e1GTuKDcjQmq5Zp KF5kLbQAETNxUkUOuum+IkFaCjgh40HxswCtI0ddaS/INQ0NSqhHjc2AXT+cTMqbDVR6ptH6xp+F 0Ui4NqkVaXiEL2MxdBdWSYc/lfpXeusjWNS0j8FM8EgHWE+xCIZmJBWMJ9NUiT+c9BRs9jz/G2V1 6w6kbSdBCWbP6cvb/F2lRCOUaWARoajgdkxxN3HUBwJxW6kPZAqnSElt14aZN2Q5tCJaDvLmgGhm o65aPvIWwtiqxWyCnrx7IXXsaq9tc4huE7Fs4ZW0BRapVRsCfP4lvMi2HqfPYOGWai0UIJ1+a6hG wXDYHj3Kr7zBGNpcGX32gMYE17/6tANZoqnFPzeT1uRQuusB3jdRAsV8Gz8XvpEDfrcaD/0yL4QL F6nb7arIcS6XelqNm5zOqmcscpuzDn1Il6dH7au9i/P9lhi/EmErW+s7Cka4B+UUi90a5qR5G0Q3 NI+8bRpqo7AjGpMw4L9R/RKKACNXEMYWdlb6TVoLpCjioVLIm4C+9c81aqg20XyzC59+kBc32Z2Z 0F4kJecDghmRtzOxkG5xpCRvCnnvttR7ErWKaF//fs64qqZhHPOqaOF5Ha3WodfiwtJIKAN69Pd8 XVNjmWia/EFXXTcHfTO+lXgLkW0tJiy/6tx5Uk1t/M/SBfX2MLd6mHZxg3MqgSTjh2opv2B9wQ0H QyXPP9wPk3Jx93qqXiV79EEKmDE8bYTdKpN5EYVdu0iexMRc/WpvciMKprqFdyb4iO99Pzopv691 cjGYF2l0pfTr3QofgMIewSoJlq7RnXurYNX0O98y2fS1DvDErhp4jM7s4B+Ru8Vorpwbd0pJQBZb Hc1+F62M0Jeeya1Gfb4dJZD/ZVTF8p3OTLn8EBBXx1MSrNBofVKlVJ45y1DCrR+AiuRzVWhOfpau 1L/KGKAzr5oRl8JgXn4SxxDgekekZOO40gqWGFaJp0Qt9w89DJ3FSSxYwfBG/ILK0PaDC8RzGU3j hOeMGmW+Zs2XC5LhTiJaZBYCAPrMMPx17qBg7QOpEQtBryMEUu91SInPRjcSEdHoL41NQrVOg25f k76hhn2kYW8vFNh3ePafoPjKVxJ1M690g0/pFhsJX8BnW7ToEpGbM2/Geu4exdkPvxwcJnQ29E/H c0xB/HB1v+JUA/ZyGqrHkvYv2YfCg6g517FoYlTXRILo3Lx8QeDa2OCxi/4ZUwYhj7pTtk/NNVW5 /NzBiRoapsn62IDGYWHuCE0AZGwTCp4BQztECTxMMTH8ltwegD7JHpFTwAd3sFh3Y1yF3WuzZpFh x+SgS6GhWWactdqHSxCTFLNqthdXvwgW0TRcPWDaGUF0Q0iWT7WPHB76ZaKo6Urbx7QqXG3VhQJH k2iN667G48bEv6Qhr0PfnUgcmUT1eDDCfvOxgr63tNK1IelKvv7yKomAcxLc0C0kAespgYH9cX2N xUDxk/2xKLK2zCxKM6S35o9RHyfaPVjclFf79J7puTtX1SLJcVcqkg90HwzG+ceclcGo9ZBtbxUb 4zEYQHzlSSM4wcSOnJprSTxGzIvcBQ5+eW51PIFc9BRzTYQQV6YmrlmLpzKv8xKaTpHzTz8qU/5p RaDpYhb+2aqXNZmxYuk5P/wm+cZp/fRdjY+q+8YwCD+6Z5VgJygvpnCHdxJMXoHjsAi4pZDnDEN3 TYDtMq9e5zhk4wp0mhtViItaZ0q1Cxc3g0YzsKo8xFhC/16Bkz0VkWVsaDWXIKruOtVsLPZ/Y+kQ 0cTd7NajmiPGaIKIIiLD4EIjgCXH+zotiWmfVu8HfXgSB+vK5iafVECKQy7abdWCFWG+GPGIPwlG Tmg9WZQiBErn7544Dvf3QISp/GtllhsR3WTeVLOO0qx2K3pDgH8l63u5aZVt4YsJb1DeUz7Tv6px mxcdxPF5whQnbhr9ux3ImpekOhgAvGgeFNxKB8r3WQAx5ALujelJLJh5NGxH1Vir6OvelLHLYfn1 39ugEYy4t8/dE6IvugjYRumh3PpOxl98SJR4hW8E+FZjCeKZRwxPCmCqpZPbrDIfKfhtLe0TwGrJ f6hF+llKgJqawvGQlfYTj7z2w1LacVa2SM5EFUXotvlIjpy6oNpy8jxE+Wy3Sujfm+a8EhqM1m8v /vt7pvsmT/A5wKcN78oYJONtN5xgwqOJciVECzUoqPEAh+uiyo4+2ROeFISkNzxgvw3EMWPfyZ4W sC8h5VMBSkYelXW0x2xurxLvDNeDxAtWBOd2T6WbeNOikVeZOzcVVi31sBj3zJZeimQH88oq5DiG 2U1AEdu/P+NiBRcHqiPDFs53ODm1Uz0o8pnKpZ1zOIAb5YRu4wBCBAY6vePRilBGOPxpZbsUReiK ESyUFiwiudgZj7DU27KUAigH+3JBlNJELlum/KoGSxYAoSV3uv/SjjKG4Fqld20+ZTscudY+KGBZ Vd2HXfk6QCbZ3wkp3CT2ymJs+a5D2xWEn39YHEa+woNSUt4XUfZXvVigSSn1IzuwEdWOaZ7BK4F+ dCgC84pB0x+1EWDYLWdyuZfepEX+armijXOHYOECjTTZmpSQE/7epXYJu1P0folR7XmwpZLN3KZd dh6j/7n7bbj8OKcCq8dDSqtQoe37Zs8N/i2VukxkWAX9c0t+apAhaQVdS1q3XktOn0unF5uOFJr6 +rGY3131V+ZfqfSsJnqdT5aRPRmb8FwWVv4zHlAyk5GcskH2lIAIMrB8WuoXdbCig1JqmYNDhPbV N3oh+U5YJY4a9Ar1SVUdc7fDibHYRdAHeUryo9ERq1BBJF7/REQoPioT4bjwNNfLzNOA2iqgVKm6 Z1Y8rv8QeRYIWZKKUEaqn3L7SEuqjeLRDjPJe5ktCsZzsAp6blQWODTj+IM1KmBhkzUbbCI/ouNl RImtpf0FdwJxX6k54V9yNh9w7b6zd1dPpsTXS47daHbZtn9bslZmqxlqBOhPwowAzu0cNTHlcTrd gVDx6vBqh6R++uDdAqLhXRcuwPtaiMRo3/Hq5goYVQltSnP9Q1IXJpPLDJl8XkMdoInsV5KpWpDL taFj+euc/sFBaoteMN+qSV6pM2MbibZEKgY3W2ZS35NWHLLgJZALLmjU+8N8XEBGIKWWvQNVRD5o lDA7CCh1KqhIIvySlURidUhLHaL7blpBcQmOhFy5Ahtd6+sAUyjX/JeAfISMY+G319AVTVJICMkb 64j4iJrFul0a3t4TRwKM7yiJPkxb6uWOIJnLNY0tW0rzXU5aK3qp/kOfRZ+HskIFT1p1Is7sQxRo 1h29yT0HaIqOuB40gGOtzcR4A5yk7FgR4TigsT7rkXWnJJ+Tk6ufMsYHTeVqf4R3Jl3Nec0eODCD vEjE4Bnr0Xq5IVXo5eyAc4GsnT08XgrPEDC89aLDBiASQ9/RR5WjmcDL+8V3PIDJcQ/Pbie5GYlB 8H95BYBKVbPUziYS7CK/TgUTdRsXVbbgVw0Uw937Qkxt934uyHgVT3L3gqrzqQLEjva7MjIyZSkw iyj16wJ5G0eA0uRXAYxHRJSpnxC4khsj6DPNXQ/kIoWDWCI6jatj3Jd+3z4CigwnNZF3xUAA+i/Z 4hBRJEfh3LK3sFR+sRWSr0byfpfOGu+zpF3KPrgDbGTMniiQp8otym4OcCW/gCmGEgKD9urUH4gZ 0f7ACHpRfDYNgh3jpkkV/PCl6zdVKIkVm/3DJlsOQntSuwaP/1bh7auVB5hPYMIESYdNf5B+vcpO eOeAtzYbKmEqr02R31hpezZnGbcIgfj4rxNLUvLm7RAWMxlwPHRK1nH0t+xZRofOm/DantwREH0z 1Pm9izlFHsgYrQ1jNy4Ym/1FajaRJkKwYh73TVEoSzAR5mjRVH4lqBKCL6/Winmisu01YmQGAeJ5 T6fRaJiKVZkWjIvDm2zlbXG5PsnuzGLXP13vkf1SmVCRcwKHXn+LDqIauIuksQ/H5ykKo6VO26v9 s/LvnhBN469FvQAkMNoSS8AOD6K+RynB/h24dWzZKmqjib6tid6KnIOpOSgctySBgzDe5nKNz5cs TMs8vrrR8O2n5fg4PaNWTiGLPQxdLkgWYzkaGl8F5BPnkuUefATI09KZwUg9Fj6TBVOTu7loGuwv IF/hTqVDuNzFBCO0TKwpJvCLp6/BP3qw7fmWLAzPteDYh4wWzIr13Vbi1Z36Uh3OhsZqXsjqj1np QgveeYvcsPDqk5FNWxtjdDR77AtOEmc4KECMxrtQcB+3ZfQg4cza47fBzEFJdsgTN1U5QF7D1SfA YjDc5Vp5ZXUIrIgQs6O8voYn+Ps+erVUrwZUDL8IgCj16SrkAillQR9cy1tWU/+A4bc9L50KJPBP JPrX0kb99pvULelY62HEIYoUt/Cn23tziN7BMx0BOoMROI2OlskrzuYlQ9BQbylGhsu73e+0L413 Ob3Cgq0EK+B3KecjU2JO3b5sQEGzW4GDIGvktSzjasR9xNOaaHEGgmXrwN3muLhMBoIO1JHs+9Q4 zNRYRKWeKAdNlBHS55jjCstliW32ExKc6HshKdHkfPoDCzLuWuW6ZmMFE6z+bh0l6JmMdaI/WaeH KQi6HLgPDhIoSvIyOPBaq5ldwUgkoGHJJACseJIbDYS5/1GRDnlXD6qzPlO608usGApMzzh+189Q oGgn/Zrd9Jdg5rYsra1XBIn9AfD16+d/7aMbx8kVPmrjV1Rg3rz2itITndIZraO5XqqxW0IEn0u1 zx2hrFPVz86tu4VDP96IsK+iLxKLu6kJDMX/teJIhVJfsWm0NVSja4Rfj4TaGFp/MEuFWMlNfwT2 Q91CuOg76QprJRxrKpQShy37iB4XQxSpsLRwdcVB+bZ/LywQ4/hOZN/t0jGDIgfqHWx+gzaEd2y7 rkP08X9YRlh/+Duzpsdgj1trRNIY63E0sLFnW6JzU+Yt4Tip4b9Az2fReZBVFLM1bTshvYuP2cGm i4FjL3TSa5YoSikUpr+EgJKcg8aBUHBz302SGHPOvcw2fm/TL1DCFKyh/R9epsxDJNwdPwTbP0Kq JRjO+o3q+vBhuP+k16lGmWp+GrlCxoFyJSlulEw34GIQ4bYXM3c5AHknmQpnMWSuWnV0Uj5KBfYy EkKSgsjc/Ti9c9mtWyKgTTNIUdUjIavhwj3ApMp2U7bh1Iz8oKNsc9vS9zxI+42tAWT6nk5ABcAU TuIuTyW9oCsLXduMkJwQLcl97pQJ2vyNq9g8bYTDyukFLMiYet1fp3MRz6dYZ/mZm+T1Z58FOSdo 26gqhPbGV3qFSkI74AFKl5NOZr3mA6XrThP1VYU/ShNQRNoWiuoTwYYmJYedFDTE65RLOPVT5O9I PPBH6VvEsjF3veBHZihnl4Z73KOFqpxOWY2DDkE+5hBAojJTiokvKCEQxXJmKmBK4ciM3RDNG54m ITUtZA4JJyCPGXaLvskKEBiDg/1gXN0xLh9EI1hs4BldbVTHbn3yZeSWvP/tsFNxS36q88MUXLZh JVg33mMx8Rlp7F/jElM7ldmhd4UaDcBubsiB2WmqA0EHcXhGDR1oaxqWgLiKIxPD1eib6E0k/AXo kt4HvdwYV/xqucX51CpTgzrgI+gu7hoqvKn5ANdCIEI4SQzsljrqkfKvDYvWp/XpFn8AYQ90kTel OQZj14cVTlJnkFYJOTEi0sf9hQYMjtXnpMcTSehPBVhVCQrqO4b8AwOzIH2v3AqVmAQszGyXmRX7 KM+4iIH/PWmOe72E+5tmEypTP19GI3XU0ZDiyta0aSuNKRZa+YILvSlQmcIvjJzrtBTuoB8DK+IO JbJQxj+iLzny3mpN1EnjJMKAuAJylu2X6r6c56drSUTNbtHFfKMkohdjoTAqzniAv31dtrHfJEEF 4YQl63i+drotBLCyrs3GTmCvgdAusAyhKOdwEZYsY0vNDxJZ6nVuW+tYtZ2gV4UEvPO0lvbp9qMg iGIQG47P5peZy7I507V7G7AiKfIHW1vwP8JmDAq6cbCfX6vCN9B/7LwFhdEMOvPRqgzR1W/k6iFT LcqTorvcBPD7insT4IJWlSPXrT513/UtF7KT7JtBlrfCR9g0+ZDo+uaPzBc+IR5q0gfceyobRwmk c9b7WY4OEmkRcKl5EQCLY36ikTRhQiC291UxVtVLk5GOCxCOWd1FMT+1ZUKnHJ7XSNfe+YcAbm7t zSlebVOUktdpsF8Vgx16LhVpXXtFxLw/+Ul92bGG25nEoahaOOIie5sm721Ul93OUdJeoc3FfEwu 4CLFZJ45v11Tg6QrAZPT7wDxi/hwjsSbrW3Vwyy0ZOerbvLhJEVThTnoZ6wLqD/aO8AQOlPnXnpd D1Zuo4m/BzGDDcQx3bs2b1R/KT73BhUK2/BSLNbqLKnmwKP4lR+zoqGhSXonPK+8Ya86MkhJ1t40 PiEpEIgwa3eRlnlmNa/+X2bxub5265e7meGCTHZbhO40B2c/9oNeJfJozW+6KfWCvzg+cYHp8N4Q wppcNB0LZdh38dXuXXTtBv16EZ/ZXfG/8LbWpSaiI9/cedpn6dMKAzJvpB+MWnXqkhTHFN+gI4ev UynU2uqSU9sdUtpAk1w9433kR88SCWw5nimYYWlSlGGSXSD3fUX0Jkjel0LpV+2EWxc+Q+aY+ppA yJQErKD00hHY8LYVKOa4DHB9Ugsb2ti/ZLGHbJ15+Dx0YKpf3eHsEhRjlKKEZT3WhN3ZqvB0R+Iy k+6Ev8Hl8jNBx3Un9XDBU96EShI4kM5/2YSljkx0UWKN/JGA2MbHdGnfelaY2tvyhaocw55VZf3A TsR++h00zxqsXrXyC0vV5SNPJkhNBHFkTyWhJZs/HMMIoPVxlPQcV+cCTy9ak0Ez7GXFZecJu3Uz tmbwOPQcExOF20OmJl5+tDfbM2DThbkkYUUyUfzjLW5WuR5wI3jU5dMLjlOckqwjnPD9TQRG9Evq iboNJySxHaXXmFfOrGGDeOW1dFNnqQtfjRYIfewAW+NS/hoWhJMHd7ssL6JggY9dn8+uPMyE4WyY UFX8n4jn65oRmNBpMkoFPdpXY4mn0wyL8S8hGlJS6GvJTKU8hzygVHp2PUPn5EpzEz0EfDTpdNhm d1J1X8+JThDe+kLZlTEv64UE9+JlQUNmj6yq0ScpW+YPUwcs6MM5PbfpfpbqA4hLoHDrT0ENlLiP 1E9936k9sfKgcUci+vH+OWf/XAkNjFcbbl/371sC5VitcW9TCeq7SapY7bWNb5ATY1Y3iFkQiLZY GYIdr4ufCjqgDBQ0YSgY4rHF+/CLr70/HYGOYHMdea45+nwQoG+Lm+VQaMFk8fWg2pGC4kdN233f 8C5NnzbgCkvK1WAVivflUTU3Y6tQFeMQnXo3C7r9CNW5jtvXubI2QjG95xzRtQzvORnk3YC9t5Gp EgR0FmBZ96gMDJCGuVt3EZIWaHfFUiBR7sgZfY6AGNsKxnn7U/79FcfB4CXJ6m4nPExgH7HjGQVp eZoY8oSX5U8F77tEvixoglwqSUAy8YpqoN8Bh0Gm/uQ1tJJjy//wMMHuEjifG+e/EJyS6EZgRj1z yjvXZrv8Tl79V+lt/o8morI0gqtQUJ1ftAddlJ35bUgj7EP0iZ98Aup36GtDcx9Ie68QQ1egFDk0 Y5FZ65mRvLdRJ1pKk6G1fXzBpaA9k48ZuNW+LzZV3h763JuJ+RJ7Tcawsar7Wc1wCUeFx7I6DkS/ xCVMlZ5K+Kv722IL2qwCvxNLaU5aTy263GukPybEvbuWedy6cF0YPqXRiBs733818Tuox8EGSQT7 1W9vx+IB0AQDmiQ2B89HJB67KOPa7rxtYZ6Rg5eBapAiCTqsf50hMQyPrnCJVMwsLEm+ItBTW4dw j9B+iVMTW4Cobs8QHs5KLgWvFddFxw+WDdCDbjKV8bv5DZaEyGC7uEHlR2mWS0cEVLyZbkV8jOK4 BQe0xCpSn8alSkW7EKNscX7hdLNn/oUUleN8DOg9Ib/VoEHdk/OvGo++J/mNmD6YYzrhSwQvCLRV LqdbWkeIQDgcHe0Ivur9kky1h2RqJci1Fqikv+3/xCAdrSgHXgx3q7mAKtHbXxzGH9a7WV8u/QYI hfwxS7wwp5cSxJrUc+UONL11922Z1pdxKDz7ezh8Jhy63RR2If1EFLOO1YrizK7/0el8OQDMZMhe GqBOUTgwmutawgdSoKXmLzM4/3GluMztUz50b/ukJDbs9lZPf44HufwYeZSu2QolYlhpStKD9Xsp iZKKaglJmwqLr52jYDERCXtpH694T6KRNdZ5NPLWMJZUdJMZlnuzA9ENqZp4uW3GS/XFVfB3cG3U CD6H3pmtLeUJMiGUOwbKti/pOytvXnvMTTyppue/3mOh4x8b3z0+/UpfoiXH0MCVdgShYxyKqT6f CqGraS4JwlhkmjTt4WPPe1mNdQzsXFANPQPdUKTOBwN3TNTmjVMccC3F7MTQCd5I4w+teHwOOx3N +5+GZuyVurU7RtJKimblWyGV+AlZwHybKy2gVvADvkv5S3jzkqvT6/cHL387rSM2mob4b4z7KX39 jsb8rBhGR1pUeUh1HZ8b/eLDyVWIHeg2z1dbQKgre+DIZTJ3NRD+HWU8KREWIXJdMNsHd8saECVQ /HjxuRFVpo71eEg6qOZKaLp1ePo4crXrYM1AaNlyROdptOpvA2uSP6qqrEMawvonJD6gRhNLDlWU TF57tScGuo2LdIHgKC0A8THwoJs5y4ElwN/fsVtbJOSXzZ+z3uuLeexPNYyR+6m1SbyQgYyFqfP3 NlbYwlM9HRG9WoIeLIC9UuHccZi+960bnhuImz1Y3SwtWPhOykePRt9iHSRcZuTYxn7wVSeBVmtU EOrLY97xX7o29+1YSO4jeyk8eBqwhDZJcehdImIHlhMsMGBCRqzJkRgrCgv9YxjiY2sTySnQWzJf PEWGyCJvqqJ4JYvF9hoYYbB5A0Acs1PZocqNBDG6r3xaoTbNWIk12D4qgL8hCEPVWXa7AB1Gz5zD ocg3wj4yDblBLDFwH4edHZjydb7zWGzc8nPpVqpOZYZ5dwEswCtL1s9R/8AVudH6IJq/Cs0jaiEg XPl5TiFM6EBvtxNlvk/RErIijba781vEhpsvLFEaQIZscOkTxlZpEtPOfblYL7qrk/XfJ+BAXZ4u EA4wnoq2rc6ANoPXZyxa3bYpWEojVnEwDy6wWHcEZEmxyIW7ZPTumMoDun1aWUAvtTllTA3P7sFv VvNi0QbY8wv9PXLZqjS3BTNENzfza78zPTGlNdwAMxIrzTCYnhTUVjAUKjSWz6yDn2oXzEqfr+nQ mHZ5GC7xv1scBkD6alBMc0aW1N3A331DRHIEeR8VT7Cfoeugiq5c3Ianq9wUVNcE+tWvwdTvx4oe gbeylq5WBx9yFsDiGD2zexk1viqq8BWa3vbbVzorB6WYy5vbgt61z1UM9wa1gCKW0WKXp/MoEIBe VtNmp1aZcyIUWy1ZUy3frt3emy1OnN2Mj3+q9AXC/0HryS79qyxNe+lXJA1zu66DnHDfvFh1ckRC e34e30m83Z8Y1eW2ql4Z/StkdKdwYEkjyreOVr7gLuufh8yH/8kYmts2SplSkL0gLau7HT8xSqub FIXX4UrsdHAeSBbd2ZyuUA1fRX+cqV8TVFY7aO9b3/l3pjc9TqprD0Gp6lJhACntrQlDEpQ88Sdi 20peUI8TViv3suLd3MTwqQbOZIKEJXFWtwtjISaQ7nq5W5ERmqxvxgcqCprZkVYU3zv+itwB4ulQ 3SsLXLyTFqe5tboe2A7zJFGv49RP5qVMJrihLBzkEDLSAMi2cGE/aV/EM6yT8D8ORolWQUT/SdVg Xyg9jRy/jWhL8AuTztEQ2/kAnfW2noIfcciAtq+QEMIynOtKFtGmKiaPO7PB4XMxfa7SOVWp6eny czRqxiYT2xrswYWmFXWSchxNCOXpWwZSmNJ40PGFr/YS/PufcucMy4w+UkGhqX1/l9B8XpB4Obia /m0IYymBt4qrfKy7G3DZS/YMgh4MUdt0t6uE21VBQjtvqZ1kVTPgspFtd2o6XliZ3mlM3U/yDpjc gxaJ9BE7i0yLaGBd0uCpm5z8Sotw/uc9B5CLC8h1e75XBHmPUKsQ4SpXtXPQnJ7CsT1Q4ycCU3dO ajt3D3TX3Juf4uccXGMs1oDvOyyL+5lVbvZ7gED3TqTeSEdil047S2w14Pnkar2NEfDdxxrobna4 SlIiRRmQqixWpicj48S2St/TLaDyvUTK6IH+MUbP5NqIJZ86cJcZiOP5SRO1ZOXirwz2UlJS1Hmz Hq+wtLfNkU104rYLbmc4t6bRqVLRNtEtH/UYLPtVG8TovlU8pqsDm+YVdtGUnLean3XkHkLTbT5A XM3yKJLW/kj3lEHVaCqyEUiIffnu0R7Mju/V9v5CQge/pom41G3NcfxxU0BlM9nfeTPwG762kwTi nx8rL5cFWLmbX5N8Edx+oq5xMVHVKx3r4re4xObdkAt5y1ONo143PdtHuO7h+1CN4fdpMiFGeFro 9pvP23ft/rL/vZL4/PfQnXNIeUIcnfKR+5s9pidvrPBQaV8QyMa0dSm6aKySQDbQ9+K1tJrijDSm bDLFYgg7kltO/rxaF4fEt5TbCiVgSQWtdDWHo98oJMKNOmBQvcCZUvxl05UXOb6AupwIeQ2werlN 2XzdWNwLhDVdN3rcmAUa4NPX5yuKVgJM/igcfU310oiTFIyhYT8ONknCmqCFIYUjrOC4pfbCtI1b moFhv674SM920DZUh9xg5Al2mr6KrPVvxVwtAU+ovRYM9XOTzziL4bWTR+suTQZnvWY/66jUtSQK Tc+RlSZ5E+Bf2F69fYwoIaq07OPTWwP6RYAjFKs4/2Ap4o/B/qzzTKwdaWhgQxr2b1NN13BiP0AB u7qp0ZEae9Neh8VPkk9v882oHCB/lU+KThfQQLy2gQJMy4yZ2viQYdx1UjDnjbVUB+30Km//nQhr azePWU3eeoTqbjqdKFD8fLBMefNN3mY4G2iqyW2CIo1ZZa31pMGvJSvQ0Z1szye5ZM2NJ6ASGbBP PSZO19Yn3QHsgbde+u41wEro2TcY7n4pXFvXzrhph8EF1K8S6Kv0YTPDIIXAI8Z/E98AO7uypgRa zPe03pXwZQXhUToD967ZaX/mys1ai4rqAPWn9PPOHqrPh6LoDrlVdBTF6wGaW4NTPzYQQPvyY9wY 0qV1jptlezirUkjmNcTQG90Kkl9zpvd7QcIdPluOC46zlY1IFho+a+uoSwQbPfArNMOpQR72endn 2IHS5Hwi+qDfUjCgHNZX0pexvz24Cwrm2B7IKk3YDXUwzQK1+1BxdOVJz7z2RtmHEjCaHd4g+l04 MxCgeUb0aQU65PwbS/sonq9Ne0U0QAGf/oyb/mM6vgDnDpxZVIWRvs2fbv3CjnQcdtu186GAFXTa E1yWmfUN76hhkzabTf34gkoYBFIBZGiuY3ZdmGf9Ho6bi7xI/hJtleTAQtx18lRzv8g2rbu2nk7z 3LvKox1WNmoGMa4XyilkeWsrsHTItT+uYqzGPWYuSFv11My+hQE319R7c8bvESIJDWDZe4CE3jr2 GFmdBBcdxJ3Ue/y8wDXgf60U7UymedvfjjlysH0U8f77ueIsfhu5mh9hMj464mqAILvCnTUDrIxE Uvo9nm4C7MA3EnZsTU3ASnlrcei+GfTDYFSAyG2QZQb1IbWqXSgSPHF5BnFS0NXHWexYOfhkEqVg snZN+N9IOTw6HeJZHpWklpqPflnGyJ4tCCvJybOVZIBWnWUK+TwfyUZKfKUGB6m3wbDRYSGZNLXn lGdxxF4Ct0YN+mOc+HoE92VyMJZ064BcHLRsH6eyn5DclCIE9mPblIKX7uC/82POlA7C9+k7okv8 eE7xyPm7AynMKuQKSgz5rrXdPOR2Od36gF1hFqC/wIz25nsO1fSwkyHYZOaBHaWffv9/d2H4SeAO O6zDkUellKmr/VPT0Sx0oIsgn9qJA/sxkgy7t3nSJaImHva0AjNNLqk/o9MFtT7x42LGLdwM3gmP YxsyzkCXjCDzFJtm3tJnIVKplbVzvpOqJX3SU0Y5CciKXhW3jyTZHcjCFKas3Ohp50JTwBOmgUNK g9zuJ8p9cbF6knjA+zZcdcHJVt1GzFQ7YUZTjH+WZX9b2kINBLTOrq4et7odFauSWLeKDn34GkW1 Mby2756do35CFal/laUDZLHGTBTOo4EsS8ruRUb3sE+80lJ9eJnxTJ2j8aUDOQXcn7HPJMIen/Ct BOgrIZ2UCioGTeBLXljPJro9XxtYu+zNHo5poSiA8Q/7DxzOB4voV4skEUClB7f/K0Lgl7XIo/V1 nX4gRuO0omrO7jac5CyfDYftVT8mscjNx1o/4q3NJsz7R7Bn6J++9Y2cdgJPFC45XgB4uwDMq+TC zjOMg6/UcE5Jrg1YrXhAmGcLXiPyf5U6tkspWq23s8pCMAbhPmJKvyRuehGM7HI9Kx4A+c5r8Sli GD4o/9R0yK9XOm/hjOmxAIxIIfDQTuo+LnHBUInmKqW+5jvr6XDt2rSeSX4Ctiug/WhD3FvV9wx+ ywqDaj3/emJzx6lqroPinjZxJYs0ueKrGKzeL0EdrVngjqdZwE1k4ISc+ViIdwkMs9N1OlJstScl FfUkkpt4AZxs7sI2in9Aj5nc5L9IG6NxiLSGEH+/Ng5P6DxiDHaLYhIAsu12mLg3Xz0VaIMx5rKT FrVbLFeEKOKuORDS2Wi78ozOsVC6ZMcoVzwvBQtAyWknxd3Rc0+f6HR4Km16SIphs1jhEHoDAa3Z 9lGVr77O36xlrEmnHDZPsNU/nhTY6xSDUuQqZ0eP5vGMnB8Z/YKAsU4n6+r/ki7f4OBqrYtIyCS/ tZlpfSbGBEyMItDiG7ZPUT2MnnGm2GGvkGj4qak6fmRysffM9qn6su+UBq9q9Erpd9XF0msj2oXh g9sTJc73fAb0VOrzLw3qau2sONEYwZJSOK0Ua+peAIsY0W3qvMDtJS1GuJURJgTztEjCtSMn3day qeRPr03NQY3S/pfedGnAOoLIDmSC+mGnxOO7Yz+X8TkXRt7k1XcsGa2uQ4wRZEvN/MTijWMIEhpi yElkmhgOdMexPlCdBDZuCI8UQ4zodVVkhZhnw0VwotGpwpZsX5CaQF4Oxe0KI/HYTEDO9xd1yYUP QclfmR2S1J/0EU+C/Y2X2KpsR2//EknHkJ4jtGbV2KvaFd15VhmjrcsXoCS/GXYv01SWjdM/aBx3 R+ds3pVsNlziX0XlYu6R8Q+sAhseZjSHHN5wE5EzbC4+YvS4VDYb9GQSH/aJZg74FpQbHWZ/Mf9v Ny0C85nfxizkrCUh3uBNHx9MwaDfVXPIJdrTYxg75ogtNNYSfXqB9gXjz1E1yAJxqMM9Bn4SoML1 FpGv5pb0lNUZyak5fpbyRvfZ3DwIwIycep/+Mdt9FcShLcETE0TuT5VxGaro8hkL5i/Y7zguNeRG o5aaw1mYFHSIQiGhXOOBeWy7HzfwsH/AxUlC6WejGWMNehEyVK3ac9sYac0PO63AsZf7XWK3WoVE 0Dpvc9f0GUZTMg3cedKecEoeTnWr7kBy9w/agRDg8fwSwf2ebqb8wHJM6HWyhYYbCGfjjQBzcAoy FvAy4QiQt0I5QUzOhEBgWhKJnVZx4dKa8KKyVtFzxggDIVJPPsXMpF1gMnDnaVmxz0fdAq0xPFpJ Z4HLZfuYmeQfyt+ei5HL0Er4+ZnNCjYEXoS5T3gMtVV+kiMR74z4PNE3AQe8D4eIQt3BtAyiTJdq i92bhMkGnZKPHdsxrKqv4Wlyekgtbii7UbA5aDZXeXpuoz5aUG4h0dG/lCXbLc36je9cfGktXXF0 5qi+SFehOXQWrWxlSuM+vSGVpWEyzk85mUndMLG+NOhPYW9d6lo9x0/JM9GOKNETtCiGRf9YJ0jk X4zbmHU/jIjZYFoXj304ypDc2ErNPj9JezkFO745IJxVHTYDEEEgZ0cbFT78qpK3SaZZEVPrb2vg DHKe/5D5eD4A4VE9fGIz/35jXQZ0mFow1HHItqY5hHgwjBnfo29BYeykcgbZBeAUWqAhNX+E8t+r QA9Fxb7WuedWGc0ARagdSzAozDZ6HNxFejjbaYXwOWuS4H30qqY42Zccyc9nmqrCOTLucYBPyAXN E2ENCP3Wdv3aGFleNodkVaKOqW3b `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/cdc_sync.vhd
14
25049
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 32 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d7 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; s_out_d5 <= '0'; s_out_d6 <= '0'; s_out_d7 <= '0'; scndry_out <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; s_out_d5 <= s_out_d4; s_out_d6 <= s_out_d5; s_out_d7 <= s_out_d6; scndry_out <= s_out_re; end if; end if; end process P_IN_CROSS2SCNDRY; MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= s_out_d2 xor s_out_d3; end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= s_out_d3 xor s_out_d4; end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= s_out_d4 xor s_out_d5; end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= s_out_d5 xor s_out_d6; end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= s_out_d6 xor s_out_d7; end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_bus_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_bus_d1_cdc_from <= (others => '0'); else p_level_in_bus_d1_cdc_from <= prmry_vect_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_bus_d1_cdc_to <= (others => '0'); s_level_out_bus_d2 <= (others => '0'); s_level_out_bus_d3 <= (others => '0'); s_level_out_bus_d4 <= (others => '0'); s_level_out_bus_d5 <= (others => '0'); s_level_out_bus_d6 <= (others => '0'); else s_level_out_bus_d1_cdc_to <= p_level_in_bus_int; s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; s_level_out_bus_d3 <= s_level_out_bus_d2; s_level_out_bus_d4 <= s_level_out_bus_d3; s_level_out_bus_d5 <= s_level_out_bus_d4; s_level_out_bus_d6 <= s_level_out_bus_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; p_level_out_d3 <= '0'; p_level_out_d4 <= '0'; p_level_out_d5 <= '0'; p_level_out_d6 <= '0'; p_level_out_d7 <= '0'; prmry_ack <= '0'; else p_level_out_d1_cdc_to <= scndry_out_int; p_level_out_d2 <= p_level_out_d1_cdc_to; p_level_out_d3 <= p_level_out_d2; p_level_out_d4 <= p_level_out_d3; p_level_out_d5 <= p_level_out_d4; p_level_out_d6 <= p_level_out_d5; p_level_out_d7 <= p_level_out_d6; prmry_ack <= prmry_pulse_ack; end if; end if; end process CROSS_PLEVEL_SCNDRY2PRMRY; MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/cdc_sync.vhd
14
25049
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 32 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d7 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; s_out_d5 <= '0'; s_out_d6 <= '0'; s_out_d7 <= '0'; scndry_out <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; s_out_d5 <= s_out_d4; s_out_d6 <= s_out_d5; s_out_d7 <= s_out_d6; scndry_out <= s_out_re; end if; end if; end process P_IN_CROSS2SCNDRY; MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= s_out_d2 xor s_out_d3; end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= s_out_d3 xor s_out_d4; end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= s_out_d4 xor s_out_d5; end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= s_out_d5 xor s_out_d6; end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= s_out_d6 xor s_out_d7; end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_bus_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_bus_d1_cdc_from <= (others => '0'); else p_level_in_bus_d1_cdc_from <= prmry_vect_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_bus_d1_cdc_to <= (others => '0'); s_level_out_bus_d2 <= (others => '0'); s_level_out_bus_d3 <= (others => '0'); s_level_out_bus_d4 <= (others => '0'); s_level_out_bus_d5 <= (others => '0'); s_level_out_bus_d6 <= (others => '0'); else s_level_out_bus_d1_cdc_to <= p_level_in_bus_int; s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; s_level_out_bus_d3 <= s_level_out_bus_d2; s_level_out_bus_d4 <= s_level_out_bus_d3; s_level_out_bus_d5 <= s_level_out_bus_d4; s_level_out_bus_d6 <= s_level_out_bus_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; p_level_out_d3 <= '0'; p_level_out_d4 <= '0'; p_level_out_d5 <= '0'; p_level_out_d6 <= '0'; p_level_out_d7 <= '0'; prmry_ack <= '0'; else p_level_out_d1_cdc_to <= scndry_out_int; p_level_out_d2 <= p_level_out_d1_cdc_to; p_level_out_d3 <= p_level_out_d2; p_level_out_d4 <= p_level_out_d3; p_level_out_d5 <= p_level_out_d4; p_level_out_d6 <= p_level_out_d5; p_level_out_d7 <= p_level_out_d6; prmry_ack <= prmry_pulse_ack; end if; end if; end process CROSS_PLEVEL_SCNDRY2PRMRY; MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/cdc_sync.vhd
14
25049
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 32 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d7 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; s_out_d5 <= '0'; s_out_d6 <= '0'; s_out_d7 <= '0'; scndry_out <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; s_out_d5 <= s_out_d4; s_out_d6 <= s_out_d5; s_out_d7 <= s_out_d6; scndry_out <= s_out_re; end if; end if; end process P_IN_CROSS2SCNDRY; MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= s_out_d2 xor s_out_d3; end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= s_out_d3 xor s_out_d4; end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= s_out_d4 xor s_out_d5; end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= s_out_d5 xor s_out_d6; end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= s_out_d6 xor s_out_d7; end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_bus_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_bus_d1_cdc_from <= (others => '0'); else p_level_in_bus_d1_cdc_from <= prmry_vect_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_bus_d1_cdc_to <= (others => '0'); s_level_out_bus_d2 <= (others => '0'); s_level_out_bus_d3 <= (others => '0'); s_level_out_bus_d4 <= (others => '0'); s_level_out_bus_d5 <= (others => '0'); s_level_out_bus_d6 <= (others => '0'); else s_level_out_bus_d1_cdc_to <= p_level_in_bus_int; s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; s_level_out_bus_d3 <= s_level_out_bus_d2; s_level_out_bus_d4 <= s_level_out_bus_d3; s_level_out_bus_d5 <= s_level_out_bus_d4; s_level_out_bus_d6 <= s_level_out_bus_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; p_level_out_d3 <= '0'; p_level_out_d4 <= '0'; p_level_out_d5 <= '0'; p_level_out_d6 <= '0'; p_level_out_d7 <= '0'; prmry_ack <= '0'; else p_level_out_d1_cdc_to <= scndry_out_int; p_level_out_d2 <= p_level_out_d1_cdc_to; p_level_out_d3 <= p_level_out_d2; p_level_out_d4 <= p_level_out_d3; p_level_out_d5 <= p_level_out_d4; p_level_out_d6 <= p_level_out_d5; p_level_out_d7 <= p_level_out_d6; prmry_ack <= prmry_pulse_ack; end if; end if; end process CROSS_PLEVEL_SCNDRY2PRMRY; MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/cdc_sync.vhd
14
25049
--Generic Help --C_CDC_TYPE : Defines the type of CDC needed -- 0 means pulse synchronizer. Used to transfer one clock pulse -- from prmry domain to scndry domain. -- 1 means level synchronizer. Used to transfer level signal. -- 2 means level synchronizer with ack. Used to transfer level -- signal. Input signal should change only when prmry_ack is detected -- --C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal -- Set to 0 when incoming signal is purely floped signal. -- --C_RESET_STATE : Generally sync flops need not have resets. However, in some cases -- it might be needed. -- 0 means reset not needed for sync flops -- 1 means reset needed for sync flops. i -- In this case prmry_resetn should be in prmry clock, -- while scndry_reset should be in scndry clock. -- --C_SINGLE_BIT : CDC should normally be done for single bit signals only. -- However, based on design buses can also be CDC'ed. -- 0 means it is a bus. In this case input be connected to prmry_vect_in. -- Output is on scndry_vect_out. -- 1 means it is a single bit. In this case input be connected to prmry_in. -- Output is on scndry_out. -- --C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1 -- --C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6. -- Value of 0, 1 is allowed only for level CDC. -- Min value for Pulse CDC is 2 -- --Whenever this file is used following XDC constraint has to be added -- set_false_path -to [get_pins -hier *cdc_to*/D] --IO Ports -- -- prmry_aclk : clock of originating domain (source domain) -- prmry_resetn : sync reset of originating clock domain (source domain) -- prmry_in : input signal bit. This should be a pure flop output without -- any combi logic. This is source. -- prmry_vect_in : bus signal. From Source domain. -- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain. -- Used only when C_CDC_TYPE = 2 -- scndry_aclk : destination clock. -- scndry_resetn : sync reset of destination domain -- scndry_out : sync'ed output in destination domain. Single bit. -- scndry_vect_out : sync'ed output in destination domain. bus. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity cdc_sync is generic ( C_CDC_TYPE : integer range 0 to 2 := 1 ; -- 0 is pulse synch -- 1 is level synch -- 2 is ack based level sync C_RESET_STATE : integer range 0 to 1 := 0 ; -- 0 is reset not needed -- 1 is reset needed C_SINGLE_BIT : integer range 0 to 1 := 1 ; -- 0 is bus input -- 1 is single bit input C_FLOP_INPUT : integer range 0 to 1 := 0 ; C_VECTOR_WIDTH : integer range 0 to 32 := 32 ; C_MTBF_STAGES : integer range 0 to 6 := 2 -- Vector Data witdth ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- prmry_in : in std_logic ; -- prmry_vect_in : in std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) ; -- prmry_ack : out std_logic ; -- scndry_aclk : in std_logic ; -- scndry_resetn : in std_logic ; -- -- -- Primary to Secondary Clock Crossing -- scndry_out : out std_logic ; -- -- scndry_vect_out : out std_logic_vector -- (C_VECTOR_WIDTH - 1 downto 0) -- ); end cdc_sync; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of cdc_sync is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Generate PULSE clock domain crossing GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate -- Primary to Secondary signal s_out_d1_cdc_to : std_logic := '0'; signal s_out_d2 : std_logic := '0'; signal s_out_d3 : std_logic := '0'; signal s_out_d4 : std_logic := '0'; signal s_out_d5 : std_logic := '0'; signal s_out_d6 : std_logic := '0'; signal s_out_d7 : std_logic := '0'; signal s_out_re : std_logic := '0'; signal prmry_in_xored : std_logic := '0'; signal p_in_d1_cdc_from : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_out_d7 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Pulse Clock Crossing ** --** PRIMARY TO SECONDARY OPEN-ENDED ** --***************************************************************************** scndry_vect_out <= (others => '0'); prmry_ack <= '0'; prmry_in_xored <= prmry_in xor p_in_d1_cdc_from; REG_P_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_in_d1_cdc_from <= '0'; else p_in_d1_cdc_from <= prmry_in_xored; end if; end if; end process REG_P_IN; P_IN_CROSS2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_out_d1_cdc_to <= '0'; s_out_d2 <= '0'; s_out_d3 <= '0'; s_out_d4 <= '0'; s_out_d5 <= '0'; s_out_d6 <= '0'; s_out_d7 <= '0'; scndry_out <= '0'; else s_out_d1_cdc_to <= p_in_d1_cdc_from; s_out_d2 <= s_out_d1_cdc_to; s_out_d3 <= s_out_d2; s_out_d4 <= s_out_d3; s_out_d5 <= s_out_d4; s_out_d6 <= s_out_d5; s_out_d7 <= s_out_d6; scndry_out <= s_out_re; end if; end if; end process P_IN_CROSS2SCNDRY; MTBF_2 : if C_MTBF_STAGES = 2 generate begin s_out_re <= s_out_d2 xor s_out_d3; end generate MTBF_2; MTBF_3 : if C_MTBF_STAGES = 3 generate begin s_out_re <= s_out_d3 xor s_out_d4; end generate MTBF_3; MTBF_4 : if C_MTBF_STAGES = 4 generate begin s_out_re <= s_out_d4 xor s_out_d5; end generate MTBF_4; MTBF_5 : if C_MTBF_STAGES = 5 generate begin s_out_re <= s_out_d5 xor s_out_d6; end generate MTBF_5; MTBF_6 : if C_MTBF_STAGES = 6 generate begin s_out_re <= s_out_d6 xor s_out_d7; end generate MTBF_6; -- Feed secondary pulse out end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED; -- Generate LEVEL clock domain crossing with reset state = 0 GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate begin -- Primary to Secondary SINGLE_BIT : if C_SINGLE_BIT = 1 generate signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); prmry_ack <= '0'; INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_out <= s_level_out_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_out <= s_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out <= s_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out <= s_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out <= s_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out <= s_level_out_d6; end generate MTBF_L6; end generate SINGLE_BIT; MULTI_BIT : if C_SINGLE_BIT = 0 generate signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0); signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0); ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_bus_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_out <= '0'; prmry_ack <= '0'; INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_bus_d1_cdc_from <= (others => '0'); else p_level_in_bus_d1_cdc_from <= prmry_vect_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_bus_int <= p_level_in_bus_d1_cdc_from; end generate INPUT_FLOP_BUS; NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate begin p_level_in_bus_int <= prmry_vect_in; end generate NO_INPUT_FLOP_BUS; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_bus_d1_cdc_to <= (others => '0'); s_level_out_bus_d2 <= (others => '0'); s_level_out_bus_d3 <= (others => '0'); s_level_out_bus_d4 <= (others => '0'); s_level_out_bus_d5 <= (others => '0'); s_level_out_bus_d6 <= (others => '0'); else s_level_out_bus_d1_cdc_to <= p_level_in_bus_int; s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to; s_level_out_bus_d3 <= s_level_out_bus_d2; s_level_out_bus_d4 <= s_level_out_bus_d3; s_level_out_bus_d5 <= s_level_out_bus_d4; s_level_out_bus_d6 <= s_level_out_bus_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; MTBF_L1 : if C_MTBF_STAGES = 1 generate begin scndry_vect_out <= s_level_out_bus_d1_cdc_to; end generate MTBF_L1; MTBF_L2 : if C_MTBF_STAGES = 2 generate begin scndry_vect_out <= s_level_out_bus_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_vect_out <= s_level_out_bus_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_vect_out <= s_level_out_bus_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_vect_out <= s_level_out_bus_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_vect_out <= s_level_out_bus_d6; end generate MTBF_L6; end generate MULTI_BIT; end generate GENERATE_LEVEL_P_S_CDC; GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate -- Primary to Secondary signal p_level_in_d1_cdc_from : std_logic := '0'; signal p_level_in_int : std_logic := '0'; signal s_level_out_d1_cdc_to : std_logic := '0'; signal s_level_out_d2 : std_logic := '0'; signal s_level_out_d3 : std_logic := '0'; signal s_level_out_d4 : std_logic := '0'; signal s_level_out_d5 : std_logic := '0'; signal s_level_out_d6 : std_logic := '0'; signal p_level_out_d1_cdc_to : std_logic := '0'; signal p_level_out_d2 : std_logic := '0'; signal p_level_out_d3 : std_logic := '0'; signal p_level_out_d4 : std_logic := '0'; signal p_level_out_d5 : std_logic := '0'; signal p_level_out_d6 : std_logic := '0'; signal p_level_out_d7 : std_logic := '0'; signal scndry_out_int : std_logic := '0'; signal prmry_pulse_ack : std_logic := '0'; ----------------------------------------------------------------------------- -- ATTRIBUTE Declarations ----------------------------------------------------------------------------- -- Prevent x-propagation on clock-domain crossing register ATTRIBUTE async_reg : STRING; ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d3 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d4 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d5 : SIGNAL IS "true"; ATTRIBUTE async_reg OF p_level_out_d6 : SIGNAL IS "true"; begin --***************************************************************************** --** Asynchronous Level Clock Crossing ** --** PRIMARY TO SECONDARY ** --***************************************************************************** -- register is scndry to provide clean ff output to clock crossing logic scndry_vect_out <= (others => '0'); INPUT_FLOP : if C_FLOP_INPUT = 1 generate begin REG_PLEVEL_IN : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_in_d1_cdc_from <= '0'; else p_level_in_d1_cdc_from <= prmry_in; end if; end if; end process REG_PLEVEL_IN; p_level_in_int <= p_level_in_d1_cdc_from; end generate INPUT_FLOP; NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate begin p_level_in_int <= prmry_in; end generate NO_INPUT_FLOP; CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk) begin if(scndry_aclk'EVENT and scndry_aclk ='1')then if(scndry_resetn = '0' and C_RESET_STATE = 1)then s_level_out_d1_cdc_to <= '0'; s_level_out_d2 <= '0'; s_level_out_d3 <= '0'; s_level_out_d4 <= '0'; s_level_out_d5 <= '0'; s_level_out_d6 <= '0'; else s_level_out_d1_cdc_to <= p_level_in_int; s_level_out_d2 <= s_level_out_d1_cdc_to; s_level_out_d3 <= s_level_out_d2; s_level_out_d4 <= s_level_out_d3; s_level_out_d5 <= s_level_out_d4; s_level_out_d6 <= s_level_out_d5; end if; end if; end process CROSS_PLEVEL_IN2SCNDRY; CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk) begin if(prmry_aclk'EVENT and prmry_aclk ='1')then if(prmry_resetn = '0' and C_RESET_STATE = 1)then p_level_out_d1_cdc_to <= '0'; p_level_out_d2 <= '0'; p_level_out_d3 <= '0'; p_level_out_d4 <= '0'; p_level_out_d5 <= '0'; p_level_out_d6 <= '0'; p_level_out_d7 <= '0'; prmry_ack <= '0'; else p_level_out_d1_cdc_to <= scndry_out_int; p_level_out_d2 <= p_level_out_d1_cdc_to; p_level_out_d3 <= p_level_out_d2; p_level_out_d4 <= p_level_out_d3; p_level_out_d5 <= p_level_out_d4; p_level_out_d6 <= p_level_out_d5; p_level_out_d7 <= p_level_out_d6; prmry_ack <= prmry_pulse_ack; end if; end if; end process CROSS_PLEVEL_SCNDRY2PRMRY; MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate begin scndry_out_int <= s_level_out_d2; --prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2; prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2; end generate MTBF_L2; MTBF_L3 : if C_MTBF_STAGES = 3 generate begin scndry_out_int <= s_level_out_d3; --prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3; prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3; end generate MTBF_L3; MTBF_L4 : if C_MTBF_STAGES = 4 generate begin scndry_out_int <= s_level_out_d4; --prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4; prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4; end generate MTBF_L4; MTBF_L5 : if C_MTBF_STAGES = 5 generate begin scndry_out_int <= s_level_out_d5; --prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5; prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5; end generate MTBF_L5; MTBF_L6 : if C_MTBF_STAGES = 6 generate begin scndry_out_int <= s_level_out_d6; --prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6; prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6; end generate MTBF_L6; scndry_out <= scndry_out_int; end generate GENERATE_LEVEL_ACK_P_S_CDC; end implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_getinit_pkg.vhd
27
54741
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mwxNacl66MFUVIMc1Encct2aHZOcb2pREujQa4vWHOpoY4Ryx1q0qOlrkehqJnJB6VdIGpRZ75ar fafQO/Fcyg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WTY81lfpic8wiNg2xUTFY/9pIQI3CKsiY3j1Z19a6adif1iCy2STS25TLTe/dZhZiWj1W1FKdbVN mTJAkstRD1IiixRw4XPUhHS0kg8DebELiBmCxBLwbMicqplV5b6X9QbZ+d65v5AnURtcySKvK9fO g9n8up28DiiTZN5JTCs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wSJmxWNG9Vaz0hV3ma6xxbW6Q/tt4VebLF5ALUnEWrb0oMwD9MOvKTVg9bgiL2D83XqOs88TpeXX Ifg7m/wa0qnVENMQDpzrbdsY0X541kchr6nHO22IjxAZU0y34IzPOD4wlt/LkBIeRhuE2oOUmiUB mj42HGuDYM+OLJ75MJFObfMegkawW+dQ5MXJZAvaZb3Gdq+Nc//x1D0rUYdDzCYkIE6Z7scW8Wik /MJTbyzmOPOK9ZoDJMjaYzyR5QyLAdSzLEdKbGH7TxDHRl54Q3XCa50pfJuN0PstSuaixGzvKQtH Tl8qJKpy3o7KeFGSzvILj3NDt+zm7na/fYnOyg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TWs0qYIcIilONYk/cz99Kwd1RIRPFnNZwYyu+ici+iMJ2JCkq8jieFKJjspKJpdZ8Nc8B4CnG4qj aN9KKPyGY83yGWxxRkXLLk1fDABMFcSV/QWTMe6VkTZV7rSzb+eWC79VK61VEPbjbvhhwl9UlHat EKGcZET/5AsZpsdS5rY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J9Mi5TzDBer7RNgnQmNNaMr/oObsCpVjypskaWXDXbsUL9Tz8WTWA1k8rjWfCv9Dmq2LFoNWohyz 5PixLjvzdMk+0EAtGJRSdyjvZnuW2bmu6ekaURxk6HvWMfHmukxtVO9c/su/PcWlhTBaWmQfDEOk MXt2eXdYnsY9DHX2xUQnYdQty3UwLIiL21L3I3SO1yyv2PefA4p4KfovFGDUvBPco1deVqNYRLx4 GphEA4vKS+OANoIaExoVeJSpvDGH50O+wbHahIOE11SE2zucQ8cWichU4yUJXYALRvrOZArC8ClG ouWj0ts+fBWmUc+Q65XK9XqQ174/nPdN3w6Fsg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784) `protect data_block CcQoAoAVqT4wGTbjdDQ8ySjR7KaNBHGgc3b/LIZs2djx34cOynfZlKVSDxmksTONea/h7OIiy9iI ZLl40njjDG8PxDqxdxcJL+lxJFKv2RFI/RJFkG0doR1QjTyFxAReHutJFVVY8i8X1fk31EhM+M/X UhUaqTcilahDNEPgCSvML1mOoOHUNr8fuCae5KosbmsqBkqjjm2J3VKLUYBLW7Qv22Tsbawp/9uR 70fzDeYytfEjo42Ou3tu36Cw8ah7sbA3PrWw/Q2ctR5EIbUQLZGgU6A/Y3cFU7s6z6cagJFQcdeu Qh+aBquXEbDJK2308DWqZeDxS+UAX0OQ5u9iBdV81s03KF4VJLG9wHNbeZGbg/CVFJnsk/Z8shVO nQnLicWyyjOMgJpVF6ouXF+FK7lYv0ZQh+ZSQVRVJVDj8FztshevLwGfYXl2m76jrbfdNuXkIi+L q1OcP3tbO4w5rK801rq8Qnb/+n7NvXs2HFaVVs6GgOJ9myYe0qKxSzDfIRWF0zJQfwk9yhfcwo5u ckAPZvjJd3E5/vW99rK7R7+o/VjdF0wqFhzubXUwN1VnhGP1Cj5gC+ZQOqRgdZoLakG89tLXT7sc yjw9xJjO61z5jrULmCn/JEvzVfRFhkME1+p2WUXIZ+7uFd/Eo+Ny+zVi/xfoYL+EbXpei9UtcTrg LMIH6Wv5EQWdYWZhvOssLRmRaIRYs/V5b7N9Cy9Sbv040gTGkEXvTxGdoAyCYi3USyhUjgwLvqFf Uqb9gNDIrQz57tJ4/m6zfCDr1Ihnu53AuihgvCoeU06R1pSCGZlCmTLeE3bZb5hj4NgXl5RbyOt6 O9bpDU/fjZ+v6pz2jEfniId6FrH445Zq2Y0BuVp6tTsWD8iZKaApy85DNS0wzdva1lLUNtsUfhKO Iwc7YAKhX+ZK53R+CkQ+VKSCnlAhYcOtmaSuBhrNgQWNDCfzjKdw9Bh0ajUZc0Aji/ZvURThrpWx A9EXa+kCHtAxtbfDxmV4z1+ZhvE36vMslITyDM+Cv4cTiUf78jgDb37WIrfUzk5/OsSvqF/+e+uo cSXDKJF6FF/Iz5juJHsZE7J2gyZuDIFCdXKRXSTciBHZ9ePQ49IIkvnqHOWW6cmsmyXrbGTSR3An pDLw+8PEmGrY67MMbcq09BkURpgRO1sZgugq+jmZG8iQuLJebQ7NfYN1/nJkCfdT3UqhI33RmjcH axe66M298Yli0Mvlim/cL0kPFvIO1bHp0empdfHsWvcmZmg0/frsbM7iehayjYQihXhb4PRvSELt OGY3yKxZYL36EocYNIy58mHJDvTlRswnrC5e+YpaCX2Na+KVzAhHXj6+l5XZ3q6RS+yUREGirQwh CohPZehYJyyY3GzD9mp8Iqd7enf/0FgQO4KmsAcZr1c74Mo5O+Ejmp3zPZOst8MJkRgqRA+AnqNn +gvLNAGRsUnebLMJbzsftt1XH9uzRMSSx9t7JG78FaqN8goKjMudzu1mI4NgusKJWo/Wn2bkAMez ickt9nL2T1YheiQuoBxT4aYin6+dGuaqX2hxkRdp9wgmRXRXQWLP8Ht/azvcvt1QyyjRNtEXvTIZ coiqN+mFjUoPsLPE/TJeYGQS9ZvAtdgqVhfUPwmHYD+o5ugAjfrM059lhsUt5MuMlrvn9teS0aC2 F4BsFbsrnTaf4Qpr1v47c5+dx2LSKqh+7sWYBrWj3W5Qcsmp/esNwE14IP+MVBoTm90X0RbbAzxS t8woBkneMy85e/SAJ2akPpMBUiCToTARTbiBMXgqTNmPsSqwOEDp6A7HhCC3QdEONIikUECdyFDQ pOR8FjnYISrbVSEnPUJlV6267BWytuqJAlVDEFy2lAs4Ig0foWcTuQL2vze0nLLvao4BWWAbGhbq Td34DBF/RroGxm8EyGeUhgZ2LG/Wh5YKA2WSIyMR612boPOFg4NNTJB59zPNB4SRmbLrx5BnY+qr 71eRvfeLDaG9VU3uFZyxoANgsi1vu/GhPyx4UmGCSFqym/e5hfhw3S6IUDEjPZjGiDa55T5cWzMp vXbfZsxs6nIr9hp2hx6oRmw0EK+879HVWUnhrbSArzEcuz+gO/f+ZQei44Xr3j15SQ/wKS2HWsj6 SUu9Tjk8+ZJ4PbJX4T/IAL+bgYsk0PcIFNzpLYiAAycn6Zulgh7BnoadiTNv5KUwyliyyLUgFqB7 VCMdt9lMaWP+BGmWUfwJbPZtegsMKlkWyQwLua24h6udLkp36WoUsFQFFtfBAj7QOPB0b020KlkY Ez7/gWDXzAJR+6RjOzT6wd5I0xX7kryiUyTe4Y9Kjyh1Sz7LiKok3tmPdcbzEtynplquxgIlV5lW EhTO0cSIVatNZSoLpT5noAXWfHjJZyasVmG0ShSGOH1rlRb4ffSHXazt1nx3jREqRx2S1DdGzxAP ZBcvgPt/xTcnl4qbH54xCe9I5fJhKw56zEZcFKXcmLGvmq4w8crofkXX/LyJk82qhPnijJaI2r0B LrYuyZFe/rfz6Rk/Vg+E4cHYCT6nr2roFdqsO6B99ekwm9lqdxjKatUKaCZ762bTaO5VwZuT82G1 saYxYtzOR/hdEb64QrSY/9S7Y+S9RtQBYJzEisMQDkfgzZAGzWy78T89b9auAyusMomZAupfYLEx 5uYCSgfAhJd6Wx4d475Mv4lyOxAMSqxSKtJKQUC9V1e9B3A9ZaIB+a/d0fgoNAFzyXpOkpQbhind 3kyECcIuIK3cF6J356T6S5XfR1PCthomfdAob/37lazs/csT9lhjaU1y3/L0CoL4gB+PZLGWKHGy k3T//oD1qCVFYHGTYpZY/IQlt0Rm2z1sv4qSB3505cF1fjtrQAaCto5KBI6/5cjBzCGqdEk2yGO3 4+FuCS5y7jPRBSwViDCB/eYoxB292ZzD/OBZlDmzO61cGxgmyA2bnYBD7xDBShd3iMbPoPDvxnYA yXDsV/KfzvvjMYZb6IsjiphkZv/BFcCQXD33EjbDYX0EbMeQLtMJa9biFzxcEcM7YiRe7BXFOT7K 3SGKSm+3oOCrJsr9+FTIiY1wqgUIM9f3dRCdcYjlPIyurNuXQ9fs+fJT32AtHbDu2+44R5T8n90E RQl2UWZTLI1ylmcmePYiS2EoDom1aBDwz/e6CHK7yX9bOcWzBi21XaHaSFZ9wfWod4WLok7yqk3i t0B9MxtNpeMQfrIH9DHJKzjN6yi7qrdju+FbJQAsvoyMyXgmHgzJUDF2NjVhyFEh/02UAl4n+Ab4 Z9FWFM3xtIELP9vrKMazms9J83J/M1XBqAsqz3z5u94zdCwXi5W7iJWzE8SH6uloLSHCjAtaZW0S 1V65ajckplM2YsW2i6BjNR5Z4FTVkzTRDvcgQYRI6ej/pJ1lYRAhHgrhB9Cn9wF9M+oosR9Dp1lM lo5WtFLUvc9F/4aURliGtztT1ZetO4vZL5l3VK/TDrwMG1jhyMvfuq49c+/0vOWK73M/DUCr3+YD VsEeRix8aGY+R1VvGtrIx/S1K0dP46xE3DWoaW4fVGwGda0blascyFoRrhpP7ZFdAuDqkHfSt8Oh ggnL71t77mJMd2r0WwQSvnyjLMir2Pvf6u+8UkVe4JXfiyt+0gnj0Kz6J5tILMn9GI6qTQedXuYY PFIWsPrtTHPHHzS1Uo1vuP3T6sYgI31TpUZfIS81ONfHxqTxE9Ks206s6e1DQ8WIngzcv5AOqg/F WR/GDIvT3l0hMy3MGbZTiRrBfU5dcBvTwDyGWuykGgxX1L39SPEB/ovcirwsRK0Vlh+J7OiRTfrh Nbo0Qazu/CraBIvv0KQPMLOrjx6tm7BJTLVeXHC6Pz2PvdN/f8S9qChlJ8kTczhY0/cyJN/GBxIx 0HmXnk9SyjZYv325hHWP+EdcMIWoQva8a+mNDr0y8jM8KW4V/4P1VQhyZQEbpE1YcE3y19nBpYCk yKYy/q7/nwO8+SBqrT/F75NoL1SqIiKS03Wa4ZhkKzsP+Lj1uA2i+wYQO2HLiQn9hZp277zD3M2u 8SEop4vWspDIu5jsvoWejkodSA6DqW6YQpMXQ4Ch2BzQQeE8B5m5fHpugieE4dfWCQ5G0wvZN1lm egzVrLn2CmqS1YxR6ZfrunjyF8wlRJhm0FSQTX3w2BBsMxVcZRLWHaRLE/GbtYbGcwrjMEGm55YN 0Umus8vulGM4h+Xsi7dOk0ajVfyM9YhIHz/RXDsZg9OeYjZL3kooRlAhJV4J3n/fnfxfP+Rlovz3 hWwbLpUB3lKKtZdZu2RrIy8toQQmc5+mtHqySQrje6FeNzMdXSpq3UkZXtlJCaRf4gwXFqC34kuL fthtB+nx3+Kdh8gvN7RQXD6fdYy8nqBDwh+8O6KrPzrbZJ1stbf1Fp8gg7EqooyiVbhrMRV9q+JE IRVbZdaRLgYDm/cG9/YmN2YzqgPvkgSYrfsPTJ3rsM23/gzKtTW+csk1rU7BosFNid9wjyUNL7mn EfRp5xGHujTi1djp0gtvQFpy+kYYHLzGs6GAR2Yt5CB6Fvb3EnY8VxuN169j1y0Q0Roka7Cnz7Sa iap/4VD5CDt58VeMaL6/2avNeQh6N+snrnJfFC4BKd03wANM6Ej+zxVMgRnzxBhIso399CceRilT hppneXH81kpkgXL8OtWieFDpqYfRZk4B+vnWRtMydSHVM9Xbd6U5pITdoTpXDkF8V/qsaJnyKjeL H0M9cE/S/Vs8cfPt3e6L6pANg2OCsITuaoQSShkPlltRtnXuRzLO1L3+Wl7dWWi1XBZIIEmG3ay0 RXbBxg/3ezsmO9cCWLpieurUH79sLuca+9bcm7/n6YaZhvGV86OvoJR1E0I/SkZUJiPj/Bp10M7R v2s8GzaoD5VuiegdIiGLHVUW3Yv+WK0gNIHFkOQkmuieMLaU7xNLVI1m4fI+asYFJ5UuHE4bbzHK 3d2OnPhgwARKlSqF4Uw30Gfp+p/SfLi4MibLNucZu9uHOGTube8YIRujobwYenBIma1JxC46q770 S3Pr9qfj8xXDjNWsL/x4PdM37uNAe7EEOyK94rePoG4dmpXqSp/SRRbEi///kuQtwrnCnCz1Ffg4 uRfU25rjDOJ5yYoXjKoBHWC68QWmARjNhukKEAnQj10Xcy0mtTXjZLuOEbVSKLxyaz/fb6lBFKCk AmxyuFpCtK9wHWeMqIydE9MzOzo3+A50o+PmGgd90NPB4o6zfvfQb1KZCq/ERuMmG9c/uSp3IxTA GcXhVTGQzz7fbfHbgoy8vJScVN6FNRONNuxIDIDoEG1hkBZYHIKzP9+ETkLq9NXE6TvOyJmxtrCG QRJnPpSU39aPGTwCjBE6o8nh9Nhr2rBeqJewU2V/GMu7u2k8URZv/I0q6CNFGavumpJMlTEHGb2l j9e0unInYL5tC0cobxXe8Zuk3yeJW0JH8XslAlguF/O21oYbJtUS7EvaQk+1S/vx8/GtTEvp6a/m zAJSgE3BX19XgRQ2pn9zKKOAYaj5N60ZCVpzKu3ulsFGbs50psCvvB0GxCPabt4c1S8Ogjz/QP// 9BlErvfCQStz7lyQX2JzwLYHpmF7zI0z1pgUCg9rM3yVHRkKdxnotTR+m/H4j6+CehpX3P24TtAY 8T7Z7LHYsF+mU5ce3f9lUL4vMWtLjQ7ensknSI/c/a85ILRyhCNlqYw3HijL8MXWDyEqs5Ka9lkx apFgdWBqvtW5vcWvw61Lu7GnhSWN1EU3YnblAZNbTaRo8Xtcqhkeua0fMXxwo/2pkz03b6kiZ4yS MFkgFj5xbaXgJYvi8DOM3d/dvozm+FMlo+ew3tEScoj6n3/vnv5T3bT7dxKmohWxuBDC0bOdacop ZX638rZUhOLf+WUBGBw4yBHRC8eORpTG+sCsq9RCmS7vFmH+I2i1GhkZXpghlxdinFnCFBos2+El 2x0ZGygm58Bc2y9s9XGNf0QlW7GRVz5W+L3QC6FF2qmqKuHR0zmFrz+Bu2IREFh+8Wb5X15vpEBA Xp9xkh/5aTBhQxiVNCJJD7KhLK4QdClM29ts4EVp8OnB1bmoo2CdSAsM1YM1mPPcSguVMBrfFQpO odWwcOmF7sMhPeksxVZod75K9NZR9/QaTftqaqjHCPP7YoxHI/GEk2X9CK8SlHynWUWYv89ZNZNV DKFFBIVn7mCgl7Ih+D8Gr4u2DjxwZgiyTW92Uyu/NRyHulNH7kz203v7jNpr3d3xkInwo8+FpdKn QOQFeSDJkuDJV+ZDzgt9VMMTWRCj9yQO+EjRQPxAwdZnSZmNQw28zmj+B5h4qgIYPJbuTDGdlnkt Yjv6uLSgAytLAX8i2nd2kRpc2Eu9egAnFgag5R96wcKguT4XWEqUlGZXBpCbpRMUi43RwKitL4JK ktAG3QSjrA5ea22Ygqyy7aYoEw25LYc3z1Pr6+NZMcOkbnwsOJNtQDtwOEj3eHmFAMg/0BjcFVQh kVmgNCBBK/ggn04pyYk6WHjc3+nc+YkAxZPmZuiHz5P89CGu953ikArA2OtQNGYkHTNMK+4HS85c DWF/gCiCABgdB1N0E/dsCPDry0xROuzj23K0oACjGIBAeN9metcM8kyqikHZ6wHtpihc89UCXn8G 01x+b0wz107S11eN/hB5/wHuijRaSD3/SCr9Yx4SgT5YdHTT3+lTDkGOOl+QeaiFaAYCm5rZYTMi aiHf4bcsl9xL/+BtxWWNGJ77zmJymTa5tlAnG0NbfVslpu7qdh/cEuFiipy07i51jeg0BIiP7ydl fGfjQaj+cTLwpZ0OfbKqPxAoMMlMVRn7+IqptnQIitXhBpJk69KHBofMpPfB5fFrF172F7vGH4zA bdZOWrJAr6S9qPoW810K1MEtXL5xtNGg/Em36fpWZ/f9ykws/0orMDnCpOdVXsihLWXqxso5eXtr BLeDJex0yCrqISBTBSqcxavSveW9vMOFykbZDORgnGvU3UbZiSln/PwVPxgdm5Jv3QQpL/uzSSHd ewhJuvvY9/KByb0bU+MkzljOJFJ9iQuzZvyPWHHfjmKqczysLPg3ZMn3d2sSGX6Ld3USI6khZuGM OXLPRQHEyslurNSf0o2Zhc9YQ7oPvB2hSFlCdD+Aw0DINcJS2z8btBr0JhqQ2UlJoiriZEJMYzP5 PLk8gkS+IZmG02BS5Qerm+e2pGTU8nr2MqGO29ThYCjeyUyIkfI8yI9kzCsGcuRPXl4pDFXBuvvb oegox8SM63x+Kxzhs3aCETA5sltx77JDCUFTuyFnDLGsKAASFQ+oaIiUJGFeCly9HSK8MSYRX5Of pv1eWUUWai988qSXRTW6ZSUeKnaXKP+hq0+JIAKAxl7afFINpMUE6eayQOozcvQhYlhOit6DZkHA //am/Tr12uXtkyPxduH8JvAue8OePfsgjPzf0o5N9GGJUGJDP/6Hc5MT/WyC5gFLKjQhxw56Npbz YqO1jpDvzYjCLDLrRKI41llyVzO+zVzyoNxDcOdSjWcp8vIybHe/r/0ob/ZIxz42idbcN8HV7TT/ 6Y3lWCe5FKH8+G9gQx5JcwFLh7TPqm6Y6Y2doacg8/1bBIVPOArxL1Nmle2YpkZpjWN37YN3usB6 GrmSn67nIiaEhZ2andBPbD+c6/NtOnh1xTcViaB6nTBMC513eAUVCpULbhmBJx/LDeeYXqusv9G0 2pzVXWqTKHf8gRKCAlS+FcdBipD1SPKB6XevIzqbDhvt58z9G0zteYhdjKDEk5fUCq2OJPWYmJdR 5ZmDuwO8IoVdRpiOLn8tdmJnXWeJNY9/PL3phFRU7pHhR8FdimPLX/u1IXLZbqkzicZr4/gxNeP/ jzihjUVkh8rdehm58kmmLWniuOJLOlFE0WPKWmaPw+9dj8c+iOXBrY1L1UddBWcgEQI9dl+88sTF 2dJW8YhaxyC7Wd3kHfdGTBclIdI1YVIuKl0glXd8grNH8c+Z8t4ooC3vWX7HPOhskje+jGBGJRE7 T8KoA4Tk7APWTBCUr4M5uQCMS+XnDbQr/KVKF8RMHr6qAyCxg32c1BFl2oYx4EmSyoCwf0EKApUO 9PupabTHHo8E+r2KhgWFtexm2gHejHL3+hgPCyGufPhvfnxnh65ZG+tJnYbdU5SR6bp6gioynsDu TmprwAcJwjeghOO9lfLi/vU9XSir1w6jWdXUMZWa2Sk2HduYiWdAToP2ZM04KMxXp1wvsEz76YPr jMeerRPqW5LkY9VcWnoSCFSyIKt3VtCOVckSli3+KaOHUmwXklK3AwxLIm6m80gT/ohfLfCDd2VB PJcn3XoWabBU/IpSFI4JySKS04zbN2siJDN/No/wk+qelbgr7d+2RzHgzTK3ah+/WASEhRIn9bEI 66kcvMbawByjYWr2Fa+AXY9toGu8CpBm93dKh9p5vmWzRsFe522BnB28jR2Fx41UsNRKGU32pVe0 mJDWWDB0GbyvNi0Qg0BKr6EHXQ77IlGptEGqdUtkjB4uNVYIXH+6rkxE+jAxcT7eh5xxLyxQN9DS afJVBN7cvBKPOTTIXNZjqXmlOouvL9+p5HsPIosP4Xhi4P3q97MNQ0cJcrfOtNgojVOhycdmIQbV a9Oik7uMIaPgHWGpEnmYSmFHAXhhULDM7UXZOrhgeBPuqQ7zYF9h0OisHB4O7QLY7zNpNgf4ENPN N3Wj3Haq+Dm5yLY1/DOYK8YO0d7BKtbKOSYq8HWZoh0TzaAplRHSG9vOvdkGUJebB6e20StSJOqC xVUtdbPOkIo/okd0KTwuEV/KEuviIhR8QkyYQMQbHjnjpkClj7Rw5qDNYInJOoyqGkzQSGPVzWwU h0JIF3bsUBMwjXnpGrC0ssJqfgdNo3TcZ6r26O0QRjtGqOuKaLxK+HcmGMY3PP1cN5ximhtOwRu1 sksC3uc1NgTzF+0Vh6+Y5G9S3MB6HoJZMRX1ZXgLj0wXUSDXYjvmcCpPF/9VblgzYw8w40YSVblf OPzEFY9w0GkqfR6GFn64VXgzsJvdtzxu04ibFpr0XTK+s+fFnODqegJHYoQdeUQHnxPsPjG0ioM4 N9XmsDU+A4cV/KvLbS2ZNkz1O+s8/xUOuBLaqZgFpScHlaWLQUc2VwYXCuzBY0/tIKIEOvd3hY5H 3yAFI8/4uTMrwT0DT/cORQXCS9cObzsopsUm2ZXVTKaf1EbDaK7COFcA0RcKBmSivNnALYL1ZXT5 wRlEY6NjHIQv3wvVYsgPbYPHA6tspArb/sW+bcffGEV3GbhAZURzGLZ02k0pkiVnD28bpLJI1s3f xwXmTqlIlv/9wHApr15EwQ8+LKUlJOv2DFT4MUY7x/bZdZxkf56wpWcY/pv6cNfATIGe5LUfPR8r dgsFwjfv4tCQrh6O6X4b2KIoBVfTuxDCAVyTaOlzw+uoZ5keXvXGTwQO7tqmhWV8yAF5YfY4Tx3K rrN/eu6N2xkb6bz5HE1BuAgBf+UYQtsHHRUj4+S7fnOViZUVrwHquXrIburaDHwf+IQZz+uQjC6m j74gaFjU43SP30KPpLGefCsil6saZoEAZRIPrURh4ttl9febOEBPCaC6MMTlRkcTXABkcPgb9Eo6 RvbQNfs2usnafTur+OnlUR/2jG2zJ5RX31jS2jyHsknN5AOZAS4FURBYAdCUsk4AmcQt1ExKgY02 HE0b/OzFEfdIjFuL71+vWQTYE+vQqvBC8CbBcbNqKo6wl4cshPSnfJf4yYLs5VQiPyInuYNijs0w wUktDx/bXClI0QwOa7RGGO2e+LnOCMNfm1NZz7/qEg7e604NYTOKQ2xoB5H2ZzuQE0CUB42uAfvR 8UgW3yIwOqy6cyCZToD4sHRo/XHhxWWWmKXll3Dfjx4al/Y6vtRlm5Qk6Cd1UZaqq34UA/Yo7ugJ ODJM6Y1N3igU4R9bpbv6om2A8JeRg+73Cpl+99DAxSfRqC9F1Ga68xpntSESgttImn3qrY1UTffD YGTergbQuRetbMPHkX1RXwQGrgydcXLSHgXPPbP3KlYPppcv31jqmplMJdMqUn9VhyTYsXlXEU5b 5SFqJszEz+cp9dXP+g5+8xWwPAjt/4ar65BGPNBgFd5wbHcaodY4COaGWP5zCCsI2z8XOzHwwkpy B1VGHkS7Tiy7RNTNmujtXPZbOS4Opc8ZRyz3pXVFTJmdNIxnhhDgOSCp8PP8qXcubffSSE3TroPC xPpnZQBvSFhQQW9MrG0WjQhjmcsvrpH/5w6zPP2cnjn16MRqSy3VlRnJNbbcrsleeqmS4b5RAGgp uW0J2S3KW0hDnGEiOwESBLWnzY9IQm+x75kblceFLpyKY+s9NmMgiShSRcfhaRXZ6avKAo/I/ahb WkyMiHiCOgt0+KtBhN3Lbvm5IRNLU3bd5Mt7PXa1BtGcQw9TdU0HW3NX4LBiEvS2nSdlSt8hK46s +DyVBX3Pp1recyviwcEExwQXhmKXuG+IbE/wVF+i0HsLb+9uG5zYYmF9KKrhzCgo8VgTpubRBeCK naGuXeudm4VoxfGN9FZNxkRSmJL887L7Qtd9MReN3976vrlG/1T4cNLbHJ4se7yi5k1WRU+aQgXe QMt6emUGio9EMiVksSwd25qOnEo3q9gGqlXPV0wvuM66SRJIgDkY0JkLwjN4gjdSID6VvB/lz5wM kX/gkpO3cfrV8O/oIPqLs8QSR6sBcEfYhlj2CPFRSnojEdINbL2yc+Tpcm9QQTrWns4vNQiC4PHN kIbRWqdL/b9mHZnERFTRUpIZTsE1TfsIrB/Zwxp98In4CYd8L2s/UK/3q+fU66pzcqeMPLbzrY0z vVeh6kPcKbr3eXYzOlBcJ2oHsEjGP7dk3uj4RVQNNEldcVxh/RTxyckv5UQ1lQyCPDphup41MmEP ZjPBbKXzHzYm46lQBNmY+6dqBbz+FC+U7pmLPYPjUbEeHaBUmlwOcst9kxaX7ZiwGvlA3zND4yn3 U4hgMG5lPVevIAQv0bbfj1yTWxW07wlbUOERwS3SjOt2iFJbFl6n9bVTp90nURXyJUScBvL5bIPC IXNV/8Jal/wIQ+BdjhwbtJBBXI60nKT9wb7ldaXwgoqO7XaY+n0puU+ZMG4kcnV1d8rLh1r/aJ/n lK+t9JRKGNFD5MiI+vV34qG+pdRhS0BIni/31Ogb3x1oPFwSAwZN79EWbQ1/Tlfd0u2tPrdjR7c0 wJXjbgALXLlVjx2ZYrqWVg2H5VEcPC/mao1F5XJL2p1ohv0iy6mYGZQcviuV00qdbP/sr4QUzQjX UR9rCUazUCGQZV3GjlQxEG0TKUXUqR4sJoF3P4xr6CbN5kaUUF24CHXXgV1UXJmsirwbRSQwNX0s EYSF459600vUnWz2cfwdl66SLGMpgDcq+kIt000rgqEEk8iOfAiV5gqO/6uwbZgnUnhq5PZfdgj1 kAhqIKvhOReyKf+y79r65ozVc3ZzZ+b8H1ygxHfHFHFFQmtTKpIPAX+CXN7JCZe1DbB4TV/1h6Nt 3aLtLZmOB1HdmSISxR87cq91pyMlLD7xOJR4uBAlIc7T9NJyYCMEinD+KRtoUPQoJQnuaGydcl1y QhCvUZBzRJJTo8oh7JzCkmke6q0ZeRmxWVJXt7yBBJdSpqLn6kJ4syDh/InYEugoA2QOmFnz7UHk q5Nq5r4cQWnsJU2F4vwt9sIghLO6lceWq/jVK6To9SED8GkOX3+/GdWJUcag1z6TDqCD5JcWTfBE IzzpE6IIWm2UYmtnTpYtdMmGjPzK4SDe78ns4a94cjT0uX1s12vAe15iCtSIuUYDgjzACvCBqrMh FTw7Zyialf62GVZkyfZzpdDBEvpG8B2nJBwRAY2KOOIPppbntPAnT0YG2rX/Uxv8FzbZXEVuuq+X ob4lsLgYxxc+2ot4YxpsKUF94+B3pSj8Cesiz2KJMn3wzX+0nJq6x+r/eWijMxA7zSEW1kmq/IlB dGE9s25VowHbbszuA0e7IzhuUWd9QfagchuKBZc/2m9fdO4AE7FsdDZnat3tP+2oq1TyCxBh5CpP tWbHJaLwJrp6suAcgl6TPNde+tABmgkkK0uVWLc/IUGe3JmAEx8qyGbGZaz0RDWRMoITAfhrv56w ReoWy4FipsCp0Ntq4ThLrS01klUuecNvHwPRsO1ntheuqcBKZB53HK61owi23CuG8HrJ6nu6w8V+ GDAtnijBYXc8FDtQypd8/u/c2bAu7R5TDi2hpqRSuWbRcmI2u/5e/zLykc2dc8R9fFodJhJbTWBv P4GCThbcISvINx0vIzhDMxjvARw25MU/OV4AmLKHzOfW1d2A95COs72f9hfFNJOeJuFQtohkPpGY wzN1/kBeswNXHjRVkROrfIKUVkMZU4SmCRv1sLdqhTUROB+gqLRgDR6+JnpiQE5Bz9iDSLGUeG2m m43eE+gWFRJA2raGdeO+Ip2zfuKH2q+EerKL8bX2RaGlGoZNzrWWss+10bzWFD2LkheNcoX/eWxL SeTUBqJijZ7JcM8MXITZFRVqfdC0RhCH0drqH2CcPynMIVoxMudDGyVJkXah/9rq0Vrj0Tk+GlML E1iuN8DWMnGVgCi78QQX610ZWnOYUrH8xuJfy/Bfp6CK01HkZoHExvmPbqdrBEOVwHNaVN9uDdpS riRwnuoVJirsMY7wybxe5xyKW+SKBxHi6TzxTvvfoAkPpPET8PotxBSti4p5vVh5bdoUIyRUAs+k SwH529yz1eNa176PsydZAeQXPemE5X92J30tTbWiMWvLojOS3/T6hFi3pawIaTjtFj2p3+NzchBE No/S0JMgrOZZ8wony4d6tCXofEZgmAGo3izJ3Ek3N80Bug5BXKp8mzjtmdASm+xZ7IFOmStfj5fA QVS7//4TcBTH+Mez3ZQgwZSluxOH3vaRwVX13IhVldROuTMGwJTVhgkkz3nGHWWPXMJZODhNbMHM RseDpNCc3C6Gu3cMI4tX25LucrSKnMlr9qBnGt3X7GU7Z9pNPYjYobxjdvobzJVAnKPSOL+PazaU AhwU87HgvNgP4yG1xN/sYhkGuqFhR4Zh3IYDJUfcLlHtGOeYV4WlulovmZyEAh0mXKHc+mx6dJ4a kucBGSgPE2TilPSZvcu8Osqon5Hj+tovzPEwqFJzt0X/h+/NzlwQgAgcLlo9moakpWj8PADpGd7k SIEROdMpOUmv9GUgwOSPqYcIKjHHgQjyNgway+R1tQrBNlxFSwta7Q6rw8sUmjV2vww+tAbyGjle rsTxd0BK3nx0IHXw9pZQBBKgqQHj8Y81mRDxlfNdXEPwuZkqGN1VJK2UTbjq10ZjKaCFIMyhy1vL MZbemNXo9HKVkhb5Crk1Gdm43vyf4j399TZBpdmup872a+/6r92k4TlEMCC7L7oyt8Rum/yaatRk chUGbXKETqVsh5oEeUYGsBj+a2jRWl3G/zlpG+gIsu4u42vt6EUeKfK9uHf+bpIGexzCg55no74e E7i0PxZCZRgLecU7/t/ccKHL9BDyO8kJKWCZIIGFlz+GJ2EkqHHFx3Qp1ZXFHsjvyxCO4tRUQJau fhP0DHcmOrvQt7zd192FUpt2TXOSh2XIh8W3EIl+k+jgdFLn3Z6amiy4hiNiyVBpbw4WZkRQzuDh tMKVPCr/pcUk37QoPkHf636Ng5lOKYYm4YssNYVOcDY/ZgnqK0NV5cGPvPBtpcl/LCb5GaWy4rnM xBry2kT88Q6I8E4xPFdT+LUjvtWpSnAoJ5qovp7BW000JNIJK9TPU9iu8b6z7HF33ZRL/L7Ih9e9 kd1DbAkAvDWCNRmD1UC/ihtJB5p1w0J1EyHJs61dE5h2WQD6K7HLfUP5QrIqmmcH3MR8NQOYxh9h +Lh5mdzAGbwFxu4DzcRp7XU6u8nUqg95gjFqJAHgs1bM9cUgfJp6Ah1m7ENhE668xvm8EumTt/R7 r0t4Yef1ri3zFtpyRf+EjylaeT5kp7//bAJXtAYYeQ3Z8bhb9uICgVGzUEc7XL4NmNyk6e/KTHqf 1DfOgcIlO0IGsglreXhdh3epaG3V5VMhms6fIHSY9Vxy0O6Ad6O5tTpGtcPe3ZgEICnL+HvWKJsz 3j8IvaZcYO7qHMZA7myxpLBlL4+vm5bMYFl86R8lVybLo87THC9f2pq3kIRVWONIM1jy0l//L/u/ DrtMEPfA1RIPiNI7wS+/9vQWynafJct+fECGpvsdePlMSjAHdkFBlJQu3QdeUUbbcOZm2265FdSW Wrnm5wRsKi6hm8F6UH60/pcQ55KFKzZPQP7rfRDE13QfFiSNNcPm6046aKXsCQHNhjvdvsNtZJiJ di87XM6neZT6NJd9hJyDqBzzNp/ENfrUFtkFUSXH0a0bnqvN5Yd+jVBj/nzXLz/LGSKw+Os+Xc9h NlQnSK7cJPZA2TVF1+9PEbgWnkpTz0d5s6qZJnT/+qCEdeb3iTHuMpj9TcK3Ya9YUNkCoQL5bm4R UgXl5VD86FtqRjQK72MmdTwKn6n7FlIHpo++FdHCO1Gtm0VKJ7WRbizN8cg/TUZ/TOhdBNDOS571 dSEOx6nym8hkhF0BTqdYBEySLLVd3/ET6H7cgiqgIhEBMZWeiuZcFEExiumjVV2conwLzmzpoh4h lxgO0rS2AnThhNVZ32pnfzz62rnWK6pPj+x4H5ksZ0JdhhwvsyUQfv9iIapeTfaZ5xSRhnNskgAN 9ZxunKjxdj54fNoVW15VUN3E19yDxeMvolfcSG4eyz9RC/CxZCsMfDl9xUGdcwd6wFb2YIOhuB5Q SHlrs/jN3l6pV48kMIoUB3MFbhz1AcWYcAr47rMdlRK/PiOuRJ5pnVC9VoZlSvRucbzeCLt+OytV gGlmH6slnJZJDyd+mVSR8inpAA1XK+waNmeOG9fESKDy+wWxOlDxhymlrhBw9qhRlqTjSGsnt9I0 tnO7gVaemunMN8WrC53HgpaogNRr0vKoI9TX4KOQd2KprMUM9RRptznd42WTiankb0ehClcfjGUj H9yU3x72YUV/4FAZsw+lyynkI2BiW06DUFvtDM6mGfGPmFZr6ordYznlHZv3cypTrBNkw94BecEw xsj7FvKfOE0P8xBXyQAhpb2SkiCV7KKHoseeH0qEj4QP0voiutOFF1gF4f+k9NiVRLFuYGJBOYRI WN8yZjUr9Vdgcf7xD7BukDFTSm25A0iwk5SOHzgY9F46G2trRZRPYG+SlVGB5MLz34exsKU9T7uA dlBMWfrH9xr0kvsvKswFZCjxWUP7G0nN9DnRqBHVolSQq5ewovRYtGyBpKKXdJQmHgj3kQlPxf7G 2hbrYGKRAbre0l/WhhrIqRWPs1ceT3gBeQZd0bILhNn1PJxgw0rdmw9QspCCwu7Mw9tCznwdV+uY 4WLXthBgJsLzT/Jp5Xl7uN1PM1VhtSgnOPpCDtDtNk7ooTiLNMEkVQM7Dc4XImCbiPho9u7DlT8o MNg0kvv4R7SGqJTtGQT7u6lXjE5/SQVV2lR1nz8rfTpW6I4xEWbZLlRHokw7A/vPLEz/euyIWDp0 ZezkcoOtOJOS55UIyGHEgy9xjM49ei+MM7sFamKMfS6yvP3LBeKGC6JMInj8vkRoDAk++44c8fS5 gMn6J0cS4JAQWL3joi3cml5E9G909AZFcIcjlCBPJnq1EkPnhpF/MbVLq2O7sfN9IRP48quD0hSk 5xR98MVFmRlXVUnTfZ5bU90t97imrGMT0LYNrKWOsyl3cRKUOJVQY51xiXUkRaUm+VIKYkSeQAP0 5BYpOmIIBDy+aCIXQJy7nJD9nc1leQRKbvkHSttoeTH6b6MwRDlPkylRwP4z6Rd3w1eNQboIhc4w y8mE3xZL7EgtwxpsrjYGBCoMaylhMaFRSrwDbiOrmz2Ob5sGhdjIecTLUgkAWP6D8WJUeA7zkJtt t5Ji9qoqHRmBET288+q2sQjLhd9T/umIcX1KWe5Qd8YHvQ46+w57eMwboE1a31oTeb8OZH0xaowD VjaXOXl6ghZICTRzrcEf8GLo5U0Jj/LoDrEnDeK2/5e6B1rICA0yAfRTKW4xa8cNogOc9kciBqTi 2XMuE3tSkMCUBlhefhcQ15Pvi5f1DXA3XRnnQiVf9OmbwpsWXm4eitRB3A8cXobq9eK4V75kP0/Z 4VbQ/fII9/icT9d+IxLYDgRTWq3OBamE7Wkn7/o7RB+4SF0jf7s/5YPWGQYM2IKjBGaEe0d37vmk /QaTSHK2LwuJzPUICBI39HhS8ImU2zlcKcDcw011a/w3tKnFUi5hjA/Yp80xBR2fEz9+EVD5zd3/ NW582FPkeZ/cmTSZ4vV6rSVGhlcBzjEv/OB2CwEYEeQBwS7glgNA2wkKS9iPy0Qw3G861UmpECt8 hucomqKAZDrQwlS6vshpz/uiMCCE7SKVP7d9FdUWOmlMLIuY4fuQnJbrVJXjM6A0ohdsRzh8k1wT OxeeiZXkXJNYVz+i4HBJkubU4rSNDNiVyvcm7RsyejsnGllCrZ7sVRK6Ph1H4/Y6DeMfeWIO0PYQ CUgfUEx72c+FRRhWR0DlDwmeLuscvcg/Wzr/WJmOqEELzvxz6lJ2Szoj3I+Bp4rHl7idVkXA1VAz p4AJ6XCLWkluBjGVxid05K0KMhR5m2eOeNq4Jxx0eJjtQPVZcRv/6SCUH+dz9YF5b4lwQgetxcbQ kDJeXBkOCKjoFEtzoJEk5s+4WMdiaNno9Z4oAh5KA0ICKHMkU+z4YAFBbXxKBDKSEFp4JP+GtgPQ ugxLZ0Z1ltM37qnIqMt/mLbJ/gwA8Ml6h0Hoc98VKkFIp4xwco5/F1iWen8qaZuW5phFZnl2gaIj 8HT93ao53bZsFICNavczlAoFJqL9A1VKbLrwb5zThCyBbryAzf5jqYpBjKFv05aTxr/KTm34gBCY L7XBOqaybhjzA7CDU7Npu4FPrg4nBT4paiOmryf9sUP/FoGdebrhayz4G5YRI5hXbTtEjsPUz6C/ Cf6dztBo1kxWR6z3Nnpj5b6ftQl6F/WbhMflE2jppVxkhfdZQ4RGkiWopY7u4WUuJToakge0mU+x jdjsA8Af60djKAFBgKJXrnTlLqTFsydhHNM9Z72nonfuUlm5l94cm8f6kIzWerlilKNavp121xtG rddEBslEgW7/nnfndSdfVlO8H2OxoQWALV3Xs++3GIxw3HZCvOU21RWnvgh1aiMQTtPoTBZDWnNZ mKGFjbEro83i17N/oUKTGOe8Ygh8/YknaRIOjUJsBaU/SuTLu+xPKwvOutl/wJfpT0B30DmpF7y6 XFszvUvlCXO/MK1Pj0+1yy672U24Nrp2HZbEJTR1076CoxdswFlIdRZ++YZqT8PuqJE0AmesarKj o1NwkbQ7fAuDfhEv4Wg88yUrIjIIMjQ/CW102oaBdqGEIcSRc4YqgbnNGpjB503KPpobw4jpwp/e aSEMflez+obDJjO9h52acKGbjh8SxrJ9Uh0qWQQ3vDwZgEkhaU/7zfbJmKa66IEmdZMUUn0/sGEI Ws6dXPMi8A4tpKDsVZres7W/5tSb5Awy/NGyZYU9T24nrpidfFUmygtM+hDCtM5oHAxsLFT+h+k0 0tFrJipoha8UDyWPQPVlzngnN2nAJW3Z3KDQr20xvoQOnx+gLdi7xKII9iWHoebkDNGB51j2sqmz IsFI2k+JSR1++CSMUtV5DE02TFs0wGY9ShjFWQZBxU6SOtumd1XOGng8lVkdZSHAnJVWGk93Ql3G tHwn/HLCySyx9Zfnj6C3BN8lp8HwZkFqyQwkszfV6iIdxa/jmnLSXBkVcmXv/hN9FigPct6eo1rm 2EP0rg9yFd5p7bQugahJPgNlcHNBN2nq7FjAaDUmNDfvsnyiTGmYOq3ptiEAsjdzcsiuSnnrTVJn kMuiVLBtuZiRaPPc69YToLV00dveVZxHR36poS6K/0RuJL30T2VqPZhSH7QgzlsWI+wa5FlDc7dw V4MeC553w/seeBFrM7k42CltzAt5vgWVDnUjynjCI8t45ut9CveShhgOPxWhDDJpdK0PUZAnKFPb 5kgj58LcooeS+XPg2reI+TNPRqLXQspNwyaiB0L8A4CELtgK0L71l6bSNAxsUgwvoFrcOUt+Z5gB rfrp2YsUeCC5cdi8uooJ7J6Zhby/ONJIeq9JAM6RbbH483BYKdN9SWiwCBggdRevZLfMhp3XC8LA qXCNdm/YoxfwbKTrYTH8V2PGiK6jxyhogxMU1lMw3rNvpWRiAMl8VtXC4lIbGD2gATqjT4Vuf3lv TwuSZPGIOCCfb3kwY8xyFpYoBv3ttlqdCaEm8Qh48r3dTsG+Ub7F+oww6XkzSi5q3vOP/QAdte0S Rsbd9REmupGclUNkVkF/vt+3npMfw5v+XSpq0vp0K9ditUNqhCSe/U1gg56O09Kn7f9zZGwfGOja hq9nEk09Ka//6cLY64oVfUwi2Hnvdq3sA1xrU1C5nsCRwnK3/dgvMxCtQ9Z7yyOwGKD7VOCmFFR5 WHUWSCMWMGjQaoY1J4zPca/Xl09y8WK0CwGyTIEj1vVWl2rAeNvjwDslUg0Fval7gnCe5n2MjUXn VD/CFXkih2HC/QiZQngLVxFuIq/oU/Kwa95oyuL8X12HrfDmn6KAF7zZ+taAaQi/00bLDBm4/sG2 NZPFom1HkQ8g8AGqRGSB3NZkP1usrLfd5fszrMI5NRJkHYNF77B5xqZEEF6bxT2+hIvC7BJeq6af EbbIia9RVjRYB9c0JrURrZ/mgYLqF5x19ORPFVtMVSIzReVukrCppX2MFgJrccWeKTCkIzO4MWDG faTpQfR9ToaTUBHJ4LjyXM8czQys9IZj7R7jVVtaB2e2gGy+KGSKOzlKT6t+P+f8cZt6ZASCSLcT iBoHzyiiHI0vzmxGx4MJ+DXEV7a68240LfLhg6GKpvZtwEU5Z/m0xkOm02sEpigQT4SF6ViYfDmo 8lzWGUweQOUFJHVogN4D9LJf4KJclTV4gLAZL3HrUGEmLPZKrU+YUX1ohNOioNd+8QW+7HxoT2q1 bpMWg9vmoajnVQHivSqWxCdIANIUz1TVApXNgIpVvhITJOMAlzXeChQAqfRW2qgspEYpQc3Sr58U GdLOitotny47bLaK4Ywtp5wICuIXc8zKKofsAUE6v8a3RjJlL/MvDk07V0+F8qA2/YNMLEtgG4pO ODbiF6P5Dd0ftmIPrJEq5YwN6i/Y0VJKBmbRFHz9277VSxCrTXbdCp2I4HbXwGvVguFvA/waaFPb NPqPo4LNU4Do0doCFxkoMkaGkVGTqaZXd038zXrrbhi/QTxXSHxW4yEAX1tjKjg7kI4Og8vTIqel 4Cncygz2eL93aq2AVruTjuVCMDNftLlnpxJ+2WEre7wK2dw90/8ZnPHxq7FQIcGoUOdK3VAXQYj7 vEQT6nSTk2kEdpP7QX4T8IRd+mNg8AS2dIcf+9ZzjcJy4oHohwP+0Fi4HsSGc6zBPdDUuc55IUJ2 Q63zABhXb2xa2aL4x+zVL4szA/yQg2gFTWoiRjlE6laxpjqIS5ttfXN1s4TRcChYeJgrjO2OanEv jQBu7DSvUz3e7D1S1BH57DYEf4wPSqr36m5FJdtlaGX8D3ZbkpmCm7mDfm1QpD3dm/7gp+NJS+sA NGxpGTT1ZwB0OEUDPu8LT0846G5XIgI+rxzfxs/k9bf0Jc5HFml4pFErduw41vCSWb+YlNiE6mIn PgPjb07H9D9alIkbJzOwbhGVjOuvUN9DJ0txLBUT9WarK2SvvROk/nOnjCT/zm7oo7GM38fdGu7M 4K8efuDDMjNxdz2+obtO6/dYUaolPoLM+5uJuneQjUPL0kCsrjYN9aR4LpajMnA2A4IO/UDCwyUG biER2iX2y+Dhpfd3Woi3lY1bdjcbNDDs2ldOaviNK0tn3f2/CVyP3J5DZEnmM/M40mnQ5+/XXTIm 3d336k2DwBZ1yNJdqxF73/rVie8hwSWNdl8axzDEULd/ORY6R+0WXEQzLaLNrsQawzBl6f4qwQMh 1hvEejBYiI2RhTbHcqsingdpgy6rSiFsDCQ6lGnPWqSis5bxumKfzL//7cLy3sThJLtd2KaYULLy RQn9NUFhby87Sgmjvb1EMIans+dCNUCjXpj9JZT/+KpPkMgiE5vhWuQMaWf2KyNrVEKVjzmuw9cR hSWosOuGVH0UGLerPWnTQaKZ1qMDwoyiD+wpORSfcgtQbqsy70TjKrFDUS14L805fpccW8X45cpY D83aMZPrasV5R53gozLZMNuw9zYUeV0wBEmAyjaYXiklx/SGvpyA/RDmPk7ECY7DanRLFGFQDn2N Udas1H3YbszCWIcbJWNYdXrlz9SY1rRpfy1GCtnFV9XpUJ2uTHdK4tcgB22bm4eg3bMGIWHid5bQ phE8B2CVryjL31BiTze6bKUwYG9UyvQYd2xyVhu/1qYYtVEUPDaZvgzWyhCieXX86w9iNIX7F58u s/X4aCMPfoM8nkQuTDO0wSd2I2LSemJspjcksJkuBgfyGCQ9+N14yguLCVuEUss2xSqHUUIo3zVc t1ymeTo6OR7w8tz+9ainuEPPtxPPbINH0YdOJfwEypSj9quRpT0CyHaOo8RY/K5+gxzUYukB+rgd QsAtadiesm52E/FRIeA5c9ien1SILUlwGK7osc2LCLfnduoY44RSXMrKUVEeFR+R5dgdp2vm8iAG eCdgcTeIWwczi08Nk29Uji2EE0BI+yn7nUjuUPcTqwhCjoahvth2GPxRZAetB2sgEVmmCZSRemqr 3BRs62tsvs0RP1RbIlBjtgczh1e6Uo1ZzW0xCaixLZQwArTr9/fzh4vRGOqluiXdL2n4MUwIh0K3 mwqEmIoCUrUFrMkxbENLfo18Y4ve/aSLWMMQ9ZjWstCAvPVxE4SR1QSOIvxZvVEnSGzLeGICOTgj 8J5P1WcapLZIAuHTIWvzLy/QAacNh6EkBJUpjEDUIuc8sPQ7IFHQtexs6kWcQXw/epMjdcs/jsrh TuOlB58uLII9cJT4voBu3CjcGMujdroedpYWalIQRnaNMn6dIUKoS3tAvW8ehVR0fjNyOIYbTqlM +m2M6wiyfQSCrbTkc7+KbNnchJrav245zZRrspW5HFKRIR9/PFEsn86ChqtrvInz+SjWM3xjEhMR arKFzJMsWPBXF+pIfU5oe/lC+iIk+CjCPFvvYIX4Fjoxg8WC0oef9uk/MQp7Rqdx7UVxoMFTd8OI 5TNSnvWe1tl5jtLddSwc3FB6MP+pA9A/1el77wXHxlvdlhccQBV4VwITlOid5PR18jB8xkMG08j5 n/MBBlbvIP8BQ737GIRy5+uRnDGen2dCxDu+zUbGcOOtM1P5OV+MhX/7MLk07qNjajxu8eG5iUDu G+isibyl4GSiCqW8OlB+xb9YPWthOJ6hBtjcMDZ6HZTKHmzT/rwqMEqW9c5cbvbG2uGtE4mzNNo3 SDX4VPvk4G1kYsAEC3viotawjI9HbeK3Xb4FU8fECUrrFOCxlCnZAJSOOZpWDfdeKoBkloEQXW5k y1cO1mMY3brQ3Xx87xcNicEN4+vPqKP9qEhBPvdbhyp2DecyjN8KlMvuG3zwAboL98+aPjxc767F XZC5caxow90NkCERZghhOvBP4PrwqCSbl8LbikxJOVdb//98lSE1CrMi3IePNk4YMCoDxIFZS2+w c/eVq4sEsRCgGHepvBCxrcnS+asQfW2ABXqKihjmeOMOf1enVYe80EDj3OYZFCy1unoS7iQFVgwx FA1+L9INfspseLqPrwhQ9ERKMM+0I3MrAnUtVnHFmaKwepR4Zb9XMF9WjWEK295Pi9xPnL7z1TTp RKxjI9Rq6UZ5w8icwnZYOU+LKikHTef5AcO0etLZ+Y8N2NjtKM4ULqzlOM9OZEdCSm7vpSKtXdO9 UnterZkUpD0jkdAJrWxDXrU4wbej8tGZq2vIKB0Oft2iTze2aDVihvCyjQW/5P3g5haTssepxaXI 1fyIjJ9+m44nKMBqOF7rWaeX5LVsdzkJZi4jePyRz4FH1rvx3mKRBitY4fWpJpS4mRMx0B9E6mwt ytKvjCdKd43a2c0+3cfGYX+R2r+I+jVDPd1g+niR2RUl/OdG5G9s4bDvEYF2C0dQMsDLBRvl5Low rml8a0+WsJEwQ1hwbvZRBpruMoean86c5riEGiufYmwSySKJ3VsEUm/TjBO9k2dB/1Zzt42f8IKc fa9gE579UtvkDUhDeSGWSVMcKgq7IxFQiwP6MDZAQpD0/udDDrZeyqt4XgaGlhllUJgiM6AwbghX qTuYXmIekTPlkZJBoDAXlJROHynBW/F1V6d9H6N0hJsE/Rn/Bl4L41/7aMbpVfTa7K9DRyRJFMLy zfiQ9HWLNUGmk1e+xM/NdkrxWuEUwqQF3oC495b0d4WkImbF/l1PnbIKHnEhbKiSMNmVqGveYNc7 VGRt/S5ZuHdq+aF2km82ZgtDFetdjb+QFotbLrbty6I1iLYi2WdQcduAePafoUttfWoVUloUXm1f LiRw6+sN8uvEqjJVxskgIF/yvZhOFnFdr2JmExUnGShylPvAmyqqf4wtxyxPsH1JfR1bUwYorFrr ATZjXNemEwqVEU6bx7EqW/B59WZ142ooDdXWmut16j71iRQsQy86SpRxb+JQ3FCHNdES80mQ4A6L XutH6OiSi2Jblrzytu5EiwsZQo+gcLz60vsjsMreKok1d+Qbz3ivua1HoMK/WAoh8aNofSfPc+U8 Wci2Tebc8LLphLLQnM36KPGXTEdfBdynJ3zIoUK0crdAMmemiYvKDUYkTYVlx1z3wGwwPHOoo+C4 5EbPrSKraQkqxo9c7qiC66LKW8WowtIOZrXB7s+LIjRuOaKfpLbarT+LsbDdPSQZ+D0ZH5r1SN66 xmJKOwE4tZ2x216A/KO7iNJYYqSgSRwWDwVXKAhUkWWoZx9WewE3uJtoj9d8Hpivd4INR5LF+wy3 Ojz4zRP/Q72Qj9b1/Yqi753E9GQlGzIf6YVEiTUbSdrERB0H8rhBnRx9w7jkSsNJVZzJuikp8u8B lNg9RAmTBPeUHJZkHOLxKS813wWNRdkFiDSzGeKEKC8sIL1loisKQEm2JDBtXIa3uddfOmFzoF1I kT2adD5e1pjrDJ8XuPpp2RQRc8Q3XARnOStvY1T9VnIqVYEyn3fNHiLwt6wdIgbv8kBgKF0/ez7u YjshXqVYEwouOIHLH0iGeqWLpWfpLxN3QwU/Hv4GRDHFvkqmB1EI4jboDH7zcI2+Wbe9n/WdQjSR XcTWBLdvsILWFve8+kKqaY9+zQiG2bWKZJom/lDUlZqjAM2lVEV7pwv0CrB7upYoxB3sgLnBqFk6 JpMbuGuu3uF/IpLZdGEIwMI/fWIaoZWyzoIxW0cxG1DnRsxqWFi5dH5GzKnyPadUMmP+OqUZg6E0 R+LZa9b2azjVCBq6VhR96xfjzv5K0yKFTuFwsRiaChosHW2lSITLM2lwY2AfkDrJJsCE4qO953Yy DzkLNpwH0+ZjKkwB3jdjm52l5riwjfowwnN3yDoZM1ToaaTV/pWSP5tiWwEf0QbuBfZrK9kBu8zK QSHx2L4doBARVuZfC0UOJwo+dyRYBPelpQojOMX7UxeCdAJn5iedjl+b1V7CZmtVfqSuRFOeQ8+X /Pt1Id6+eRLchXoX2GBHeo8jSL7UuX1sCfs4Jozg76Q71SWDGUnjyXWgMBmLhTjYho+mO1CUhneG w4dDTWfB2ebAIS0by9U2SUWyVbv4npIy/6IdbBa4uoGLuk2p7Rqp0VqrM88zSpBTHTu6ApA6VZd/ L5a8t+mXcT2f7hmYtXIMm+mbTxyHQxzCOET2VQsDlxwW0xy4fu65FToDz99MzIbHA4iUQ06V+MMY QYan2r7EOp3H6G9zLJy269IKR4jjFHnfXH5mbXjX31GRxUgUgocG9tFrn/dTzE2nDctVbJ1In0Ul ICxG+eVRdXF0ld6IyGDeGg0mbtiFDl0VR1obClXYrHBIoAoPAxxYdjpP3UiL9HyscdSYOTBfCj50 fNB1yImjfVVYYfwcsjBXZE0lAOwtbpFWFweXM8YKmgnqZ0z2tAKDyEHW0ovfrlHxur5N0Lg4nzmJ RH/E+gxxDic/av57tY9fptr9+56yQgXxeh9Zf6+6x6rC/XAp97t4iINZSU06VT9KmRDzH2Oh5ehC Yy7LwNI/6aPuXReu3PhsefCvEU9Jq4wQMWtpqeF/u61pHYh6N4zVvClXKRENSmnr0uU/0k2b5sFJ Cot9C9IbgiLU3tD2B+PzJz6+A1bJV/5q8BYTHso3EV5sJ87DThF/0cFzEbvLQUji8FY/zOBGw/iC PgQ31/KmV/L+FoH4fuXh+ciO7YG8NZUOOCuzLuiEBui1gtdQqKqtwyeAsnhPmBYv3M2LThcy2en4 yIdRWCNfRrbWI+NtpkWqKU13QNQvFbDuO1K8jCGriK66jFCmV3EyUZisnKH8cUA+ddWxJf68u2he 75kETKxb0a7L30WhNZRkp17BEmqJSxfHFd6cw9piirFeEmJEo0Xmx8web731NmwF0tXErO4g08ar GTM32dsX92jmTECrCiP1zzQQ5Vxg2QelSvo2/IYYSSBxmhI1NLnsltVhcFEK6BKbq9Llbkq8pz94 hpGGUukCrTXLy4IdDsXOawo/e/eSjfE04qJHRujIZPcXMHtkYJf5z2KHcC5eSMVGQJRrDJPU8Pu0 GrvKu8b4r02xcY0jy+LlwNSeddxpqvwgb+sjbp1Q+OOMeiAjx5yXrGxj23CYxrObeaJa0dwAkfY/ Zn1xbdrYeh+aJBHMib010/bkmIAuhHSJQ88LRBE6FCkUb54nLfkydGmNDPA5Acg91zT8pjmiYVgV HZpYlew2W1wgw57KC2VSK2mlLB9MRKVHmU/1Ny/bOFpTZvlQc1umYDIITJz2Udp2wNkn8ZPb6fFF dTfdkw5NVxoy8d+zp9KQh/X4b6w8Q87E6iHZo3cAVPzvl6X+QORvuojkctQDgRTPEemcDl9ryNcz HifCipoZOOBGKUAooKb3nTrl4HzQIIu1VlFkkU1rHIOzx6LR3o5F+u/S8uPQh83ky7CBpltkJk04 IkS3XoHwvTdiZnMbD0n24K7Nsyuvgmgk5A19aXiZ+bzkdptpbx4Ms1jqFkTnhS/vklDk+b4jq4PI LvaVRgRNeWc5ukQiWMS+2k4CJcofER1jJb2VUr7L1ovFlbDlg4+jgMND0PH/n+Dou9BwTPGFhWrM fayY9MYiD87FTzxAncXJ9YzRdd4BKLgX1hZiYutrOwK28yRAaV+tEExSMPuM7DJ7N6nnhA27gVA4 05kt2oocg7CMI4plLp6G2XqE1QJ/5Re2BSrYeld9wqSxt/oRYGXdD/Rn+mjaUqisBpgEFthYIHis eqMY7junUAxAOjpSP+Ei0jPDzdp+fZ3iDPioYQN3k/4BaESgv7WPN+yM+7ciDW+Z4OGTD3VkiWJG YO+cFtf0SOlqGzz7MTkFk2+1SMZqWpHoXY0dMWRy3B8Txq1GMnwjIt15FrNYOFNrbv1e4/8X+Eq7 MAFKkIw/uIJedYFEQo6m638dJAbIizG2BxYT0490vAXqafucYTAModTukLH63fMzWs51rhSQ7IZW 75QXSpt/EsE8LZN6JFW5xTpUHXmdOI6tr6emfKbL45cLSKEq8sxSOYxCdXcmEEFZqWq8XuchL8GE UstcKG9Ry5Wn0zGW4fqJr4/OLiq0bM39d4c4vR2869+oEP8Q18VObqjy0YNvmbt5YaJOfSLzMYfj PFfsPGY3q+CCddmCSODof4CRrwsgkPm8cOUnR13zCfzDFclCItyjR20++CXEj3SS/a1vPp051v/l 0MuuI6PgQDDP1D9bng6AB5PUKYmF3yMguM2tfg1KLmxd1yHrB2XyAcUidkWeXmcc0N0oLOUaVrQT GqjRRgYEwfIFL1m+bN81r16XyH1118txKoRl1/kI9LizJqIyLu6pbjA0CcX5PtquAdqCksxGijBj emxFQFuqWAMM6mN1eeyxe3TEFnKNpAzrOpLZCOhN3sOUhJMIGT/dWxLq4HL21iK/4k8uTFw45qqq 8kdZNfAcbx+ESa9qKYMJuRUvPpUhCPSgfRi38UmLh7Q5GcyIi+MdNccruCUWgOuDuVRKnjizw+ss pNiED2q46fnt5vb7GB4XxzLI3m4kQVGxBVndQ1+WQp4D2N5kHuooLwzQQIGqI5r7FuxjHshlMe1S sX3bSxGy8s81oFKfEUVf8Dp0g9vHQ3Jk1kR9U3rLyOhUVlCmqqAe60FelrAJ5WLHwAhLxWctItWB bP84lEThpeRPa21iKqoFtETMEVwqbCO3o/vrFACG96STnJeRV1u611ZScl8WvRytS5m6jtGzcWU6 JoWug7UzNuTkw8DcxowJTZEQDwKmjVH9MBr9pPvqoD3Cguy+TyUK4PWGWU6Jg5T91enY0DR6G7ve 2X+86jo8o1h8Al3N3NyEI1sS5A6shi8+d0xzZeZ7SVkJPuWDh85vanxWlGR3g5Vsiw0CJHok4Ni/ EpcdZC8A5uoPmFPokHSTCRKPcLEO5hf1p44xEERO8vMxGRmb+I7LwU9mWRJNmdJub0GfPTvxYrlV 4BPR8jAFD8skw+/nUVJsX/Zu/crC5IcorEO8ITF7jP1nWCOm2WUo2OC4S7h/q2iujjwMstI9KhRE akYdgkiQagpqiP+b7MxJYmZ25NZNz4fokl2oJZDlH+9dYnwcjRCSJVSf6M36Zw3jBM5NGUeuR/FY 1W84vTacNe5WLn8Zs61K8QRAZmdC1GK4UyTAGIvqxLXlHXO0TvKZbKyLamUnaw0YpQzSWbbSzeZK th/w8vuPTgmZtsmvDRrSh7FXJhGgAoJx6oSmXFQVgHYRYUjp8OxjpQvSFCKSgomMb52F5XPOXRzd Zx0r8KyFfMoHCuroMphgC3ivqaOecxtE8yBBYO4RpJHq5TuWW3eNvoUuMwTrAso8+7HcwARl6pi2 M8P1/kJL215PHIwCF9geqACjOB4zKYk1/rH/hRCQnQpbarlZxLLCw0RktjIc7SIkpZSF3Lx91fBI SAKHWDCxYhjEOzMkjgM7cyjQi9NYQZF+HaoL8EaTI3Mpu9vrXJ+xuLbptviGHB23+ydSGrGJeo27 KE5FBRFTl08P6jaQQ9vV8X+70yOhDPsyTQyNiTuKRcVvW8lWGiaMLneOkElh4jWhEkCQHSLWE67M 7WDnY9hs/QXvg/1i6gixaDZYXEn/jIm3k/hhuL7L57ZZOLGE6E75KxM77KXA7aW29+sIWbuErdoD 9TwRUuEI6RjRiqozVyWbxNk23dnO5cFGJK6xjfZk9sx2ib3zT9+KVVhrk5QUjRUERpjasYIW7bcL meCn01wgfSTnLpS6xWriXzoTLS3Pa8bkD7DGwx4hou5mu34cG+YtAIhJPCDGXakLLJreUdiXtDQn Ew9GpsDoA1dYeD5WK4ced/IM9KcZwaQBSxsmjJm+2p38J9ihNHFTo33x+P63I0iImuJvRNfpoZam 5RsRH67oWANxIxX3KDZ6NhuwhSehW6VKEV0jD4tG33fzrjYNr6FdXeW0TWizD0sqjhu4g4b1LYZC PV1Lkd3qf1FoTCKuw+ML+6ghVsgjuwPhGMy2cip6ithfKNsSo2bCwGwuBzd9cD6UGy9XeqWcI6Ao eh3ud8czon1Qe7wYbcyt9SNPgSvrGWU5EP1+vwDCKOep56kAHQCyqJRWMDeCPOsMMBmWxs3ubMtz x52aBw4ZGjz5lbeAwk9j1pdzwIgPLr20OPpPUvUCQNxxaoCLc4I9pVpyfsZpv65y5JO/XzaT2nhO qHEWvfBpLpAhcZaz7MHiDgPDqSpWUZesx8hvPK7sZnDDq8XTLVVPpxIXA/1dTsE8YSEhH0558M4O ubXMK8zf2bJRaQUs4JBk6awHU43X7Pppes//tezIePyFzz0ogcIcqfqW0olI7yrhXJCqJoGVPWuW IQj+s+qndhwHaEqqnlcJMZavdqedf5HUP7UQbivOcwVuZyKRCCEMOGxnH0SJU53WczcMIa+Gzgkh RQA1eYM18ts0hHM9dvxl8QeWP33CG7aZ2W13Hpxn2b95lL60KoyX0/ItXZeN/Act1vo3IaHvDcdR Kl1rL1ZyHJnUB7IT3hZHy2NFDaj7zJ6+SaAze/BiR0XX0BGQnEfwfic2YmEE5sMm97RINT1iodm0 a/AeyqJdY3/9zsflMviJjkGyz0P4ig9o+wI+vQcQbGzRmqVNuaPU5pqMbIsb0dC/KoPQZNJURlqO ExWSkgoiqVX8q5QldeY7AE/EK9cT0q96Xz7hG7gVTc4CQCU970WQmod5Kgn/4mp41mwPy7Yq2qFy zgxIRVfUNiUHQ3gYT8Ig3K5MKrw2sPxDhmFCBQOr3tw2mi1w7BQNkl8AjxmKT8qOtaX8EEj22X+F /3uFVrLCkWkEBUJirizqdhTteGsYvXl9ji7O0nb+4qOwRd9k/WKOh1MkyFeooov2OuE/tdNSqquy 99PAmJUiwhRulbWRgjjEsgMqGReRPOT5x7BD3Olxfjt4C4WVb2hMXaGiM6l+svLf5CEvKQ0KYElO M2hoA85tnVz2ea8WVSJTTFS5K9MNzAHzSgpHjlC3wFbrNG1foQjkCwZcjTyH+/pqd5WCdw7VDJXQ 7+dsiRvxeD3cHpvawOrAss4/dyg76cgSsMzMBBE9d6kPthenSGc63wLlMBnEAEy0BatxM5m+wuZK ddEu89hyg7qCJ9Pp5I5Adycxem5HsSqYLYLvKL9cAd0G+I60hdnbytND7Mq9OnomCCLfUgOY28s3 dCxLqm311SYFGx1JjaRZcVr0ZbPtSX4QiNbTtsG9khIXlL2oK/L6fSJQ2EQ/gUg9MQcFqgME1I16 BL8j+qVzzQu3P1GJRCo1KGFZg4MWxS6KprH6b63ZBRIGyur0jy6WG2nGOsfClB7y38KXspDEyxQp 6RccoAYI130Vwppzqdsm61rTu11vSImRp6L3XMRmfNIvi0vlX9WHgro6FsmfmBjx7K+f7UMHatQw VYldabeNliBO+M0tiLColrn7aMyxnujSevvAMQEI91oyrerzfY5Ge8lca3HFGUVWwDTUZmSd2V9J RxeG6FAE87f+hbGbNBQoZHiYV3tTH8UI+4Q8iIAcMaz4HZKw37rWXfkDQsYaS/hmt7TVj6Yzyz+l Qur/4qa02OZ8Z7jr/kCP9bI+9iJqvrXIOW8l7nV49rQz+y5tfQ+wf07+qzJvH7SR98HPSmc/d0Co Kk+lLLIVR6fLwwKUZZkR2ShxN+IGRF8VV40WGNRycKhZAvFP469sAEOBwSKFhf+xJ0GXg88frkK6 hr1CXaLG2e1Mxx97PRqbFYHIJeITjC8czQouZrRSImd30GeDl0pM+uwOwBmfCsz/DgCwsKyBfxiF 6+RNBZvQ07aATuraORdAUBwAq01Vyuv1uXfpCVkp8aSjeiP889cPCWcXT2oXbOwKtIxsuzw/u0W4 CH8PUbRxONcaGm/7AizEQ5joDE89HzR1rwP15DwWMUKXW/iuz5ZyXnQ61wYh1y/elJeOkZdt8Wck dPHEOZIqKFZuN9ihW3EUNPzN53Tln5lulSDpBckQ+ApwTmsRbuqbudMGu941er0/Wit4hbiGgQ/X EPiegWsK8v0suheUJz2QRzOYhgIiiNVemw/ICO0envQ4nvIVoCoBvxMvI3e5yt6Kh/+8OJuE6cHl EpZNc1Qujx2mJVSGra89pVyxERP7ZmICnQujE2t6F8MQpkD1S3XzLXs8OHfanOCAJprXlOgRrFj7 /hI9jcsb9dPNsrbsHiaF7cpTezZXNvWy6+ZC7R16ry5CNv3vPkh2iB+Gy/AKNXE6Cthp0kp8v7pE jvKKV58A4Z7t7rMmvVlMXrwRBArHADZ2iTIL+QwQY+EAAUAkYD/qqtDBfiYggE7Pin6iAcEBOWfw 8oyMlkrKjRlOg1RQlV/C5xBjjqV+n49OGzf9csZnZYaxm7q0YR3mZZCU+BP7uJ6Guqh+0iULYYOh 626G7ih6Y0nZ9/L5xZMUie6Gt5VH5rb4v6Qyz97FVBmDUZ5rjQpYUn3CcFTXp4YHKRVSg20Jw3hq nLSx8WyKsBxQfbuckj7+rjLf712PbipWXi7maPumUht8KgC9QprF0ZxBkfLCzi+/CoiNFhCXdr9d zFDW4GgxFB4zhLT0Lwqo5dPrwHugksQJ3U/gakRFvmW008feHPMVt85ZOPuQwQ+m6EgGz3Idptq5 hYluRkPiwrstspu2gE8BFl3SJMKwB5XExDwgo8xEo8ovZSZHtLqZAPnbSDPjdpgn8Tdu+wp5/fRo YkOL1xaJRBM4jlxVby+an0gvkDQRfP/txuEjxsD5pM/ZHgk9+cd993c00/q2IkDAxgYhA8/qo/fe wILsjSa9J21gTuCKGtEmvmOzOmjVFi8NYIrvgz2eHhSlPXRd2Oaq0FHyShBLKMxdYZ0vvbPn6CD9 jTFzDjV8lj0KxxjgLa+dVmVd1P0gcPEM312e2lSep4V0Z/tQ6qjCZMDbXX/lwl+K6ZUY6EQDV9K4 fdasz8+GkYNRvPh+8j9XG1kgYCIS8MoN7KwJtb5ojP6jWz/nCK85dNTeRWYcl4CuR/o3Dsyave9i ki0VTNIVlNrKZS03RdGmsF0NrBzcRWPrsiGw1/SofSP4kbgBHBBxTfzm2ZHLy+IUSbozVTiRizrp ihQBl64D6AC4yXIFuafA0sgAjraUodcSm+siMfkzngYO5RlBjfp7pxmUnPO/BwXbxG8plexJWsox pwKuTkHpUazoEvUhGHnFgdJ1F1wlyDyXQG5Z/s9QXo6WvrqsDTWCDZ03QkJFJL6WiDmCHTjJAp7T hWR1YYwuIQ2swOK2qfXE4a+lDE+yGlNXnfBcgRJ0BbTMQP9kIhQWQUfidDNg9bisO8phIC31Ab5O SdPZnJx2uT/Wnh7GMB/9iHCqd1S8OThc5lax0fxcEypyRnr6yb3feNTJ6Kb67yaCRrqWBpMYJy9t tvctZACqOCUuWrDfpySpnSIhlfrYju3GNlc+BDoky5VjfH+kUuvHZSngpQolwmvjyDHVeJNR2qCm oX5Hq+eupvzUrJ2JMZFhVAxoPBtUrUwEoGSx8Dn/aFP2s3dc1kooH7tMSh3W7vgndvVNPipxLvlz 8elNyyqX/JlzbvWC0SDlQfMIck7nzWG3SKPidlNOSKrgumFwP3EcV4SbN4mUiZ0JzqaNgIVD417L ++N7jW1b1ZNbPojEaUDBGmEmZjxmPtkb1GtVdfErkMQ70rAkFSdb1w2SYNsKAd/u1rFz35gijyav jgPDbRkYYT7gruNnFMq+z7Vc4BZC4duLDgp1Z+F066reUbW/pYqRZnbjfZ8Y/LMg4is4s0knM7Ud Bs09KYwR79SmNYdE947KbmYksVP5ylQuq0QWOoaYOVPq0BpYl7pHj4OAwEdo6QxLK0gfJb+9tEQS eZyQUxIQxahRWrB2Peaorn2q2G5wXmEmRCmnGdZbv2dWcLpG3aHRJJK7+IV2KlFUX2DCLD6biSd0 ydRS4w0g5HHrDva/QH4guv2QEMTJOji8uiDaXBKYp5nQuTJ0px/uzCgn0+EfC/hEbitil6BPLpWj ICKNWMfaUHYug8eMhPTVL0nwzl6HyqAxQEeSpFpC2cM5rFiNgX1wWsbIFeHbH03XY2S5WfpMTaDk /I9lKp5+ymyY2+OFnHzYI82LAYv0qeJ9YM8wtzfEjhraow47JoDmYPVKQgZ7UTz06tMN/vP0EVVI p7FL5zX7iZ0krUCqwh54geV/98iQVJN3iT8g1PwZSNfP8yW/AKd9vkA5wZXAWP8jU/6itAZLAE/P RHjIZygm3Ics7gRyJmov1Jv63BVf6fOFlhVOcCPjI0WjzcxM+JR1ENpigIF0DwLPWkrWc1msiJuS nfTvJ7fJPT5RCuk79JnPWk/9RcD2GPYeq6VXQraDwkDMHJkhYQlViLB5UcytIn4VAKdeMaMAKVRn tHEtYJ+NNipo4fPvB8G4HF9hqTh85AvweDweiE+lNZzDvfd5qukHVmRtA6C2SurkZvp4IGh7rN4p eLdpCicQ/5I7qxDo5jloIco97ioXidcv4Z7UNaNR9+DlRRdCOlwHeQENtVkwcDGUp0Hq7kpI4hW/ 9N9LiWHNOJeaXjiGp7Qibl69sA2eBR6+y6FBuRHcZKaP++Sa/XZW8R9zt3cHZa2dazovdKFLuI09 IMdlJBGtPRcqV4p+CmYnsGhxgT9ASX2BqXtbqxtDmYFIScBVyAPMKdCO+QUwYUxpTmVB7BERPpp/ 1pwnm05CNqdvkQKqE8Tp4L0lOv+QDv/XohrRzb9i7KWXiINyNVAwBFlusmVDCtfoOgk8y2Ev/5QB tkm4ogD5QjsgAkhrEiSsFrLGYQUbXG/BCLSFTDCLdZtaSdvY+twqDOmVmhOouXDVW7ziQP4XV0Er ZtadTAu9xtbKz1FbnSbPg4uy2PozHu+/9di/uuykIFdVnq2mZ59H2+QUAItJ60RrTbnfjkTZ1Bvk wA8to0mHVYP3t/rHfFXO3TS3zsMmx5QvyO17wYklfsR2iy4yQSEJe/G9LEIpm5SgiZfs4X9OPIwM MvvoN6yQP9cs1N+SuvuWBQ3MSkcg8nbLLFp4wMLRfnuHoRveuNp4jUyLF5Ooa2XBm5hido3jdcA2 ZLKfBTx9GTjS/j8TQtvk/Z9x27XFkXCoVWxs2YoN8ASfGOdyL37AaSrERnt6DbpMkPnwwhqHA1vM imwVnftzPY1/EShkBAD5LljrE2PSdGaKVTRyL6evWeFlsh+vU700VANj8PiyCZFuFCfvV4u/IWZ1 FDXcYkbQWIEa7vcpcAazDZDUchZ/sxxSRI2f0AmugUeXQ1m9hpoBZit6cVzGmG2OrbTc49D09S5V EEdY2t/0QpyjmvbMr1j2bYd+FB8Ini8G9DxRBNbeTJA+MqdhQSIIwoOlx9KN19wC3WXRlIV60DzS QJK1NFqIYsql/lB52Z/lK99AV8gYvKQNi7tx/8JoVe66gh5VDYQyC7U+qpYl0taCEh/kKj0wUNjB WtV/6rcMpskWbUiHUIdHmlDDPmLTE5xo5c1/yf/JwbSCJbhdPRcTV/vt3IqwjkEI3AqFuCv5x/tj A15hNIk0LvYgQLW67DiQVVZfITb4kETsMvZCYaxhSVX4WOXImUsPVTfxp0YMsFb/6C2PcSM6GrsU fRnceG/XBz3efC6qPacUX3O96QyR2+Nr4+IR7IHM5JSd5Y9HYgBNL4ByVFu6QiJhpaiHaOnJooE4 AMt61Rg6sy1ibRyEY+HQ3m8SPoZ16ZfQAjQnAs4WmQJKZPt+g9FDBQ7G8UQ3gFanI1sn///OyDsC MdoIE+IIWJXMzNaV4aMVkqnewAcng1x3GlkW2tIT5QNnW6/swoHHkoI88BT/RP7Vi94D4emz5iA4 vPMNvLXCqowX2HgJNeo90ki1FJlmkwXoeHMDwS/1z3BaaU7mlCo4AjrHqP0vyS7a/UtqtUpAb1sS B0L5qAcrdri36S9LpXaRGZfYfM4/ZYDbs1OdPXHKWl6NQZtno90/+DMAsDN+53qMCkc0gBrRpEdJ p0e/V783KHRZLrstpdzvFESqACZYHga2eausgYw2JkdAP+EUVLVMHbL5MnSkiIKqyRhO3VQ6stDQ mJtdAIiOBVDasFJrhQhbgyqxxQ7R172ja14d5PIWyEfqnxUO/JSNYhCAFwnFMZzKfQ48DrNNlhC+ A6xKDZ7iI1w/OnnZbhNto1ZVyp5WmhFZsheMnlpvXmpfSL2Bru4Wy738CHZ85n7TxcHOlFO3CT2L OBJuW2F7WkSTBFZ5hyhzC4saevksSfsCsVjkgSWvuBjaSZwOeN0Z77NYcI17uqJX3ZFMKFg5BUtV eqLcjehyZSre0+21Oawap6X9mG2nSU0BHo5upFZKRpvYbAmhCTwb2Vqj01kJi7BucH4FS5rJXrra NLPUWotg/Re9SlcsQwSwAj37uGclYOHr4/3D3l+DbciDpgqPqQV+cKUnF+wcFDA9nDZjU1CoF52b nhIkz0TdTALh8C6cNVXTDdzZ2zdi8gCp55dvaMbGCcj3xDUbCrynwKVJt3sPRqRVILzzBecAZkKw d/kdQcAkb8HQNXlSAkyr7ytfmr647YM1ctCxQvB5GBF9hFiaM1Hy7uVshOA7k8AkK2dpvABBYXKe 9X7VkjTb61NuMU8innxJGBM1aK2X/V8eW00/qyCYqFcydWiJGOAk51H1VtOyCR8qdSouga2B0X2I DIWnqT+L6dBdmwNsDAG974TqqGxs76jBsqFGv2Mzi5XtXJnSSEqLKH/Lzd43r5SJ78tHAZMSUiOd EfW0ORfRxdYs0PPtw4FZGqulZK1jEVza1iZDBUc+hhLO3jndB1Rz/3X4p1NBcmeBEokvmt8I4Au9 Yv8yvotVSov6pcYd2Cko/uKe+fgDzoLSFROP2954T7OaVzr8FxhLVwLIUrJSgu5cCzvYU0LMn8zT KuqtnWE3xzRPjq4FGCBSdfuuiYJn36fordIgum8rnxf6yLaZd6k4cMaZGCj3glasTRyiHRr7e+mR HF/AHDzkvJNNccXXPpN1PXaLsVaRfE7LM7ee8vcZVOmoaC33TJk4YCHAh74mf8rZ3DMuf3SlsnVp A7lFGUYiqsSFdCZPsTx+Ii4Zqy4XzK78Z2vCx+64TghFmfyBY86DoYp6zHDbkd5tBoGkADhZJuKJ r/+I4ugL+NrSCpPdEuiyAheW0/RyfSLqw7orghP3dZFclVTrz+yfzESNoTZ68BrdVabRqJDPdx6w Z1rUCU+azHWTn9q1MrhgFKZCWa1YyZFgSK+Ij3IYJL0ziqLzVriowXH7AB0YyKnaks5LNDbrjuay zFcvA0aXub2odgNIJcupXh043tyFN7a+BgTBsP6l5zyE6g/czIdiPl+im4slaG5cFmxG+5fD9yIk tYdfGb+FW5emcrZvJHpPJgGk52toM4v4hs9fUHZ/MphFoSiAtQtuY32j6gBAbtp2bkQ6vaum5LQ7 HwqkKP9pV5j/gNwIgspCiUDVCkD586biEfTz2qJDUf7JmZIwofv2b7IDXmEjMGuzoQE3NPDemKjV LL5fwgP6jJrqSeSoP1yOXM8hyWzkQpVtp6R83nWqJcnfcv7ZKNqO57VuG2gsVAlwKAeU5dzaIAOT RT4FnqXTxJ2fzpq1lSVcrnez6kMBNH9esEzcVLnvjVUiqAKHqpG1VcbfSHG7Uh7/Vzt2tX7eSLq8 AVDRxCu+4hYpEJEPoN+whuCnoABzZArz8NyUVtKBZPxN4E7SLIzTX5kBDQCaucdAtsWRAma2TmvI mj4b4vpAosmWjRWlq5uF0sfwh4oe7q5mrU89Schh8r+RKDwCWsGQJ/w7trjHctztwwWqrsXMACtL N9WgaN3NmoSrAjwEFzppDBmuOUsyGAoCB9+rcx91cuilGMI5I5g0qfSTQt0uszZp0o711901T3hZ d2+3IJMxIwQ3939IEnF1i8ol0trwlvdnCSYuMAOB/Ux92Eq6aE2u0hQHkz8iA9JdMa4/jpbcxF0F +VmeU7Qhp56Gt2PunWAU09JIEOIi9NHjXu9We37sVwiRrt7mPKuCfcX4PR+PoLrGNqerblT+/RZy otH6U4JOtp7mIg+lnP1cG7HTLWYZ6gEjRFWuh6GPrYHvPzfzK5RRFpP6SeUQj1agLGkPlZmYSdZX B4sPsDIhofVUvfQqANgbBCjlfyXmHsob3TSQubVbLA4Q2Ioks8+q4KXYZcPsMFokRZnOzDFwPqSU pqQjOOEnuG2mpehiMUdkkiNM7XJJgCL5zgt2mQos+OW3/ReHj4R3GhPzgcJhouKxfRx13ADAtY/A awOIO0cZsN7RQCLv694HMs9GGwtWM2/c2KabP6WZWkN46M6IHYVWqBCSj+a0OW/9uAs5JyZq+ipw kjbFTJzN/C0Dk514y9DvnJfY0I7iGWfJQavnsoFFncUQF2/TwxHIhPoJpY1iiy7EV+NnXWYPhXlo i7cIuFtF20hDKQgzeRs4U46pd92JySMCQAis0aO2NbBnoj+h1KRzLXh37xhgmGWxSU1lvdG56nYt oC16vNND+aWXHRZXlTYySw3LqCWkUFHhFNp8UlXit6B02inN7r2RtKNzeOrd4BwCbChtAin92+2Q DAE275pWuxzKU18xbI/DsF30HFQ11WBZpTj7n8PCOOUFxYq3lf7xqPh5DacrG/F9d/AZLT09NytJ tGVAPXBOx/Q6HUyHK92GlWqZX8/wrdIzfXfiPyRKVzndYJxKnqCmrYyTlWRpyWYQNibNBDU8owQK VXFgKcA/LaUSSN+03dlVxoXxQX7cEJD4mOGLKRp+Gf3IeBSIFITfCDhB+k62aQmbE1VXDgiokS4+ Sczc2/n/OUANsvVU43UGU0jv8HUxDXxmXZHkv5Wz9Nd1DO5yBxMqPtwKZ/xjF6QuM3TfEHLlXGHr qRPQkEH3lpxMhB+4lPnZwntYXvBq/+47FMlw03iYRFnshFDoMafPZqd9FQptSdZICVjISsbDXIQy Axhor/YmcUVxIyL+coGSDQH+LRxmmP5p9mkr7uibqoHgycxlBwBz/ca7JOn3RYKdPqy07nuqXMw9 Gz3EyRxWeWOEwvZ4wclFXVZJ/XdzXbsCeobeZmIpeTkDhLkcrm2jlRDlI6grnwinfY4ryekCVr1n akDbfz29YePCYrsC5A8KnjsMmrPrCiFnHsapXCO+fPX6vejRU7e5tMNbpxLiPWbxEAEGcn6gbm6S H7mPfiKZ37Lk0u93slFs99ysmzbTle2U/rGXtT5D/ez6/MgaSnnpQsoNyi7njLKpnx0YP4Bp9BDz 6hEyC7HGZ3AYY2ZhA4SOTssKxcMsWe0XH90FZ39u+7nXba99DJn4YnauRUuQidDi5knYK2JLe1s6 /wkuPHNZevK6m+/vE5vyXFApZQnVLf7cSIDowcfty3WNid5qMJX0DdM9Txj7avShtDUKQtb95ImP 0ztJ0yCMnttR3cQPm3CxOzO87HrVQDiUZvahAPosDbIc32xCginY6Gj8/Df3DYhDDIDftJXWiyzc MNotfMMsbnchACRL4EXOaMuoU5VY5x60srNXQWpcYriEq09t+KKBRaT+2Re8bFO4IHEgKNm317Dw O/gAabBNTpHz/7W6RNQBrsx6u+GLAO5wWYU6F87i6ZfCFRkEnzQKFg4e08gO+GAJXyxWMaE1kU+I 0m8QpHQprxdxbxKxG1wKNiu2W2EVL1s3GPDo1zSEl+kEnT9ALwnfaLbaK3PKWMwrEupxkY87ybjL yxvSYlpOjRI2DSYMM9Ls9Re63WxCBt6gv6+pOwt8RVQab46+QnZ2YD2LmJoYhszYkiglDxtoGWji M1jp99D+4/vpaLJZnFDB2/e9droyfsutIgmAWNKok8bZE20rg1iTVrFxBCwyyYE3Z4iIHi5BYV+q DNacq2coJzeF2X1x40nWycHoqeTg+Piar8Pm7UxnLLmIYyNx2XJrSRQHLHfVchOApUXk9+YYwESf r+KOT9+p9ioG4Au7dEXNvueDMkXRttiyOYt+tC/z1lbJaBSc49KY0ojtJhC7hSFWw1h2/CE8pMeI 6cTV0706V1Ewq6nA5j34Fx4XD/lMOjbYRpeL6BBkAhCn4ucg4AQ/MkvOvL7NonlQK2OK7c25c+j1 Al5sOEG/8yCEJ9IwtVfq+8L5HaaTZW/DkMhwmYORqSe/S8/1H9v2FrKnMwVU9kcXUIYjZv+5Nk5g zCp/X6G1raW5MdGL3r8K+7MNA5CG8dq0x44anA1fYj6XQT3kLrZmlNsC4Z/VgcQSrXspF1kgOAmB iLlEcfKT53WZCN++Ck2wt5UklIJC8BDsRKbOcDO8BkcQ9v6AMGUXz0sm8Epxuc+YFAbTlJyTA8vF jrfge49cnTmGwkIyEeTLbRG4XmElJvY5luy+ez3tQ62Yk9of7tqfnB30jENH49m/HYTCU03tGEFI DkRLnRs1URu0bjkp2TFt8EldSCicMatLk3/EE76nKjFZAQK5yWC9V7w/iZPh1d1VnQXll6EksfzS s39HwrbmY+6gonDUXU5LdcBrXT7kfkCKdl4Dw0VZsIKU5PXQSW07RUbJ19a0q2yoyxyqKm2y3nxn 8G/AFIBhhD5cPH/b+XsX7ZkdQyiQpsN5R1A0dwDsHkdExndd4Byuqi71pN3vk0u8MYT4ynTQGvmI chTFBxX9q/q2byi1XNtsiIg4YYbhOmrpVCc29UD2V/JPRtk01PAZ6itunR6RUCzLw2kMSPM605X7 mLZqCMF+xI8zeFM89f0S78JROvwuZp/E5ZDZ8ofaJPeGuFipmHzKGcH3nLY+WLs3YZ0CvOUleJUO mG8jJ6CCK/01MPft993yvd+/W/mMWPwlR2Tof8yHfa5PTO86gsk5doAoIxfkK4PXT8CLqyf7thjk hSvtLi+s7zLjoD6qDzFYx2BzRj0dbkBfztYz8/fcqR+NEZiQ1wrpsSGE+ODX3gLb8H8w8wqsWf3+ bcI+NnCpj/SahE/x9zGzzdjq/JANHoGKKMs3XwOHSlhgWAQaMW2o3bYa71ZGLU6gE5MkxmxcwJhL JBr8tFOCkRsMK+2hnymQRj+DPgxi9xzBYhBIq5zZmmw6KSvKFCh0EOYJ3njDo2WexmxFIuu/As7/ ECjk+M2LFDVEMkzjgK0UJQRHKS6gZ1SOnOBY1kxin1QEOYqk0eCcCcsoFfIIHhzcVpWCII/f+ktZ qBh8Rwtk+tP6VkaT7v4Vy027qqPO0X0/2usMseVZqHhAn9YFhOdLir6iDPdJI0524ZaxorcjqHuf 9XNx3eB0bIvNPW6wEqnCThFLRGfV4rcUtfimwcyZL8t9ZDF1Bu6pjXuKDiDs/9hN4ROgJBFHgIK/ kRQDjPkIepI+AUS/ezQheqq5nYGGl0SrJAu3dfFUw22UVB2KTm1pGUsMCCm+/EsjF+ZMrPnIEm0j y0cFFvHmS5zqBXiSXrw9U4RtE/NGKqO98xje0hS6q5lR/05QXIyLdfyhGxu/Qre7rCTXgGecRN+f F1DQzOkLAtPV6u3EhQH3O66trQZ0h7cE+tadLGisXvJoY3ic9e2KTefWR9zmZ2KvH4QsnU6if3TP 7M1MTPmcxWuZzvMmPN06Rzjhmzhdb1kRD8GtRFCzGUFQAtQcKA3S7JSYubawg2MEcTPDKTP+pjfU ph8YdnTvlCT/f9h37fWSc1LKiLUIvixYveFAqQKcrSGX4RaA3XSyP5lpGXfcarnHKrbl5dIkZr8D SdGnviipYpIbzkO8XH4gb61a1xhG5T1kqNGuKXqNDJzJcUVPtnreih4ZVjswX1fT/J3DVBS1Nec/ hgGPhBzz/IWAWnMb/b81BTVGk6G+ycYh7DAxUm4ZQUFf4GUHfGtqkKqIyAr0opiCTSuo99yQ/Vpz dnN/0rLwnRN3YwR4PfhuQ2oMQBDUhd5+F1VXxcsM8qZAucjd2G92vca7IrEXiqJB48d2/0f11a4H 7h8eCHXV1X2/ucG23R5Evfd/B83chgQ8w/GYTbhsYhidNr2Gex2yrwK8HEdSGOmsE0V97yTvvWPH UBA8PZiOFCQqh2dDlV1mf0BcnC6kxrHoejW/b6wJd34lBUeJd8R90bMQyfHf8m4tLwkja95roVPx Aj/msE6xB2hNXf9GCsi54C6SWhzdZpxzrxs6SCqlTqqMf0GIYZJ5/BM6PYSpnAxWy+iy1Go143v/ QC2OzlFXxOrYO8+wuBY7ZxKY8KO+/1ZiDbMWZWrs+LG9idUTwPnyLSP7rCkwjdmZyYQ+6zftVwzn yCUibRvdDuMLOMXvYdwQV/NKv069u5qzzTMAUUTPt+oayKrEZ6g9vxPh8x/hhIYpa+CCaohVJZrT xw52CmBCSymEHqMuN/9TwTrwxzyPCklKbOeKmDX8Kr0//35Su4PbOAtBFn5F4v1qwwIplBxv4Z+I Ewkbk0oW0PobbSLJjHeadpVkUoFFJffXf8bn68cGqj4TV/VuGd73VxyDmynHX/A1ay7P2RbFuTtY T9NN7sBojYqloYhhIlrjXeaLAY6BZq+EzXn3yJzkV2Vd7koGCGqx4I9XDS3x5v30VX/rPTvHm61E V21ldQvTxwNCih9h9TmQBCmI9qrzxEBOjnAfvrpdYAsBplHTLUAUcn+mmjcw/TIFZYsjt926hGd5 0SMpbwHtMEZvCtYEI7vaHKztrQ0p9mjP4ZQa74eFG0seR8zXIeWYWGT5qxaNhmSK5zim2jmj+AsM fiFWr4laaFHVnVTOAegPwS6fpAC9fO3NaBriPNy5fOBTOB63zIkwhzLh8nNGHbMMMiFrQ0VKw9nW SOiPvVE5DrmIdiYb6fVfmL5M/vgKdrllAzc0jjYS2MhxzHT1R1VNPytN75EZAnsKnoFS1IV1nq7Q BW6+AgxoyqTfFxZDUwdquvcRYCwWRlLMztfX0mxmSUysTVg95yTedvxRZpBIIl2Hi7nxqm2hd9Bh z1uydgQRcY7iwpPYZATKlpQ1WjckU8K1SsAAq9Ji5pbGpOrBo5WEz/9sp1jkVXGni1OnSTlpj7Hm kGF+bZ9bz9lKCtkVaik3Es3g7wsMmhDdTT8XLjOe590oDsTEH1OEbp6nlfMWjI2FNuapl2Wxk+sE ufFM1TNcvZQkgxW/j7z3SxJG8dgXp3W2kUTqTBjo/Y1hyQhzWciAt7yypLh0BqzoNMMl00WC8Zm+ wOOFhP05RytpUGeJ+9kGNNKYLGyh/pFbrXyL+WpY2MW4OaQ0C60Q1W1j59FIATkBdAo2b5H4Al/2 MtQvvB1VbheghROL1ftNmmuUZesjqeQEaG+bqBC8YXfjbbx562amLDoQSOYhXY474uZVXgefAYXS aYV1/lNvBDdNXgOxhDfC4yFjrcpa2BLV/tEVSmocbPnz/kchOWjUrwMPe30xNyfBlHcQHFnJRCAg 0axHeLYstY4LmIVFQE6/rzVw5pW0KSmGsWchdjOTt4+mLsLvRffAMed2pkmJ9/SY+UizHpfXgSmn c0KXL5jOQzmpIfsKSF0sBH3TSM76dbRI5jCigRn8jDfm4zxokipRJG5EdRWTdbBJ9LyoIDllPYfl jyZBMWHfvt5G7CMNEGhFoMvL5zADy8d2hfeAoKGz7lhdbngoSK5+liSUNs2lpTZPKf8Vg2JSNk27 55wAwOyVSyeU28XGdw5OCCCwzAw1bLKigP9VUNn013vfgQkISSAdWioP0htXm8gU+iyijM3PMgGm H626XD/frMtOHXH4P+mQxYGhlkR/NeJr7B3WG7UGQiZfNGF4SkQYONb6oi4U/EUTwNmrOa1i6MfH CbnHHIThBBWAZbGB9bgzxkhTIIOlAe5ILaoSsLEVJDylQcOLdmhmu3k9d6ub6aWNTvOHe27Na0oZ T7bfgxHKSTo+qj1lpPfUe1tCeryJaFbatI8Ear3VtD3eR2T1VXx2nLoA7U8WJZQJrhE76eQ6cANx Cc0FkyGoQ2nbg0LA08fY+Scp5P0eYMyHrLahpOx+yi/HPNGL++vGETYsyONn55jkKF4w45vatPA7 rzZvl1kiYCeFhbpCE5O2p1pquUnfQJN4Q2ny4mTC9uc95+xEPbTwYx6pAEcIL50YSrYHnxZyUKfG W8oOCfEVZoYazYBf6QIa6IhwW4/IZ/vdFgnCMEaW5l/EXpxUrZzN4NMb8idIAm13KXfgM74dYXd4 cPD2TiB2r5zdUMKZOM7DF9u8yjQdqXzGocHd6vGmrmFX3OWuqow3MIHj7Vptjmk/PmMTvxUgEMag NjsFJkKFi8e+FlYGsCqmRL7fwW328L6kcI8N5U2ynypvo0n1LCXHiZY1Wjqqn/ps9EG4yD3qEeQw NO2uiDv1E4uRPR85kV9UPCB5C+R7wdXGxYo9IlgwhmUq7w277yumUVYGUlKlfcm1+jW2CGoMy9/B sHn0o0kXswvRV5PmKLHbG8qTGlS0uJrFfWAptMf6Os3NF0+fvT6vgB791JOL4/qJvOyVfcy61Ux2 Nk25nT/8jRUNrG1aaHIWWG8g2zBzQrPBpy9MqEuelB9Nz8JyuVhiSbGkss69vFSNJxmts7LWEina cbuLKlZa89wqJtt+Oh2RorS0Ipfn69Go57WJfmNs+0nU0L/b/WfIduMA2g5RLlPIoINNKIxM7150 OCo+QZmhVsDE8Ouv7cdGG5Esa/jApXQcOul/+RuikXxR5ZtVse+H+Tt/t1g4Vxc+QBMH3SMJMOwv V/uaFIM2tcusmIhy5g+yqSpsucUnPZiE01Xj1VPxbGG4sVlZTokboZ4qOGH3fVrCv0QklKCxBeRz Lkz6qyIaHLyRI4R2wT9zLRdtRNl2JdZAv1O9dgIIGSCxXNq9CinDAiqGnPszE34el+gh8yZcDDDK /uBOp4jqKvutIBjS5uUj/8MuSlEmLtB1H8Dh2iGuy3Oyop+VI3ks8HvjusysvAxViOJwpjgMy2lD amZE+57ynb49RIzqp4rfLvNi3+6v2HOxgDNRoLVHEHxsVReTH50isGI3lWQHS/joMFgm7UyfKAr3 puv9iWOfqZiutyMSGaptIdSrYBwEdkb708rZqlr3cSupnW4vAMXknh8oRK99Htj4OZ8SnSeuoYh6 xH/o6h8n+6I6UW8nHCTcv+ow+rwMHtF7bljvD6VooNPCiqGSdwratYPkzM5IYDpow0qApgswSTOr VG2PXDkkwOuiRGZcJqTc9up02IlNox8SM3W2WAHG8bmDoVt+tb6KOGWFZGLl/XHrQpZ+8H3CxxYt IkAHUX0YkC2106ChfsUOK9FZ08k2CKTOrZ2lpcwlUAzMICE3aBP7VNYXflcw3OQTK/X3wSw2vEnw aOkDIWI7u0glbS/SJ7UBiJ/8FQklED3lsSvL4c1U595f2NmJb80M4bzK8w5iZmOmY0YT4bZZTfP5 o0llPZ0P5/epkURG+Cq+ckB3AgtmXnxDI4gYzWMALvHKXFXF6Zz7inwpj1h25In84wOOLBEM+Xj3 6MKEv8vuEqpfwO9jOpPoGiEkHbR3WC6W/GSBlrkc9qs4fEOjwjGfW8fkub08ybKEKckgG32gA5BU iVlXNS25c2WfVzuq/T9yaW/y/sU+uU//Qvun8xlCmTiCEFYCm97tFWQLFx/RKYA8fQs6MRmuzAly ePEIU8lfndp8aV7cy/8WR5QJUryRBC/PTpaca/cl8fIyfcJAfETowgLp+oR9TRvWVuFvjXLD43Dl yQhxv/bG9/qYuShUr3gF2d6QHSV6dfzMtgiMirf04RqPdH8f+w8uap9wGu14GpNAlzxwOWTwJu3b 3Hz9Qc2QAqmUX4ynQyh4IloLUHZ7i2/Biu20v2uPZWAbd5u6kom0QUKBZsTzHN3Q9d6ZK+T3LlbH pcLOFmlHQNcej+nwkfnhYgSJJMEAtEARmArJmaLNrG/1kXA7LE+tgWcWOz+o30FgMV4/SuJdXrGl MJr26abXQhazEyMWItC/QuKdRUo6l4k1kfM0NAKdk2vtRswdhK84T+FIj0YkHNiYRh1FEQU83asl RikGec5v0Qu7u20skHFjIEpb9PVzIMgrv9I0uurNrBBV+dlHtXQMgUs4ft3CNm+Xx5YGsoF6kB08 aU1Wqezr1GpTmpEiFhjiu8ydggaKaa95cXLFi3wGoIyA3UJT13kRQ9St5Qvw5kPcWXMWcI1mb5SR hLeFQv1P94f0RsSpw1IJMd9M4ScYfxeZNhdWhZA3j6pOvz3OBCz4ffFKF+hxkMN7QADtD6b+8lPh 2Ip+TtutaPWX60nTJo1gqPsDF8loqyDneNwc9DM/EFbY7FpBpjlpkjS+wU0zRWT1a/z8jUjCUX60 DWwdjum8U0qQeVvY4V0MK0rFqKYuuGkHSa6gkOSuK0IDiN/7P6S0e9GEv5cSGHeqqmJOZNtckZQi uQxhBLFVllCSwL6rWWaCutAM5xKv295YLDI8kkHH1+bAw8ZHWfmaO1/dfFJ7JamrJAuIDDBazdUt B2m8yajNP8ufosnIzRxtvr53RQdf9/xWVZOBvp7VowRUDXqXI32pVYrmfN+vDEnxrEqEIqSDB2ch jU1TKbwWu1rerL0Z+fUohU7pY+OVSMW6BNt6E8GnqHGObgSefyeOkYOIeDLWFfg3aznHv7/D/gWu jfzWS2YJ2puvjsoaLLZQGAUFanpgz+CA85BAoK3GBaQzwFdaljA/4w24CtQWl2BI4SXdcx2dxlOd FbDUAO7c9NthYTJWvwvLQql1an9bsPLSLWDECWxa7dw8U9nAHIARlx+zyVuUsMabs4cEGDqpu8+r bhlSRbaluTi7bsMYl1n6WCP2GAyImw4JYIQXhBb43dTj/xVPpytarFwHE3MQTxHiwLjV6vUYeTCy 6MG/p493MpwTm6vp17exv5ygeSngcG+Cb6QzwKcnV+xqy+0BDgmarIh0qtvqWdEd+E5dQN7eQrfK Nsa//tdg4Sb+3+GlfHRX2RQFL+6EMcZ4mAEhFwtxe2p1jN3qevJF36MHRpcrfSMSMCc7yZ6vZSeF aedFbY6atWbpiWSt1wJLOgeAhR2t9Tjl5d4I3Tar3unU+hiFYblkRuVfTOrtVAsw6KOI/r6sdTZj 0bX0ry781ORj0VHuO3RXPZiggQaurcrLFc7JIANTZ/GhwbgAKhIrBtfDffGJ2MS+EACuXbYD1itK REB7jglY0Bw95DTSy3ErgD+kgZYqllO3vSUyTdRuZCntuHuvToEAd0kx6fZ7UI5n3bc0ujkEap/h Y3ldlVGChptzIq+F6rqVNX9xLbJSkvtcoi6SKR2lUbfJ8a835Q1EDkRG8W7RWpIXMbBUUmYs/5Om pXeF4dlHaiXT8CpuRFkXMJzIwqBqBM4teGMhxrpn1Ch1JSD0vbAAqzr3kfWJgzBo7oBUly9Greh5 qD27VI3oHY/dCoq22TJZI4mNRVlFmL7vwIWM4NoNictGriFpv3001Pb5lUPO8ekQndiBgjCyW182 2MrDGyMWE7fI7ILt8tEsGIf+wrjqAKCsHJbdvVZrDimtdSBYIQYHZkgmsEmN/uvwe0jiXaqkGl+G 8WKqBz4Q4UVcAH4WXj9t8jAOS12UI/eQdobF0FAWhuKGjCGHkaRqMfn3+bQWLnT32SgOwuJ3cyoD bHWMz37HaEhtQWLYSYez5LGHzVwN8y7a9qeW28oC2tHtEovj+e77xEg+l87fXxEoWqIGH+tcNX+S L6Bte+OxZtUxTD/01s5zgBXzNFAvIFSYMKW3A5QZ1MhndjJkZGuRHWbY9u/lk4GqLzUyahbJov5e 8IeEO/VZXxpcPPX9rDVnM+bEyR6nCauTKLBvbTvy6FA550b5ay7sb/YpMCoghUKiYvuqqkdT2meg WEl0w76q/T6+jyYcQb6+iJOUrQokWxCwHsHWbCjwT6Q+8QoUdBw4K7C87m/ml9VMhjEnxevUhf/4 iKqKsZR4JZfhkSY82Bo8vzl+APJlJ9GElbYDeipRYyy/ETOErUoEACGbVg8H+hu3ilal6G+LDtan ++Lz74Av3jpwhe8OE91Kng14Xb6TUA4I5fjNFD8u0qH6E6Hcp/+DfTjDMYoH5Q6d2251MY6haFV4 0BY+8COvDPZBKGTXY9sKiVjA58Nb8QtERywPwFneji/5YFlylXJ9D9l4KJ2TkItkqSj9hcxxGiPV CmsieungvbeA9xtnM8j7YAy5o3JQhdy8xLeKvygqQ/053I5sgRyQi6O4pMdA0xEyWL+GYiPu9TD4 QeI9iHdEL2iA9RY3LJqpGHy4wWxU2QBnTEutyN44aclwxkUlQt6oOzuSoaXY01cN4KXIxlKnBVTm NZLlNtVnljImXnoJBdT6T6Gs8MwLhfABcHqVWwvkwvvPauVCQkqBoMHs4WoOuzqh6R2yVjBXevED aFF/0iK4kZhIAkQyachVx/OKNULdP8ANPSlaMODzpUt+MO9ZuHpXxI49eRAQMcnJiFHhtnSGxJSX 1KnUK2WMDmmsJrQFWPfsFdSKGYBu6rJllFDbLYfj2H5V0+u6GlCIgdAqNGhthp0T9h6mdTBGET+H GEWDCQKPx2RNEuucMunrJvzOJsQw3ISTyq+x1YQhEYeXy8jKbDfBFbIfbXTMjoLjGcEYwJ+2fZUA D2/6GcK+M2Du9Ua9M8Sd1fiXLV9Ujwb6aX6mgeym7Xt+9Z1SkdoKDctBDNpMhnA2ENI1YhF8mj9H fCcJfMSjPpJpzRZbE98PD3D0jQCuZ+tQyUkP8fcZlGsZFI2DMT6Nu9vw7vdRUn1xeqFFswOdP5q3 7z2pmDfa3ZBLfp6Bwlv641IDrALKF+u3u+G7I8Xz2qmXiIRmzu8KA4b9BK7a3mQAvUWUepmMshQj y2cqf5955ANHvOLitslE4JlWzlI+BFX9sn85fsqtVMLwosDArfWt8JuOf+OKA7HJby5ru+l29cgm 3FEJBZ+fbVOMPSqBS65PhKxKkqgMEu7raXsupP/arkAqPo6CykpLmw/0OMUuDim4hL89hnnpgWVN vD15bu17LZu43bF8xlToOfWDk+FFIzaFvMGcy+nnK2x1sTwPAJrjoXJjh/dTqARTKdqLNlzVyHzI bWTM1u2V/I0+WosIPGJv382Tiw4JGbAKaR0ojU+Jsw/PPoTAvsuWZX5nGpAZZceH5PFPXTS9jhxO baMa/2OvmYiUi3CQUPh7E7bKCMx7ypq261+NSZiqZx4LRRZF5QtbGNlumuY/6gMk9v/qMjYGnqNe KBU9klK3mzSYAvC8KDEktbkRAcK9m64ufRgA6radmTp4naG1xYemdBr3plubNF6cXjem1iLZBpfE BamzGhTLQeAgwi4mUfWRPVADrG3sZF/IUk2yYXMZzx3lg7XJEzBw3yEYIbu0LBITWpR881kmjd5K EBpxY9IkzKYmrAHFrnGVnW6nT84EJoENnWf1pMdEkQAYM8DAKDlv+gs4MACEH7PRQUIczDjxHT7M 4JjomIea1N8d5PB0UVW/bF4s6CFklRj1Tkww7bhUyztTYcdNh/zu6OV5PNE+x2M9KDj978amTIuc QuGIo8AyzNpf0krfTRLHw3LODM+gg5jMt/dr6O72yliWYXip0zAgFhZreHxX3fvqqG0cSI1p0nUe AJIpg8eXjw6a/chjZc9bpYnHJVr3KXHxiA6/x56zwu//7nitVuw1pHN/CQmlFnMzKJCAccmS1gER pZNkt7xpytYGJkhHp40iKQ2SSNUl9qkZNFIeQmUJEhamD8ulL8ngRsuHSdUpiLr/NWQfTx47aCkU ujuXPPFtLUNo4BziampKml/LIbh9fs3udJNFuT0Nmj4dj/0Rczj7F3C/boFvSDV7oKHxWVY9e7pM fTYkFrHU7FcM7JOaa4mpPXX9Ny/zcIEahJkaorTh54VBv9tWhpA2MP0RvvfVNaE+V2Ji+5cD8NdE k0D3GaF21II6U6cYUf3EJqpX8NuRNMrHVd8kb6L2KCataUOAgZztGegZSGCr91nfKBjNsrPH78++ yKnolxfu+csHGfLM60jNLpgGKRLEb8EZb7mJhqHWqZz0/FzhYbdG04h1H+7WJXDixC+0KVjpf2Zf WuF6I49hg/2jwpsCDT19p813xP8AsRIKjJPZ8RZn6q+t0NpAY3KC46Lb0n3/YShD4PJIGT4WTBwR aO2ht16nIzME9khNLPaNio2EGYWIDW85DOQ/LlrRJmpY8Um2M56TZMXnlOxnBEIDEsxjDbyeRRTU nmkW3SmQg6FkQTxUX1koDzidXRW6/1VgNvL0kRIStQSroGw4Df8nr6sCZBYuasMzyZEIawSKVRov kMplyFQT+pivHpbNrVLfPcuQ+X9yId4qjYEooW9BVE+T9WCacZ1IKW4xE0u5x5Wv/lkQ1+MwogL5 q+Y48UpHKT3stqapnnjBhQYoLbi08yLZtDDbJND4ahtvnZ1GYgBEhWzhp3PQF/RcGXA5UF/ugDI/ HlmTJ+RPN90q91vDy3lc9Nri8p4EN3afeIUlUNmDqy8qvUiInzvlM2/LRuxqQfvnCbsMwZ0ySaP/ 10q5ap/NQsSgICTcnVmRSi3rJkqB71d32c+qLJ2JvXejYmqN2c3ymKs1FAKaW+uh+UszgwyaBaBq QuWS7hGT/kncVmrkStK0gvbVw1T/4JGsAFlqARZsMfNl2dPn52Y6A6JEAsaj/4a90qD841z55yYh gUNtiLb4D7f2o9TXs4GjSy5uk1W3j8okTi+tDcLHvBmf62eJTZOR0d463M7+N/nPES0mJqgpqkM8 0pICJq8QqiGaAlQqSsI/nWsPDEmrNVGx8X31n1e9Rp24KNfzlKjFeAgqrNa/Bz4wt+a4FjOlgJir YtjNCUvosrqGBVWBgDM2rH962PxBrRsi3tSXDEcDt1j5jr6hqYYzl0X3UH5aHz8Om6+R3czkVpzq 2B0mrqwFXsWNh77qGpZKd7JArnbc8oe4U3JhluXqtyK9z6ib+2/YBm8VBEooZIVtzMqfUzcncQ/p PZJXgqz1tKuq+fsgKFYq/MQY8e5DNc3wBaeszn19LkiY+IK0HuXVsjEiXzEBiYcXScdXN1QWR4s5 PmjXNNXZ8062L2b9Z0jCmztKpeFnCcP7DnBKpOTEQ5dOCiXz/psis2Hq5lULTYqvnUIAbeoOTPZl GJ0Re7LGJJxUAkomzCdtses/V7o+DBEk8lsIwVKzYfxXwOmPHi5WPFMFGREAtvbswjATbpF21XmC 7tUyl+Ba85MyRgMiYxuzuYcY2TX3yGle2WdCNb6mpVS9Hf6fAA5aZeRcIV/ajKkkakHY/7PUCXjY kNtZbACZnCNjx1uV6RT8sB06ebaIv+9j4B6xSQ3uhItFdP9itCuJlfez4JHIijlVNOSRb28u1927 M3/dWva2jiB8TsI+X50mClZf0hBTslzmrYzZUjEL3kFnL0cJvuKcnY6jO7BJx3HRAuGP4js/Ss0s gISZv11+wPCai6OzXPTpPsrSDIjVfbHApnRJfOux/bnwavkSh6VpDUjEt2ZeQdpwPawRgexe5/tI whVHMbUAoVpXIk4l0HX5EFmL9jE8xhHqZU3aW+gRcluGKe91OGQ/liLGlqMJN8YArjQfwz7CzfC0 N65cSsJpapI+ZF94chdKqEJVH8aLnVNYHd6HIjPXHGajruoUbHN3GrebBFqD84YZG/sNHQqHykYc WbBugcg0Qn65/iuVSdQEEtPPisiEjpjIgMG4HdXZBr7Yl6WOFujNTBDS1LxoSTRShaD+fXthmCzD vK0QsqsABC5JqYeu+IlNa4XhapUTQyg3oK+bmBmIKyiyYYwGu9+kosseXehVDgkEBhGnA86tNh3z zCX7hXIDxP7Rkazxo05yhrJ1UOAMKI5rUFpbqdIWzM5M86E8ie36tnY0lPg7E0j9ghna+RnrZH83 vkeZnHnDORrNIFBBrXtiJjXnZUAYjcwGoxsHAP+RJ2BeZtKz09W/zguCq1tjHcQgcqAsmuWKXuo0 JrjJzNgUYQeaLP1aGCZZjZ02Bfp4bhL9jZs0bf+jFFJ+cge5KVNyF1onwyomn/j8wJbPGt8wmhA3 DDC82Mj6pqjAXDqhKzgRuBjhIf3AicpMDmzeXsZnpEqZ5sCQKereSHhCGhMeLGqpFyHFtdUalR5Q TuuzeHppUSxYAqwL+pJj8f2+A3CjMmWgmzDsmU/silzm6+Rfi3saNg5VmADEqb7g6b+U3vmhANJl 5esc3YaKZmTO8XnCisZr67NG2wwo/FaLZRSybg6IXwWh5lWw9hRy7Zxr6br/fzUfu+W67Jeaz+Oc Uq12XOIhnSTOR4KH2lOtL+5p/yhGcAm2+1IoitMyKZJQLqqJwvvIB3h2789XUwXuR4hhdmLAsAYK rMTsLCHWlhb09ajp3kj/SkrpOy9keI+LjqHwa/SDsryyv4AgxEQ6ZC34+kGKfIoX8+Q1D4/mcX3I yvFg5FtaA7UO7jKpoTLvwyFL7aFmg70x2kBGwQFi61vJ6uav8WO0twzxI9pEX1Qszet8Eh7ZOtyp POljZM6PDIzybNUWE0UjPBKWDE7TRSuNVMlDUHwl0EC5ssnnF0CflpBtwxQIwXl57BstdIuSv4yQ kSWKYA0SABFA9m7HqyqSNLL/9QaqkDFywZMzhW2hk0yh0bPHBUxpA6MAkHaRBIoAsJg0VYIN+jxU 2D76zcrM6+8WgG27OF2wvFB4mWwMBUoqmN0ynspyMKGiVspDo3BsnGdm+s5ykeZSf4mHcAkhRF0t g4HAoLUXm1oKRh3E0LseGX/GjMA+zlkB/QjRMLmuxDSxzpkeOqfU5KX/Zi1cJ2qAo6m/KouQsPH0 MjSmkvUCyvYH50F8dGeyZaz8jEpAfnmTQt68razp+7ITPgLgMRS+Qmy9rZZKdeLCwL5khDZTmsJj qb8fyHBborXI5W7DPAUIXaVvL8yKgER1E/9pbXTqmHp5R537+s80TCXI+kxjRaGcMplg2fso7z4D 12eS0uK8cuMTpBbh/7lJx9h2b3IbVAVro/e/r1F3A4rn0HDi1GgKgPJGlhgCvFh+2Bvp7lss591j sdPHuGxBV1QSD+a3gswkRJwLjysqltmN7116Adrqjxfhi4GjGhhbdkCrsVTI5dpq3BkkpzwPDssU cFCARMzcotQKuYs6vl+GGwiDdeTUi4amvAfXJW+/wXbQrCVtm2ak1t7+ouYwn46wXV17BmKOOZDT OqDP2Oz4paSnYH6X8NQCNOtG85OuDR7bfX/+1DuUz96CIiLxiqLNYxF+tBwfLLhyCKu60brmNL2j rYW2+MLda2Qo0wMCp97jgxA3lyV9rFDtWwjQ4sgwCUh3D0a9mjydS6XySPvUVDnVYrHmGXiv5Fon 27oNRu2WimY0E1sQ/eVLfl7ikowzSPJenPa9JepQLK/Z8UV8To7QeGxxTiOifJFC8obp74Pqm3H6 cB39q0mGXZ25m3g9YG3s06vs//pdFJsfolhZmSHRnIYhnCDnjeux4QhmUTEa5zq8unzlp0btU1Ho NNgNYSL4KsX28CAaTHjOuz9/46yo5vXvI+/TqWU0SSSvkYcHzSBnTMbKCfy8x4zjlyk0yvCoPfai q9+FXvxzbfNspUe1ILYo7a1zVdnEvZljEQyQCONRQM3gSfZ4QZxaYMyJOjcU7aWxIRtkTZuFYv/M DwIw6SoZH04z5IweusvIuqAw4RJlt+2EP/UQg1ljUVzJUSfYl57Pi6dRxT6NGiHIUy9ZGrwN1X+V /gqy9NavEQz3mUiDqVHxtzwmTVmqerBrQWRqYw52JgdVimb9Qeo6O2ThfbTjTZxEffLXZIrKvE2+ jwdlHX/12z5surAX0KrG9rPxCA+lYEtxj99W6sfWRts+JgcxM8rFFRPaO18u10RjTUr5l+2PBUIu Xc9auNVOmV+3Bhq1jAuVJ0CVwKWxikAP/QO8T4yfScDVShi6BLrOAQGQ0fnRX4T21dw4LYcAu1Au CinKEvkG7/igUhP/DfwPVYzfpVe/E730xQ4Lzw3Ex8rWtanJhT065HHlJfqJ2sAB+ErTdWFq7XG/ Qwd7iysU2T/XOiIl2awZFSJzIaaeY8OtPmADCPo1Kra2LkUq+TChyDPiN02DBrXSfCBso25X4F0A jBIxePQnd2nIrIXggDhrNf0KM2SBczn+yfCxQn10x1/iPwGzwR5osDZnX7V7z+S+BrfDX8uqz+AY G+PxjNvWc8INnmFVu785Ybk7zqOMz/8S `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/or_gate128.vhd
15
9370
------------------------------------------------------------------------------- -- $Id: or_gate128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_gate128.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_gate128.vhd -- Version: v1.00a -- Description: OR gate implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- or_gate128.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- History: -- BLT 2001-05-23 First Version -- ^^^^^^ -- First version of OPB Bus. -- ~~~~~~ -- GAB 07/11/05 -- ^^^^^^ -- Adjusted range on C_BUS_WIDTH to support 128 bit dwidths -- Renamed to or_gate128.vhd -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_OR_WIDTH -- Which Xilinx FPGA family to target when -- syntesizing, affect the RLOC string values -- C_BUS_WIDTH -- Which Y position the RLOC should start from -- -- Definition of Ports: -- A -- Input. Input buses are concatenated together to -- form input A. Example: to OR buses R, S, and T, -- assign A <= R & S & T; -- Y -- Output. Same width as input buses. -- ------------------------------------------------------------------------------- entity or_gate128 is generic ( C_OR_WIDTH : natural range 1 to 32 := 17; C_BUS_WIDTH : natural range 1 to 128 := 1; C_USE_LUT_OR : boolean := TRUE ); port ( A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); Y : out std_logic_vector(0 to C_BUS_WIDTH-1) ); end entity or_gate128; architecture imp of or_gate128 is ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component or_muxcy generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end component or_muxcy; signal test : std_logic_vector(0 to C_BUS_WIDTH-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin USE_LUT_OR_GEN: if C_USE_LUT_OR generate OR_PROCESS: process( A ) is variable yi : std_logic_vector(0 to (C_OR_WIDTH)); begin for j in 0 to C_BUS_WIDTH-1 loop yi(0) := '0'; for i in 0 to C_OR_WIDTH-1 loop yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j); end loop; Y(j) <= yi(C_OR_WIDTH); end loop; end process OR_PROCESS; end generate USE_LUT_OR_GEN; USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1); begin ORDER_INPUT_BUS_PROCESS: process( A ) is begin for k in 0 to C_OR_WIDTH-1 loop in_Bus(k) <= A(k*C_BUS_WIDTH+i); end loop; end process ORDER_INPUT_BUS_PROCESS; OR_BITS_I: or_muxcy generic map ( C_NUM_BITS => C_OR_WIDTH ) port map ( In_bus => in_Bus, --[in] Or_out => Y(i) --[out] ); end generate BUS_WIDTH_FOR_GEN; end generate USE_MUXCY_OR_GEN; end architecture imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/MegaWing_Logicstart/Libraries/Wishbone_Peripherals/AUDIO_zpuino_wb_YM2149.vhd
13
18360
-- -- A simulation model of YM2149 (AY-3-8910 with bells on) -- Copyright (c) MikeJ - Jan 2005 -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- You are responsible for any legal issues arising from your use of this code. -- -- The latest version of this file can be found at: www.fpgaarcade.com -- -- Email [email protected] -- -- Revision list -- -- version 001 initial release -- -- Clues from MAME sound driver and Kazuhiro TSUJIKAWA -- -- These are the measured outputs from a real chip for a single Isolated channel into a 1K load (V) -- vol 15 .. 0 -- 3.27 2.995 2.741 2.588 2.452 2.372 2.301 2.258 2.220 2.198 2.178 2.166 2.155 2.148 2.141 2.132 -- As the envelope volume is 5 bit, I have fitted a curve to the not quite log shape in order -- to produced all the required values. -- (The first part of the curve is a bit steeper and the last bit is more linear than expected) -- -- NOTE, this component uses LINEAR mixing of the three analogue channels, and is only -- accurate for designs where the outputs are buffered and not simply wired together. -- The ouput level is more complex in that case and requires a larger table. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; entity AUDIO_zpuino_wb_YM2149 is generic ( FREQMHZ: integer := 96 ); port ( wishbone_in : in std_logic_vector(61 downto 0); wishbone_out : out std_logic_vector(33 downto 0); data_out: out std_logic_vector(17 downto 0) --Digital data out - this should be fed into an audio mixer or Delta-Sigma DAC. ); end; architecture RTL of AUDIO_zpuino_wb_YM2149 is type array_16x8 is array (0 to 15) of std_logic_vector(7 downto 0); type array_3x12 is array (1 to 3) of std_logic_vector(11 downto 0); signal cnt_div : std_logic_vector(3 downto 0) := (others => '0'); signal noise_div : std_logic := '0'; signal ena_div : std_logic; signal ena_div_noise : std_logic; signal poly17 : std_logic_vector(16 downto 0) := (others => '0'); -- registers -- signal addr : std_logic_vector(7 downto 0); -- signal busctrl_addr : std_logic; -- signal busctrl_we : std_logic; -- signal busctrl_re : std_logic; signal reg : array_16x8; signal env_reset : std_logic; signal ioa_inreg : std_logic_vector(7 downto 0); signal iob_inreg : std_logic_vector(7 downto 0); signal noise_gen_cnt : std_logic_vector(4 downto 0); signal noise_gen_op : std_logic; signal tone_gen_cnt : array_3x12 := (others => (others => '0')); signal tone_gen_op : std_logic_vector(3 downto 1) := "000"; signal env_gen_cnt : std_logic_vector(15 downto 0); signal env_ena : std_logic; signal env_hold : std_logic; signal env_inc : std_logic; signal env_vol : std_logic_vector(4 downto 0); signal tone_ena_l : std_logic; signal tone_src : std_logic; signal noise_ena_l : std_logic; signal chan_vol : std_logic_vector(4 downto 0); signal dac_amp : std_logic_vector(7 downto 0); signal audio_mix : std_logic_vector(9 downto 0) := (others => '0'); signal audio_final : std_logic_vector(9 downto 0) := (others => '0'); signal O_AUDIO : std_logic_vector(7 downto 0) := (others => '0'); signal I_SEL_L : std_logic; signal ENA : std_logic; signal TEST_tone0: std_logic; signal TEST_tone1: std_logic; signal TEST_tone2: std_logic; signal TEST_chan: unsigned(1 downto 0); signal divclken: std_logic := '0'; signal predivcnt: integer; constant PRE_CLOCK_DIVIDER: integer := (FREQMHZ/2)-1; signal wb_clk_i: std_logic; -- Wishbone clock signal wb_rst_i: std_logic; -- Wishbone reset (synchronous) signal wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits) signal wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits) signal wb_we_i: std_logic; -- Wishbone write enable signal signal wb_cyc_i: std_logic; -- Wishbone cycle signal signal wb_stb_i: std_logic; -- Wishbone strobe signal signal wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits) signal wb_ack_o: std_logic; -- Wishbone acknowledge out signal signal wb_inta_o: std_logic; begin -- Unpack the wishbone array into signals so the modules code is not confusing. wb_clk_i <= wishbone_in(61); wb_rst_i <= wishbone_in(60); wb_dat_i <= wishbone_in(59 downto 28); wb_adr_i <= wishbone_in(27 downto 3); wb_we_i <= wishbone_in(2); wb_cyc_i <= wishbone_in(1); wb_stb_i <= wishbone_in(0); wishbone_out(33 downto 2) <= wb_dat_o; wishbone_out(1) <= wb_ack_o; wishbone_out(0) <= wb_inta_o; TEST_chan <= unsigned( cnt_div(1 downto 0) ); TEST_tone0<=tone_gen_op(1); TEST_tone1<=tone_gen_op(2); TEST_tone2<=tone_gen_op(3); -- wishbone signals wb_ack_o <= wb_cyc_i and wb_stb_i; wb_inta_o <= '0'; I_SEL_L <= '1'; ENA <= '1'; data_out <= O_AUDIO & "0000000000"; p_wdata : process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then reg <= (others => (others => '0')); else if wb_cyc_i='1' and wb_we_i='1' and wb_stb_i='1' then reg(conv_integer(wb_adr_i(5 downto 2))) <= wb_dat_i(7 downto 0); end if; end if; -- Envelope reset env_reset <= '0'; if (wb_we_i = '1' and wb_cyc_i='1' and wb_stb_i='1') and (wb_adr_i(5 downto 2) = x"D") then env_reset <= '1'; end if; end if; end process; p_rdata : process(wb_adr_i, reg) begin wb_dat_o <= (others => '0'); -- 'X' -- if (busctrl_re = '1') then -- not necessary, but useful for putting 'X's in the simulator case wb_adr_i(5 downto 2) is when x"0" => wb_dat_o(7 downto 0) <= reg(0) ; when x"1" => wb_dat_o(7 downto 0) <= "0000" & reg(1)(3 downto 0) ; when x"2" => wb_dat_o(7 downto 0) <= reg(2) ; when x"3" => wb_dat_o(7 downto 0) <= "0000" & reg(3)(3 downto 0) ; when x"4" => wb_dat_o(7 downto 0) <= reg(4) ; when x"5" => wb_dat_o(7 downto 0) <= "0000" & reg(5)(3 downto 0) ; when x"6" => wb_dat_o(7 downto 0) <= "000" & reg(6)(4 downto 0) ; when x"7" => wb_dat_o(7 downto 0) <= reg(7) ; when x"8" => wb_dat_o(7 downto 0) <= "000" & reg(8)(4 downto 0) ; when x"9" => wb_dat_o(7 downto 0) <= "000" & reg(9)(4 downto 0) ; when x"A" => wb_dat_o(7 downto 0) <= "000" & reg(10)(4 downto 0) ; when x"B" => wb_dat_o(7 downto 0) <= reg(11); when x"C" => wb_dat_o(7 downto 0) <= reg(12); when x"D" => wb_dat_o(7 downto 0) <= "0000" & reg(13)(3 downto 0); when others => null; end case; end process; -- -- -- First divider. -- predivider: process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then divclken <= '0'; predivcnt <= PRE_CLOCK_DIVIDER; else divclken<='0'; if predivcnt=0 then divclken<='1'; predivcnt <= PRE_CLOCK_DIVIDER; else predivcnt <= predivcnt -1 ; end if; end if; end if; end process; p_divider : process begin wait until rising_edge(wb_clk_i); -- / 8 when SEL is high and /16 when SEL is low if (ENA = '1') then ena_div <= '0'; ena_div_noise <= '0'; if divclken='1' then if (cnt_div = "0000") then cnt_div <= (not I_SEL_L) & "111"; ena_div <= '1'; noise_div <= not noise_div; if (noise_div = '1') then ena_div_noise <= '1'; end if; else cnt_div <= cnt_div - "1"; end if; end if; end if; end process; p_noise_gen : process variable noise_gen_comp : std_logic_vector(4 downto 0); variable poly17_zero : std_logic; begin wait until rising_edge(wb_clk_i); if (reg(6)(4 downto 0) = "00000") then noise_gen_comp := "00000"; else noise_gen_comp := (reg(6)(4 downto 0) - "1"); end if; poly17_zero := '0'; if (poly17 = "00000000000000000") then poly17_zero := '1'; end if; if (ENA = '1') then if (ena_div_noise = '1') then -- divider ena if (noise_gen_cnt >= noise_gen_comp) then noise_gen_cnt <= "00000"; poly17 <= (poly17(0) xor poly17(2) xor poly17_zero) & poly17(16 downto 1); else noise_gen_cnt <= (noise_gen_cnt + "1"); end if; end if; end if; end process; noise_gen_op <= poly17(0); p_tone_gens : process variable tone_gen_freq : array_3x12; variable tone_gen_comp : array_3x12; begin wait until rising_edge(wb_clk_i); -- looks like real chips count up - we need to get the Exact behaviour .. tone_gen_freq(1) := reg(1)(3 downto 0) & reg(0); tone_gen_freq(2) := reg(3)(3 downto 0) & reg(2); tone_gen_freq(3) := reg(5)(3 downto 0) & reg(4); -- period 0 = period 1 for i in 1 to 3 loop if (tone_gen_freq(i) = x"000") then tone_gen_comp(i) := x"000"; else tone_gen_comp(i) := (tone_gen_freq(i) - "1"); end if; end loop; if (ENA = '1') then for i in 1 to 3 loop if (ena_div = '1') then -- divider ena if (tone_gen_cnt(i) >= tone_gen_comp(i)) then tone_gen_cnt(i) <= x"000"; tone_gen_op(i) <= not tone_gen_op(i); else tone_gen_cnt(i) <= (tone_gen_cnt(i) + "1"); end if; end if; end loop; end if; end process; p_envelope_freq : process variable env_gen_freq : std_logic_vector(15 downto 0); variable env_gen_comp : std_logic_vector(15 downto 0); begin wait until rising_edge(wb_clk_i); env_gen_freq := reg(12) & reg(11); -- envelope freqs 1 and 0 are the same. if (env_gen_freq = x"0000") then env_gen_comp := x"0000"; else env_gen_comp := (env_gen_freq - "1"); end if; if (ENA = '1') then env_ena <= '0'; if (ena_div = '1') then -- divider ena if (env_gen_cnt >= env_gen_comp) then env_gen_cnt <= x"0000"; env_ena <= '1'; else env_gen_cnt <= (env_gen_cnt + "1"); end if; end if; end if; end process; p_envelope_shape : process(env_reset, wb_clk_i) variable is_bot : boolean; variable is_bot_p1 : boolean; variable is_top_m1 : boolean; variable is_top : boolean; begin -- envelope shapes -- C AtAlH -- 0 0 x x \___ -- -- 0 1 x x /___ -- -- 1 0 0 0 \\\\ -- -- 1 0 0 1 \___ -- -- 1 0 1 0 \/\/ -- ___ -- 1 0 1 1 \ -- -- 1 1 0 0 //// -- ___ -- 1 1 0 1 / -- -- 1 1 1 0 /\/\ -- -- 1 1 1 1 /___ if rising_edge(wb_clk_i) then if (env_reset = '1') then -- load initial state if (reg(13)(2) = '0') then -- attack env_vol <= "11111"; env_inc <= '0'; -- -1 else env_vol <= "00000"; env_inc <= '1'; -- +1 end if; env_hold <= '0'; else --if divclken='1' then is_bot := (env_vol = "00000"); is_bot_p1 := (env_vol = "00001"); is_top_m1 := (env_vol = "11110"); is_top := (env_vol = "11111"); if (ENA = '1') then if (env_ena = '1') then if (env_hold = '0') then if (env_inc = '1') then env_vol <= (env_vol + "00001"); else env_vol <= (env_vol + "11111"); end if; end if; -- envelope shape control. if (reg(13)(3) = '0') then if (env_inc = '0') then -- down if is_bot_p1 then env_hold <= '1'; end if; else if is_top then env_hold <= '1'; end if; end if; else if (reg(13)(0) = '1') then -- hold = 1 if (env_inc = '0') then -- down if (reg(13)(1) = '1') then -- alt if is_bot then env_hold <= '1'; end if; else if is_bot_p1 then env_hold <= '1'; end if; end if; else if (reg(13)(1) = '1') then -- alt if is_top then env_hold <= '1'; end if; else if is_top_m1 then env_hold <= '1'; end if; end if; end if; elsif (reg(13)(1) = '1') then -- alternate if (env_inc = '0') then -- down if is_bot_p1 then env_hold <= '1'; end if; if is_bot then env_hold <= '0'; env_inc <= '1'; end if; else if is_top_m1 then env_hold <= '1'; end if; if is_top then env_hold <= '0'; env_inc <= '0'; end if; end if; end if; end if; end if; end if; end if; end if; end process; p_chan_mixer : process(cnt_div, reg, tone_gen_op) begin tone_ena_l <= '1'; tone_src <= '1'; noise_ena_l <= '1'; chan_vol <= "00000"; case cnt_div(1 downto 0) is when "00" => tone_ena_l <= reg(7)(0); tone_src <= tone_gen_op(1); chan_vol <= reg(8)(4 downto 0); noise_ena_l <= reg(7)(3); when "01" => tone_ena_l <= reg(7)(1); tone_src <= tone_gen_op(2); chan_vol <= reg(9)(4 downto 0); noise_ena_l <= reg(7)(4); when "10" => tone_ena_l <= reg(7)(2); tone_src <= tone_gen_op(3); chan_vol <= reg(10)(4 downto 0); noise_ena_l <= reg(7)(5); when "11" => null; -- tone gen outputs become valid on this clock when others => null; end case; end process; p_op_mixer : process variable chan_mixed : std_logic; variable chan_amp : std_logic_vector(4 downto 0); begin wait until rising_edge(wb_clk_i); if (ENA = '1' and divclken='1') then chan_mixed := (tone_ena_l or tone_src) and (noise_ena_l or noise_gen_op); chan_amp := (others => '0'); if (chan_mixed = '1') then if (chan_vol(4) = '0') then if (chan_vol(3 downto 0) = "0000") then -- nothing is easy ! make sure quiet is quiet chan_amp := "00000"; else chan_amp := chan_vol(3 downto 0) & '1'; -- make sure level 31 (env) = level 15 (tone) end if; else chan_amp := env_vol(4 downto 0); end if; end if; dac_amp <= x"00"; case chan_amp is when "11111" => dac_amp <= x"FF"; when "11110" => dac_amp <= x"D9"; when "11101" => dac_amp <= x"BA"; when "11100" => dac_amp <= x"9F"; when "11011" => dac_amp <= x"88"; when "11010" => dac_amp <= x"74"; when "11001" => dac_amp <= x"63"; when "11000" => dac_amp <= x"54"; when "10111" => dac_amp <= x"48"; when "10110" => dac_amp <= x"3D"; when "10101" => dac_amp <= x"34"; when "10100" => dac_amp <= x"2C"; when "10011" => dac_amp <= x"25"; when "10010" => dac_amp <= x"1F"; when "10001" => dac_amp <= x"1A"; when "10000" => dac_amp <= x"16"; when "01111" => dac_amp <= x"13"; when "01110" => dac_amp <= x"10"; when "01101" => dac_amp <= x"0D"; when "01100" => dac_amp <= x"0B"; when "01011" => dac_amp <= x"09"; when "01010" => dac_amp <= x"08"; when "01001" => dac_amp <= x"07"; when "01000" => dac_amp <= x"06"; when "00111" => dac_amp <= x"05"; when "00110" => dac_amp <= x"04"; when "00101" => dac_amp <= x"03"; when "00100" => dac_amp <= x"03"; when "00011" => dac_amp <= x"02"; when "00010" => dac_amp <= x"02"; when "00001" => dac_amp <= x"01"; when "00000" => dac_amp <= x"00"; when others => null; end case; if (cnt_div(1 downto 0) = "10") then audio_mix <= (others => '0'); audio_final <= audio_mix; else audio_mix <= audio_mix + ("00" & dac_amp); end if; end if; if (wb_rst_i='1') then O_AUDIO(7 downto 0) <= "00000000"; else if divclken='1' then if (audio_final(9) = '0') then O_AUDIO(7 downto 0) <= audio_final(8 downto 1); else -- clip O_AUDIO(7 downto 0) <= x"FF"; end if; end if; end if; end process; end architecture RTL;
mit
chcbaram/FPGA
ZPUino_miniSpartan6_plus/ipcore_dir/I2C/i2c_master_bit_ctrl.vhdl
1
24002
------------------------------------------------------------------------------ ---- ---- ---- I2C Master Core (Bit Controller) ---- ---- ---- ---- Internal file, can't be downloaded. ---- ---- Based on code from: http://www.opencores.org/projects/i2c/ ---- ---- ---- ---- Description: ---- ---- I2C master peripheral for the Wishbone bus. ---- ---- Bit controller stuff. That's almost the same code from OpenCores. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Authors: ---- ---- - Richard Herveille, [email protected] ---- ---- - Salvador E. Tropea, salvador en inti gov ar (small changes) ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2005 Salvador E. Tropea <salvador en inti gov ar> ---- ---- Copyright (c) 2005 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2000 Richard Herveille <[email protected]> ---- ---- ---- ---- Covered by the GPL license. ---- ---- ---- ---- Original distribution policy: ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: I2C_MasterBitCtrl(Structural) (Entity and arch.) ---- ---- File name: i2c_master_bit_ctrl.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: i2c_mwb ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan II (XC2S100-5-PQ208) ---- ---- Language: VHDL ---- ---- Wishbone: None ---- ---- Synthesis tools: Xilinx Release 6.2.03i - xst G.31a ---- ---- Simulation tools: GHDL [Sokcho edition] (0.1x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ -- -- CVS Log -- -- $Id: i2c_master_bit_ctrl.vhdl,v 1.6 2006/04/17 19:44:43 salvador Exp $ -- -- $Date: 2006/04/17 19:44:43 $ -- $Revision: 1.6 $ -- $Author: salvador $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: i2c_master_bit_ctrl.vhdl,v $ -- Revision 1.6 2006/04/17 19:44:43 salvador -- * Modified: License to GPL. -- -- Revision 1.5 2005/05/20 14:39:05 salvador -- * Modificado: Mejorado el indentado usando bakalint 0.3.7. -- -- Revision 1.4 2005/05/18 14:50:19 salvador -- * Modificado: Los encabezados de los archivos para que cumplan con nuestras -- recomendaciones. -- -- Revision 1.3 2005/05/11 22:39:17 salvador -- * Modificado: Pasado por el bakalint 0.3.5. -- -- Revision 1.2 2005/03/10 19:40:07 salvador -- * Modificado: Para usar "rising_edge" que hace más legible el código. -- * Agregado: MUX_BETTER para elegir que use muxs en lugar de tri-states. -- Por defecto es falso con lo que ahorra unos 12 slice. -- * Agregado: FULL_SYNC para lograr el comportamiento original con 1 WS. -- * Agregado: FIXED_PRER con lo que se puede fijar el valor del prescaler lo -- que ahorra unos 11 slice. -- * Modificado: Los case de lectura/escritura de los registros por if/elsif -- que permite controlar mejor el uso de los generic. -- * Modificado: El testbench para que soporte FIXED_PRER. -- -- Revision 1.1 2005/03/08 15:57:36 salvador -- * Movido al repositorio CVS. -- * Agregado: TestBench en VHDL. -- -- Revision 1.12 2004/05/07 11:53:31 rherveille -- Fixed previous fix :) Made a variable vs signal mistake. -- -- Revision 1.11 2004/05/07 11:04:00 rherveille -- Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit. -- -- Revision 1.10 2004/02/27 07:49:43 rherveille -- Fixed a bug in the arbitration-lost signal generation. VHDL version only. -- -- Revision 1.9 2003/08/12 14:48:37 rherveille -- Forgot an 'end if' :-/ -- -- Revision 1.8 2003/08/09 07:01:13 rherveille -- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line. -- Fixed a potential bug in the byte controller's host-acknowledge generation. -- -- Revision 1.7 2003/02/05 00:06:02 rherveille -- Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles. -- -- Revision 1.6 2003/02/01 02:03:06 rherveille -- Fixed a few 'arbitration lost' bugs. VHDL version only. -- -- Revision 1.5 2002/12/26 16:05:47 rherveille -- Core is now a Multimaster I2C controller. -- -- Revision 1.4 2002/11/30 22:24:37 rherveille -- Cleaned up code -- -- Revision 1.3 2002/10/30 18:09:53 rherveille -- Fixed some reported minor start/stop generation timing issuess. -- -- Revision 1.2 2002/06/15 07:37:04 rherveille -- Fixed a small timing bug in the bit controller.\nAdded verilog simulation environment. -- -- Revision 1.1 2001/11/05 12:02:33 rherveille -- Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version. -- Code updated, is now up-to-date to doc. rev.0.4. -- Added headers. -- -- ------------------------------------- -- Bit controller section ------------------------------------ -- -- Translate simple commands into SCL/SDA transitions -- Each command has 5 states, A/B/C/D/idle -- -- start: SCL ~~~~~~~~~~~~~~\____ -- SDA XX/~~~~~~~\______ -- x | A | B | C | D | i -- -- repstart SCL ______/~~~~~~~\___ -- SDA __/~~~~~~~\______ -- x | A | B | C | D | i -- -- stop SCL _______/~~~~~~~~~~~ -- SDA ==\___________/~~~~~ -- x | A | B | C | D | i -- --- write SCL ______/~~~~~~~\____ -- SDA XXX===============XX -- x | A | B | C | D | i -- --- read SCL ______/~~~~~~~\____ -- SDA XXXXXXX=XXXXXXXXXXX -- x | A | B | C | D | i -- -- Timing: Normal mode Fast mode ----------------------------------------------------------------- -- Fscl 100KHz 400KHz -- Th_scl 4.0us 0.6us High period of SCL -- Tl_scl 4.7us 1.3us Low period of SCL -- Tsu:sta 4.7us 0.6us setup time for a repeated start condition -- Tsu:sto 4.0us 0.6us setup time for a stop conditon -- Tbuf 4.7us 1.3us Bus free time between a stop and start condition -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity I2C_MasterBitCtrl is port( wb_clk_i : in std_logic; wb_rst_i : in std_logic; nreset_i : in std_logic; ena_i : in std_logic; -- core enable signal clk_cnt_i : in unsigned(15 downto 0); -- clock prescale value cmd_i : in std_logic_vector(3 downto 0); cmd_ack_o : out std_logic; -- command completed busy_o : out std_logic; -- i2c bus busy al_o : out std_logic; -- arbitration lost din_i : in std_logic; dout_o : out std_logic; -- i2c lines scl_i : in std_logic; -- i2c clock line input scl_o : out std_logic; -- i2c clock line output scl_oen_o : out std_logic; -- i2c clock line output enable, active low sda_i : in std_logic; -- i2c data line input sda_o : out std_logic; -- i2c data line output sda_oen_o : out std_logic -- i2c data line output enable, active low ); end entity I2C_MasterBitCtrl; architecture Structural of I2C_MasterBitCtrl is constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000"; constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001"; constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010"; constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100"; constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000"; type states is (idle, start_a, start_b, start_c, start_d, start_e, stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d); signal c_state : states; signal iscl_oen : std_logic; -- internal I2C lines signal isda_oen : std_logic; -- internal I2C lines signal sda_chk : std_logic; -- check SDA status (multi-master arbitration) signal dscl_oen : std_logic; -- delayed scl_oen signals signal sscl : std_logic; -- synchronized SCL inputs signal ssda : std_logic; -- synchronized SDA inputs signal clk_en : std_logic; -- clock generation signals signal slave_wait : std_logic; -- clock generation signals signal ial : std_logic; -- internal arbitration lost signal -- signal cnt : unsigned(15 downto 0) := clk_cnt; -- clock divider counter (simulation) signal cnt : unsigned(15 downto 0); -- clock divider counter (synthesis) begin -- whenever the slave is not ready it can delay the cycle by pulling SCL low -- delay scl_oen delay_scl_oen: process (wb_clk_i) begin if rising_edge(wb_clk_i) then dscl_oen <= iscl_oen; end if; end process delay_scl_oen; slave_wait <= dscl_oen and not sscl; -- generate clk enable signal gen_clken: process(wb_clk_i, nreset_i) begin if (nreset_i= '0') then cnt <= (others => '0'); clk_en <= '1'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1') then cnt <= (others => '0'); clk_en <= '1'; else if ((cnt = 0) or (ena_i= '0')) then if (slave_wait = '0') then cnt <= clk_cnt_i; clk_en <= '1'; else cnt <= cnt; clk_en <= '0'; end if; else if (slave_wait = '0') then cnt <= cnt -1; end if; clk_en <= '0'; end if; end if; end if; end process gen_clken; -- generate bus status controller bus_status_ctrl: block signal dscl, dsda : std_logic; -- delayes sSCL and sSDA signal sta_condition : std_logic; -- start detected signal sto_condition : std_logic; -- stop detected signal cmd_stop : std_logic; -- STOP command signal ibusy : std_logic; -- internal busy signal begin -- synchronize SCL and SDA inputs synch_scl_sda: process(wb_clk_i, nreset_i) begin if (nreset_i= '0') then sscl <= '1'; ssda <= '1'; dscl <= '1'; dsda <= '1'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1') then sscl <= '1'; ssda <= '1'; dscl <= '1'; dsda <= '1'; else sscl <= scl_i; ssda <= sda_i; dscl <= sscl; dsda <= ssda; end if; end if; end process synch_scl_sda; -- detect start condition => detect falling edge on SDA while SCL is high -- detect stop condition => detect rising edge on SDA while SCL is high detect_sta_sto: process(wb_clk_i, nreset_i) begin if (nreset_i= '0') then sta_condition <= '0'; sto_condition <= '0'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1') then sta_condition <= '0'; sto_condition <= '0'; else sta_condition <= (not ssda and dsda) and sscl; sto_condition <= (ssda and not dsda) and sscl; end if; end if; end process detect_sta_sto; -- generate i2c-bus busy signal gen_busy: process(wb_clk_i, nreset_i) begin if (nreset_i= '0') then ibusy <= '0'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1') then ibusy <= '0'; else ibusy <= (sta_condition or ibusy) and not sto_condition; end if; end if; end process gen_busy; busy_o<= ibusy; -- generate arbitration lost signal -- aribitration lost when: -- 1) master drives SDA high, but the i2c bus is low -- 2) stop detected while not requested (detect during 'idle' state) gen_al: process(wb_clk_i, nreset_i) begin if (nreset_i= '0') then cmd_stop <= '0'; ial <= '0'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1') then cmd_stop <= '0'; ial <= '0'; else if (clk_en = '1') then if (cmd_i= I2C_CMD_STOP) then cmd_stop <= '1'; else cmd_stop <= '0'; end if; end if; if (c_state = idle) then ial <= (sda_chk and not ssda and isda_oen); else ial <= (sda_chk and not ssda and isda_oen) or (sto_condition and not cmd_stop); end if; end if; end if; end process gen_al; al_o<= ial; -- generate dout signal, store dout on rising edge of SCL gen_dout: process(wb_clk_i) begin if rising_edge(wb_clk_i) then if (sscl = '1' and dscl = '0') then dout_o<= ssda; end if; end if; end process gen_dout; end block bus_status_ctrl; -- generate statemachine nxt_state_decoder: process (wb_clk_i, nreset_i, c_state, cmd_i) begin if (nreset_i= '0') then c_state <= idle; cmd_ack_o<= '0'; iscl_oen <= '1'; isda_oen <= '1'; sda_chk <= '0'; elsif rising_edge(wb_clk_i) then if (wb_rst_i= '1' or ial = '1') then c_state <= idle; cmd_ack_o<= '0'; iscl_oen <= '1'; isda_oen <= '1'; sda_chk <= '0'; else cmd_ack_o<= '0'; -- default no acknowledge if (clk_en = '1') then case (c_state) is -- idle when idle => case cmd_i is when I2C_CMD_START => c_state <= start_a; when I2C_CMD_STOP => c_state <= stop_a; when I2C_CMD_WRITE => c_state <= wr_a; when I2C_CMD_READ => c_state <= rd_a; when others => c_state <= idle; -- NOP command end case; iscl_oen <= iscl_oen; -- keep SCL in same state isda_oen <= isda_oen; -- keep SDA in same state sda_chk <= '0'; -- don't check SDA -- start when start_a => c_state <= start_b; iscl_oen <= iscl_oen; -- keep SCL in same state (for repeated start) isda_oen <= '1'; -- set SDA high sda_chk <= '0'; -- don't check SDA when start_b => c_state <= start_c; iscl_oen <= '1'; -- set SCL high isda_oen <= '1'; -- keep SDA high sda_chk <= '0'; -- don't check SDA when start_c => c_state <= start_d; iscl_oen <= '1'; -- keep SCL high isda_oen <= '0'; -- set SDA low sda_chk <= '0'; -- don't check SDA when start_d => c_state <= start_e; iscl_oen <= '1'; -- keep SCL high isda_oen <= '0'; -- keep SDA low sda_chk <= '0'; -- don't check SDA when start_e => c_state <= idle; cmd_ack_o<= '1'; -- command completed iscl_oen <= '0'; -- set SCL low isda_oen <= '0'; -- keep SDA low sda_chk <= '0'; -- don't check SDA -- stop when stop_a => c_state <= stop_b; iscl_oen <= '0'; -- keep SCL low isda_oen <= '0'; -- set SDA low sda_chk <= '0'; -- don't check SDA when stop_b => c_state <= stop_c; iscl_oen <= '1'; -- set SCL high isda_oen <= '0'; -- keep SDA low sda_chk <= '0'; -- don't check SDA when stop_c => c_state <= stop_d; iscl_oen <= '1'; -- keep SCL high isda_oen <= '0'; -- keep SDA low sda_chk <= '0'; -- don't check SDA when stop_d => c_state <= idle; cmd_ack_o<= '1'; -- command completed iscl_oen <= '1'; -- keep SCL high isda_oen <= '1'; -- set SDA high sda_chk <= '0'; -- don't check SDA -- read when rd_a => c_state <= rd_b; iscl_oen <= '0'; -- keep SCL low isda_oen <= '1'; -- tri-state SDA sda_chk <= '0'; -- don't check SDA when rd_b => c_state <= rd_c; iscl_oen <= '1'; -- set SCL high isda_oen <= '1'; -- tri-state SDA sda_chk <= '0'; -- don't check SDA when rd_c => c_state <= rd_d; iscl_oen <= '1'; -- keep SCL high isda_oen <= '1'; -- tri-state SDA sda_chk <= '0'; -- don't check SDA when rd_d => c_state <= idle; cmd_ack_o<= '1'; -- command completed iscl_oen <= '0'; -- set SCL low isda_oen <= '1'; -- tri-state SDA sda_chk <= '0'; -- don't check SDA -- write when wr_a => c_state <= wr_b; iscl_oen <= '0'; -- keep SCL low isda_oen <= din_i; -- set SDA sda_chk <= '0'; -- don't check SDA (SCL low) when wr_b => c_state <= wr_c; iscl_oen <= '1'; -- set SCL high isda_oen <= din_i; -- keep SDA sda_chk <= '1'; -- check SDA when wr_c => c_state <= wr_d; iscl_oen <= '1'; -- keep SCL high isda_oen <= din_i; -- keep SDA sda_chk <= '1'; -- check SDA when wr_d => c_state <= idle; cmd_ack_o<= '1'; -- command completed iscl_oen <= '0'; -- set SCL low isda_oen <= din_i; -- keep SDA sda_chk <= '0'; -- don't check SDA (SCL low) when others => end case; end if; end if; end if; end process nxt_state_decoder; -- assign outputs scl_o <= '0'; scl_oen_o<= iscl_oen; sda_o <= '0'; sda_oen_o<= isda_oen; end architecture Structural;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_ModFile_simple/Libraries/ZPUino_1/zpuino_serialreset.vhd
13
2740
-- -- Serial reset for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- This module causes a synchronous reset when we receive 0xFF at 300 baud. -- Hopefully no other speed setting will cause this. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_serialreset is generic ( SYSTEM_CLOCK_MHZ: integer := 100 ); port ( clk: in std_logic; rx: in std_logic; rstin: in std_logic; rstout: out std_logic ); end entity zpuino_serialreset; architecture behave of zpuino_serialreset is constant rstcount_val: integer := ((SYSTEM_CLOCK_MHZ*1000000)/300)*8; signal rstcount: integer; signal rstcount_zero_q: std_logic; begin rstout<='1' when rstin='1' or rstcount_zero_q='1' else '0'; process(clk) begin if rising_edge(clk) then if rstin='1' then rstcount <= rstcount_val; rstcount_zero_q <= '0'; else if rx='1' then rstcount <= rstcount_val; else if rstcount/=0 then rstcount <= rstcount - 1; rstcount_zero_q<='0'; else rstcount_zero_q<='1'; end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Template_PSL_Base/Libraries/ZPUino_1/zpuino_serialreset.vhd
13
2740
-- -- Serial reset for ZPUINO -- -- Copyright 2010 Alvaro Lopes <[email protected]> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- This module causes a synchronous reset when we receive 0xFF at 300 baud. -- Hopefully no other speed setting will cause this. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_serialreset is generic ( SYSTEM_CLOCK_MHZ: integer := 100 ); port ( clk: in std_logic; rx: in std_logic; rstin: in std_logic; rstout: out std_logic ); end entity zpuino_serialreset; architecture behave of zpuino_serialreset is constant rstcount_val: integer := ((SYSTEM_CLOCK_MHZ*1000000)/300)*8; signal rstcount: integer; signal rstcount_zero_q: std_logic; begin rstout<='1' when rstin='1' or rstcount_zero_q='1' else '0'; process(clk) begin if rising_edge(clk) then if rstin='1' then rstcount <= rstcount_val; rstcount_zero_q <= '0'; else if rx='1' then rstcount <= rstcount_val; else if rstcount/=0 then rstcount <= rstcount - 1; rstcount_zero_q<='0'; else rstcount_zero_q<='1'; end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_ModFile_simple/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_vga_zxspectrum.vhd
13
16636
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_vga_zxspectrum is port( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; -- Wishbone MASTER interface mi_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); mi_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); mi_wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 0); mi_wb_sel_o: out std_logic_vector(3 downto 0); mi_wb_cti_o: out std_logic_vector(2 downto 0); mi_wb_we_o: out std_logic; mi_wb_cyc_o: out std_logic; mi_wb_stb_o: out std_logic; mi_wb_ack_i: in std_logic; -- VGA signals vgaclk: in std_logic; vga_hsync: out std_logic; vga_vsync: out std_logic; vga_b: out std_logic; vga_r: out std_logic; vga_g: out std_logic; vga_bright: out std_logic ); end entity; architecture behave of VIDEO_zpuino_wb_vga_zxspectrum is component gh_fifo_async_rrd_sr_wf is GENERIC (add_width: INTEGER :=8; -- min value is 2 (4 memory locations) data_width: INTEGER :=8 ); -- size of data bus port ( clk_WR : in STD_LOGIC; -- write clock clk_RD : in STD_LOGIC; -- read clock rst : in STD_LOGIC; -- resets counters srst : in STD_LOGIC:='0'; -- resets counters (sync with clk_WR) WR : in STD_LOGIC; -- write control RD : in STD_LOGIC; -- read control D : in STD_LOGIC_VECTOR (data_width-1 downto 0); Q : out STD_LOGIC_VECTOR (data_width-1 downto 0); empty : out STD_LOGIC; qfull : out STD_LOGIC; hfull : out STD_LOGIC; qqqfull : out STD_LOGIC; afull : out STD_LOGIC; full : out STD_LOGIC); end component; signal fifo_full: std_logic; signal fifo_almost_full: std_logic; signal fifo_write_enable: std_logic; signal fifo_quad_full: std_logic; signal fifo_half_full: std_logic; -- signal readclk: std_logic:='0'; signal fifo_clear: std_logic:='0'; signal read_enable: std_logic:='0'; signal fifo_write, read: std_logic_vector(3 downto 0); signal fifo_empty: std_logic; signal char_wb_dat_o: std_logic_vector(wordSize-1 downto 0); signal char_wb_dat_i: std_logic_vector(wordSize-1 downto 0); signal char_wb_adr_i: std_logic_vector(maxIObit downto minIObit); signal char_wb_cyc_i: std_logic; signal char_wb_stb_i: std_logic; signal char_wb_ack_o: std_logic; signal membase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); signal palletebase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); type state_type is ( fetch_char, fetch_pallete, load_char, fill, next_line, sleep ); type vgaregs_type is record state: state_type; chars: std_logic_vector(wordSize-1 downto 0); pallete: std_logic_vector(wordSize-1 downto 0); --charline: std_logic_vector(7 downto 0); -- The 8 pixels of a char row --charpal: std_logic_vector(7 downto 0); -- Pallete for this char hptr: integer range 0 to 79; -- horizontal counter hoff: unsigned(4 downto 0); voff: unsigned(4 downto 0); memptr: unsigned(wordSize-1 downto 0); palleteptr: unsigned(wordSize-1 downto 0); ls_memptr: unsigned(wordSize-1 downto 0); ls_palleteptr: unsigned(wordSize-1 downto 0); end record; signal r: vgaregs_type; --# 640x480 @ 72Hz (VESA) hsync: 37.9kHz --ModeLine "640x480" 31.5 640 664 704 832 480 489 491 520 -hsync -vsync --# 640x480 @ 75Hz (VESA) hsync: 37.5kHz --ModeLine "640x480" 31.5 640 656 720 840 480 481 484 500 -hsync -vsync --# 640x480 @ 85Hz (VESA) hsync: 43.3kHz --ModeLine "640x480" 36.0 640 696 752 832 480 481 484 509 -hsync -vsync constant VGA_H_BORDER: integer := 64; constant VGA_H_SYNC: integer := 40; constant VGA_H_FRONTPORCH: integer := 24+VGA_H_BORDER; constant VGA_H_DISPLAY: integer := 640 - (2*VGA_H_BORDER); constant VGA_H_BACKPORCH: integer := 128+VGA_H_BORDER; constant VGA_V_BORDER: integer := 48; constant VGA_V_FRONTPORCH: integer := 29+VGA_V_BORDER; constant VGA_V_SYNC: integer := 2; constant VGA_V_DISPLAY: integer := 480 - (2*VGA_V_BORDER); constant VGA_V_BACKPORCH: integer := 9+VGA_V_BORDER; -- constant VGA_H_BORDER: integer := 0; -- constant VGA_H_SYNC: integer := 2; -- constant VGA_H_FRONTPORCH: integer := 2; -- constant VGA_H_DISPLAY: integer := 128; -- constant VGA_H_BACKPORCH: integer := 2; -- constant VGA_V_BORDER: integer := 0; -- constant VGA_V_FRONTPORCH: integer := 2; -- constant VGA_V_SYNC: integer := 2; -- constant VGA_V_DISPLAY: integer := 192; -- constant VGA_V_BACKPORCH: integer := 2; constant VGA_HCOUNT: integer := VGA_H_SYNC + VGA_H_FRONTPORCH + VGA_H_DISPLAY + VGA_H_BACKPORCH; constant VGA_VCOUNT: integer := VGA_V_SYNC + VGA_V_FRONTPORCH + VGA_V_DISPLAY + VGA_V_BACKPORCH; constant v_polarity: std_logic := '1'; constant h_polarity: std_logic := '1'; -- Pixel counters signal hcount_q: integer range 0 to VGA_HCOUNT; signal vcount_q: integer range 0 to VGA_VCOUNT; signal h_sync_tick: std_logic; signal vgarst: std_logic := '0'; signal rstq1: std_logic:='1'; signal rstq2: std_logic; signal v_display: std_logic; signal v_display_in_wbclk: std_logic; signal v_display_q: std_logic; --signal v_border: std_logic; signal cache_clear: std_logic; signal vga_reset_q1, vga_reset_q2: std_logic; signal rdly: std_logic; signal hdup: std_logic := '1'; signal hflip: std_logic; begin -- Wishbone register access wb_dat_o(31 downto 1) <= (others => DontCareValue); wb_dat_o(0) <= v_display_in_wbclk; mi_wb_dat_o <= (others => DontCareValue); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then rdly<='0'; wb_ack_o<='0'; else if rdly='0' then if wb_stb_i='1' and wb_cyc_i='1' then if wb_we_i='1' then case wb_adr_i(3 downto 2) is when "00" => membase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when "01" => palletebase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when others => end case; end if; wb_ack_o<='1'; rdly <= '1'; end if; else rdly <= '0'; wb_ack_o<='0'; end if; end if; end if; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if (vcount_q < VGA_V_DISPLAY) then v_display_in_wbclk <= '1'; else v_display_in_wbclk <= '0'; end if; end if; end process; process(wb_clk_i, wb_rst_i, r, mi_wb_ack_i, mi_wb_dat_i,membase,palletebase) variable w: vgaregs_type; variable current_char: std_logic_vector(7 downto 0); variable current_pallete: std_logic_vector(7 downto 0); variable vdisp_char: std_logic_vector(2 downto 0); -- Vertical offset in char (0 to 7) variable pixel: std_logic_vector(3 downto 0); variable hmax: integer range 0 to 7; begin mi_wb_stb_o <= '0'; mi_wb_cyc_o <= '0'; mi_wb_we_o <= '0'; mi_wb_adr_o <= (others => '0'); fifo_write_enable<='0'; char_wb_cyc_i<='0'; char_wb_stb_i<='0'; char_wb_adr_i <= (others => DontCareValue); pixel := (others => DontCareValue); -- vdisp_char := std_logic_vector(r.voff(3 downto 1)); -- Ignore last bit - will duplicate vertical line --if hdup='1' then hmax := 7; -- 8 32-bit values = 256 bits --else -- hmax := 79; --end if; w := r; if wb_rst_i='1' or vga_reset_q1='1' then w.state := sleep; --w.palloff := (others => '0'); fifo_clear <='1'; w.hptr := 0; w.hoff := (others =>'0'); w.voff := (others =>'0'); w.memptr := unsigned(membase); w.palleteptr := unsigned(palletebase); mi_wb_adr_o <= (others => DontCareValue); w.ls_memptr := unsigned(membase); w.ls_palleteptr := unsigned(palletebase); else fifo_clear<='0'; case r.state is when fetch_char => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.memptr(maxAddrBitIncIO downto 0) ); --w.charoff := (others => '0'); w.chars := mi_wb_dat_i; w.hoff := (others => '0'); if mi_wb_ack_i='1' then w.state := fill; end if; when fetch_pallete => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.palleteptr(maxAddrBitIncIO downto 0) ); w.pallete := mi_wb_dat_i; if mi_wb_ack_i='1' then w.state := fetch_char; end if; when fill => -- Choose color case r.palleteptr(1 downto 0) is when "11" => current_pallete := r.pallete(7 downto 0); when "10" => current_pallete := r.pallete(15 downto 8); when "01" => current_pallete := r.pallete(23 downto 16); when "00" => current_pallete := r.pallete(31 downto 24); when others => end case; --w.charpal := current_pallete; case r.chars(31) is when '1' => pixel := current_pallete(6) & current_pallete(2 downto 0); when '0' => pixel := current_pallete(6) & current_pallete(5 downto 3); when others => end case; -- Other bits have extended attributes if fifo_almost_full='0' then fifo_write_enable<='1'; -- Shift r.chars w.chars(31 downto 1) := r.chars(30 downto 0); w.chars(0) := DontCareValue; w.hoff := r.hoff + 1; if r.hoff="11111" then -- Meaning we just output the 32 bits if r.hptr=hmax then -- Finished a whole line w.hptr := 0; w.voff := r.voff + 1; if r.voff(0)='1' then -- Finished a whole character line w.memptr := r.memptr + 4; if r.voff(3 downto 0) /="1111" then w.palleteptr := r.ls_palleteptr; else w.palleteptr := r.palleteptr + 1; end if; w.state := next_line; else w.memptr := r.ls_memptr; w.palleteptr := r.ls_palleteptr; w.state := sleep; end if; else -- Still doing a line w.hptr := w.hptr + 1; w.memptr := r.memptr + 4; w.palleteptr := r.palleteptr + 1; --if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; --elsif r.memptr(1 downto 0)="11" then -- Increase pointer --else -- w.state := fetch_char; --end if; --else -- w.state := load_char; --end if; end if; else if r.hoff(2 downto 0)="111" then -- Just output 8 bits w.palleteptr := r.palleteptr + 1; if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; end if; end if; end if; end if; when sleep => w.state := fetch_pallete; when next_line => if r.voff(3 downto 0)="0000" then w.ls_palleteptr := r.palleteptr; end if; w.ls_memptr := r.memptr; w.state := fetch_pallete; when others => end case; end if; fifo_write <= pixel; if rising_edge(wb_clk_i) then r <= w; end if; end process; -- -- -- VGA part -- -- process(vgaclk, wb_rst_i) begin if wb_rst_i='1' then rstq1 <= '1'; rstq2 <= '1'; elsif rising_edge(vgaclk) then rstq1 <= rstq2; rstq2 <= '0'; end if; end process; vgarst <= rstq1; hcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then hcount_q <= VGA_H_DISPLAY + VGA_H_BACKPORCH - 1; else if hcount_q = VGA_HCOUNT then hcount_q <= 0; else hcount_q <= hcount_q + 1; end if; end if; end if; end process; process(hcount_q, vcount_q) begin if hcount_q < VGA_H_DISPLAY and vcount_q < VGA_V_DISPLAY then v_display<='1'; else v_display<='0'; end if; end process; process(vgaclk) begin if rising_edge(vgaclk) then v_display_q <= v_display; end if; end process; hsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_hsync<=h_polarity; else h_sync_tick <= '0'; if hcount_q = (VGA_H_DISPLAY + VGA_H_FRONTPORCH) then h_sync_tick <= '1'; vga_hsync <= not h_polarity; elsif hcount_q = (VGA_HCOUNT - VGA_H_BACKPORCH) then vga_hsync <= h_polarity; end if; end if; end if; end process; vcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vcount_q <= VGA_V_DISPLAY + VGA_V_BACKPORCH - 1; else if vcount_q = VGA_VCOUNT then vcount_q <= 0; report "V finished" severity note; else if h_sync_tick='1' then vcount_q <= vcount_q + 1; end if; end if; end if; end if; end process; -- Cache clear. vclear: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then cache_clear <= '1'; else cache_clear<='0'; --if vcount_q = VGA_V_DISPLAY and h_sync_tick='1' then -- cache_clear<='1'; --end if; if not (vcount_q < VGA_V_DISPLAY) then cache_clear <='1'; end if; end if; end if; end process; vsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_vsync<=v_polarity; --cache_clear <= '1'; else --cache_clear <= '0'; if vcount_q = (VGA_V_DISPLAY + VGA_V_FRONTPORCH) then vga_vsync <= not v_polarity; elsif vcount_q = (VGA_VCOUNT - VGA_V_BACKPORCH) then vga_vsync <= v_polarity; --cache_clear <= '1'; end if; end if; end if; end process; -- Synchronous output process(vgaclk) begin if rising_edge(vgaclk) then if v_display='0' then vga_b <= '0'; vga_r <= '0'; vga_g <= '0'; vga_bright <= '0'; else vga_b <= read(0); vga_r <= read(1); vga_g <= read(2); vga_bright <= read(3); end if; end if; end process; process(wb_clk_i,cache_clear) begin if cache_clear='1' then vga_reset_q1<='1'; vga_reset_q2<='1'; elsif rising_edge(wb_clk_i) then vga_reset_q2<='0'; vga_reset_q1<=vga_reset_q2; end if; end process; -- In order to perform H duplication, we use a trick here process(vgaclk,v_display,v_display_q) begin if rising_edge(vgaclk) then if v_display='1' and v_display_q='0' then -- Starting an horizontal line display, reset hflip if needed hflip <= '1'; else if v_display='0' then hflip <='0'; else hflip <= hflip xor hdup; end if; end if; end if; end process; read_enable <= v_display and not hflip; myfifo: gh_fifo_async_rrd_sr_wf generic map ( data_width => 4, add_width => 4 ) port map ( clk_WR => wb_clk_i, clk_RD => vgaclk, rst => '0', srst => fifo_clear, WR => fifo_write_enable, RD => read_enable, D => fifo_write, Q => read, empty => fifo_empty, qfull => fifo_quad_full, hfull => fifo_half_full, qqqfull => fifo_almost_full, full => fifo_full ); end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Waveform_Generator/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected] -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/MegaWing_Logicstart/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected] -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/WING_Analog/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected] -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Sump_LogicAnalyzer_JTAG/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected] -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_SID_simple/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - [email protected] -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit