repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_scheduler_v1_00_a/devl/bfmsim/simulation/behavioral/synch_bus_wrapper.vhd
|
6
|
975
|
-------------------------------------------------------------------------------
-- synch_bus_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library bfm_synch_v1_00_a;
use bfm_synch_v1_00_a.all;
entity synch_bus_wrapper is
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to 127);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end synch_bus_wrapper;
architecture STRUCTURE of synch_bus_wrapper is
component bfm_synch is
generic (
C_NUM_SYNCH : integer
);
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to (C_NUM_SYNCH*32)-1);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end component;
begin
synch_bus : bfm_synch
generic map (
C_NUM_SYNCH => 4
)
port map (
FROM_SYNCH_OUT => FROM_SYNCH_OUT,
TO_SYNCH_IN => TO_SYNCH_IN
);
end architecture STRUCTURE;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/pf_occ_counter_top.vhd
|
3
|
12665
|
-------------------------------------------------------------------------------
-- $Id: pf_occ_counter_top.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_occ_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_occ_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_occ_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- DET 2001-08-30 First Version
--
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.pf_occ_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_occ_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
By_2 : In std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1);
almost_full : Out std_logic;
full : Out std_logic;
almost_empty : Out std_logic;
empty : Out std_logic
);
end entity pf_occ_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_occ_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
Signal upper_cleared : std_logic;
Signal lower_set : std_logic;
Signal lower_cleared : std_logic;
Signal empty_state : std_logic_vector(0 to 2);
Signal full_state : std_logic_vector(0 to 3);
Signal sig_full : std_logic;
Signal sig_almost_full : std_logic;
Signal sig_going_full : std_logic;
Signal sig_empty : std_logic;
Signal sig_almost_empty : std_logic;
begin -- VHDL_RTL
full <= sig_full;
almost_full <= sig_almost_full;
empty <= sig_empty;
almost_empty <= sig_almost_empty;
-- Misc signal assignments
Count_Out <= sig_count_out;
sig_cnt_enable <= (Count_Up and not(sig_full))
xor (Count_Down and not(sig_empty));
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity opb_v20_v1_10_d.pf_occ_counter
generic map (
C_COUNT_WIDTH
)
port map(
Clk => Clk,
Rst => Rst,
Carry_Out => sig_carry_out,
Load_In => Load_value,
Count_Enable => sig_cnt_enable,
Count_Load => Load_Enable,
Count_Down => sig_cnt_up_n_dwn,
Cnt_by_2 => By_2,
Count_Out => sig_count_out
);
TEST_UPPER_BITS : process (sig_count_out)
Variable all_cleared : boolean;
Variable loop_count : integer;
Begin
--loop_count := 0;
all_cleared := True;
for loop_count in 0 to C_COUNT_WIDTH-2 loop
If (sig_count_out(loop_count) = '1') Then
all_cleared := False;
else
null;
End if;
End loop;
-- -- Search through the upper counter bits starting with the MSB
-- while (loop_count < C_COUNT_WIDTH-2) loop
--
-- If (sig_count_out(loop_count) = '1') Then
-- all_cleared := False;
-- else
-- null;
-- End if;
--
-- loop_count := loop_count + 1;
--
-- End loop;
-- now assign the outputs
If (all_cleared) then
upper_cleared <= '1';
else
upper_cleared <= '0';
End if;
End process TEST_UPPER_BITS;
empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) &
sig_count_out(C_COUNT_WIDTH-1);
STATIC_EMPTY_DETECT : process (empty_state)
Begin
Case empty_state Is
When "100" =>
sig_empty <= '1';
sig_almost_empty <= '0';
When "101" =>
sig_empty <= '0';
sig_almost_empty <= '1';
When "110" =>
sig_empty <= '0';
sig_almost_empty <= '0';
When others =>
sig_empty <= '0';
sig_almost_empty <= '0';
End case;
End process STATIC_EMPTY_DETECT;
TEST_LOWER_BITS : process (sig_count_out)
Variable all_cleared : boolean;
Variable all_set : boolean;
Variable loop_count : integer;
Begin
--loop_count := 1;
all_set := True;
all_cleared := True;
for loop_count in 1 to C_COUNT_WIDTH-1 loop
If (sig_count_out(loop_count) = '0') Then
all_set := False;
else
all_cleared := False;
End if;
End loop;
-- -- Search through the lower counter bits starting with the MSB+1
-- while (loop_count < C_COUNT_WIDTH-1) loop
--
-- If (sig_count_out(loop_count) = '0') Then
-- all_set := False;
-- else
-- all_cleared := False;
-- End if;
--
-- loop_count := loop_count + 1;
--
-- End loop;
-- now assign the outputs
If (all_cleared) then
lower_cleared <= '1';
lower_set <= '0';
elsif (all_set) Then
lower_cleared <= '0';
lower_set <= '1';
else
lower_cleared <= '0';
lower_set <= '0';
End if;
End process TEST_LOWER_BITS;
full_state <= sig_count_out(0)
& lower_set
& lower_cleared
& sig_count_out(C_COUNT_WIDTH-1);
STATIC_FULL_DETECT : process (full_state, sig_count_out)
Begin
sig_full <= sig_count_out(0); -- MSB set implies full
Case full_state Is
When "0100" =>
sig_almost_full <= '0';
sig_going_full <= '1';
When "0101" =>
sig_almost_full <= '1';
sig_going_full <= '0';
When others =>
sig_almost_full <= '0';
sig_going_full <= '0';
End case;
End process STATIC_FULL_DETECT;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/pf_counter_top.vhd
|
3
|
4524
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.2 $
-- Date: $Date: 2004/11/23 01:04:03 $
--
-- History:
-- DET 2001-08-30 First Version
-- LCW Nov 8, 2004 -- updated for NCSim
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity opb_ipif_v2_00_h.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hvm_core_v1_00_a/hdl/vhdl/hvm_core.vhd
|
2
|
4127
|
----------------------------------------------------------------------------------
-- Written by Jason Agron
-- Summer '07
-- ******************************
-- Company:
-- Engineer:
--
-- Create Date: 15:25:12 12/22/2006
-- Design Name:
-- Module Name: hvm_core - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISPM;
--use UNISPM.VComponents.all;
library hvm_core_v1_00_a;
use hvm_core_v1_00_a.all;
entity hvm_core is
port(
clk : in std_logic;
reset : in std_logic;
go : IN std_logic;
done : OUT std_logic;
mode : IN std_logic_vector(0 to 1);
debug_address : out std_logic_vector(0 to 31);
debug_data : out std_logic_vector(0 to 31);
BRAM_Clk_PM : out std_logic;
BRAM_EN_PM : out std_logic;
BRAM_WE_PM : out std_logic_vector(0 to 3);
BRAM_Addr_PM : out std_logic_vector(0 to 31);
BRAM_Din_PM : in std_logic_vector(0 to 31);
BRAM_Dout_PM : out std_logic_vector(0 to 31);
BRAM_Clk_SM : out std_logic;
BRAM_EN_SM : out std_logic;
BRAM_WE_SM : out std_logic_vector(0 to 3);
BRAM_Addr_SM : out std_logic_vector(0 to 31);
BRAM_Din_SM : in std_logic_vector(0 to 31);
BRAM_Dout_SM : out std_logic_vector(0 to 31)
);
end hvm_core;
architecture Behavioral of hvm_core is
COMPONENT interp
PORT(
prog_mem_dOUT0 : IN std_logic_vector(0 to 31);
state_mem_dOUT0 : IN std_logic_vector(0 to 31);
go : IN std_logic;
mode : IN std_logic_vector(0 to 1);
done : out std_logic;
clock_sig : IN std_logic;
reset_sig : IN std_logic;
prog_mem_addr0 : OUT std_logic_vector(0 to 31);
prog_mem_dIN0 : OUT std_logic_vector(0 to 31);
prog_mem_rENA0 : OUT std_logic;
prog_mem_wENA0 : OUT std_logic;
state_mem_addr0 : OUT std_logic_vector(0 to 7);
state_mem_dIN0 : OUT std_logic_vector(0 to 31);
state_mem_rENA0 : OUT std_logic;
state_mem_wENA0 : OUT std_logic
);
END COMPONENT;
SIGNAL prog_mem_dOUT0 : std_logic_vector(0 to 31);
SIGNAL state_mem_dOUT0 : std_logic_vector(0 to 31);
SIGNAL prog_mem_addr0 : std_logic_vector(0 to 31);
SIGNAL prog_mem_dIN0 : std_logic_vector(0 to 31);
SIGNAL prog_mem_rENA0 : std_logic;
SIGNAL prog_mem_wENA0 : std_logic;
SIGNAL state_mem_addr0 : std_logic_vector(0 to 7);
SIGNAL state_mem_dIN0 : std_logic_vector(0 to 31);
SIGNAL state_mem_rENA0 : std_logic;
SIGNAL state_mem_wENA0 : std_logic;
begin
-- Instantiate the Unit Under Test (UUT)
uut: interp PORT MAP(
prog_mem_addr0 => prog_mem_addr0,
prog_mem_dIN0 => prog_mem_dIN0,
prog_mem_dOUT0 => prog_mem_dOUT0,
prog_mem_rENA0 => prog_mem_rENA0,
prog_mem_wENA0 => prog_mem_wENA0,
state_mem_addr0 => state_mem_addr0,
state_mem_dIN0 => state_mem_dIN0,
state_mem_dOUT0 => state_mem_dOUT0,
state_mem_rENA0 => state_mem_rENA0,
state_mem_wENA0 => state_mem_wENA0,
go => go,
done => done,
mode => mode,
clock_sig => clk,
reset_sig => reset
);
-- Old method (before done was internal to the interpreter)
-- done <= '1' when prog_mem_addr0 = x"FFFFFFFF" else '0';
-- Hook up debug interface
debug_address <= prog_mem_addr0;
debug_data <= prog_mem_dOUT0;
-- Connect up PM BRAM interface
BRAM_Clk_PM <= clk;
BRAM_EN_PM <= prog_mem_rENA0;
BRAM_WE_PM <= prog_mem_wENA0 & prog_mem_wENA0 & prog_mem_wENA0 & prog_mem_wENA0;
BRAM_Addr_PM <= prog_mem_addr0;
prog_mem_dOUT0 <= BRAM_Din_PM;
BRAM_Dout_PM <= prog_mem_dIN0;
-- Connect up SM BRAM interface
BRAM_Clk_SM <= clk;
BRAM_EN_SM <= state_mem_rENA0;
BRAM_WE_SM <= state_mem_wENA0 & state_mem_wENA0 & state_mem_wENA0 & state_mem_wENA0;
BRAM_Addr_SM <= x"00000" & "00" & state_mem_addr0 & "00"; -- Make addresses word addressable
state_mem_dOUT0 <= BRAM_Din_SM;
BRAM_Dout_SM <= state_mem_dIN0;
end Behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/rdpfifo_dp_cntl.vhd
|
3
|
28824
|
-------------------------------------------------------------------------------
-- $Id: rdpfifo_dp_cntl.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
--rdpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: rdpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Read Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the RPFIFO design.
--
--
-- rdpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- |
-- |-- pf_adder_bit.vhd
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- DET August 15, 2001 -- Initial version adapted from pt design
--
-- DET Sept. 21, 2001 -- Size Optimized redesign and parameterization
-- LCW Nov 8, 2004 -- updated for NCSim
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_top;
use opb_ipif_v2_00_h.pf_occ_counter_top;
use opb_ipif_v2_00_h.pf_adder;
-------------------------------------------------------------------------------
entity rdpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 9;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port (
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_rd_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end rdpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of rdpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
-- shared signals
signal sig_normal_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
----------------- start architecture logic ------------------------------------
begin
------------------------------------------------------------------------------
-- Generate the Read PFIFO with packetizing features included
------------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (
reset1,
normal_op,
packet_op,
rest1,
mark1,
rls1,
pkt_update,
nml_update
);
--INTERNAL SIGNALS
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_write : std_logic;
signal ld_addr_write_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
begin
--Misc I/O Assignments
Full <= int_full;
Almost_Full <= int_almost_full;
Empty <= int_empty; -- Align Empty flag with the DP occupancy
Almost_Empty <= int_almost_empty; -- Align Almost_Empty flag with the
-- DP occupancy
Occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr; -- currently combinitorial
RdAck <= inc_rd_addr; -- currently combinitorial
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-----------------------------------------------------------------------
-- Main Transition sequence state machine
-----------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_mark_into_write <= '0';
ld_addr_write_into_mark <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_mark_into_write <= '0';
ld_addr_write_into_mark <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
Case trans_state Is
When reset1 =>
trans_state <= normal_op;
hold_ack <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
trans_state <= pkt_update;
hold_ack <= '1';
ld_addr_mark_into_write <= '1'; -- load the mark address into
-- the wr cntr
ld_occ_mark_into_norm <= '1'; -- load the marked occupancy
-- into the normal occupancy
-- cntr
When mark1 =>
trans_state <= pkt_update;
hold_ack <= '1';
ld_occ_norm_into_mark <= '1'; -- load the normal occupancy
-- into mark occupancy cntr
ld_addr_write_into_mark <= '1'; -- load the write address
-- into mark register
When rls1 =>
trans_state <= nml_update;
hold_ack <= '1';
ld_occ_norm_into_mark <= '1'; -- load the normal occupancy
-- into mark occupancy cntr
ld_addr_write_into_mark <= '1'; -- load the write address
-- into mark register
When nml_update =>
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking operations
-- This counter establishes the empty flag states
--------------------------------------------------------------------------
inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc <= decr_rd_addr or inc_mark_addr;
I_MARK_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the full flag states.
--------------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
--------------------------------------------------------------------------
-- Register and delay Full/Empty flags
--------------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
int_full_dly1 <= '0';
int_full_dly2 <= '0';
int_almost_empty_dly1 <= '0';
int_empty_dly1 <= '1';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
int_almost_empty_dly1 <= int_almost_empty;
int_empty_dly1 <= int_empty;
Else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
--------------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and not(hold_ack)
and not(rd_backup and int_almost_full)
and enable_wr_addr_inc;
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_write,
Load_value => mark_address,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Read Address Counter Logic
-----------------------------------------------------------------------
-- Detect end of burst read by IP and set backup condition
-----------------------------------------------------------------------
DETECT_RDCNT_BACKUP : process (Burst_rd_xfer, RdReq, int_empty_dly1)
Begin
if (Burst_rd_xfer = '1'
and RdReq = '0'
and int_empty_dly1 = '0') then
rd_backup <= '1';
else
rd_backup <= '0';
end if;
End process; -- DETECT_RDCNT_BACKUP
inc_rd_addr <= RdReq
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
sig_zeros <= (others => '0');
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_wr_addr
and enable_mark_addr_inc;
I_MARKREG_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => ld_addr_write_into_mark,
Load_value => write_address,
Count_Down => '0',
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
--------------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
------------------------------------------------------------------------------
-- Generate the Read PFIFO with no packetizing features
------------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
--Internal Signals
signal int_almost_full : std_logic;
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal write_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal occ_load_value : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
--Misc I/O Assignments
Full <= int_full;
Almost_Full <= int_almost_full;
Empty <= int_empty; -- Align Empty flag with the DP occupancy
Almost_Empty <= int_almost_empty; -- Align Almost_Empty flag with the
-- DP occupancy
Occupancy <= sig_normal_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr; -- currently combinitorial
RdAck <= inc_rd_addr; -- currently combinitorial
DeadLock <= int_full and int_empty; -- both full and empty at the
-- same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty and full flag states.
--------------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
--------------------------------------------------------------------------
-- Register and delay Full/Empty flags
--------------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
int_full_dly1 <= '0';
int_full_dly2 <= '0';
int_almost_empty_dly1 <= '0';
int_empty_dly1 <= '1';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
int_almost_empty_dly1 <= int_almost_empty;
int_empty_dly1 <= int_empty;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
-----------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and not(rd_backup and int_almost_full);
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
-------------------------------------------------------------------------
-----------------------------------------------------------------------
-- Read Address Counter Logic
--------------------------------------------------------------------
-- Detect end of burst read by IP and set backup condition
--------------------------------------------------------------------
DETECT_RDCNT_BACKUP : process (Burst_rd_xfer, RdReq, int_empty_dly1)
Begin
if (Burst_rd_xfer = '1'
and RdReq = '0'
and int_empty_dly1 = '0') then
rd_backup <= '1';
else
rd_backup <= '0';
end if;
End process; -- DETECT_RDCNT_BACKUP
inc_rd_addr <= RdReq
and not(int_empty)
and not(int_empty_dly1);
decr_rd_addr <= rd_backup;
sig_zeros <= (others => '0');
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
----------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_ipif_v2_00_h.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_Clk,
Rst => Bus_rst,
--Carry_Out => ,
Ain => slv_max_vacancy,
Bin => sig_normal_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/rdpfifo_dp_cntl.vhd
|
3
|
28824
|
-------------------------------------------------------------------------------
-- $Id: rdpfifo_dp_cntl.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
--rdpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: rdpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Read Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the RPFIFO design.
--
--
-- rdpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- |
-- |-- pf_adder_bit.vhd
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- DET August 15, 2001 -- Initial version adapted from pt design
--
-- DET Sept. 21, 2001 -- Size Optimized redesign and parameterization
-- LCW Nov 8, 2004 -- updated for NCSim
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_top;
use opb_ipif_v2_00_h.pf_occ_counter_top;
use opb_ipif_v2_00_h.pf_adder;
-------------------------------------------------------------------------------
entity rdpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 9;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port (
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_rd_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end rdpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of rdpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
-- shared signals
signal sig_normal_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
----------------- start architecture logic ------------------------------------
begin
------------------------------------------------------------------------------
-- Generate the Read PFIFO with packetizing features included
------------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (
reset1,
normal_op,
packet_op,
rest1,
mark1,
rls1,
pkt_update,
nml_update
);
--INTERNAL SIGNALS
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_write : std_logic;
signal ld_addr_write_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
begin
--Misc I/O Assignments
Full <= int_full;
Almost_Full <= int_almost_full;
Empty <= int_empty; -- Align Empty flag with the DP occupancy
Almost_Empty <= int_almost_empty; -- Align Almost_Empty flag with the
-- DP occupancy
Occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr; -- currently combinitorial
RdAck <= inc_rd_addr; -- currently combinitorial
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-----------------------------------------------------------------------
-- Main Transition sequence state machine
-----------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_mark_into_write <= '0';
ld_addr_write_into_mark <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_mark_into_write <= '0';
ld_addr_write_into_mark <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
Case trans_state Is
When reset1 =>
trans_state <= normal_op;
hold_ack <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
trans_state <= pkt_update;
hold_ack <= '1';
ld_addr_mark_into_write <= '1'; -- load the mark address into
-- the wr cntr
ld_occ_mark_into_norm <= '1'; -- load the marked occupancy
-- into the normal occupancy
-- cntr
When mark1 =>
trans_state <= pkt_update;
hold_ack <= '1';
ld_occ_norm_into_mark <= '1'; -- load the normal occupancy
-- into mark occupancy cntr
ld_addr_write_into_mark <= '1'; -- load the write address
-- into mark register
When rls1 =>
trans_state <= nml_update;
hold_ack <= '1';
ld_occ_norm_into_mark <= '1'; -- load the normal occupancy
-- into mark occupancy cntr
ld_addr_write_into_mark <= '1'; -- load the write address
-- into mark register
When nml_update =>
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking operations
-- This counter establishes the empty flag states
--------------------------------------------------------------------------
inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc <= decr_rd_addr or inc_mark_addr;
I_MARK_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the full flag states.
--------------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
--------------------------------------------------------------------------
-- Register and delay Full/Empty flags
--------------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
int_full_dly1 <= '0';
int_full_dly2 <= '0';
int_almost_empty_dly1 <= '0';
int_empty_dly1 <= '1';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
int_almost_empty_dly1 <= int_almost_empty;
int_empty_dly1 <= int_empty;
Else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
--------------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and not(hold_ack)
and not(rd_backup and int_almost_full)
and enable_wr_addr_inc;
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_write,
Load_value => mark_address,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Read Address Counter Logic
-----------------------------------------------------------------------
-- Detect end of burst read by IP and set backup condition
-----------------------------------------------------------------------
DETECT_RDCNT_BACKUP : process (Burst_rd_xfer, RdReq, int_empty_dly1)
Begin
if (Burst_rd_xfer = '1'
and RdReq = '0'
and int_empty_dly1 = '0') then
rd_backup <= '1';
else
rd_backup <= '0';
end if;
End process; -- DETECT_RDCNT_BACKUP
inc_rd_addr <= RdReq
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
sig_zeros <= (others => '0');
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_wr_addr
and enable_mark_addr_inc;
I_MARKREG_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => ld_addr_write_into_mark,
Load_value => write_address,
Count_Down => '0',
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
--------------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
------------------------------------------------------------------------------
-- Generate the Read PFIFO with no packetizing features
------------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
--Internal Signals
signal int_almost_full : std_logic;
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal write_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal occ_load_value : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
--Misc I/O Assignments
Full <= int_full;
Almost_Full <= int_almost_full;
Empty <= int_empty; -- Align Empty flag with the DP occupancy
Almost_Empty <= int_almost_empty; -- Align Almost_Empty flag with the
-- DP occupancy
Occupancy <= sig_normal_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr; -- currently combinitorial
RdAck <= inc_rd_addr; -- currently combinitorial
DeadLock <= int_full and int_empty; -- both full and empty at the
-- same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
--------------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty and full flag states.
--------------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_ipif_v2_00_h.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
--------------------------------------------------------------------------
-- Register and delay Full/Empty flags
--------------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
int_full_dly1 <= '0';
int_full_dly2 <= '0';
int_almost_empty_dly1 <= '0';
int_empty_dly1 <= '1';
Elsif (Bus_Clk'event and Bus_Clk = '1') Then
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
int_almost_empty_dly1 <= int_almost_empty;
int_empty_dly1 <= int_empty;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
-----------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and not(rd_backup and int_almost_full);
I_WRITE_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
-------------------------------------------------------------------------
-----------------------------------------------------------------------
-- Read Address Counter Logic
--------------------------------------------------------------------
-- Detect end of burst read by IP and set backup condition
--------------------------------------------------------------------
DETECT_RDCNT_BACKUP : process (Burst_rd_xfer, RdReq, int_empty_dly1)
Begin
if (Burst_rd_xfer = '1'
and RdReq = '0'
and int_empty_dly1 = '0') then
rd_backup <= '1';
else
rd_backup <= '0';
end if;
End process; -- DETECT_RDCNT_BACKUP
inc_rd_addr <= RdReq
and not(int_empty)
and not(int_empty_dly1);
decr_rd_addr <= rd_backup;
sig_zeros <= (others => '0');
I_READ_ADDR_CNTR : entity opb_ipif_v2_00_h.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_Clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
----------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_ipif_v2_00_h.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_Clk,
Rst => Bus_rst,
--Carry_Out => ,
Ain => slv_max_vacancy,
Bin => sig_normal_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/trylock_fsm.vhd
|
11
|
6378
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity trylock_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end trylock_fsm;
architecture behavioral of trylock_fsm is
-- A type for the states in the try fsm
type try_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the try fsm
signal try_cs : try_state;
signal try_ns : try_state;
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
try_update : process (clk,rst,sysrst,try_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
try_cs <= IDLE;
else
try_cs <= try_ns;
end if;
end if;
end process try_update;
try_controller : process (try_cs,start,mutex,micount,mikind,miowner,milast,minext,thread) is
begin
try_ns <= try_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case try_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
try_ns <= READ;
end if;
when READ =>
try_ns <= DONE;
when DONE =>
if( micount = zero(C_CWIDTH) ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= thread;
monext <= thread;
molast <= thread;
mocount <= one( C_CWIDTH );
mokind <= mikind;
elsif( mikind = SYNCH_RECURS and miowner = thread ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= milast;
mocount <= micount + 1;
mokind <= mikind;
else
data(1) <= '1';
end if;
finish <= '1';
try_ns <= IDLE;
end case;
end process try_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_sync_manager_v1_00_a/devl/bfmsim/pcores/plb_sync_manager_tb_v1_00_a/simhdl/vhdl/plb_sync_manager_tb.vhd
|
3
|
21234
|
------------------------------------------------------------------------------
--
-- This vhdl module is a template for creating IP testbenches using the IBM
-- BFM toolkits. It provides a fixed interface to the subsystem testbench.
--
-- DO NOT CHANGE THE entity name, architecture name, generic parameter
-- declaration or port declaration of this file. You may add components,
-- instances, constants, signals, etc. as you wish.
--
-- See IBM Bus Functional Model Toolkit User's Manual for more information
-- on the BFMs.
--
------------------------------------------------------------------------------
-- plb_sync_manager_tb.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_sync_manager_tb.vhd
-- Version: 1.00.a
-- Description: IP testbench
-- Date: Thu May 7 14:29:08 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library plb_sync_manager_v1_00_a;
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
entity plb_sync_manager_tb is
------------------------------------------
-- DO NOT CHANGE THIS GENERIC DECLARATION
------------------------------------------
generic
(
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 32;
C_MPLB_P2P : integer := 0;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_MPLB_CLK_PERIOD_PS : integer := 10000
);
------------------------------------------
-- DO NOT CHANGE THIS PORT DECLARATION
------------------------------------------
port
(
-- PLB (v4.6) bus interface, do not add or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_UABus : out std_logic_vector(0 to 31);
M_ABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic;
-- BFM synchronization bus interface
SYNCH_IN : in std_logic_vector(0 to 31) := (others => '0');
SYNCH_OUT : out std_logic_vector(0 to 31) := (others => '0')
);
end entity plb_sync_manager_tb;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture testbench of plb_sync_manager_tb is
--USER testbench signal declarations added here as you wish
------------------------------------------
-- Signal to hook up master detected error and synch bus
------------------------------------------
signal sig_dev_mderr : std_logic;
------------------------------------------
-- Standard constants for bfl/vhdl communication
------------------------------------------
constant NOP : integer := 0;
constant START : integer := 1;
constant STOP : integer := 2;
constant WAIT_IN : integer := 3;
constant WAIT_OUT : integer := 4;
constant ASSERT_IN : integer := 5;
constant ASSERT_OUT : integer := 6;
constant ASSIGN_IN : integer := 7;
constant ASSIGN_OUT : integer := 8;
constant RESET_WDT : integer := 9;
constant MST_ERROR : integer := 30;
constant INTERRUPT : integer := 31;
begin
------------------------------------------
-- Instance of IP under test.
-- Communication with the BFL is by using SYNCH_IN/SYNCH_OUT signals.
------------------------------------------
UUT : entity plb_sync_manager_v1_00_a.plb_sync_manager
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH,
C_SPLB_P2P => C_SPLB_P2P,
C_SPLB_SUPPORT_BURSTS => C_SPLB_SUPPORT_BURSTS,
C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER,
C_SPLB_CLK_PERIOD_PS => C_SPLB_CLK_PERIOD_PS,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY,
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => C_MPLB_NATIVE_DWIDTH,
C_MPLB_P2P => C_MPLB_P2P,
C_MPLB_SMALLEST_SLAVE => C_MPLB_SMALLEST_SLAVE,
C_MPLB_CLK_PERIOD_PS => C_MPLB_CLK_PERIOD_PS
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => sig_dev_mderr,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm
);
------------------------------------------
-- Hook up UUT MD_error to synch_out bit for Master Detected Error status monitor
------------------------------------------
SYNCH_OUT(MST_ERROR) <= sig_dev_mderr;
------------------------------------------
-- Zero out the unused synch_out bits
------------------------------------------
SYNCH_OUT(10 to 31) <= (others => '0');
------------------------------------------
-- Test bench code itself
--
-- The test bench itself can be arbitrarily complex and may include
-- hierarchy as the designer sees fit
------------------------------------------
TEST_PROCESS : process
begin
SYNCH_OUT(NOP) <= '0';
SYNCH_OUT(START) <= '0';
SYNCH_OUT(STOP) <= '0';
SYNCH_OUT(WAIT_IN) <= '0';
SYNCH_OUT(WAIT_OUT) <= '0';
SYNCH_OUT(ASSERT_IN) <= '0';
SYNCH_OUT(ASSERT_OUT) <= '0';
SYNCH_OUT(ASSIGN_IN) <= '0';
SYNCH_OUT(ASSIGN_OUT) <= '0';
SYNCH_OUT(RESET_WDT) <= '0';
-- initializations
-- wait for reset to stabalize after power-up
wait for 200 ns;
-- wait for end of reset
wait until (SPLB_Rst'EVENT and SPLB_Rst = '0');
assert FALSE report "*** Real simulation starts here ***" severity NOTE;
-- wait for reset to be completed
wait for 200 ns;
------------------------------------------
-- Test User Logic Slave Register
------------------------------------------
-- send out start signal to begin testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '1';
assert FALSE report "*** Start User Logic Slave Register Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '0';
-- wait stop signal for end of testing ...
wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1');
assert FALSE report "*** User Logic Slave Register Test Complete ***" severity NOTE;
wait for 1 us;
------------------------------------------
-- Test User Logic IP Master
------------------------------------------
-- send out start signal to begin testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '1';
assert FALSE report "*** Start User Logic IP Master Read Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '0';
-- wait for awhile for wait_out signal to let user logic master complete master read ...
wait until (SYNCH_IN(WAIT_OUT)'EVENT and SYNCH_IN(WAIT_OUT) = '1');
assert FALSE report "*** User Logic is doing master read transaction now ***" severity NOTE;
wait for 1 us;
-- send out wait_in signal to continue testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '1';
assert FALSE report "*** Continue User Logic IP Master Write Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '0';
-- wait for awhile for wait_out signal to let user logic master complete master write ...
wait until (SYNCH_IN(WAIT_OUT)'EVENT and SYNCH_IN(WAIT_OUT) = '1');
assert FALSE report "*** User Logic is doing master write transaction now ***" severity NOTE;
wait for 1 us;
-- send out wait_in signal to continue testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '1';
assert FALSE report "*** Continue the rest of User Logic IP Master Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '0';
-- wait stop signal for end of testing ...
wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1');
assert FALSE report "*** User Logic IP Master Test Complete ***" severity NOTE;
wait for 1 us;
------------------------------------------
-- Test User I/Os and other features
------------------------------------------
--USER code added here to stimulate any user I/Os
wait;
end process TEST_PROCESS;
end architecture testbench;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_fsmlang_special_pic_v1_00_a/hdl/vhdl/complete_pic_test.vhd
|
2
|
6970
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:58:07 09/10/2009
-- Design Name:
-- Module Name: /home/abaez/ise_projects/complete_pic/src//complete_pic_test.vhd
-- Project Name: ise_proj
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: complete_pic
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY complete_pic_test IS
generic (
C_NUM_INTERRUPTS : integer := 8;
C_REG_SIZE : integer := 9;
C_CMD_WIDTH : integer := 4;
)
END complete_pic_test;
ARCHITECTURE behavior OF complete_pic_test IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT complete_pic
PORT(
msg_chan_channelDataIn : OUT std_logic_vector(0 to 7);
msg_chan_channelDataOut : IN std_logic_vector(0 to 7);
msg_chan_exists : IN std_logic;
msg_chan_full : IN std_logic;
msg_chan_channelRead : OUT std_logic;
msg_chan_channelWrite : OUT std_logic;
go : IN std_logic;
ack : OUT std_logic;
TID_IN : IN std_logic_vector(0 to 7);
IID_IN : IN std_logic_vector(0 to log2(C_NUM_INTERRUPTS)-1);
CMD_IN : IN std_logic_vector(0 to C_CMD_WIDTH-1);
RET_OUT : OUT std_logic_vector(0 to 7);
TID_OUT : OUT std_logic_vector(0 to 7);
interrupts_in : IN std_logic_vector(0 to C_NUM_INTERRUPTS-1);
clock_sig : IN std_logic;
reset_sig : IN std_logic
);
END COMPONENT;
--Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal go : std_logic := '0';
signal TID_IN : std_logic_vector(0 to 7) := (others => '0');
signal IID_IN : std_logic_vector(0 to log2(C_NUM_INTERRUPTS)-1) := (others => '0');
signal CMD_IN : std_logic_vector(0 to C_CMD_WIDTH-1) := (others => '0');
signal interrupts_in : std_logic_vector(0 to C_CMD_WIDTH-1) := (others => '0');
signal clock_sig : std_logic := '0';
signal reset_sig : std_logic := '0';
--Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
signal RET_OUT : std_logic_vector(0 to 7);
signal TID_OUT : std_logic_vector(0 to 7);
-- Clock period definitions
constant clock_sig_period : time := 1 us;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: complete_pic PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
go => go,
ack => ack,
TID_IN => TID_IN,
IID_IN => IID_IN,
CMD_IN => CMD_IN,
RET_OUT => RET_OUT,
TID_OUT => TID_OUT,
interrupts_in => interrupts_in,
clock_sig => clock_sig,
reset_sig => reset_sig
);
-- Clock process definitions
clock_sig_process :process
begin
clock_sig <= '0';
wait for clock_sig_period/2;
clock_sig <= '1';
wait for clock_sig_period/2;
end process;
-- Calculate the log base 2 of some natural number. This function can be
-- used to determine the minimum number of bits needed to represent the
-- given natural number.
function log2( n : in natural ) return positive is
begin
if n <= 2 then
return 1;
else
return 1 + log2(n/2);
end if;
end function log2;
-- Stimulus process
stim_proc: process
procedure assoc(tid : in std_logic_vector(0 to 7); iid : in std_logic_vector(0 to log2(C_NUM_INTERRUPTS)-1)) is
begin
wait until clock_sig = '0';
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0001";
go <= '1';
wait until ack = '1';
wait for 2*clock_sig_period;
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0001";
go <= '0';
wait until ack = '0';
end procedure assoc;
procedure read_entry(tid : in std_logic_vector(0 to 7); iid : in std_logic_vector(0 to log2(C_NUM_INTERRUPTS)-1)) is
begin
wait until clock_sig = '0';
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0000";
go <= '1';
wait until ack = '1';
wait for 2*clock_sig_period;
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0000";
go <= '0';
wait until ack = '0';
end procedure read_entry;
procedure clear_entry(tid : in std_logic_vector(0 to 7); iid : in std_logic_vector(0 to log2(C_NUM_INTERRUPTS)-1)) is
begin
wait until clock_sig = '0';
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0010";
go <= '1';
wait until ack = '1';
wait for 2*clock_sig_period;
TID_IN <= tid;
IID_IN <= iid;
CMD_IN <= "0010";
go <= '0';
wait until ack = '0';
end procedure clear_entry;
procedure generate_interrupt(intr : in std_logic_vector(0 to C_NUM_INTERRUPTS-1)) is
begin
wait until clock_sig = '0';
interrupts_in <= intr;
wait until clock_sig = '1';
wait for 5*clock_sig_period;
end procedure generate_interrupt;
begin
-- hold reset state for 100ms.
wait for 50 ns;
reset_sig <= '1';
wait for clock_sig_period;
reset_sig <= '0';
wait for 5*clock_sig_period;
-- insert stimulus here
go <= '0';
-- start. Write into memory #2 and #3 values 15 and 8.
assoc("00001111","010");
assoc("00001000","011");
-- Change the command to interrupt read an empty register
generate_interrupt("0100");
read_entry("00001010","010");
-- write another TID to memory #0
assoc("10110000","000");
-- specify another write to already chosen memory #0 - failure condition
assoc("00100000","000");
-- Set go to LOW and try to write.
wait for 4*clock_sig_period;
go <= '0';
TID_IN <= "11001100";
IID_IN <= "10";
-- Generate an interrupt for registers #0,#1 and #3
generate_interrupt("1101");
-- read memory address 3
read_entry("00110011","011");
-- Generate last interrupt
wait for 5*clock_sig_period;
generate_interrupt("1111");
wait;
end process;
END;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/ipif_common_v1_00_c/hdl/vhdl/ctrl_reg.vhd
|
2
|
4906
|
-------------------------------------------------------------------------------
-- $Id: ctrl_reg.vhd,v 1.1 2003/02/18 19:16:00 ostlerf Exp $
-------------------------------------------------------------------------------
-- A generic control register for use with the dma_sg block.
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: ctrl_reg.vhd
--
-- Description: Control register with parameterizable width and two
-- write enables.
--
-------------------------------------------------------------------------------
-- Structure:
-- ctrl_reg.vhds
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
-- History:
-- FLO 12/19/01 -- Header added
--
-- -- Two point solution registers are declared
-- -- for this version as XST E.33 does not handle
-- -- the parameterized width.
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity ctrl_reg is
generic(
C_RESET_VAL: std_logic_vector
);
port(
clk : in std_logic;
rst : in std_logic;
chan_sel : in std_logic;
reg_sel : in std_logic;
wr_ce : in std_logic;
d : in std_logic_vector;
q : out std_logic_vector
);
end ctrl_reg;
architecture sim of ctrl_reg is
begin
CTRL_REG_PROCESS: process (clk)
begin
if clk'event and clk='1' then
if (rst = '1') then
q <= C_RESET_VAL;
elsif (chan_sel and reg_sel and wr_ce) = '1' then
q <= d;
end if;
end if;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
entity ctrl_reg_0_to_6 is
generic(
C_RESET_VAL: std_logic_vector
);
port(
clk : in std_logic;
rst : in std_logic;
chan_sel : in std_logic;
reg_sel : in std_logic;
wr_ce : in std_logic;
d : in std_logic_vector(0 to 6);
q : out std_logic_vector(0 to 6)
);
end ctrl_reg_0_to_6;
architecture sim of ctrl_reg_0_to_6 is
begin
CTRL_REG_PROCESS: process (clk)
begin
if clk'event and clk='1' then
if (rst = '1') then
q <= C_RESET_VAL;
elsif (chan_sel and reg_sel and wr_ce) = '1' then
q <= d;
end if;
end if;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
entity ctrl_reg_0_to_0 is
generic(
C_RESET_VAL: std_logic_vector
);
port(
clk : in std_logic;
rst : in std_logic;
chan_sel : in std_logic;
reg_sel : in std_logic;
wr_ce : in std_logic;
-- XGR_E33 d : in std_logic_vector(0 to 0);
-- XGR_E33 q : out std_logic_vector(0 to 0)
d : in std_logic;
q : out std_logic
);
end ctrl_reg_0_to_0;
architecture sim of ctrl_reg_0_to_0 is
begin
CTRL_REG_PROCESS: process (clk)
begin
if clk'event and clk='1' then
if (rst = '1') then
-- XGR_E33 q <= C_RESET_VAL;
q <= C_RESET_VAL(0);
elsif (chan_sel and reg_sel and wr_ce) = '1' then
q <= d;
end if;
end if;
end process;
end;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/bus2ip_amux.vhd
|
3
|
8587
|
-------------------------------------------------------------------------------
-- $Id: bus2ip_amux.vhd,v 1.1 2003/03/15 01:05:24 ostlerf Exp $
-------------------------------------------------------------------------------
-- bus2ip_amux.vhd - vhdl design file for the entity and architecture
-- of the Mauna Loa IPIF Bus to IPIF Bus Address
-- multiplexer.
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: bus2ip_amux.vhd
--
-- Description: This vhdl design file is for the entity and architecture
-- of the Mauna Loa IPIF Bus to IPIF Bus Address Bus Output
-- multiplexer.
--
-------------------------------------------------------------------------------
-- Structure:
--
--
-- bus2ip_amux.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- History:
--
-- DET May-9-01
-- ~~~~~~
-- First version
-- ^^^^^^
--
-- DET May-22-01
-- ~~~~~~
-- Changed architecture to reflect addr counter
-- and non-registered address outputs.
-- ^^^^^^
--
-- FO Oct-15-01
-- ~~~~~~
-- Added byte-enable channel.
-- Subsumed ipif_interrupt.vhd into this file; there is no
-- longer a wrapper.
-- ^^^^^^
--
-- FO Dec-11-01
-- ~~~~~~
-- The automatic sequential address now increments by the word size
-- in bytes instead of by one byte.
-- ^^^^^^
--
-- FO Jan-02-02
-- ~~~~~~
-- Misc cleanup and signal rename actions.
-- ^^^^^^
--
-- FO Nov-01-02
-- ~~~~~~
-- Added an XST workaround (keep attribute on signal reg_addr_plus1).
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- need the unsigned functions
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
entity bus2ip_amux is
Generic (
C_IPIF_ABUS_WIDTH : Integer;
C_IPIF_DBUS_WIDTH : integer
);
port (
-- Clock and Reset
Bus2IP_Reset_i : in std_logic;
Bus2IP_Clk_i : in std_logic;
-- Select control from the Master Attachment
Mstr_sel_ma : in std_logic;
-- Slave Attachment I/O
Addr_Cntr_ClkEN : in std_logic;
Addr_Sel : in std_logic_vector(0 to 1);
Bus2IP_Addr_sa : in std_logic_vector(0 to C_IPIF_ABUS_WIDTH-1);
-- Address Bus inputs from the IP Master
IP2IP_Addr : in std_logic_vector(0 to C_IPIF_ABUS_WIDTH-1);
-- Address Bus inputs from the DMA/SG engine
DMA2IP_Addr : in std_logic_vector(0 to C_IPIF_ABUS_WIDTH-1);
-- IPIF & IP address bus source (AMUX output)
Bus2IP_Addr_i : out std_logic_vector(0 to C_IPIF_ABUS_WIDTH-1);
-- Byte-enable channels
Bus2IP_BE_sa : in std_logic_vector(0 to C_IPIF_DBUS_WIDTH/8 -1);
IP2IP_BE : in std_logic_vector(0 to C_IPIF_DBUS_WIDTH/8 -1);
DMA2IP_BE : in std_logic_vector(0 to C_IPIF_DBUS_WIDTH/8 -1);
Bus2IP_BE_i : out std_logic_vector(0 to C_IPIF_DBUS_WIDTH/8 -1)
);
end bus2ip_amux;
architecture implementation of bus2ip_amux is
-- COMPONENTS
--TYPES
-- no types
-- CONSTANTS
-- no constants
--INTERNAL SIGNALS
Signal selected_addr : std_logic_vector(0 to C_IPIF_ABUS_WIDTH-1);
Signal reg_addr_plus1 : unsigned(0 to C_IPIF_ABUS_WIDTH-1);
Signal addr_plus1 : unsigned(0 to C_IPIF_ABUS_WIDTH-1);
Signal selected_be : std_logic_vector(0 to C_IPIF_DBUS_WIDTH/8-1);
-------------------------
-- XST Begin Work Around, needed at least until F.28, where a fix is planned.
-------------------------
attribute KEEP : string;
attribute KEEP of reg_addr_plus1: signal is "TRUE";
-----------------------
-- XST End Work Around
-----------------------
--------------------------------------------------------------------------------------------------------------
-------------------------------------- start of logic -------------------------------------------------
begin
Bus2IP_Addr_i <= selected_addr;
Bus2IP_BE_i <= selected_be;
-------------------------------------------------------------------------
-- This process switches the desired input address to the MUX output.
-------------------------------------------------------------------------
SELECT_THE_ADDRESSES : process (Addr_Sel, Mstr_sel_ma, Bus2IP_Addr_sa, DMA2IP_Addr,
IP2IP_Addr, reg_addr_plus1,
DMA2IP_BE, IP2IP_BE, Bus2IP_BE_sa)
Begin
Case Addr_Sel Is
When "00" => -- External Bus address Selected
selected_addr <= Bus2IP_Addr_sa;
selected_be <= Bus2IP_BE_sa;
When "01" => -- Local Master Address Selected
If (Mstr_sel_ma = '1') Then
selected_addr <= DMA2IP_Addr;
selected_be <= DMA2IP_BE;
else
selected_addr <= IP2IP_Addr;
selected_be <= IP2IP_BE;
End if;
When "10" => -- Address Register Output Plus 1 Selected
selected_addr <= std_logic_vector(reg_addr_plus1);
If (Mstr_sel_ma = '1') Then
selected_be <= DMA2IP_BE;
else
selected_be <= IP2IP_BE;
End if;
When others => -- Default to External Bus Selected
selected_addr <= Bus2IP_Addr_sa;
selected_be <= Bus2IP_BE_sa;
End case;
End process; --SELECT_THE_ADDRESSES
-------------------------------------------------------------------------
-- Combinationally increment the registered address output for
-- feedback into the select mux
-------------------------------------------------------------------------
INCREMENT_THE_ADDR : process (selected_addr)
Begin
addr_plus1 <= unsigned(selected_addr) + C_IPIF_DBUS_WIDTH/8;
End process; -- INCREMENT_THE_ADDR
-------------------------------------------------------------------------
-- Combinationally increment the registered address by the word size for
-- feedback into the select mux
-------------------------------------------------------------------------
REG_THE_ADDR_PLUS_1 : process (Bus2IP_Reset_i, Bus2IP_Clk_i)
Begin
If (Bus2IP_Reset_i = '1') Then
reg_addr_plus1 <= (others => '0');
Elsif (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then
If (Addr_Cntr_ClkEN = '1') Then
reg_addr_plus1 <= addr_plus1; -- register address+1 input
Else
null; -- Hold last address+1 registered
End if;
else
null;
End if;
End process; -- REG_THE_ADDR_PLUS_1
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/numa3_hwti/design/pcores/plb_scheduler_v1_00_a/hdl/vhdl/parallel.vhd
|
11
|
9985
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_scheduler_v1_00_a/hdl/vhdl/parallel.vhd
|
11
|
9985
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/numa3_hwti/design/pcores/plb_scheduler_v1_00_a/hdl/vhdl/plb_scheduler.vhd
|
9
|
35549
|
------------------------------------------------------------------------------
-- plb_scheduler.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_scheduler.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plbv46_master_single_v1_01_a;
use plbv46_master_single_v1_01_a.plbv46_master_single;
library plb_scheduler_v1_00_a;
use plb_scheduler_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
-- C_MPLB_AWIDTH -- PLBv46 master: address bus width
-- C_MPLB_DWIDTH -- PLBv46 master: data bus width
-- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width
-- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme
-- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave
-- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
-- MPLB_Clk -- PLB main bus Clock
-- MPLB_Rst -- PLB main bus Reset
-- MD_error -- Master detected error status output
-- M_request -- Master request
-- M_priority -- Master request priority
-- M_busLock -- Master buslock
-- M_RNW -- Master read/nor write
-- M_BE -- Master byte enables
-- M_MSize -- Master data bus size
-- M_size -- Master transfer size
-- M_type -- Master transfer type
-- M_TAttribute -- Master transfer attribute
-- M_lockErr -- Master lock error indicator
-- M_abort -- Master abort bus request indicator
-- M_UABus -- Master upper address bus
-- M_ABus -- Master address bus
-- M_wrDBus -- Master write data bus
-- M_wrBurst -- Master burst write transfer indicator
-- M_rdBurst -- Master burst read transfer indicator
-- PLB_MAddrAck -- PLB reply to master for address acknowledge
-- PLB_MSSize -- PLB reply to master for slave data bus size
-- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator
-- PLB_MTimeout -- PLB reply to master for bus time out indicator
-- PLB_MBusy -- PLB reply to master for slave busy indicator
-- PLB_MRdErr -- PLB reply to master for slave read error indicator
-- PLB_MWrErr -- PLB reply to master for slave write error indicator
-- PLB_MIRQ -- PLB reply to master for slave interrupt indicator
-- PLB_MRdDBus -- PLB reply to master for read data bus
-- PLB_MRdWdAddr -- PLB reply to master for read word address
-- PLB_MRdDAck -- PLB reply to master for read data acknowledge
-- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator
-- PLB_MWrDAck -- PLB reply to master for write data acknowledge
-- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator
------------------------------------------------------------------------------
entity plb_scheduler is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 32;
C_MPLB_P2P : integer := 0;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_MPLB_CLK_PERIOD_PS : integer := 10000
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
Soft_Stop : in std_logic;
SWTM_DOB : in std_logic_vector(0 to 31);
SWTM_ADDRB : out std_logic_vector(0 to 8);
SWTM_DIB : out std_logic_vector(0 to 31);
SWTM_ENB : out std_logic;
SWTM_WEB : out std_logic;
TM2SCH_current_cpu_tid : in std_logic_vector(0 to 7);
TM2SCH_opcode : in std_logic_vector(0 to 5);
TM2SCH_data : in std_logic_vector(0 to 7);
TM2SCH_request : in std_logic;
SCH2TM_busy : out std_logic;
SCH2TM_data : out std_logic_vector(0 to 7);
SCH2TM_next_cpu_tid : out std_logic_vector(0 to 7);
SCH2TM_next_tid_valid : out std_logic;
Preemption_Interrupt : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_UABus : out std_logic_vector(0 to 31);
M_ABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of MPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
attribute SIGIS of MPLB_Rst : signal is "RST";
end entity plb_scheduler;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_scheduler is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
--constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
--constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
--constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;--+USER_MST_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
constant IPIF_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_MPLB_AWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
--constant USER_MST_CS_INDEX : integer := 1;
--constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_IP2Bus_MstRd_Req : std_logic;
signal ipif_IP2Bus_MstWr_Req : std_logic;
signal ipif_IP2Bus_Mst_Addr : std_logic_vector(0 to C_MPLB_AWIDTH-1);
signal ipif_IP2Bus_Mst_BE : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
signal ipif_IP2Bus_Mst_Lock : std_logic;
signal ipif_IP2Bus_Mst_Reset : std_logic;
signal ipif_Bus2IP_Mst_CmdAck : std_logic;
signal ipif_Bus2IP_Mst_Cmplt : std_logic;
signal ipif_Bus2IP_Mst_Error : std_logic;
signal ipif_Bus2IP_Mst_Rearbitrate : std_logic;
signal ipif_Bus2IP_Mst_Cmd_Timeout : std_logic;
signal ipif_Bus2IP_MstRd_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstRd_src_rdy_n : std_logic;
signal ipif_IP2Bus_MstWr_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstWr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate plbv46_master_single
------------------------------------------
PLBV46_MASTER_SINGLE_I : entity plbv46_master_single_v1_01_a.plbv46_master_single
generic map
(
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => IPIF_MST_DWIDTH,
C_FAMILY => C_FAMILY
)
port map
(
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => MD_error,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_scheduler_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_MST_AWIDTH => USER_MST_AWIDTH,
C_MST_DWIDTH => USER_MST_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
Soft_Reset => Soft_Reset ,
Reset_Done => Reset_Done ,
Soft_Stop => Soft_Stop ,
SWTM_DOB => SWTM_DOB ,
SWTM_ADDRB => SWTM_ADDRB ,
SWTM_DIB => SWTM_DIB ,
SWTM_ENB => SWTM_ENB ,
SWTM_WEB => SWTM_WEB ,
TM2SCH_current_cpu_tid => TM2SCH_current_cpu_tid ,
TM2SCH_opcode => TM2SCH_opcode ,
TM2SCH_data => TM2SCH_data ,
TM2SCH_request => TM2SCH_request ,
SCH2TM_busy => SCH2TM_busy ,
SCH2TM_data => SCH2TM_data ,
SCH2TM_next_cpu_tid => SCH2TM_next_cpu_tid ,
SCH2TM_next_tid_valid => SCH2TM_next_tid_valid ,
Preemption_Interrupt => Preemption_Interrupt ,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "1" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
--user_Bus2IP_RdCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
user_Bus2IP_WrCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
--user_Bus2IP_WrCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/hwti_mblaze_6smp/design/pcores/plb_cond_vars_v1_00_a/hdl/vhdl/condvar_tb.vhd
|
9
|
5092
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:46:38 06/16/2009
-- Design Name:
-- Module Name: /home/jagron/ise_projects/cond_var/proj/condvar_tb.vhd
-- Project Name: proj
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: condvar
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
ENTITY condvar_tb IS
END condvar_tb;
ARCHITECTURE behavior OF condvar_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT condvar
PORT(
msg_chan_channelDataIn : OUT std_logic_vector(0 to 7);
msg_chan_channelDataOut : IN std_logic_vector(0 to 7);
msg_chan_exists : IN std_logic;
msg_chan_full : IN std_logic;
msg_chan_channelRead : OUT std_logic;
msg_chan_channelWrite : OUT std_logic;
cmd : IN std_logic;
opcode : IN std_logic_vector(0 to 1);
cvar : IN std_logic_vector(0 to 7);
tid : IN std_logic_vector(0 to 7);
ack : OUT std_logic;
clock_sig : IN std_logic;
reset_sig : IN std_logic
);
END COMPONENT;
--Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to 1) := (others => '0');
signal cvar : std_logic_vector(0 to 7) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal clock_sig : std_logic := '0';
signal reset_sig : std_logic := '0';
--Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
-- Clock period definitions
constant clock_sig_period : time := 10 ns;
constant C_ENQ : std_logic_vector(0 to 2-1) := conv_std_logic_vector(0, 2); -- Opcode for "wait" enqueue
constant C_DEQ : std_logic_vector(0 to 2-1) := conv_std_logic_vector(1, 2); -- Opcode for "signal" dequeue
constant C_DEQALL : std_logic_vector(0 to 2-1) := conv_std_logic_vector(2, 2); -- Opcode for "broadcast" dequeue
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: condvar PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
cmd => cmd,
opcode => opcode,
cvar => cvar,
tid => tid,
ack => ack,
clock_sig => clock_sig,
reset_sig => reset_sig
);
-- Clock process definitions
clock_sig_process :process
begin
clock_sig <= '0';
wait for clock_sig_period/2;
clock_sig <= '1';
wait for clock_sig_period/2;
end process;
-- Stimulus process
stim_proc: process
procedure operation(aopcode : in std_logic_vector(0 to 1); acvar: in integer; atid : in integer) is
begin
-- Send a packet
wait until clock_sig = '0' and ack = '0';
cvar <= conv_std_logic_vector(acvar,8);
tid <= conv_std_logic_vector(atid,8);
cmd <= '1';
opcode <= aopcode;
wait until ack = '1';
cvar <= conv_std_logic_vector(0, 8);
tid <= conv_std_logic_vector(0, 8);
cmd <= '0';
opcode <= "00";
wait until clock_sig = '0';
wait for 4*clock_sig_period;
end procedure operation;
begin
wait for clock_sig_period*10;
-- Reset the core
reset_sig <= '1';
wait for clock_sig_period;
reset_sig <= '0';
wait for clock_sig_period;
-- Delay
wait for clock_sig_period*2048;
-- ENQ
operation(C_ENQ,0,9);
-- ENQ
operation(C_ENQ,0,7);
-- ENQ
operation(C_ENQ,0,5);
-- ENQ
operation(C_ENQ,0,3);
-- ENQ
operation(C_ENQ,1,10);
-- ENQ
operation(C_ENQ,1,8);
-- ENQ
operation(C_ENQ,1,6);
-- ENQ
operation(C_ENQ,1,4);
-- DEQ
operation(C_DEQ,0,0);
operation(C_DEQ,0,0);
operation(C_DEQ,0,0);
-- DEQ-ALL
operation(C_DEQALL,1,0);
-- DEQ-ALL
operation(C_DEQALL,0,0);
wait;
end process;
END;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/smp_synch_core_v1_00_a/hdl/vhdl/user_logic.vhd
|
2
|
17674
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Tue Aug 4 00:06:12 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 16
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg1 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg2 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg3 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg4 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg5 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg6 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg7 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg8 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg9 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg10 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg11 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg12 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg13 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg14 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg15 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 15);
signal slv_reg_read_sel : std_logic_vector(0 to 15);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 15);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 15);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
else
case slv_reg_write_sel is
when "1000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
-- JA: Writing slv_reg0 updates both slv_reg0 and slv_reg1
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0100000000000000" =>
-- JA: Upon writing, slv_reg1 auto increments
slv_reg1 <= slv_reg1 + 1;
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
-- end if;
-- end loop;
when "0010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg4(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg5(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg6(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg7(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg8(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg9(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg10(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg11(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg12(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg13(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg14(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg15(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15 ) is
begin
case slv_reg_read_sel is
when "1000000000000000" => slv_ip2bus_data <= slv_reg0;
when "0100000000000000" => slv_ip2bus_data <= slv_reg1;
when "0010000000000000" => slv_ip2bus_data <= slv_reg2;
when "0001000000000000" => slv_ip2bus_data <= slv_reg3;
when "0000100000000000" => slv_ip2bus_data <= slv_reg4;
when "0000010000000000" => slv_ip2bus_data <= slv_reg5;
when "0000001000000000" => slv_ip2bus_data <= slv_reg6;
when "0000000100000000" => slv_ip2bus_data <= slv_reg7;
when "0000000010000000" => slv_ip2bus_data <= slv_reg8;
when "0000000001000000" => slv_ip2bus_data <= slv_reg9;
when "0000000000100000" => slv_ip2bus_data <= slv_reg10;
when "0000000000010000" => slv_ip2bus_data <= slv_reg11;
when "0000000000001000" => slv_ip2bus_data <= slv_reg12;
when "0000000000000100" => slv_ip2bus_data <= slv_reg13;
when "0000000000000010" => slv_ip2bus_data <= slv_reg14;
when "0000000000000001" => slv_ip2bus_data <= slv_reg15;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/attr_destroy_3.vhd
|
2
|
15295
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-- attr_destroy_3.c
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_attr_t * attr = (hthread_attr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
-- hthread_attr_init( attr );
when STATE_1 =>
-- Push the argument to hthread_attr_init
arg_next <= intrfc2thrd_value;
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Call hthread_attr_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_3;
next_state <= WAIT_STATE;
-- retVal = hthread_attr_destroy( attr );
when STATE_3 =>
-- Push the argument to hthread_attr_init
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- Call hthread_attr_destroy
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_DESTROY;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_5;
next_state <= WAIT_STATE;
when STATE_5 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/equal_2.vhd
|
2
|
15082
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- equal_2.c
-- FIRST_THREAD 5
-- SECOND_THREAD 7
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- if ( hthread_self( FIRST_THREAD, SECOND_THREAD ) == 0 ) retVal = SUCCESS;
-- else retVal = FAILURE;
when FUNCTION_START =>
-- Push FIRST_THREAD
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"00000005";
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
-- Push SECOND_THREAD
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"00000007";
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Call hthread_equal
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_EQUAL;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_3;
next_state <= WAIT_STATE;
when STATE_3 =>
case intrfc2thrd_value is
when x"00000000" =>
retVal_next <= Z32;
when others =>
retVal_next <= x"00000001";
end case;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/plbv46_opb_bridge_v1_01_a/hdl/vhdl/opb_master.vhd
|
3
|
22716
|
-------------------------------------------------------------------------------
-- $Id: opb_master.vhd,v 1.1.2.1 2008/12/19 20:58:34 mlovejoy Exp $
-------------------------------------------------------------------------------
-- opb_master.vhd - Version v1_0_a
-------------------------------------------------------------------------------
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2006, 2007, 2008 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-- Filename: opb_master.vhd
-- Version: v1_01_a
-- Description:
--
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: <Tim Davis>
--
-- History:
--
-- TRD 9/8/2006
-- ~~~~~~
-- - Initial release of v1_00_a
-- ^^^^^^
-- MLL 8/28/2008
-- New version plbv46_opb_bridge_v1_01_a to include new
-- plbv46_slave_burst_v1_01_a and proc_common v3.00.a.
-- Also added coverage off/on for code coverage testing.
-- Removed Changelog and DISCLAIMER OF LIABILITY updated.
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
-- NOTES:
-- 1) The CE's from the IPIC are not used but included for consistency to make
-- it easier to connect things up. That unfortunately makes it necessary to
-- include the C_ARD_ADDR_RANGE_ARRAY and C_ARD_NUM_CE_ARRAY generics. **Maybe**
-- there is a chance these might be used in the future.
--
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
--use ieee.std_logic_misc.all;
LIBRARY proc_common_v3_00_a;
USE proc_common_v3_00_a.proc_common_pkg.ALL;
--use proc_common_v2_00_a.proc_common_pkg.log2;
--use proc_common_v2_00_a.proc_common_pkg.max2;
USE proc_common_v3_00_a.family.ALL;
USE proc_common_v3_00_a.ipif_pkg.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY plbv46_opb_bridge_v1_01_a;
USE plbv46_opb_bridge_v1_01_a.ALL;
-------------------------------------------------------------------------------
ENTITY opb_master IS
GENERIC (
-- Base address and high address pairs.
C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
-- This array speifies the number of Chip Enables (CE) that is
-- required by the cooresponding baseaddr pair.
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
1, -- User0 CE Number
8 -- User1 CE Number
);
-- width of the PLB Address Bus (in bits)
C_SPLB_AWIDTH : integer RANGE 32 TO 36 := 32;
-- Width of IPIF (Hence IPIC) Data Bus (in bits). This parameter is kept
-- for consistency with the plbv46_slave_burst core but should always be
-- 32 to match the OPB data width.
C_SPLB_DWIDTH : integer RANGE 32 TO 128 := 128;
C_SIPIF_DWIDTH : integer RANGE 32 TO 32 := 32;
-- PLB:OPB clock period ratio. 1=1:1, 2=1:2
C_BUS_CLOCK_PERIOD_RATIO : integer RANGE 1 TO 2 := 1;
C_FAMILY : string := virtex4 -- Select the target architecture type
);
PORT (
-- IP Interconnect (IPIC) Interface from PLBv46 IPIF
Bus2IP_Clk : IN std_logic;
Bus2IP_Reset : IN std_logic;
IP2Bus_Data : OUT std_logic_vector(0 TO C_SIPIF_DWIDTH-1);
IP2Bus_WrAck : OUT std_logic;
IP2Bus_RdAck : OUT std_logic;
IP2Bus_AddrAck : OUT std_logic;
IP2Bus_Error : OUT std_logic;
Bus2IP_Addr : IN std_logic_vector(0 TO C_SPLB_AWIDTH-1);
Bus2IP_Data : IN std_logic_vector(0 TO C_SIPIF_DWIDTH-1);
Bus2IP_RNW : IN std_logic;
Bus2IP_BE : IN std_logic_vector(0 TO C_SIPIF_DWIDTH/8-1);
Bus2IP_Burst : IN std_logic;
Bus2IP_BurstLength : IN std_logic_vector(0 TO log2(16 * (C_SPLB_DWIDTH/8)));
Bus2IP_WrReq : IN std_logic;
Bus2IP_RdReq : IN std_logic;
Bus2IP_CS : IN std_logic_vector(0 TO ((C_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
Bus2IP_RdCE : IN std_logic_vector(0 TO calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
Bus2IP_WrCE : IN std_logic_vector(0 TO calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
-- OPB Bus Master Interface
OPB_Clk : IN std_logic;
OPB_Rst : IN std_logic;
Mn_request : OUT std_logic;
Mn_busLock : OUT std_logic;
Mn_select : OUT std_logic;
Mn_RNW : OUT std_logic;
Mn_BE : OUT std_logic_vector(0 TO 32/8-1);
Mn_seqAddr : OUT std_logic;
Mn_DBus : OUT std_logic_vector(0 TO 32-1);
Mn_ABus : OUT std_logic_vector(0 TO 32-1);
OPB_MGrant : IN std_logic := '0';
OPB_xferAck : IN std_logic := '0';
OPB_errAck : IN std_logic := '0';
OPB_retry : IN std_logic := '0';
OPB_timeout : IN std_logic := '0';
OPB_DBus : IN std_logic_vector(0 TO 32 - 1)
);
END ENTITY opb_master;
ARCHITECTURE syn OF opb_master IS
CONSTANT prop_delay : time := 1 NS; -- For simulation clarity only
CONSTANT ce_all_zero : std_logic_vector(Bus2IP_WrCE'range) := (OTHERS => '0');
TYPE bridge_state_type IS (REQUEST, TRANSACT, WAIT_DESELECT, FORCEWT);
SIGNAL bridge_ns, bridge_cs : bridge_state_type;
SIGNAL Mn_select_ns, Mn_select_cs : std_logic;
SIGNAL Mn_busLock_ns, Mn_busLock_cs : std_logic;
SIGNAL Mn_seqAddr_ns, Mn_seqAddr_cs : std_logic;
SIGNAL Mn_RNW_ns, Mn_RNW_cs : std_logic;
SIGNAL Mn_BE_ns, Mn_BE_cs : std_logic_vector(0 TO 3);
SIGNAL IP2Bus_AddrAck_ns, IP2Bus_AddrAck_cs : std_logic;
SIGNAL IP2Bus_WrAck_ns, IP2Bus_WrAck_cs : std_logic;
SIGNAL IP2Bus_RdAck_ns, IP2Bus_RdAck_cs : std_logic;
SIGNAL IP2Bus_Error_ns, IP2Bus_Error_cs : std_logic;
SIGNAL ce_reduce : std_logic; -- 'OR' Reduce of the Bus2IP chip enables
SIGNAL bus2ip_select : std_logic; -- Indicates transaction req from bus
BEGIN
--coverage off
ASSERT (C_SPLB_AWIDTH = 32)
REPORT "The PLB Address Width does not equal 32 which is required by the OPB bus."
SEVERITY FAILURE;
--coverage on
-- The bridge reacts when one of the chip enables from the IPIC interface
-- asserts indicating a request to the bridge. For smallest amount of logic
-- there should only be one chip enable per (chip select) address region.
-- The s1, s2, and s3 signal assignments below use an OR-reduce operation on
-- the read and write IPIC chip enables to identify when the
-- plbv46_slave_burst is requesting access to the OPB bus. In the 1:2 clock
-- ratio case the select signal deassertion period needs to be stretched.
s1 : ce_reduce <= '0' AFTER prop_delay WHEN
Bus2IP_WrCE = ce_all_zero
AND Bus2IP_RdCE = ce_all_zero
ELSE
'1' AFTER prop_delay;
select_gen1 : IF (C_BUS_CLOCK_PERIOD_RATIO = 1) GENERATE
-- No pulse stretching is required in the 1:1 clock ratio case.
s2 : bus2ip_select <= ce_reduce;
END GENERATE select_gen1;
select_gen2 : IF (C_BUS_CLOCK_PERIOD_RATIO = 2) GENERATE
-- This process stretches the low going pulse of the ce_reduce to
-- ensure that it lasts for two Bus2IP_clk periods which equals one
-- OPB_CLK period. That way the state machine can see the
-- deassertion of the IPIC interface request.
PROCESS (Bus2IP_Clk, ce_reduce) IS
VARIABLE ce_reduce_dly1 : std_logic;
BEGIN
s3 : bus2ip_select <= ce_reduce AND ce_reduce_dly1;
IF (rising_edge(Bus2IP_Clk)) THEN
ce_reduce_dly1 := ce_reduce;
END IF;
END PROCESS;
END GENERATE select_gen2;
--
-- The state machine connects the two protocols -- opb and ipic
--
bfsm : PROCESS (Bus2IP_BE, Bus2IP_Burst, Bus2IP_RNW, IP2Bus_AddrAck_cs,
IP2Bus_Error_cs, IP2Bus_RdAck_cs, IP2Bus_WrAck_cs, Mn_BE_cs,
Mn_RNW_cs, Mn_busLock_cs, Mn_select_cs,
Mn_seqAddr_cs, OPB_MGrant, OPB_errAck, OPB_retry,
OPB_timeout, OPB_xferAck, bridge_cs, bus2ip_select) IS
-- Combinatorial Next state and output decoding
BEGIN
-- Default to holding current state of all outputs
bridge_ns <= bridge_cs AFTER prop_delay;
Mn_select_ns <= Mn_select_cs AFTER prop_delay;
Mn_busLock_ns <= Mn_busLock_cs AFTER prop_delay;
Mn_seqAddr_ns <= Mn_seqAddr_cs AFTER prop_delay;
Mn_RNW_ns <= Mn_RNW_cs AFTER prop_delay;
Mn_BE_ns <= Mn_BE_cs AFTER prop_delay;
IP2Bus_AddrAck_ns <= IP2Bus_AddrAck_cs AFTER prop_delay;
IP2Bus_WrAck_ns <= IP2Bus_WrAck_cs AFTER prop_delay;
IP2Bus_RdAck_ns <= IP2Bus_RdAck_cs AFTER prop_delay;
IP2Bus_Error_ns <= IP2Bus_Error_cs AFTER prop_delay;
CASE bridge_cs IS
WHEN REQUEST =>
IP2Bus_Error_ns <= '0' AFTER prop_delay;
IP2Bus_AddrAck_ns <= '0' AFTER prop_delay;
IP2Bus_WrAck_ns <= '0' AFTER prop_delay;
IP2BUS_RdAck_ns <= '0' AFTER prop_delay;
-- Waiting for a request from the PLBv46 IPIF
-- (plbv46_slave_burst_v1_01_a) via Chip enable assertion
IF (OPB_MGrant = '1') THEN
-- Because Mn_request is combinatorially dependent on
-- bus2ip_select='1' already it would seem that the following if
-- condition is redundant. This is true except when bus parking
-- is activated in which case the OPB_MGrant can assert without
-- Mn_request ever asserting. DONT'T REMOVE THIS CONDITION!
-- (OPB Arbiter bus parking on this Master permits a faster
-- response by pre-issuing the grant prior to a request. Note
-- that the request never has to be asserted. I'm assuming it
-- can be without harm.)
IF (bus2ip_select = '1') THEN
bridge_ns <= TRANSACT AFTER prop_delay;
Mn_select_ns <= '1' AFTER prop_delay;
Mn_busLock_ns <= Bus2IP_Burst AFTER prop_delay;
Mn_seqAddr_ns <= Bus2IP_Burst AFTER prop_delay;
Mn_RNW_ns <= Bus2IP_RNW AFTER prop_delay;
Mn_BE_ns <= Bus2IP_BE AFTER prop_delay;
END IF;
END IF;
WHEN TRANSACT =>
IP2Bus_AddrAck_ns <= OPB_xferAck AND NOT OPB_retry AFTER prop_delay;
IP2Bus_WrAck_ns <= OPB_xferAck AND NOT Bus2IP_RNW AFTER prop_delay;
IP2BUS_RdAck_ns <= OPB_xferAck AND Bus2IP_RNW AFTER prop_delay;
IP2BUS_Error_ns <= (OPB_xferAck AND OPB_errAck)
OR (OPB_timeout AND NOT OPB_xferAck) AFTER prop_delay;
IF (bus2ip_select = '1') THEN
IF (OPB_retry = '1') THEN
-- IPIF still wants to get it's request satisfied but the SM
-- must go back to re-request the OPB bus again. Retry and
-- xferAck are mutually exclusive so the IPIF won't be ack'd
-- on retry. The slave can retry indefinately. (Note: This
-- should be fixed eventually via a retry timeout counter.)
bridge_ns <= FORCEWT AFTER prop_delay;
Mn_seqAddr_ns <= '0' AFTER prop_delay;
Mn_busLock_ns <= '0' AFTER prop_delay;
Mn_RNW_ns <= '0' AFTER prop_delay;
mn_BE_ns <= (OTHERS => '0') AFTER prop_delay;
Mn_select_ns <= '0' AFTER prop_delay;
ELSIF (OPB_xferAck) = '1' THEN
IF(NOT Bus2IP_Burst) = '1' THEN
-- End of transaction from IPIF
bridge_ns <= WAIT_DESELECT AFTER prop_delay;
Mn_seqAddr_ns <= '0' AFTER prop_delay;
Mn_busLock_ns <= '0' AFTER prop_delay;
Mn_RNW_ns <= '0' AFTER prop_delay;
mn_BE_ns <= (OTHERS => '0') AFTER prop_delay;
Mn_select_ns <= '0' AFTER prop_delay;
END IF;
ELSIF OPB_timeout = '1' THEN
-- OPB_xferAck takes precedence over OPB_timeout
bridge_ns <= WAIT_DESELECT AFTER prop_delay;
Mn_seqAddr_ns <= '0' AFTER prop_delay;
Mn_busLock_ns <= '0' AFTER prop_delay;
Mn_RNW_ns <= '0' AFTER prop_delay;
mn_BE_ns <= (OTHERS => '0') AFTER prop_delay;
Mn_select_ns <= '0' AFTER prop_delay;
END IF;
ELSE
-- This represents an OPB "Master Abort"
bridge_ns <= REQUEST AFTER prop_delay;
-- Must deassert bus lock here in case of abnormal termination
Mn_seqAddr_ns <= '0' AFTER prop_delay;
Mn_busLock_ns <= '0' AFTER prop_delay;
Mn_RNW_ns <= '0' AFTER prop_delay;
mn_BE_ns <= (OTHERS => '0') AFTER prop_delay;
Mn_select_ns <= '0' AFTER prop_delay;
END IF;
WHEN WAIT_DESELECT =>
-- The plbv46_burst_slave_v1_00_a pipelines its Bus2IP chip
-- selects. They won't disappear until the *ack is sampled
-- high at the next rising edge. This state checks that it IS
-- deasserted. Otherwise, the state machine will recognize a NEW
-- transaction immediately after the first one completes.
IP2Bus_AddrAck_ns <= '0' AFTER prop_delay;
IP2Bus_WrAck_ns <= '0' AFTER prop_delay;
IP2BUS_RdAck_ns <= '0' AFTER prop_delay;
IP2BUS_Error_ns <= '0' AFTER prop_delay;
bridge_ns <= REQUEST AFTER prop_delay;
WHEN FORCEWT =>
-- After a retry the master is required to stay off the bus for one
-- clock.
bridge_ns <= REQUEST AFTER prop_delay;
--coverage off
WHEN OTHERS => NULL;
--coverage on
END CASE;
END PROCESS bfsm;
state : PROCESS (OPB_Clk, OPB_Rst) IS
-- State Machine state register
BEGIN
IF (OPB_Rst = '1') THEN
bridge_cs <= REQUEST AFTER prop_delay;
ELSIF (rising_edge(OPB_Clk)) THEN
bridge_cs <= bridge_ns AFTER prop_delay;
END IF;
END PROCESS state;
oreg : PROCESS (OPB_Clk, OPB_Rst) IS
-- State Machine output registers
BEGIN
IF (OPB_Rst = '1') THEN
Mn_select_cs <= '0' AFTER prop_delay;
Mn_busLock_cs <= '0' AFTER prop_delay;
Mn_seqAddr_cs <= '0' AFTER prop_delay;
Mn_RNW_cs <= '0' AFTER prop_delay;
Mn_BE_cs <= (OTHERS => '0') AFTER prop_delay;
IP2Bus_AddrAck_cs <= '0' AFTER prop_delay;
IP2Bus_WrAck_cs <= '0' AFTER prop_delay;
IP2Bus_RdAck_cs <= '0' AFTER prop_delay;
IP2Bus_Error_cs <= '0' AFTER prop_delay;
ELSIF (rising_edge(OPB_Clk)) THEN
Mn_select_cs <= Mn_select_ns AFTER prop_delay;
Mn_busLock_cs <= Mn_busLock_ns AFTER prop_delay;
Mn_seqAddr_cs <= Mn_seqAddr_ns AFTER prop_delay;
Mn_RNW_cs <= Mn_RNW_ns AFTER prop_delay;
Mn_BE_cs <= Mn_BE_ns AFTER prop_delay;
IP2Bus_AddrAck_cs <= IP2Bus_AddrAck_ns AFTER prop_delay;
IP2Bus_WrAck_cs <= IP2Bus_WrAck_ns AFTER prop_delay;
IP2Bus_RdAck_cs <= IP2Bus_RdAck_ns AFTER prop_delay;
IP2Bus_Error_cs <= IP2Bus_Error_ns AFTER prop_delay;
END IF;
END PROCESS oreg;
apath : PROCESS (Bus2IP_Addr(0 TO 31), Mn_select_cs) IS
-- Master address bus registers
BEGIN
IF Mn_select_cs = '0' THEN
-- The Master's ABus is or'd externally with the other Master ABus'.
-- The master must not drive its ABus unless it is selected.
Mn_ABus <= (OTHERS => '0');
ELSE
-- PLBv46 spec, pg 32, sect 2.5.1.1, "For non-line transfers, this
-- 32-bit bus indicates the lowest numbered byte address of the target
-- data to be read/written over the PLB."
Mn_ABus <= Bus2IP_Addr(0 TO 31);
END IF;
END PROCESS apath;
mn_dpath : PROCESS (Bus2IP_Data(0 TO 31), Bus2IP_RNW, Mn_select_cs) IS
-- Master data bus registers
BEGIN
IF (NOT Mn_select_cs OR Bus2IP_RNW) = '1' THEN
-- The Master's DBus is or'd externally with the slave's bus. On Reads
-- the master must not be driving anything or the data on the OPB_DBus
-- will be corrupted. It must not drive anything on writes if not
-- selected either.
Mn_DBus <= (OTHERS => '0');
ELSE
Mn_DBus <= Bus2IP_Data(0 TO 31);
END IF;
END PROCESS mn_dpath;
s2 : IP2Bus_Data(0 TO 31) <= OPB_DBus AFTER prop_delay;
clkratio1to1 : IF (C_BUS_CLOCK_PERIOD_RATIO = 1) GENERATE
-- Does the opb_master only see acks when its select is asserted? Or does it
-- see every device's ack? IE Does it need to qualify the acks w/ select?
s3 : IP2Bus_AddrAck <= IP2Bus_AddrAck_ns;
s4 : IP2Bus_WrAck <= IP2Bus_WrAck_ns;
s5 : IP2Bus_RdAck <= IP2Bus_RdAck_ns;
s6 : IP2Bus_Error <= IP2Bus_Error_ns;
END GENERATE clkratio1to1;
clkratio1to2 : IF (C_BUS_CLOCK_PERIOD_RATIO = 2) GENERATE
--
-- To jump the clock domain boundary the longer (OPB_Clk period) acks are
-- translated to a single pulse in the (PLB_Clk period) domain. Note that
-- the OPB_CLK is "data" with respect to the BUS2IP_CLK (plb clock) even
-- though both are presumably generated from the same DLL.
--
-- __ __ __
-- BUS2IP_Clk __/ \__/ \__/ \__/
-- _____ _____
-- OPB_Clk __/ \_____/ \
-- ___________
-- IP2Bus_AddrAck_ns ___/ \______
-- _____
-- IP2Bus_AddrAck _________/ \______
--
s3 : IP2Bus_AddrAck <= IP2Bus_AddrAck_ns AND NOT OPB_CLK;
s4 : IP2Bus_WrAck <= IP2Bus_WrAck_ns AND NOT OPB_CLK;
s5 : IP2Bus_RdAck <= IP2Bus_RdAck_ns AND NOT OPB_CLK;
s6 : IP2Bus_Error <= IP2Bus_Error_ns AND NOT OPB_CLK;
END GENERATE clkratio1to2;
s10 : Mn_request <= '1' AFTER prop_delay WHEN bus2ip_select = '1' AND bridge_cs = REQUEST ELSE
'0' AFTER prop_delay; -- The request has to drop synchronously
-- in case the grant is simply the
-- request fed back asynchronously.
s11 : Mn_select <= Mn_select_cs;
s12 : Mn_busLock <= Mn_busLock_cs;
s13 : Mn_seqAddr <= Mn_seqAddr_cs;
s14 : Mn_RNW <= Mn_RNW_cs;
s15 : Mn_BE <= Mn_BE_cs;
END ARCHITECTURE syn;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/pf_adder_bit.vhd
|
3
|
10202
|
-------------------------------------------------------------------------------
-- $Id: pf_adder_bit.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_adder_bit.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_adder_bit.vhd
--
-- Description: Implements 1 bit of the pf_adder
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_adder_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input signal and connected it to the FDRE
-- reset input.
--
-- DET 2001-09-25
-- - Added generic to allow selection of a registered output
--
-- DET 2002-02-24
-- - Changed to call out proc_common_v1_00_b library.
-- - CHanged the use of MUXCY_L to MUXCY.
--
--
-- DET 3/25/2004 ipif to v1_00_f
-- ~~~~~~
-- - Changed to call out proc_common_v2_00_a library.
-- ^^^^^^
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
Use opb_v20_v1_10_d.inferred_lut4;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_adder_bit is
generic (
C_REGISTERED_RESULT : Boolean := true
);
port (
Clk : in std_logic;
Rst : In std_logic;
Ain : in std_logic; -- A operand
Bin : in std_logic; -- B operand
Add_sub_n : in std_logic; -- Function ('1' = add, '0' = A - B)
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic
);
end pf_adder_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_adder_bit is
--- xst wrk around component LUT4 is
--- xst wrk around generic(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon : boolean;
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT : bit_vector := X"0000"
--- xst wrk around );
--- xst wrk around port (
--- xst wrk around O : out std_logic;
--- xst wrk around I0 : in std_logic;
--- xst wrk around I1 : in std_logic;
--- xst wrk around I2 : in std_logic;
--- xst wrk around I3 : in std_logic);
--- xst wrk around end component LUT4;
signal lutout_AddSub : std_logic;
signal addsub_result : std_logic;
signal addsub_result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
--- xst wrk around I_ALU_LUT : LUT4
--- xst wrk around generic map(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon => false,
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT => X"0069"
--- xst wrk around )
--- xst wrk around port map (
--- xst wrk around O => lutout_AddSub,
--- xst wrk around I0 => Bin,
--- xst wrk around I1 => Ain,
--- xst wrk around I2 => Add_sub_n,
--- xst wrk around I3 => '0');
I_ALU_LUT : entity opb_v20_v1_10_d.inferred_lut4
generic map(
INIT => X"0069"
)
port map (
O => lutout_AddSub,
I0 => Bin,
I1 => Ain,
I2 => Add_sub_n,
I3 => '0');
MUXCY_I : MUXCY
port map (
DI => Ain,
CI => Carry_In,
S => lutout_AddSub,
O => Carry_Out);
XOR_I : XORCY
port map (
LI => lutout_AddSub,
CI => Carry_In,
O => addsub_result);
FDRE_I: FDRE
port map (
Q => addsub_result_Reg,
C => Clk,
CE => Clock_Enable,
D => addsub_result,
R => Rst
);
USE_REGISTERED_RESULT : if (C_REGISTERED_RESULT = true) generate
Result <= addsub_result_Reg; -- registered version
end generate USE_REGISTERED_RESULT;
USE_COMBINATIONAL_RESULT : if (C_REGISTERED_RESULT = false) generate
Result <= addsub_result; -- combinational version
end generate USE_COMBINATIONAL_RESULT;
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/equal_1.vhd
|
2
|
15082
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- equal_1.c
-- FIRST_THREAD 5
-- SECOND_THREAD 5
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- if ( hthread_self( FIRST_THREAD, SECOND_THREAD ) != 0 ) retVal = SUCCESS;
-- else retVal = FAILURE;
when FUNCTION_START =>
-- Push FIRST_THREAD
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"00000005";
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
-- Push SECOND_THREAD
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"00000005";
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Call hthread_equal
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_EQUAL;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_3;
next_state <= WAIT_STATE;
when STATE_3 =>
case intrfc2thrd_value is
when x"00000000" =>
retVal_next <= x"00000001";
when others =>
retVal_next <= Z32;
end case;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp1/design/pcores/plb_scheduler_v1_00_a/hdl/vhdl/user_logic.vhd
|
10
|
89379
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
Soft_Stop : in std_logic;
SWTM_DOB : in std_logic_vector(0 to 31);
SWTM_ADDRB : out std_logic_vector(0 to 8);
SWTM_DIB : out std_logic_vector(0 to 31);
SWTM_ENB : out std_logic;
SWTM_WEB : out std_logic;
TM2SCH_current_cpu_tid : in std_logic_vector(0 to 7);
TM2SCH_opcode : in std_logic_vector(0 to 5);
TM2SCH_data : in std_logic_vector(0 to 7);
TM2SCH_request : in std_logic;
SCH2TM_busy : out std_logic;
SCH2TM_data : out std_logic_vector(0 to 7);
SCH2TM_next_cpu_tid : out std_logic_vector(0 to 7);
SCH2TM_next_tid_valid : out std_logic;
Preemption_Interrupt : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
-- Define the memory map for each command register, Address[24 to 31]
-- This value is the offset from the base address assigned to this module
constant C_TOGGLE_PREEMPTION : std_logic_vector(0 to 7) := "01001000"; -- 0x48
constant C_SET_DEFAULT_PRIORITY : std_logic_vector(0 to 7) := "01001100"; -- 0x4C
constant C_GET_IDLE_THREAD : std_logic_vector(0 to 7) := "01010000"; -- 0x50
constant C_SET_IDLE_THREAD : std_logic_vector(0 to 7) := "01010100"; -- 0x54
constant C_GET_ENCODER_OUTPUT : std_logic_vector(0 to 7) := "01011000"; -- 0x58
constant C_SET_SCHEDPARAM : std_logic_vector(0 to 7) := "01011100"; -- 0x5C
constant C_GET_ENTRY : std_logic_vector(0 to 7) := "01100000"; -- 0x60
constant C_GET_SCHEDPARAM : std_logic_vector(0 to 7) := "01100100"; -- 0x64
constant C_CHECK_SCHEDPARAM : std_logic_vector(0 to 7) := "01101000"; -- 0x68
constant C_MALLOC_LOCK : std_logic_vector(0 to 7) := "01000100"; -- 0x44
-- TM Command Opcodes
constant ENQUEUE_OPCODE : std_logic_vector(0 to 5) := "000010";
constant DEQUEUE_OPCODE : std_logic_vector(0 to 5) := "000011";
constant IS_QUEUED_OPCODE : std_logic_vector(0 to 5) := "000001";
constant IS_EMPTY_OPCODE : std_logic_vector(0 to 5) := "000110";
-- HW Thread Opcodes
constant HW_THREAD_START : std_logic_vector(0 to 3) := "0001";
-- Initialization Strings & Constants
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant BRAM_init_string : std_logic_vector(0 to 31) := Z32(0 to 31);
-- Busy Signals
signal Next_Thread_Valid_reg : std_logic;
signal Next_Thread_Valid_next : std_logic;
signal sched_busy : std_logic;
signal sched_busy_next : std_logic;
-- ACK signal
signal IP2Bus_Ack : std_logic;
-- Reset Signals
signal reset_addr : std_logic_vector(0 to 8);
-- CE concatenation signals
signal Bus2IP_RdCE_concat : std_logic;
signal Bus2IP_WrCE_concat : std_logic;
-- TM Output Controller signals
signal TM_data_ready : std_logic;
signal TM_data_out : std_logic_vector (0 to 7);
-- Bus Output Controller signals
signal bus_data_ready : std_logic;
signal bus_ack_ready : std_logic;
signal bus_data_out : std_logic_vector (0 to 31);
-- Interrupt Signals
signal Preemption_Interrupt_Line : std_logic;
signal Preemption_Interrupt_Enable : std_logic;
signal Preemption_Interrupt_Enable_next : std_logic;
-- Thread ID registers
signal TM2SCH_current_cpu_tid_reg : std_logic_vector(0 to 7);
signal next_thread_id_reg : std_logic_vector(0 to 7);
signal next_thread_id_next : std_logic_vector(0 to 7);
-- TM <--> SCH Debug Register
signal debug_reg : std_logic_vector(0 to 31);
signal debug_reg_next : std_logic_vector(0 to 31);
-- Reset Signals
-- FIXME: It would be nice to eliminate the default values here
signal inside_reset : std_logic := '0';
signal inside_reset_next : std_logic := '0';
-- Signals for each event type
signal Enqueue_Request : std_logic;
signal Dequeue_Request : std_logic;
signal Is_Queued_Request : std_logic;
signal Is_Empty_Request : std_logic;
signal Get_EncoderOutput_Request : std_logic;
signal Set_SchedParam_Request : std_logic;
signal Get_SchedParam_Request : std_logic;
signal Check_SchedParam_Request : std_logic;
signal Toggle_Preemption_Request : std_logic;
signal Get_IdleThread_Request : std_logic;
signal Set_IdleThread_Request : std_logic;
signal Get_Entry_Request : std_logic;
signal Default_Priority_Request : std_logic;
signal Error_Request : std_logic;
signal MALLOC_Lock_Request : std_logic;
-- State Machine data signals
signal lookup_entry : std_logic_vector(0 to 31);
signal lookup_entry_next : std_logic_vector(0 to 31);
signal dequeue_entry : std_logic_vector(0 to 31);
signal dequeue_entry_next : std_logic_vector(0 to 31);
signal enqueue_entry : std_logic_vector(0 to 31);
signal enqueue_entry_next : std_logic_vector(0 to 31);
signal enqueue_pri_entry : std_logic_vector(0 to 31);
signal enqueue_pri_entry_next : std_logic_vector(0 to 31);
signal deq_pri_entry : std_logic_vector(0 to 31);
signal deq_pri_entry_next : std_logic_vector(0 to 31);
signal sched_param : std_logic_vector(0 to 31);
signal sched_param_next : std_logic_vector(0 to 31);
signal old_tail_ptr : std_logic_vector(0 to 7);
signal old_tail_ptr_next : std_logic_vector(0 to 7);
signal current_entry_pri_value : std_logic_vector(0 to 6);
signal current_entry_pri_value_next : std_logic_vector(0 to 6);
signal old_priority : std_logic_vector(0 to 6);
signal old_priority_next : std_logic_vector(0 to 6);
signal new_priority : std_logic_vector(0 to 6);
signal new_priority_next : std_logic_vector(0 to 6);
signal lookup_id : std_logic_vector(0 to 7);
signal lookup_id_next : std_logic_vector(0 to 7);
signal idle_thread_id : std_logic_vector(0 to 7);
signal idle_thread_id_next : std_logic_vector(0 to 7);
signal idle_thread_valid : std_logic;
signal idle_thread_valid_next : std_logic;
signal temp_valid : std_logic;
signal temp_valid_next : std_logic;
-- BRAM Constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- BRAM signals (THREAD_DATA)
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1) := (others => '0');
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ENA : std_logic := '0';
signal WEA : std_logic := '0';
-- BRAM signals (PRIORITY_DATA)
signal DOB : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ADDRB : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1) := (others => '0');
signal DIB : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ENB : std_logic := '0';
signal WEB : std_logic := '0';
-- BRAM signals (PARAM_DATA)
signal DOU : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ADDRU : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1) := (others => '0');
signal DIU : std_logic_vector(0 to BRAM_DATA_BITS - 1) := (others => '0');
signal ENU : std_logic := '0';
signal WEU : std_logic := '0';
-- Priority Encoder Constants & Signals
constant INPUT_BITS : integer := 128;
constant OUTPUT_BITS : integer := 7;
constant CHUNK_BITS : integer := 32;
signal encoder_reset : std_logic;
signal encoder_input : std_logic_vector(0 to INPUT_BITS - 1);
signal encoder_input_next : std_logic_vector(0 to INPUT_BITS - 1);
signal encoder_output : std_logic_vector(0 to OUTPUT_BITS - 1);
signal encoder_enable_next : std_logic;
signal encoder_enable : std_logic;
-- Lock/Mutex signals
signal lock_op : std_logic;
signal lock_op_next : std_logic;
signal lock_count : std_logic_vector(0 to 3);
signal lock_count_next : std_logic_vector(0 to 3);
signal malloc_mutex : std_logic;
signal malloc_mutex_next : std_logic;
signal malloc_mutex_holder : std_logic_vector(0 to 7);
signal malloc_mutex_holder_next : std_logic_vector(0 to 7);
-- signal and type for MASTER FSM
type master_state_type is
(
idle, -- idle states
wait_trans_done, -- wait for bus transaction to complete
reset, -- reset states
reset_BRAM,
reset_wait_4_ack,
GET_encoder_output, -- get_encoder_output state
SET_SCHED_PARAM_begin, -- set_sched_param states
SET_SCHED_PARAM_lookup_setpri_entries_begin,
SET_SCHED_PARAM_lookup_setpri_entries_idle,
SET_SCHED_PARAM_lookup_setpri_entries_finished,
SET_SCHED_PARAM_lookup_old_pri_entry_idle,
SET_SCHED_PARAM_lookup_old_pri_entry_finished,
SET_SCHED_PARAM_priority_field_check,
SET_SCHED_PARAM_lookup_old_head_ptr_idle,
SET_SCHED_PARAM_lookup_old_head_ptr_finished,
SET_SCHED_PARAM_lookup_old_tail_ptr_idle,
SET_SCHED_PARAM_lookup_old_tail_ptr_finished,
SET_SCHED_PARAM_lookup_prev_ptr_idle,
SET_SCHED_PARAM_lookup_prev_ptr_finished,
SET_SCHED_PARAM_write_back_deq_pri_entry,
SET_SCHED_PARAM_begin_add_to_new_pri_queue,
SET_SCHED_PARAM_init_tail_ptr,
SET_SCHED_PARAM_lookup_enq_old_tail_ptr_idle,
SET_SCHED_PARAM_update_enqueue_info,
SET_SCHED_PARAM_write_back_entries,
SET_SCHED_PARAM_wait_for_encoder_0,
SET_SCHED_PARAM_wait_for_encoder_1,
SET_SCHED_PARAM_last_wait_0,
SET_SCHED_PARAM_last_wait_1,
SET_SCHED_PARAM_check_encoder,
SET_SCHED_PARAM_lookup_highest_pri_entry_idle,
SET_SCHED_PARAM_lookup_highest_pri_entry_finished,
SET_SCHED_PARAM_preemption_check,
SET_SCHED_PARAM_return_with_error,
SET_SCHED_PARAM_return_with_no_error,
GET_SCHED_PARAM_begin, -- get_sched_param states
GET_SCHED_PARAM_lookup_entry,
GET_SCHED_PARAM_lookup_entry_idle,
GET_SCHED_PARAM_lookup_entry_finished,
CHECK_SCHED_PARAM_begin, -- check_sched_param states
CHECK_SCHED_PARAM_lookup_entries,
CHECK_SCHED_PARAM_lookup_entries_idle,
CHECK_SCHED_PARAM_lookup_entries_finished,
ENQ_begin, -- enqueue states
ENQ_lookup_enqueue_entry_idle,
ENQ_lookup_enqueue_entry_finished,
ENQ_start_hw_thread_begin,
ENQ_start_hw_thread_finished,
ENQ_lookup_enqueue_pri_entry_idle,
ENQ_lookup_enqueue_pri_entry_finished,
ENQ_init_head_pointer,
ENQ_init_tail_pointer,
ENQ_wait_for_encoder_0,
ENQ_lookup_old_tail_ptr,
ENQ_lookup_old_tail_ptr_idle,
ENQ_lookup_old_tail_ptr_finished,
ENQ_write_back_entries,
ENQ_lookup_highest_pri_entry,
ENQ_lookup_highest_pri_entry_idle,
ENQ_lookup_highest_pri_entry_finished,
ENQ_preemption_check,
DEQ_begin, -- dequeue states
DEQ_lookup_dequeue_entry_idle,
DEQ_lookup_dequeue_entry_finished,
DEQ_lookup_deq_pri_entry_idle,
DEQ_lookup_deq_pri_entry_finished,
DEQ_lookup_old_head_ptr_idle,
DEQ_lookup_old_head_ptr_finished,
DEQ_write_back_entries,
DEQ_wait_for_encoder_0,
DEQ_wait_for_encoder_1,
DEQ_wait_for_encoder_2,
DEQ_check_encoder_output,
DEQ_lookup_highest_pri_entry_idle,
DEQ_lookup_highest_pri_entry_finished,
MALLOC_LOCK, -- MALLOC lock states
MALLOC_LOCK_idle,
MALLOC_LOCK_idle_finished,
MALLOC_LOCK_ACQUIRE,
MALLOC_LOCK_ACQUIRE_RETURN,
MALLOC_LOCK_RELEASE,
MALLOC_LOCK_RELEASE_next,
MALLOC_LOCK_RELEASE_RETURN,
GET_ENTRY_begin, -- get entry states
GET_ENTRY_lookup_entry,
GET_ENTRY_lookup_entry_idle,
GET_ENTRY_lookup_entry_finished,
IS_QUEUED_begin, -- is_queued states
IS_QUEUED_lookup_entry,
IS_QUEUED_lookup_entry_idle,
IS_QUEUED_lookup_entry_finished,
IS_EMPTY_check, -- is_empty states
IS_EMPTY_finished,
SET_IDLE_THREAD_begin, -- set_idle_thread states
SET_IDLE_THREAD_lookup_entries_idle,
SET_IDLE_THREAD_lookup_entries_finished,
SET_IDLE_THREAD_return_with_error,
SET_IDLE_THREAD_return_with_no_error
);
signal current_state_master, next_state_master : master_state_type := idle;
---------------------------------------------------
-- is_encoder_bit_zero()
--********************
-- Function used to check an encoder bit
-- Returns booleans:
-- * '0' --> True
-- * '1' --> False
---------------------------------------------------
function is_encoder_bit_zero(pri_index: integer; e_entry: std_logic_vector(0 to INPUT_BITS-1)) return boolean is
begin
if (e_entry(pri_index) = '0') then
return true;
else
return false;
end if;
end function is_encoder_bit_zero;
---------------------------------------------------
---------------------------------------------------
-- set_encoder_bit()
-- *******************
-- Function used to set an encoder bit
--
---------------------------------------------------
function set_encoder_bit(e_bit: std_logic; pri: integer; e_entry: std_logic_vector(0 to INPUT_BITS - 1)) return std_logic_vector is
variable temp_entry : std_logic_vector(0 to INPUT_BITS-1);
begin
temp_entry := e_entry; -- make a copy of the entry
temp_entry(pri) := e_bit; -- update bit in entry
return temp_entry; -- return new entry
end function set_encoder_bit;
---------------------------------------------------
---------------------------------------------------
-- bit_set()
-- *******************
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
---------------------------------------------------
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
---------------------------------------------------
---------------------------------------------------
-- get_head_pointer()
-- *******************
-- function to extract the head_pointer field from a
-- priority attribute entry
--
---------------------------------------------------
function get_head_pointer(entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(0 to 7);
end function get_head_pointer;
---------------------------------------------------
---------------------------------------------------
-- set_head_pointer()
-- *******************
-- function to set the head_pointer field for a
-- priority attribute entry
--
---------------------------------------------------
function set_head_pointer(head_ptr: std_logic_vector(0 to 7); entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return head_ptr & entry(8 to 31);
end function set_head_pointer;
---------------------------------------------------
---------------------------------------------------
-- get_tail_pointer()
-- *******************
-- function to extract the tail_pointer field from a
-- priority attribute entry
--
---------------------------------------------------
function get_tail_pointer(entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(8 to 15);
end function get_tail_pointer;
---------------------------------------------------
---------------------------------------------------
-- set_tail_pointer()
-- *******************
-- function to set the tail_pointer field for a
-- priority attribute entry
--
---------------------------------------------------
function set_tail_pointer(tail_ptr: std_logic_vector(0 to 7); entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(0 to 7) & tail_ptr & entry(16 to 31);
end function set_tail_pointer;
---------------------------------------------------
---------------------------------------------------
-- get_priority()
-- *******************
-- function to extract the priority field from a
-- scheduler attribute entry
--
---------------------------------------------------
function get_priority(entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(9 to 15);
end function get_priority;
---------------------------------------------------
---------------------------------------------------
-- set_priority()
-- *******************
-- function to set the priority field for a
-- scheduler attribute entry
--
---------------------------------------------------
function set_priority(priority: std_logic_vector(0 to 6); entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(0 to 8) & priority & entry(16 to 31);
end function set_priority;
---------------------------------------------------
---------------------------------------------------
-- get_next_pointer()
-- *******************
-- function to extract the next_pointer field from a
-- scheduler attribute entry
--
---------------------------------------------------
function get_next_pointer(entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(1 to 8);
end function get_next_pointer;
---------------------------------------------------
---------------------------------------------------
-- set_next_pointer()
-- *******************
-- function to set the next_pointer field for a
-- scheduler attribute entry
--
---------------------------------------------------
function set_next_pointer(next_ptr: std_logic_vector(0 to 7); entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(0) & next_ptr & entry(9 to 31);
end function set_next_pointer;
---------------------------------------------------
---------------------------------------------------
-- get_prev_pointer()
-- *******************
-- function to extract the prev_pointer field from a
-- scheduler attribute entry
--
---------------------------------------------------
function get_prev_pointer(entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(16 to 23);
end function get_prev_pointer;
---------------------------------------------------
---------------------------------------------------
-- set_prev_pointer()
-- *******************
-- function to set the prev_pointer field for a
-- scheduler attribute entry
--
---------------------------------------------------
function set_prev_pointer(prev_ptr: std_logic_vector(0 to 7); entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return entry(0 to 15) & prev_ptr & entry(24 to 31);
end function set_prev_pointer;
---------------------------------------------------
---------------------------------------------------
-- get_queue_bit()
-- *******************
-- function to extract the queue bit field from a
-- scheduler attribute entry
--
---------------------------------------------------
function get_queue_bit(entry: std_logic_vector(0 to 31)) return std_logic is
begin
return entry(0);
end function get_queue_bit;
---------------------------------------------------
---------------------------------------------------
-- set_queue_bit()
-- *******************
-- function to set the queue bit field for a
-- scheduler attribute entry
--
---------------------------------------------------
function set_queue_bit(q_bit: std_logic; entry: std_logic_vector(0 to 31)) return std_logic_vector is
begin
return q_bit & entry(1 to 31);
end function set_queue_bit;
---------------------------------------------------
---------------------------------------------------
-- Component Instantiation of the Priority Encoder
---------------------------------------------------
component parallel
generic
(
INPUT_BITS : integer := 128;
OUTPUT_BITS : integer := 7;
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to 127);
enable : in std_logic;
output : out std_logic_vector(0 to 6)
);
end component parallel;
---------------------------------------------------
-- Component Instantiation of the Inferred BRAM entity
---------------------------------------------------
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_BRAM;
---------------------------------------------------
--*************************************************
-- Beginning of user_logic ARCHITECTURE
--*************************************************
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_go, IP2Bus_MstWrReq : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal IP2Bus_Addr, mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
begin
--USER logic implementation added here
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
mst_go <= IP2Bus_MstWrReq;
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= '0'; -- Perform a write (rd = '0', wr = '1')
mst_cmd_sm_wr_req <= '1';
mst_cmd_sm_ip2bus_addr <= IP2Bus_Addr; -- Setup address
mst_cmd_sm_ip2bus_be <= (others => '1'); -- Use all byte lanes
mst_cmd_sm_bus_lock <= '0'; -- De-assert bus lock
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
---------------------------------------------------
-- Entity Instantiation of the Priority Encoder
---------------------------------------------------
priority_encoder : parallel
generic map
(
INPUT_BITS => INPUT_BITS,
OUTPUT_BITS => OUTPUT_BITS,
CHUNK_BITS => CHUNK_BITS
)
port map
(
clk => Bus2IP_Clk,
rst => encoder_reset,
input => encoder_input,
enable => encoder_enable,
output => encoder_output
);
---------------------------------------------------
-- Entity Instantiation of the THREAD_DATA BRAM
---------------------------------------------------
thread_data_bram : infer_bram
generic map
(
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map
(
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA
);
---------------------------------------------------
-- Entity Instantiation of the PRIORITY_DATA BRAM
---------------------------------------------------
priority_data_bram : infer_bram
generic map
(
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map
(
CLKA => Bus2IP_Clk,
ENA => ENB,
WEA => WEB,
ADDRA => ADDRB,
DIA => DIB,
DOA => DOB
);
---------------------------------------------------
-- Entity Instantiation of the PARAM_DATA BRAM
---------------------------------------------------
param_data_bram : infer_bram
generic map
(
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map
(
CLKA => Bus2IP_Clk,
ENA => ENU,
WEA => WEU,
ADDRA => ADDRU,
DIA => DIU,
DOA => DOU
);
-- Create concatenation signals
Bus2IP_RdCE_concat <= bit_set(Bus2IP_RdCE);
Bus2IP_WrCE_concat <= bit_set(Bus2IP_WrCE);
-- Connect registers to external port signals...
SCH2TM_busy <= sched_busy;
SCH2TM_next_cpu_tid <= next_thread_id_reg;
SCH2TM_next_tid_valid <= Next_Thread_Valid_reg;
-- Connect port signals to registers...
TM2SCH_current_cpu_tid_reg <= TM2SCH_current_cpu_tid;
-- Toggle on/off timeout suppression with read/write requests
--IP2Bus_ToutSup <= (Bus2IP_RdCE_concat) or (Bus2IP_WrCE_concat);
-- AND the Preemption Interrupt Enable w/ the Interrupt line
Preemption_Interrupt <= (Preemption_Interrupt_Line) and (Preemption_Interrupt_Enable);
-- *************************************************************************
-- Process: TM_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to TM
-- * Can be controlled using TM_data_ready and TM_data_out signals.
-- *************************************************************************
TM_OUTPUT_CONTROLLER : process( Bus2IP_Clk, tm_data_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( TM_data_ready = '1' ) then
SCH2TM_data <= TM_data_out; -- put data out to TM and leave until next transaction
end if;
end if;
end process TM_OUTPUT_CONTROLLER;
-- *************************************************************************
-- Process: BUS_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to Bus
-- * Can be controlled using bus_data_ready, bus_ack_ready, and bus_data_out signals.
-- *************************************************************************
BUS_OUTPUT_CONTROLLER : process( Bus2IP_Clk, bus_data_ready, bus_ack_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( bus_data_ready = '1' and bus_ack_ready = '1' ) then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '1'; -- ACK bus
elsif (bus_data_ready = '1' and bus_ack_ready = '0') then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '0'; -- turn off ACK
else
IP2Bus_Data <= (others => '0'); -- output 0's on bus
IP2Bus_Ack <= '0'; -- turn off ACK
end if;
end if;
end process BUS_OUTPUT_CONTROLLER;
ACK_ROUTER : process (IP2Bus_Ack, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat) is
begin
-- Turn an "ACK" into a specific ACK (read or write ACK)
if (Bus2IP_RdCE_concat = '1') then
IP2Bus_RdAck <= IP2Bus_Ack;
IP2Bus_WrAck <= '0';
else
IP2Bus_RdAck <= '0';
IP2Bus_WrAck <= IP2Bus_Ack;
end if;
end process;
-- FIXME: This process should be incorporated into the FSM
-- *************************************************************************
-- Process: RESET_ADDR_INC
-- Purpose: Process to increment the "reset" address so that each element of
-- the BRAMs can be indexed and initialized
-- *************************************************************************
RESET_ADDR_INC : process( Bus2IP_Clk, Soft_Reset, inside_reset, ENA ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
reset_addr <= (others => '0');
elsif( ENA = '1' ) then
reset_addr <= reset_addr + 1;
end if;
end if;
end process RESET_ADDR_INC;
-- *************************************************************************
-- Process: TM_CMD_PROC
-- Purpose: Controller and decoder for incoming TM operations (requests)
-- *************************************************************************
TM_CMD_PROC : process (Bus2IP_Clk, TM2SCH_opcode, TM2SCH_data, TM2SCH_request ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
Enqueue_Request <= '0';
Dequeue_Request <= '0';
Is_Queued_Request <= '0';
Is_Empty_Request <= '0';
if (TM2SCH_request = '1') then
case (TM2SCH_opcode) is
when ENQUEUE_OPCODE => Enqueue_Request <= '1';
when DEQUEUE_OPCODE => Dequeue_Request <= '1';
when IS_QUEUED_OPCODE => Is_Queued_Request <= '1';
when IS_EMPTY_OPCODE => Is_Empty_Request <= '1';
when others => null;
end case;
end if;
end if;
end process TM_CMD_PROC;
-- *************************************************************************
-- Process: BUS_CMD_PROC
-- Purpose: Controller and decoder for incoming bus operations (reads and writes)
-- *************************************************************************
BUS_CMD_PROC : process (Bus2IP_Clk, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Bus2IP_Addr ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
Get_EncoderOutput_Request <= '0';
Set_SchedParam_Request <= '0';
Get_SchedParam_Request <= '0';
Check_SchedParam_Request <= '0';
Toggle_Preemption_Request <= '0';
Get_IdleThread_Request <= '0';
Set_IdleThread_Request <= '0';
Get_Entry_Request <= '0';
Default_Priority_Request <= '0';
Error_Request <= '0';
MALLOC_Lock_Request <= '0';
if( Bus2IP_WrCE_concat = '1' ) then
case Bus2IP_Addr(24 to 31) is
when C_SET_SCHEDPARAM => Set_SchedParam_Request <= '1';
when C_TOGGLE_PREEMPTION => Toggle_Preemption_Request <= '1';
when others => Error_Request <= '1';
end case;
elsif( Bus2IP_RdCE_concat = '1' ) then
case Bus2IP_Addr(24 to 31) is
when C_GET_IDLE_THREAD => Get_IdleThread_Request <= '1';
when C_SET_IDLE_THREAD => Set_IdleThread_Request <= '1';
when C_GET_ENTRY => Get_Entry_Request <= '1';
when C_GET_ENCODER_OUTPUT => Get_EncoderOutput_Request <= '1';
when C_SET_DEFAULT_PRIORITY => Default_Priority_Request <= '1';
when C_GET_SCHEDPARAM => Get_SchedParam_Request <= '1';
when C_CHECK_SCHEDPARAM => Check_SchedParam_Request <= '1';
when C_MALLOC_LOCK => MALLOC_Lock_Request <= '1';
when others => Error_Request <= '1';
end case;
end if;
end if;
end process BUS_CMD_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_STATE_PROC
-- Purpose: Synchronous FSM controller for the master state machine
-- *************************************************************************
MASTER_FSM_STATE_PROC: process(
Bus2IP_Clk, Soft_Reset, inside_reset, next_state_master, encoder_enable_next,
enqueue_pri_entry_next, deq_pri_entry_next, old_tail_ptr_next,
encoder_input_next, next_thread_id_next, lookup_entry_next, sched_param_next,
dequeue_entry_next, enqueue_entry_next, current_entry_pri_value_next,
old_priority_next, new_priority_next, lookup_id_next, idle_thread_id_next,
idle_thread_valid_next, inside_reset_next, Preemption_Interrupt_Enable_next,
sched_busy_next, Next_Thread_Valid_next, debug_reg_next, temp_valid_next,
lock_op_next, lock_count_next, malloc_mutex_next, malloc_mutex_holder_next ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
-- Initialize all signals...
current_state_master <= reset;
encoder_enable <= '0';
enqueue_pri_entry <= (others => '0');
deq_pri_entry <= (others => '0');
old_tail_ptr <= (others => '0');
encoder_input <= (others => '0');
next_thread_id_reg <= (others => '0');
lookup_entry <= (others => '0');
sched_param <= (others => '0');
dequeue_entry <= (others => '0');
enqueue_entry <= (others => '0');
current_entry_pri_value <= (others => '0');
old_priority <= (others => '0');
new_priority <= (others => '0');
lookup_id <= (others => '0');
idle_thread_id <= (others => '0');
debug_reg <= (others => '0');
idle_thread_valid <= '0';
temp_valid <= '0';
inside_reset <= '1';
Preemption_Interrupt_Enable <= '0';
sched_busy <= '0';
Next_Thread_Valid_reg <= '0';
lock_count <= (others => '0');
lock_op <= '0';
malloc_mutex <= '0';
malloc_mutex_holder <= x"FF";
else
-- Assign all signals to their next state...
current_state_master <= next_state_master;
encoder_enable <= encoder_enable_next;
enqueue_pri_entry <= enqueue_pri_entry_next;
deq_pri_entry <= deq_pri_entry_next;
old_tail_ptr <= old_tail_ptr_next;
encoder_input <= encoder_input_next;
next_thread_id_reg <= next_thread_id_next;
lookup_entry <= lookup_entry_next;
sched_param <= sched_param_next;
dequeue_entry <= dequeue_entry_next;
enqueue_entry <= enqueue_entry_next;
current_entry_pri_value <= current_entry_pri_value_next;
old_priority <= old_priority_next;
new_priority <= new_priority_next;
lookup_id <= lookup_id_next;
idle_thread_id <= idle_thread_id_next;
debug_reg <= debug_reg_next;
idle_thread_valid <= idle_thread_valid_next;
temp_valid <= temp_valid_next;
inside_reset <= inside_reset_next;
Preemption_Interrupt_Enable <= Preemption_Interrupt_Enable_next;
sched_busy <= sched_busy_next;
Next_Thread_Valid_reg <= Next_Thread_Valid_next;
lock_count <= lock_count_next;
lock_op <= lock_op_next;
malloc_mutex <= malloc_mutex_next;
malloc_mutex_holder <= malloc_mutex_holder_next;
end if;
end if;
end process MASTER_FSM_STATE_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_LOGIC_PROC
-- Purpose: Combinational process that contains all state machine logic and
-- state transitions for the master state machine
-- *************************************************************************
MASTER_FSM_LOGIC_PROC: process (
reset_addr, next_thread_id_reg, lookup_entry, sched_param, dequeue_entry,
enqueue_entry, current_entry_pri_value, old_priority, new_priority, lookup_id,
idle_thread_id, idle_thread_valid, temp_valid, current_state_master, inside_reset,
Preemption_Interrupt_Enable, Enqueue_Request, Dequeue_Request,
Is_Queued_Request, Is_Empty_Request, Get_EncoderOutput_Request, Error_Request,
Set_SchedParam_Request, Get_SchedParam_Request, Check_SchedParam_Request,
Toggle_Preemption_Request, Bus2IP_Data, Get_IdleThread_Request,
Set_IdleThread_Request, Get_Entry_Request, Default_Priority_Request,
Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Soft_Reset, DOA, debug_reg,
TM2SCH_current_cpu_tid_reg, TM2SCH_current_cpu_tid, Bus2IP_Addr, sched_busy,
Next_Thread_Valid_reg, SWTM_DOB, encoder_input, encoder_output, encoder_enable,
enqueue_pri_entry, deq_pri_entry, old_tail_ptr, DOB, DOU, TM2SCH_data,
lock_op, lock_count, malloc_mutex, malloc_mutex_holder, MALLOC_Lock_Request ) is
-- Idle Variable, concatenation of all request signals
variable idle_concat : std_logic_vector(0 to 14);
begin
IP2Bus_Error <= '0'; -- no error
IP2Bus_Addr <= (others => '0');
IP2Bus_MstWrReq <= '0';
IP2Bus_MstWr_d <= (others => '0');
Reset_Done <= '0'; -- reset is done unless we override it later
encoder_reset <= '0';
ADDRA <= (others => '0');
DIA <= (others => '0');
ENA <= '0';
WEA <= '0';
ADDRB <= (others => '0');
DIB <= (others => '0');
ENB <= '0';
WEB <= '0';
ADDRU <= (others => '0');
DIU <= (others => '0');
ENU <= '0';
WEU <= '0';
SWTM_ADDRB <= (others => '0');
SWTM_DIB <= (others => '0');
SWTM_ENB <= '0';
SWTM_WEB <= '0';
encoder_enable_next <= '0';
enqueue_pri_entry_next <= enqueue_pri_entry;
deq_pri_entry_next <= deq_pri_entry;
old_tail_ptr_next <= old_tail_ptr;
encoder_input_next <= encoder_input;
next_state_master <= current_state_master;
next_thread_id_next <= next_thread_id_reg;
lookup_entry_next <= lookup_entry;
sched_param_next <= sched_param;
dequeue_entry_next <= dequeue_entry;
enqueue_entry_next <= enqueue_entry;
current_entry_pri_value_next <= current_entry_pri_value;
old_priority_next <= old_priority;
new_priority_next <= new_priority;
lookup_id_next <= lookup_id;
idle_thread_id_next <= idle_thread_id;
debug_reg_next <= debug_reg;
idle_thread_valid_next <= idle_thread_valid;
temp_valid_next <= temp_valid;
inside_reset_next <= inside_reset;
bus_data_out <= (others => '0');
bus_data_ready <= '0';
bus_ack_ready <= '0';
TM_data_out <= (others => '0');
TM_data_ready <= '0';
Preemption_Interrupt_Line <= '0';
Preemption_Interrupt_Enable_next<= Preemption_Interrupt_Enable;
sched_busy_next <= sched_busy;
Next_Thread_Valid_next <= Next_Thread_Valid_reg;
lock_count_next <= lock_count;
lock_op_next <= lock_op;
malloc_mutex_next <= malloc_mutex;
malloc_mutex_holder_next <= malloc_mutex_holder;
case current_state_master is
when idle =>
-- Assign to variable for case statement
idle_concat := (Enqueue_Request & Dequeue_Request & Is_Queued_Request & Is_Empty_Request & Get_EncoderOutput_Request &
Set_SchedParam_Request & Toggle_Preemption_Request & Get_IdleThread_Request &
Set_IdleThread_Request & Get_Entry_Request & Default_Priority_Request &
Get_SchedParam_Request & Check_SchedParam_Request & MALLOC_Lock_Request & Error_Request);
-- Decode request
case (idle_concat) is
when "100000000000000" => next_state_master <= ENQ_begin; -- Enqueue
when "010000000000000" => next_state_master <= DEQ_begin; -- Dequeue
when "001000000000000" => next_state_master <= IS_QUEUED_begin; -- Is_Queued
when "000100000000000" => next_state_master <= IS_EMPTY_check; -- Is_Empty
when "000010000000000" => next_state_master <= GET_encoder_output; -- Get_EncoderOutput
when "000001000000000" => next_state_master <= SET_SCHED_PARAM_begin; -- Set_SchedParam
when "000000100000000" => Preemption_Interrupt_Enable_next <= Bus2IP_Data(0); -- Toggle_Preemption (single clock cycle op.)
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when "000000010000000" => bus_data_out <= Z32(0 to 22) & idle_thread_id & idle_thread_valid; -- Get_IdleThread
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when "000000001000000" => next_state_master <= SET_IDLE_THREAD_begin; -- Set_IdleThread
when "000000000100000" => next_state_master <= GET_ENTRY_begin; -- Get_Entry
when "000000000010000" => bus_data_out <= (others => '1'); -- ERROR (Set_Default_Priority)
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when "000000000001000" => next_state_master <= GET_SCHED_PARAM_begin; -- Get_SchedParam
when "000000000000100" => next_state_master <= CHECK_SCHED_PARAM_begin; -- Check_SchedParam
when "000000000000010" => next_state_master <= MALLOC_LOCK; -- MALLOC Lock
when "000000000000001" => bus_data_out <= (others => '1'); -- Error!!!
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when others => next_state_master <= idle; -- Others, stay in idle state
end case;
when wait_trans_done =>
-- Goal of this state is to return to the idle state ONLY (iff) the bus transaction has COMPLETELY ended!
bus_data_ready <= '0'; -- de-assert bus transaction signals
bus_ack_ready <= '0';
if( Bus2IP_RdCE_concat = '0' and Bus2IP_WrCE_concat = '0' ) then
next_state_master <= idle;
end if;
----------------------------
-- RESET: begin
----------------------------
when reset =>
WEA <= '0'; -- Turn off any BRAM access that was active
ENA <= '0';
WEB <= '0';
ENB <= '0';
WEU <= '0';
ENU <= '0';
SWTM_WEB<= '0';
SWTM_ENB<= '0';
encoder_reset <= '1'; -- Reset priority encoder
TM_data_out <= (others => '0'); -- Reset TM data out
TM_data_ready <= '1';
Reset_Done <= '0'; -- De-assert Reset_Done
next_state_master <= reset_BRAM;
when reset_BRAM =>
ADDRA <= reset_addr; -- setup BRAM write to init. THREAD_DATA entry
DIA <= set_priority("1000000", BRAM_init_string(0 to 22) & reset_addr);
ENA <= '1';
WEA <= '1';
ADDRB <= reset_addr; -- setup BRAM write to init. PRIORITY_DATA entry
DIB <= BRAM_init_string;
ENB <= '1';
WEB <= '1';
ADDRU <= reset_addr; -- setup BRAM write to init. PARAM_DATA entry
DIU <= BRAM_init_string;
ENU <= '1';
WEU <= '1';
if( reset_addr = "011111111" ) then
next_state_master <= reset_wait_4_ack;
end if;
when reset_wait_4_ack =>
ENA <= '0'; -- turn off BRAM access
WEA <= '0';
ENB <= '0'; -- turn off BRAM access
WEB <= '0';
ENU <= '0'; -- turn off BRAM access
WEU <= '0';
Reset_Done <= '1'; -- Assert that reset has completed
if( Soft_Reset = '0' ) then -- if reset is complete
Reset_Done <= '0'; -- de-assert that reset is complete
inside_reset_next <= '0'; -- de-assert to signal that process is no longer in reset
next_state_master <= idle; -- return to idle stage
end if;
----------------------------
-- RESET: end
----------------------------
----------------------------
-- MALLOC_LOCK: begin
----------------------------
-- Communication - BusCom
-- Submit a request to acquire or release the malloc lock
-- returns zero if the request was denied, one if the request was granted
when MALLOC_LOCK =>
-- Bus2IP_Addr(13) = 0 : RELEASE lock
-- Bus2IP_Addr(13) = 1 : ACQUIRE lock
lock_op_next <= Bus2IP_Addr(13);
-- Store tid requesting the lock
lookup_id_next <= Bus2IP_Addr(16 to 23);
next_state_master <= MALLOC_LOCK_IDLE;
when MALLOC_LOCK_idle =>
-- idle state
next_state_master <= MALLOC_LOCK_idle_finished;
when MALLOC_LOCK_idle_finished =>
-- default next state
next_state_master <= MALLOC_LOCK_ACQUIRE;
if(lock_op = '0') then
-- Override default next state
next_state_master <= MALLOC_LOCK_RELEASE;
end if;
when MALLOC_LOCK_ACQUIRE =>
if(malloc_mutex = '0') then
malloc_mutex_holder_next <= lookup_id;
lock_count_next <= lock_count + 1;
malloc_mutex_next <= '1';
elsif(malloc_mutex = '1' AND malloc_mutex_holder = lookup_id) then
lock_count_next <= lock_count + 1;
end if;
next_state_master <= MALLOC_LOCK_ACQUIRE_RETURN;
when MALLOC_LOCK_ACQUIRE_RETURN =>
if(malloc_mutex_holder = lookup_id) then
bus_data_out <= Z32(0 to 3) & lock_count & malloc_mutex_holder & Z32(16 to 30) & '1';
else
bus_data_out <= Z32(0 to 3) & lock_count & malloc_mutex_holder & Z32(16 to 31);
end if;
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when MALLOC_LOCK_RELEASE =>
if(malloc_mutex_holder = lookup_id) then
lock_count_next <= lock_count - 1;
end if;
next_state_master <= MALLOC_LOCK_RELEASE_next;
when MALLOC_LOCK_RELEASE_next =>
if(lock_count = x"0") then
-- Release the lock
malloc_mutex_next <= '0';
malloc_mutex_holder_next <= x"FF";
end if;
next_state_master <= MALLOC_LOCK_RELEASE_RETURN;
when MALLOC_LOCK_RELEASE_RETURN =>
if(malloc_mutex_holder = lookup_id) then
bus_data_out <= Z32(0 to 3) & lock_count & malloc_mutex_holder & Z32(16 to 30) & '1';
else
bus_data_out <= Z32(0 to 3) & lock_count & malloc_mutex_holder & Z32(16 to 31);
end if;
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- MALLOC_LOCK: end
----------------------------
----------------------------
-- ENQ: begin
----------------------------
when ENQ_begin =>
debug_reg_next <= "00" & ENQUEUE_OPCODE & TM2SCH_data & Z32(16 to 31); -- store debug info
sched_busy_next <= '1'; -- assert that scheduler is busy
lookup_id_next <= TM2SCH_data; -- store threadID to ENQ
ADDRA <= '0' & TM2SCH_data; -- setup BRAM read for tid to enqueue from THREAD_DATA
ENA <= '1';
WEA <= '0';
ADDRU <= '0' & TM2SCH_data; -- setup BRAM read for tid to enqueue from PARAM_DATA
ENU <= '1';
WEU <= '0';
next_state_master <= ENQ_lookup_enqueue_entry_idle;
when ENQ_lookup_enqueue_entry_idle =>
-- idle stage
next_state_master <= ENQ_lookup_enqueue_entry_finished;
when ENQ_lookup_enqueue_entry_finished =>
-- DOA has THREAD_DATA entry for tid to ENQ
-- DOU has PARAM_DATA entry for tid to ENQ
-- Check scheduling paramter:
-- If HW range: start HW thread
-- If SW range: add thread to ready-to-run queue
case ( DOU(0 to 24) ) is
when "0000000000000000000000000" =>
-- SW-valid range, proceed with ENQ operation...
debug_reg_next <= debug_reg(0 to 27) & "1000";
Next_Thread_Valid_next <= '0'; -- invalidate the current next_thread
enqueue_entry_next <= set_queue_bit('1',DOA); -- store entry and set as queued
new_priority_next <= get_priority(DOA); -- Assigned priority to this signal b/c used to address encoder_input (used in state below)
ADDRB <= "00" & get_priority(DOA); -- setup BRAM read to get PRIORITY_DATA entry for the enq'd thread
ENB <= '1';
WEB <= '0';
next_state_master <=ENQ_lookup_enqueue_pri_entry_idle;
when others =>
-- HW-valid range, proceed with start HW thread operation...
debug_reg_next <= debug_reg(0 to 27) & "1110";
sched_param_next <= DOU; -- store scheduling param. (base addr. of HW thread)
TM_data_out <= Z32(0 to 7); -- return status to the TM, so the TM will continue processing
TM_data_ready <= '1'; -- and unlock the bus (freeing it so that we can make a master transaction)
sched_busy_next <= '0';
-- No longer use these lines for the new PLB interface
--bus_data_out <= Z32(0 to 27) & HW_THREAD_START; -- put data on bus w/o ACK (for the upcoming write operation)
--bus_data_ready <= '1';
--bus_ack_ready <= '0';
next_state_master <= ENQ_start_hw_thread_begin;
end case;
when ENQ_start_hw_thread_begin =>
-- Start a bus write transaction to start HW thread
IP2Bus_Addr <= sched_param; -- write to base addr. of HW thread (data was put on bus in previous state)
IP2Bus_MstWrReq <= '1';
IP2Bus_MstWr_d <= Z32(0 to 27) & HW_THREAD_START; -- put data to write on bus w/o ACK
next_state_master <= ENQ_start_hw_thread_finished;
when ENQ_start_hw_thread_finished =>
if (mst_cmd_sm_busy = '0') then
-- Master FSM has finished our request, de-assert request lines, and continue on
-- HW thread has been started
-- TM has already been ACK'ed
-- now just return to idle
IP2Bus_Addr <= (others => '0'); -- write to base addr. of HW thread (data was put on bus in previous state)
IP2Bus_MstWrReq <= '0';
IP2Bus_MstWr_d <= (others => '0'); -- put data to write on bus w/o ACK
next_state_master <= idle;
else
-- Master FSM has not yet detected the request, persist
IP2Bus_Addr <= sched_param; -- write to base addr. of HW thread (data was put on bus in previous state)
IP2Bus_MstWrReq <= '1';
IP2Bus_MstWr_d <= Z32(0 to 27) & HW_THREAD_START; -- put data to write on bus w/o ACK
next_state_master <= ENQ_start_hw_thread_finished;
end if;
when ENQ_lookup_enqueue_pri_entry_idle =>
-- idle stage
next_state_master <= ENQ_lookup_enqueue_pri_entry_finished;
when ENQ_lookup_enqueue_pri_entry_finished =>
enqueue_pri_entry_next <= DOB; -- store pri_entry
if ( is_encoder_bit_zero(conv_integer(new_priority), encoder_input)) then
-- Queue is empty for this priority level
-- set encoder_input bit for given priority
encoder_input_next <= set_encoder_bit('1', conv_integer(get_priority(enqueue_entry)), encoder_input);
encoder_enable_next <= '1'; -- allow the priority encoder to process, 1
next_state_master <= ENQ_init_head_pointer;
else
-- Queue is not empty for this priority level
old_tail_ptr_next <= get_tail_pointer(DOB); -- store old tail_ptr
next_state_master <= ENQ_lookup_old_tail_ptr;
end if;
when ENQ_init_head_pointer =>
-- Set head_ptr to the lookup_id
enqueue_pri_entry_next <= set_head_pointer(lookup_id, enqueue_pri_entry);
next_state_master <= ENQ_init_tail_pointer;
when ENQ_init_tail_pointer =>
-- Set tail_ptr to the lookup_id
enqueue_pri_entry_next <= set_tail_pointer(lookup_id, enqueue_pri_entry);
next_state_master <= ENQ_wait_for_encoder_0;
when ENQ_wait_for_encoder_0 =>
-- idle stage
next_state_master <= ENQ_write_back_entries;
when ENQ_lookup_old_tail_ptr =>
ADDRA <= '0' & old_tail_ptr; -- setup read of old tail_ptr
ENA <= '1';
WEA <= '0';
next_state_master <= ENQ_lookup_old_tail_ptr_idle;
when ENQ_lookup_old_tail_ptr_idle =>
-- idle stage
next_state_master <= ENQ_lookup_old_tail_ptr_finished;
when ENQ_lookup_old_tail_ptr_finished =>
-- setup write to make old_tail_ptr's next_ptr point to newly enq'd thread
ADDRA <= '0' & old_tail_ptr;
ENA <= '1';
WEA <= '1';
DIA <= set_next_pointer(lookup_id, DOA);
-- Update enqueue_entry's prev. ptr to point to old_tail_ptr
enqueue_entry_next <= set_prev_pointer(old_tail_ptr, enqueue_entry);
-- Update priority entry's tail_ptr to be newly enq'd thread
enqueue_pri_entry_next <= set_tail_pointer(lookup_id, enqueue_pri_entry);
next_state_master <= ENQ_write_back_entries;
when ENQ_write_back_entries =>
ADDRA <= '0' & lookup_id; -- Write back enqueue_entry
ENA <= '1';
WEA <= '1';
DIA <= enqueue_entry;
ADDRB <= "00" & get_priority(enqueue_entry); -- Write back enqueue_pri_entry
ENB <= '1';
WEB <= '1';
DIB <= enqueue_pri_entry;
next_state_master <= ENQ_lookup_highest_pri_entry;
when ENQ_lookup_highest_pri_entry =>
ADDRB <= "00" & encoder_output; -- setup read of highest PRIORITY_DATA entry in system
ENB <= '1';
WEA <= '0';
ADDRA <= '0' & TM2SCH_current_cpu_tid_reg; -- setup read of currently running thread
ENA <= '1';
WEA <= '0';
next_state_master <= ENQ_lookup_highest_pri_entry_idle;
when ENQ_lookup_highest_pri_entry_idle =>
-- idle stage
next_state_master <= ENQ_lookup_highest_pri_entry_finished;
when ENQ_lookup_highest_pri_entry_finished =>
-- DOA has current thread entry
-- DOB has highest priority entry
current_entry_pri_value_next <= get_priority(DOA); -- store current_entry's priority
next_thread_id_next <= get_head_pointer(DOB); -- store next_thread_id
next_state_master <= ENQ_preemption_check;
when ENQ_preemption_check =>
-- Check if next_thread_id has "better" priority than the current_entry_pri_value
if( encoder_output < current_entry_pri_value ) then
Preemption_Interrupt_Line <= '1';
end if;
TM_data_out <= get_queue_bit(enqueue_entry) & Z32(1 to 7); -- return 0x80 to TM
TM_data_ready <= '1';
Next_Thread_Valid_next <= '1'; -- Assert that the next_thread is valid
sched_busy_next <= '0'; -- de-assert busy signal
next_state_master <= idle; -- return to idle stage
----------------------------
-- ENQ: end
----------------------------
----------------------------
-- DEQ: begin
----------------------------
when DEQ_begin =>
debug_reg_next <= "00" & DEQUEUE_OPCODE & TM2SCH_data & Z32(16 to 31); -- store debug info
sched_busy_next <= '1'; -- assert that scheduler is busy
Next_Thread_Valid_next <= '0'; -- invalidate the next_thread
ADDRA <= '0' & TM2SCH_current_cpu_tid; -- setup BRAM read of thread to dequeue
ENA <= '1';
WEA <= '0';
next_state_master <= DEQ_lookup_dequeue_entry_idle;
when DEQ_lookup_dequeue_entry_idle =>
-- idle stage
next_state_master <= DEQ_lookup_dequeue_entry_finished;
when DEQ_lookup_dequeue_entry_finished =>
dequeue_entry_next <= set_queue_bit('0',DOA); -- store entry in variable and clear queue-bit
ADDRB <= "00" & get_priority(DOA); -- setup read of deq_pri_entry
ENB <= '1';
WEB <= '0';
next_state_master <= DEQ_lookup_deq_pri_entry_idle;
when DEQ_lookup_deq_pri_entry_idle =>
-- idle stage
next_state_master <= DEQ_lookup_deq_pri_entry_finished;
when DEQ_lookup_deq_pri_entry_finished =>
deq_pri_entry_next <= DOB; -- store deq entry from PRIORITY_DATA
if ( get_head_pointer(DOB) = get_tail_pointer(DOB) ) then
-- If list priority Q has 1 element (head = tail) then list will now be empty
-- Clear encoder bit for given priority
encoder_input_next <= set_encoder_bit('0', conv_integer(get_priority(dequeue_entry)), encoder_input);
encoder_enable_next <= '1'; -- allow the priority encoder to process, 1
next_state_master <= DEQ_wait_for_encoder_0;
else
-- Otherwise...
ADDRA <= '0' & get_head_pointer(DOB); -- setup read of head_ptr
ENA <= '1';
WEA <= '0';
next_state_master <= DEQ_lookup_old_head_ptr_idle;
end if;
when DEQ_wait_for_encoder_0 =>
-- idle stage
next_state_master <= DEQ_wait_for_encoder_1;
when DEQ_wait_for_encoder_1 =>
-- idle stage
next_state_master <= DEQ_wait_for_encoder_2;
when DEQ_wait_for_encoder_2 =>
-- idle stage
next_state_master <= DEQ_write_back_entries;
when DEQ_lookup_old_head_ptr_idle =>
-- idle stage
next_state_master <= DEQ_lookup_old_head_ptr_finished;
when DEQ_lookup_old_head_ptr_finished =>
-- DOA has old_head_ptr entry
-- update head_ptr to be next_ptr of old head_ptr
deq_pri_entry_next <= set_head_pointer( get_next_pointer(DOA), deq_pri_entry);
next_state_master <= DEQ_write_back_entries;
when DEQ_write_back_entries =>
ADDRA <= '0' & TM2SCH_current_cpu_tid; -- setup write to update dequeue_entry
ENA <= '1';
WEA <= '1';
DIA <= dequeue_entry;
ADDRB <= "00" & get_priority(dequeue_entry); -- setup write to update deq_pri_entry
ENB <= '1';
WEB <= '1';
DIB <= deq_pri_entry;
next_state_master <= DEQ_check_encoder_output;
when DEQ_check_encoder_output =>
if (encoder_input = 0 ) then
-- No queued threads in the system: finish with invalid next thread or with idle thread if one exists
if (idle_thread_valid = '1') then
Next_Thread_Valid_next <= '1';
next_thread_id_next <= idle_thread_id;
else
Next_Thread_Valid_next <= '0';
end if;
TM_data_out <= Z32(0 to 7); -- return all 0's (success) to TM
TM_data_ready <= '1';
sched_busy_next <= '0'; -- de-assert busy signal
next_state_master <= idle;
else
-- Queued threads exist...
ADDRB <= "00" & encoder_output; -- setup read of highest priority (PRIORITY_DATA) entry
ENB <= '1';
WEB <= '0';
next_state_master <= DEQ_lookup_highest_pri_entry_idle;
end if;
when DEQ_lookup_highest_pri_entry_idle =>
-- idle stage
next_state_master <= DEQ_lookup_highest_pri_entry_finished;
when DEQ_lookup_highest_pri_entry_finished =>
next_thread_id_next <= get_head_pointer(DOB); -- store next_thread_id
TM_data_out <= Z32(0 to 7); -- return all 0's (success) to TM
TM_data_ready <= '1';
sched_busy_next <= '0'; -- de-assert busy signal
Next_Thread_Valid_next <= '1'; -- Assert that the next_thread is valid
next_state_master <= idle; -- return to idle stage
----------------------------
-- DEQ: end
----------------------------
----------------------------
-- GET_ENTRY: begin
----------------------------
when GET_ENTRY_begin =>
lookup_id_next <= Bus2IP_Addr(16 to 23); -- latch thread_id to lookup
next_state_master <= GET_ENTRY_lookup_entry;
when GET_ENTRY_lookup_entry =>
ADDRA <= '0' & lookup_id; -- setup BRAM read of lookup thread_id
ENA <= '1';
WEA <= '0';
next_state_master <= GET_ENTRY_lookup_entry_idle;
when GET_ENTRY_lookup_entry_idle =>
-- idle stage
next_state_master <= GET_ENTRY_lookup_entry_finished;
when GET_ENTRY_lookup_entry_finished =>
ENA <= '0'; -- turn off BRAM access
WEA <= '0';
bus_data_out <= DOA; -- return entry on bus
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- GET_ENTRY: end
----------------------------
----------------------------
-- IS_QUEUED: begin
----------------------------
when IS_QUEUED_begin =>
debug_reg_next <= "00" & IS_QUEUED_OPCODE & TM2SCH_data & Z32(16 to 31); -- store debug info
sched_busy_next <= '1'; -- assert that operation is busy
lookup_id_next <= TM2SCH_data; -- latch thread_id to lookup
next_state_master <= IS_QUEUED_lookup_entry;
when IS_QUEUED_lookup_entry =>
ADDRA <= '0' & lookup_id; -- setup BRAM read of lookup thread_id
ENA <= '1';
WEA <= '0';
next_state_master <= IS_QUEUED_lookup_entry_idle;
when IS_QUEUED_lookup_entry_idle =>
-- idle stage
next_state_master <= IS_QUEUED_lookup_entry_finished;
when IS_QUEUED_lookup_entry_finished =>
ENA <= '0'; -- turn off BRAM access
WEA <= '0';
TM_data_out <= Z32(0 to 6) & get_queue_bit(DOA); -- return data to TM
TM_data_ready <= '1';
sched_busy_next <= '0'; -- de-assert busy signal
next_state_master <= idle;
----------------------------
-- IS_QUEUED: end
----------------------------
----------------------------
-- IS_EMPTY: begin
----------------------------
when IS_EMPTY_check =>
debug_reg_next <= "00" & IS_EMPTY_OPCODE & TM2SCH_data & Z32(16 to 31); -- store debug info
sched_busy_next <= '1'; -- assert that scheduler is busy
next_state_master <= IS_EMPTY_finished;
when IS_EMPTY_finished =>
-- Check to see if all queues are empty
if (encoder_input = 0) then
TM_data_out <= Z32(0 to 6) & '1'; -- set data_out to true, (LSB = 1)
else
TM_data_out <= Z32(0 to 6) & '0'; -- set data_out to false, (LSB = 0)
end if;
sched_busy_next <= '0'; --de-assert busy signal
TM_data_ready <= '1'; -- return results to TM
next_state_master <= idle;
----------------------------
-- IS_EMPTY: end
----------------------------
----------------------------
-- GET_encoder_output: begin
----------------------------
when GET_encoder_output =>
-- bus_data_out <= Z32(0 to 24)& encoder_output; -- return highest priority entry on bus
bus_data_out <= debug_reg; -- return debug reg on bus FIXME (last TM request)
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- GET_encoder_output: end
----------------------------
----------------------------
-- SET_sched_param: begin
----------------------------
when SET_SCHED_PARAM_begin =>
debug_reg_next <= (others => '1');
temp_valid_next <= Next_Thread_Valid_reg; -- Store the current Next_Thread_Valid bit (used for restore later)
Next_Thread_Valid_next <= '0'; -- invalidate the next thread
lookup_id_next <= Bus2IP_Addr(16 to 23); -- store tid
sched_param_next <= Bus2IP_Data(0 to 31); -- store sched_param
new_priority_next <= Bus2IP_Data(25 to 31); -- store priority (low 7 bits of sched_param)
ADDRU <= '0' & Bus2IP_Addr(16 to 23); -- setup BRAM write to update sched param value in PARAM_DATA
DIU <= Bus2IP_Data(0 to 31);
ENU <= '1';
WEU <= '1';
-- Check to see if sched param is in SW-valid range
case ( Bus2IP_Data(0 to 24) ) is
when "0000000000000000000000000" => -- SW-valid range, proceed with a set_priority operation
next_state_master <= SET_SCHED_PARAM_lookup_setpri_entries_begin;
when others => -- HW-valid range, simply end, no error checks
next_state_master <= SET_SCHED_PARAM_return_with_no_error;
end case;
when SET_SCHED_PARAM_lookup_setpri_entries_begin =>
ADDRA <= '0' & lookup_id; -- setup BRAM read of thread_id to
ENA <= '1';
WEA <= '0';
ADDRB <= "00" & new_priority; -- setup BRAM read of new_priority_entry
ENB <= '1';
WEB <= '0';
SWTM_ADDRB <= '0' & lookup_id; -- setup SWTM_BRAM read of thread_id
SWTM_ENB <= '1';
SWTM_WEB <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_setpri_entries_idle;
when SET_SCHED_PARAM_lookup_setpri_entries_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_setpri_entries_finished;
when SET_SCHED_PARAM_lookup_setpri_entries_finished =>
old_priority_next <= get_priority(DOA); -- store old_priority value
lookup_entry_next <= DOA; -- store setpri_entry
enqueue_pri_entry_next <= DOB; -- store new_priority_entry
-- Check Validity of Set_Priority operation
if ( (SWTM_DOB(26) = '1') and
( (lookup_id = TM2SCH_current_cpu_tid_reg) or
(SWTM_DOB(16 to 23) = TM2SCH_current_cpu_tid_reg)
)
) then
if(get_queue_bit(DOA) = '1') then
-- If QUEUED...
ADDRB <= "00" & get_priority(DOA); -- setup read of old_pri_entry
ENB <= '1';
WEB <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_old_pri_entry_idle;
else
-- IF ~QUEUED...
ADDRA <= '0' & lookup_id; -- setup BRAM write to update priority value in THREAD_DATA
DIA <= set_priority(new_priority, DOA);
ENA <= '1';
WEA <= '1';
next_state_master <= SET_SCHED_PARAM_check_encoder;
end if;
else
-- Otherwise, return with an error b/c operation cannot be completed...
next_state_master <= SET_SCHED_PARAM_return_with_error;
end if;
when SET_SCHED_PARAM_lookup_old_pri_entry_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_old_pri_entry_finished;
when SET_SCHED_PARAM_lookup_old_pri_entry_finished =>
deq_pri_entry_next <= DOB; -- store old_priority_entry
next_state_master <= SET_SCHED_PARAM_priority_field_check;
when SET_SCHED_PARAM_priority_field_check =>
-- update priority value to new_priority
lookup_entry_next <= set_priority(new_priority, lookup_entry);
-- FIXME: The following bunch of IF's could be replaced by a sig_case stmt where
-- the sigs are head==tail,lookup_id=head,lookup_id=tail
-- If (head_ptr = tail_ptr) then Q will now be empty
if ( get_tail_pointer(deq_pri_entry) = get_head_pointer(deq_pri_entry) ) then
-- Clear encoder bit for given priority
encoder_input_next <= set_encoder_bit('0', conv_integer(old_priority), encoder_input);
next_state_master <= SET_SCHED_PARAM_begin_add_to_new_pri_queue;
else -- If the Q will not be empty
-- If the head is being deq'd
if ( lookup_id = get_head_pointer(deq_pri_entry) ) then
-- Setup BRAM read of old head_ptr's entry
ADDRA <= '0' & get_head_pointer(deq_pri_entry);
ENA <= '1';
WEA <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_old_head_ptr_idle;
-- If the tail is being deq'd
elsif ( lookup_id = get_tail_pointer(deq_pri_entry) ) then
-- Setup BRAM read of old tail_ptr's entry
ADDRA <= '0' & get_tail_pointer(deq_pri_entry);
ENA <= '1';
WEA <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_old_tail_ptr_idle;
-- If an item in the "middle" of the list is being deq'd
else
-- Setup BRAM read of prev_ptr's entry
ADDRA <= '0' & get_prev_pointer(lookup_entry);
ENA <= '1';
WEA <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_prev_ptr_idle;
end if;
end if;
when SET_SCHED_PARAM_lookup_old_head_ptr_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_old_head_ptr_finished;
when SET_SCHED_PARAM_lookup_old_head_ptr_finished =>
-- DOA has old head_ptr entry on it
deq_pri_entry_next <= set_head_pointer(get_next_pointer(DOA), deq_pri_entry);
next_state_master <= SET_SCHED_PARAM_write_back_deq_pri_entry;
when SET_SCHED_PARAM_lookup_old_tail_ptr_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_old_tail_ptr_finished;
when SET_SCHED_PARAM_lookup_old_tail_ptr_finished =>
-- DOA has old tail_ptr entry on it
deq_pri_entry_next <= set_tail_pointer(get_prev_pointer(DOA), deq_pri_entry);
next_state_master <= SET_SCHED_PARAM_write_back_deq_pri_entry;
when SET_SCHED_PARAM_lookup_prev_ptr_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_prev_ptr_finished;
when SET_SCHED_PARAM_lookup_prev_ptr_finished =>
-- DOA has prev_ptr entry on it
-- set next_ptr of prev_ptr to that of next_ptr of setpri_entry (AKA lookup_entry)
ADDRA <= '0' & get_prev_pointer(lookup_entry);
ENA <= '1';
WEA <= '1';
DIA <= set_next_pointer(get_next_pointer(lookup_entry),DOA);
next_state_master <= SET_SCHED_PARAM_begin_add_to_new_pri_queue;
when SET_SCHED_PARAM_write_back_deq_pri_entry =>
-- Write back old_priority entry (AKA deq_pri_entry)
ADDRB <= "00" & old_priority;
ENB <= '1';
WEB <= '1';
DIB <= deq_pri_entry;
next_state_master <= SET_SCHED_PARAM_begin_add_to_new_pri_queue;
when SET_SCHED_PARAM_begin_add_to_new_pri_queue =>
-- If the new priority Q is empty...
if ( is_encoder_bit_zero(conv_integer(new_priority), encoder_input)) then
-- update head_ptr to that of lookup_id (AKA setpri_ID)
enqueue_pri_entry_next <= set_head_pointer(lookup_id, enqueue_pri_entry);
-- set encoder input bit for new_priority to '1'
encoder_input_next <= set_encoder_bit('1', conv_integer(new_priority), encoder_input);
-- now update the tail_ptr to that of lookup_id (AKA setpri_ID)
next_state_master <= SET_SCHED_PARAM_init_tail_ptr;
else -- new priority Q is not empty
-- Setup read of old tail_ptr in new Q
ADDRA <= '0' & get_tail_pointer(enqueue_pri_entry);
ENA <= '1';
WEA <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_enq_old_tail_ptr_idle;
end if;
when SET_SCHED_PARAM_init_tail_ptr =>
enqueue_pri_entry_next <= set_tail_pointer(lookup_id, enqueue_pri_entry);
encoder_enable_next <= '1'; -- allow priority encoder to process, 1a
next_state_master <= SET_SCHED_PARAM_write_back_entries;
when SET_SCHED_PARAM_lookup_enq_old_tail_ptr_idle =>
-- idle stage
-- Change the prev_ptr of lookup_id (AKA setpri_ID) to that of old_tail_ptr
lookup_entry_next <= set_prev_pointer(get_tail_pointer(enqueue_pri_entry), lookup_entry);
next_state_master <= SET_SCHED_PARAM_update_enqueue_info;
when SET_SCHED_PARAM_update_enqueue_info =>
-- DOA has old tail_ptr info for new Q
-- Change & Write-back the old tail_ptr's next_ptr to that of lookup_id (AKA setpri_ID)
ADDRA <= '0' & get_tail_pointer(enqueue_pri_entry);
ENA <= '1';
WEA <= '1';
DIA <= set_next_pointer(lookup_id, DOA);
-- Update the tail_ptr of new priority Q to be that of lookup_id (AKA setpri_ID)
enqueue_pri_entry_next <= set_tail_pointer(lookup_id, enqueue_pri_entry);
encoder_enable_next <= '1'; -- allow priority encoder to process, 1b
next_state_master <= SET_SCHED_PARAM_write_back_entries;
when SET_SCHED_PARAM_write_back_entries =>
-- Write back lookup_entry (AKA setpri_entry)
ADDRA <= '0' & lookup_id;
ENA <= '1';
WEA <= '1';
DIA <= lookup_entry;
-- Write back enqueue_pri_entry (AKA new_priority_entry)
ADDRB <= "00" & new_priority;
ENB <= '1';
WEB <= '1';
DIB <= enqueue_pri_entry;
next_state_master <= SET_SCHED_PARAM_wait_for_encoder_0;
when SET_SCHED_PARAM_wait_for_encoder_0 =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_wait_for_encoder_1;
when SET_SCHED_PARAM_wait_for_encoder_1 =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_last_wait_0;
when SET_SCHED_PARAM_last_wait_0=>
-- idle stage
next_state_master <= SET_SCHED_PARAM_last_wait_1;
when SET_SCHED_PARAM_last_wait_1=>
-- idle stage
next_state_master <= SET_SCHED_PARAM_check_encoder;
when SET_SCHED_PARAM_check_encoder =>
-- Continue to find highest priority thread in the system...
ADDRB <= "00" & encoder_output; -- setup read of highest PRIORITY_DATA entry in system
ENB <= '1';
WEA <= '0';
ADDRA <= '0' & TM2SCH_current_cpu_tid_reg; -- setup read of currently running thread
ENA <= '1';
WEA <= '0';
next_state_master <= SET_SCHED_PARAM_lookup_highest_pri_entry_idle;
when SET_SCHED_PARAM_lookup_highest_pri_entry_idle =>
-- idle stage
next_state_master <= SET_SCHED_PARAM_lookup_highest_pri_entry_finished;
when SET_SCHED_PARAM_lookup_highest_pri_entry_finished =>
-- DOA has current thread entry
-- DOB has highest priority entry
current_entry_pri_value_next <= get_priority(DOA); -- store current_entry's priority
next_thread_id_next <= get_head_pointer(DOB); -- store next_thread_id
next_state_master <= SET_SCHED_PARAM_preemption_check;
when SET_SCHED_PARAM_preemption_check =>
-- Check if next_thread_id has "better" priority than the current_entry_pri_value
if( encoder_output < current_entry_pri_value ) then
Preemption_Interrupt_Line <= '1';
end if;
-- Late check for scheduling idle thread when encoder shows there are no
-- threads to schedule
if (encoder_input = 0) then
if (idle_thread_valid = '1') then
Next_Thread_Valid_next <= '1';
next_thread_id_next <= idle_thread_id;
else
Next_Thread_Valid_next <= '0';
end if;
else
-- There was data to process, so set thread valid
Next_Thread_Valid_next <= '1'; -- Assert that the next_thread is valid
end if;
Next_Thread_Valid_next <= '1'; -- Assert that the next_thread is valid
next_state_master <= SET_SCHED_PARAM_return_with_no_error; -- return to idle stage
when SET_SCHED_PARAM_return_with_error =>
ENA <= '0';
WEA <= '0';
debug_reg_next <= debug_reg(0 to 30) & '1';
bus_data_out <= Z32(0 to 27) & "0000"; -- return with error status (not possible with write op's, so just return all 0's)
bus_data_ready <= '1';
bus_ack_ready <= '1';
Next_Thread_Valid_next <= temp_valid; -- restore Next_Thread_Valid
next_state_master <= wait_trans_done;
when SET_SCHED_PARAM_return_with_no_error =>
ENA <= '0';
WEA <= '0';
debug_reg_next <= debug_reg(0 to 30) & '0';
bus_data_out <= Z32(0 to 27) & "0000"; -- return with successful status
bus_data_ready <= '1';
bus_ack_ready <= '1';
Next_Thread_Valid_next <= temp_valid; -- restore Next_Thread_Valid
next_state_master <= wait_trans_done;
----------------------------
-- SET_sched_param: end
----------------------------
----------------------------
-- GET_sched_param: begin
----------------------------
when GET_SCHED_PARAM_begin =>
lookup_id_next <= Bus2IP_Addr(16 to 23);
next_state_master <= GET_SCHED_PARAM_lookup_entry;
when GET_SCHED_PARAM_lookup_entry =>
ADDRU <= '0' & lookup_id; -- setup read from PARAM_DATA[tid]
ENU <= '1';
WEU <= '0';
next_state_master <= GET_SCHED_PARAM_lookup_entry_idle;
when GET_SCHED_PARAM_lookup_entry_idle =>
-- idle stage
next_state_master <= GET_SCHED_PARAM_lookup_entry_finished;
when GET_SCHED_PARAM_lookup_entry_finished =>
-- DOU has sched_param on it
bus_data_out <= DOU(0 to 31); -- return sched_param on bus and ACK
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- GET_sched_param: end
----------------------------
----------------------------
-- CHECK_sched_param: begin
----------------------------
when CHECK_SCHED_PARAM_begin =>
lookup_id_next <= Bus2IP_Addr(16 to 23);
next_state_master <= CHECK_SCHED_PARAM_lookup_entries;
when CHECK_SCHED_PARAM_lookup_entries =>
ADDRA <= '0' & lookup_id; -- Setup read from THREAD_DATA[tid]
ENA <= '1';
WEA <= '0';
ADDRU <= '0' & lookup_id; -- Setup read from PARAM_DATA[tid]
ENU <= '1';
WEU <= '0';
SWTM_ADDRB <= '0' & lookup_id; -- Setup read from SWTM_DATA[tid]
SWTM_ENB <= '1';
SWTM_WEB <= '0';
next_state_master <= CHECK_SCHED_PARAM_lookup_entries_idle;
when CHECK_SCHED_PARAM_lookup_entries_idle =>
-- idle stage
next_state_master <= CHECK_SCHED_PARAM_lookup_entries_finished;
when CHECK_SCHED_PARAM_lookup_entries_finished =>
-- DOA, DOU, and SWTM DOB have entries on them
-- Error check for properness of sched_param:
-- * If queued : sched_param must in the SW-valid range (less than 128)
-- * If not-queued : sched param can be in any range
if(get_queue_bit(DOA) = '1') then
-- thread is QUEUED
-- then check to see if Most Significant 25 bits of sched_param are 0's => in SW-valid range
case ( DOU(0 to 24) ) is
when "0000000000000000000000000" => -- SW-valid range, return all 0's
bus_data_out <= Z32(0 to 31);
when others => -- Not in SW-valid range, return LSB=1, error bit
bus_data_out <= Z32(0 to 30) & '1';
end case;
else
-- thread is ~QUEUED, return all 0's
bus_data_out <= Z32(0 to 31);
end if;
bus_data_ready <= '1'; -- ACK Bus, with data put on it
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- CHECK_sched_param: end
----------------------------
----------------------------
-- SET_IDLE_THREAD: begin
----------------------------
when SET_IDLE_THREAD_begin =>
lookup_id_next <= Bus2IP_Addr(16 to 23); -- store thread_id
ADDRA <= '0' & Bus2IP_Addr(16 to 23); -- setup BRAM read of THREAD_DATA[tid]
ENA <= '1';
WEA <= '0';
ADDRU <= '0' & Bus2IP_Addr(16 to 23); -- setup BRAM read of PARAM_DATA[tid]
ENU <= '1';
WEU <= '0';
SWTM_ADDRB <= '0' & Bus2IP_Addr(16 to 23); -- setup SWTM_BRAM read of thread_id to set_pri
SWTM_ENB <= '1';
SWTM_WEB <= '0';
next_state_master <= SET_IDLE_THREAD_lookup_entries_idle;
when SET_IDLE_THREAD_lookup_entries_idle =>
-- idle stage
next_state_master <= SET_IDLE_THREAD_lookup_entries_finished;
when SET_IDLE_THREAD_lookup_entries_finished =>
-- Check to see if this thread can become the idle thread
-- * TID must be ~queued
-- * TID must be created and used.
-- * TID must not have a HW sched param.
if ( get_queue_bit(DOA) = '0' and
SWTM_DOB(26) = '1' and
DOU(0 to 24) = "0000000000000000000000000"
) then
idle_thread_id_next <= lookup_id;
idle_thread_valid_next <= '1';
next_state_master <= SET_IDLE_THREAD_return_with_no_error;
else
-- This thread cannot become the idle thread
next_state_master <= SET_IDLE_THREAD_return_with_error;
end if;
when SET_IDLE_THREAD_return_with_error =>
bus_data_out <= Z32(0 to 30) & '1'; -- return LSB=1, error bit, on bus and ACK
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
when SET_IDLE_THREAD_return_with_no_error =>
-- FIXME: this if statement and body may not be needed (idle thread should be set up at all times)
-- If there is not a next thread ready to run, then make the newly set idle thread ready to run.
if (Next_Thread_Valid_reg = '0') then
Next_Thread_Valid_next <= '1';
next_thread_id_next <= idle_thread_id;
end if;
bus_data_out <= Z32(0 to 31); -- return all 0's on bus and ACK
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state_master <= wait_trans_done;
----------------------------
-- SET_IDLE_THREAD: end
----------------------------
when others =>
ENA <= '0'; -- turn off any BRAM access
WEA <= '0';
ENB <= '0';
WEB <= '0';
ENU <= '0';
WEU <= '0';
next_state_master <= idle;
end case; -- END CASE (current_state_master)
end process MASTER_FSM_LOGIC_PROC;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/XilinxProcessorIP/pcores/opb_ac97_v1_00_a/hdl/vhdl/ac97_model.vhd
|
7
|
14137
|
-------------------------------------------------------------------------------
-- ac97_model.vhd
-------------------------------------------------------------------------------
--
-- Mike Wirthlin
--
-------------------------------------------------------------------------------
-- Filename: ac97_model.vhd
--
-- Description:
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--use ieee.numeric_std.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use std.TextIO.all;
entity ac97_model is
generic (
BIT_CLK_STARTUP_TIME : time := 1 us
);
port (
AC97Reset_n : in std_logic;
Bit_Clk : out std_logic;
Sync : in std_logic;
SData_Out : in std_logic;
SData_In : out std_logic
);
end entity ac97_model;
library opb_ac97_v2_00_a;
use opb_ac97_v2_00_a.all;
use opb_ac97_v2_00_a.testbench_ac97_package.all;
architecture model of ac97_model is
signal reset_delay : std_logic := '1';
signal initial_reset : std_logic := '0';
signal bit_clk_i, bit_clk_freq : std_logic;
signal sync_d, end_of_frame, end_of_slot : std_logic;
signal frame_count : integer := 1;
signal valid_frame,codec_rdy : std_logic := '0';
signal shift_reg_in, shift_reg_out : std_logic_vector(19 downto 0) := (others => '0');
signal left_in_data, right_in_data : std_logic_vector(15 downto 0);
signal register_control_valid, register_data_valid : std_logic;
signal register_write, register_read : std_logic := '0';
signal register_address : std_logic_vector(6 downto 0) := (others => '0');
signal slot0_in : std_logic_vector(15 downto 0) := (others => '0');
signal slot1_in : std_logic_vector(19 downto 0) := (others => '0');
signal slot2_in : std_logic_vector(19 downto 0) := (others => '0');
signal slot3_in : std_logic_vector(19 downto 0) := (others => '0');
signal slot4_in : std_logic_vector(19 downto 0) := (others => '0');
signal slot0_out : std_logic_vector(15 downto 0) := (others => '0');
signal slot1_out : std_logic_vector(19 downto 0):= (others => '0');
signal slot2_out : std_logic_vector(19 downto 0):= (others => '0');
signal slot3_out : std_logic_vector(19 downto 0):= (others => '0');
signal slot4_out : std_logic_vector(19 downto 0) := (others => '0');
signal slot_counter : integer;
signal bit_counter : integer;
--
type register_type is array(0 to 63) of std_logic_vector(15 downto 0);
signal ac97_registers : register_type := (
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000",
X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000", X"0000"
);
type audio_type is array(0 to 15) of std_logic_vector(15 downto 0);
signal record_values : audio_type := (
X"1234", X"2345", X"3456", X"4567", X"5678", X"6789", X"789a", X"89ab",
X"1234", X"2345", X"3456", X"4567", X"5678", X"6789", X"789a", X"89ab"
);
signal record_value : unsigned(19 downto 0) := X"00010";
signal record_sample_counter : integer := 0;
signal temp_record_sample_count : integer := 0;
signal temp_play_sample_count : integer := 0;
signal valid_record_data : std_logic := '0';
signal request_play_data : std_logic := '0';
constant sample_skip : integer := 3; -- skip every 3rd sample
begin
-----------------------------------------------------------------------------
-- Clock
-----------------------------------------------------------------------------
-- simulate a 12.8? MHz ac97 clk
ac97_clk_freq_PROCESS: process
begin
Bit_Clk_freq <= '0';
wait for 40.69 ns;
Bit_Clk_freq <= '1';
wait for 40.69 ns;
end process ac97_clk_freq_PROCESS;
process (ac97reset_n)
begin
if ac97reset_n = '0' and ac97reset_n'event then
initial_reset <= '1';
end if;
end process;
-- Delay state machine to simulate a delay on the bit clock
reset_delay <= transport AC97Reset_n after BIT_CLK_STARTUP_TIME;
-- Gated bit clock signal
Bit_Clk_i <= Bit_Clk_freq when reset_delay = '1' and ac97reset_n = '1'
and initial_reset = '1'
else '0';
bit_clk <= bit_clk_i;
-----------------------------------------------------------------------------
-- Receiving shift register
-----------------------------------------------------------------------------
process (bit_clk_i)
begin
if (bit_clk_i = '0' and bit_clk_i'event) then
shift_reg_out <= shift_reg_out(18 downto 0) & sdata_out;
end if;
end process;
process (bit_clk_i)
begin
if (bit_clk_i = '0' and bit_clk_i'event) then
if (bit_counter = 0) then
if (slot_counter = 1) then
slot0_out <= shift_reg_out(15 downto 0);
elsif (slot_counter = 2) then
slot1_out <= shift_reg_out;
elsif (slot_counter = 3) then
slot2_out <= shift_reg_out;
elsif (slot_counter = 4) then
slot3_out <= shift_reg_out;
elsif (slot_counter = 5) then
slot4_out <= shift_reg_out;
end if;
end if;
end if;
end process;
register_control_valid <= slot0_out(14) and slot0_out(15);
register_data_valid <= slot0_out(13) and slot0_out(15);
register_address <= slot1_out(18 downto 12);
register_write <= register_control_valid and (not slot1_out(19));
register_read <= register_control_valid and slot1_out(19);
-----------------------------------------------------------------------------
-- Register return data interface
-----------------------------------------------------------------------------
process (bit_clk_i)
variable my_line : LINE;
begin
if bit_clk_i = '1' and bit_clk_i'event and end_of_slot = '1'
and slot_counter = 5 then
if register_read = '1' then
slot2_in <= X"A55A0"; -- send sample data
slot0_in(13) <= '1';
write(my_line, string'("CODEC: Reading from address "));
write(my_line, bit_vector'( To_bitvector( register_address) ));
writeline(output, my_line);
else
slot2_in <= (others => '0');
slot0_in(13) <= '0';
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Register write
-----------------------------------------------------------------------------
process (bit_clk_i)
variable my_line : LINE;
begin
if bit_clk_i = '1' and bit_clk_i'event and end_of_slot = '1'
and slot_counter = 5 then
if register_write = '1' then
write(my_line, string'("CODEC: Writing value "));
write(my_line, bit_vector'( To_bitvector( slot2_out(19 downto 4))));
write(my_line, string'(" to address "));
write(my_line, bit_vector'( To_bitvector( register_address) ));
writeline(output, my_line);
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Slot in
-----------------------------------------------------------------------------
slot0_in(15) <= codec_rdy;
slot0_in(14) <= register_control_valid; -- mimic register command
-- slot_in(13) set by register return state machine
slot0_in(12) <= valid_record_data; -- valid PCM
slot0_in(11) <= valid_record_data; -- valid PCM
slot0_in(10 downto 0) <= (others => '0');
slot1_in <= '0' & register_address &
(not request_play_data) & (not request_play_data) & "0000000000";
-----------------------------------------------------------------------------
-- Play Data
-----------------------------------------------------------------------------
process (bit_clk_i)
variable my_line : LINE;
begin
if ac97reset_n = '0' then
request_play_data <= '0';
temp_play_sample_count <= 0;
elsif bit_clk_i = '1' and bit_clk_i'event and end_of_slot = '1'
and slot_counter = 6 then
temp_play_sample_count <= temp_play_sample_count + 1;
if temp_play_sample_count = sample_skip then
temp_play_sample_count <= 0;
request_play_data <= '0';
else
request_play_data <= '1';
end if;
end if;
end process;
process (bit_clk_i)
variable my_line : LINE;
begin
if bit_clk_i = '1' and bit_clk_i'event and end_of_slot = '1'
and slot_counter = 5 then
if request_play_data = '1' then
write(my_line, string'("CODEC: Playback Left="));
write(my_line, bit_vector'( To_bitvector( slot3_out ) ));
write(my_line, string'(" Playback Right="));
write(my_line, bit_vector'( To_bitvector( slot4_out ) ));
writeline(output, my_line);
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Record Data
-----------------------------------------------------------------------------
process (bit_clk_i)
variable my_line : LINE;
begin
if ac97reset_n = '0' then
slot3_in <= (others => '0');
slot4_in <= (others => '0');
valid_record_data <= '0';
elsif bit_clk_i = '1' and bit_clk_i'event and end_of_slot = '1'
and slot_counter = 5 then
temp_record_sample_count <= temp_record_sample_count + 1;
if temp_record_sample_count = sample_skip then
temp_record_sample_count <= 0;
slot3_in <= X"00000";
slot4_in <= X"00000";
valid_record_data <= '0';
else
slot3_in <= CONV_STD_LOGIC_VECTOR(record_value,20);
slot4_in <= CONV_STD_LOGIC_VECTOR(record_value,20);
record_value <= record_value + 16;
valid_record_data <= '1';
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Sending shift register
-----------------------------------------------------------------------------
process (bit_clk_i)
begin
if ac97reset_n = '0' then
shift_reg_in <= (others => '0');
elsif (bit_clk_i = '1' and bit_clk_i'event) then
if end_of_slot = '1' then
case slot_counter is
when 12 => -- slot 0
shift_reg_in <= slot0_in & "0000";
when 0 => -- slot 1
shift_reg_in <= slot1_in;
when 1 =>
shift_reg_in <= slot2_in;
when 2 =>
shift_reg_in <= slot3_in;
when 3 =>
shift_reg_in <= slot4_in;
when others =>
shift_reg_in <= (others => '0');
end case;
else
shift_reg_in <= shift_reg_in(18 downto 0) & '0';
end if;
end if;
end process;
SData_In <= shift_reg_in(19);
-----------------------------------------------------------------------------
-- Codec Ready
-----------------------------------------------------------------------------
process(bit_clk_i)
begin
if (AC97Reset_n = '0') then
codec_rdy <= '0';
elsif (bit_clk_i = '1' and bit_clk_i'event) then
if codec_rdy = '0' and end_of_frame = '1' and valid_frame = '1' then
codec_rdy <= '1';
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Valid frame checker
-----------------------------------------------------------------------------
process(bit_clk_i)
begin
if (AC97Reset_n = '0') then
valid_frame <= '0';
frame_count <= 0;
elsif (bit_clk_i = '1' and bit_clk_i'event) then
if end_of_frame = '1' then
if (frame_count = 255) then
valid_frame <= '1';
else
valid_frame <= '0';
end if;
frame_count <= 0;
else
frame_count <= frame_count + 1;
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- End of frame set by sync
-----------------------------------------------------------------------------
process(bit_clk_i)
begin
if (bit_clk_i = '1' and bit_clk_i'event) then
sync_d <= sync;
end if;
end process;
end_of_frame <= sync and (not sync_d);
-----------------------------------------------------------------------------
-- slot_counter & bit_counter state machine
-----------------------------------------------------------------------------
end_of_slot <= '1' when ((slot_counter = 0 and bit_counter = 15) or
bit_counter = 19)
else '0';
process (bit_clk_i)
begin
if (AC97Reset_n = '0') then
bit_counter <= 0;
slot_counter <= 0;
elsif (bit_clk_i = '1' and bit_clk_i'event) then
-- wait for sync to initialize sequence
if (end_of_frame = '1') then
slot_counter <= 0;
bit_counter <= 0;
else
if end_of_slot = '1' then
bit_counter <= 0;
if slot_counter = 12 then
slot_counter <= 0;
else
slot_counter <= slot_counter + 1;
end if;
else
bit_counter <= bit_counter +1;
end if;
end if;
end if;
end process;
end architecture model;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_thread_manager_v1_00_a/devl/bfmsim/pcores/plb_thread_manager_tb_v1_00_a/simhdl/vhdl/plb_thread_manager_tb.vhd
|
3
|
14573
|
------------------------------------------------------------------------------
--
-- This vhdl module is a template for creating IP testbenches using the IBM
-- BFM toolkits. It provides a fixed interface to the subsystem testbench.
--
-- DO NOT CHANGE THE entity name, architecture name, generic parameter
-- declaration or port declaration of this file. You may add components,
-- instances, constants, signals, etc. as you wish.
--
-- See IBM Bus Functional Model Toolkit User's Manual for more information
-- on the BFMs.
--
------------------------------------------------------------------------------
-- plb_thread_manager_tb.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_thread_manager_tb.vhd
-- Version: 1.00.a
-- Description: IP testbench
-- Date: Tue Apr 14 15:01:55 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library plb_thread_manager_v1_00_a;
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
entity plb_thread_manager_tb is
------------------------------------------
-- DO NOT CHANGE THIS GENERIC DECLARATION
------------------------------------------
generic
(
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5"
);
------------------------------------------
-- DO NOT CHANGE THIS PORT DECLARATION
------------------------------------------
port
(
-- PLB (v4.6) bus interface, do not add or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
-- BFM synchronization bus interface
SYNCH_IN : in std_logic_vector(0 to 31) := (others => '0');
SYNCH_OUT : out std_logic_vector(0 to 31) := (others => '0')
);
end entity plb_thread_manager_tb;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture testbench of plb_thread_manager_tb is
--USER testbench signal declarations added here as you wish
------------------------------------------
-- Standard constants for bfl/vhdl communication
------------------------------------------
constant NOP : integer := 0;
constant START : integer := 1;
constant STOP : integer := 2;
constant WAIT_IN : integer := 3;
constant WAIT_OUT : integer := 4;
constant ASSERT_IN : integer := 5;
constant ASSERT_OUT : integer := 6;
constant ASSIGN_IN : integer := 7;
constant ASSIGN_OUT : integer := 8;
constant RESET_WDT : integer := 9;
constant INTERRUPT : integer := 31;
begin
------------------------------------------
-- Instance of IP under test.
-- Communication with the BFL is by using SYNCH_IN/SYNCH_OUT signals.
------------------------------------------
UUT : entity plb_thread_manager_v1_00_a.plb_thread_manager
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH,
C_SPLB_P2P => C_SPLB_P2P,
C_SPLB_SUPPORT_BURSTS => C_SPLB_SUPPORT_BURSTS,
C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER,
C_SPLB_CLK_PERIOD_PS => C_SPLB_CLK_PERIOD_PS,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ
);
------------------------------------------
-- Zero out the unused synch_out bits
------------------------------------------
SYNCH_OUT(10 to 31) <= (others => '0');
------------------------------------------
-- Test bench code itself
--
-- The test bench itself can be arbitrarily complex and may include
-- hierarchy as the designer sees fit
------------------------------------------
TEST_PROCESS : process
begin
SYNCH_OUT(NOP) <= '0';
SYNCH_OUT(START) <= '0';
SYNCH_OUT(STOP) <= '0';
SYNCH_OUT(WAIT_IN) <= '0';
SYNCH_OUT(WAIT_OUT) <= '0';
SYNCH_OUT(ASSERT_IN) <= '0';
SYNCH_OUT(ASSERT_OUT) <= '0';
SYNCH_OUT(ASSIGN_IN) <= '0';
SYNCH_OUT(ASSIGN_OUT) <= '0';
SYNCH_OUT(RESET_WDT) <= '0';
-- initializations
-- wait for reset to stabalize after power-up
wait for 200 ns;
-- wait for end of reset
wait until (SPLB_Rst'EVENT and SPLB_Rst = '0');
assert FALSE report "*** Real simulation starts here ***" severity NOTE;
-- wait for reset to be completed
wait for 200 ns;
------------------------------------------
-- Test User Logic Slave Register
------------------------------------------
-- send out start signal to begin testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '1';
assert FALSE report "*** Start User Logic Slave Register Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '0';
-- wait stop signal for end of testing ...
wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1');
assert FALSE report "*** User Logic Slave Register Test Complete ***" severity NOTE;
wait for 1 us;
------------------------------------------
-- Test User I/Os and other features
------------------------------------------
--USER code added here to stimulate any user I/Os
wait;
end process TEST_PROCESS;
end architecture testbench;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/XilinxProcessorIP/pcores/opb_ac97_v1_00_a/hdl/vhdl/ac97_if.vhd
|
7
|
11332
|
-------------------------------------------------------------------------------
-- Filename: ac97_fifo.vhd
--
-- Description: This module provides a simple FIFO interface for the AC97
-- module and provides an asyncrhonous interface for a
-- higher level module that is not synchronous with the AC97
-- clock (Bit_Clk).
--
-- This module will handle all of the initial commands
-- for the AC97 interface.
--
-- This module provides a bus independent interface so the
-- module can be used for more than one bus interface.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- ac97_core
-- ac97_timing
-- srl_fifo
--
-------------------------------------------------------------------------------
-- Author: Mike Wirthlin
-- Revision: $$
-- Date: $$
--
-- History:
-- Mike Wirthlin
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library opb_ac97_v2_00_a;
use opb_ac97_v2_00_a.all;
entity ac97_if is
port (
ClkIn : in std_logic;
Reset : in std_logic;
-- All signals synchronous to ClkIn
PCM_Playback_Left: in std_logic_vector(15 downto 0);
PCM_Playback_Right: in std_logic_vector(15 downto 0);
PCM_Playback_Accept: out std_logic;
PCM_Record_Left: out std_logic_vector(15 downto 0);
PCM_Record_Right: out std_logic_vector(15 downto 0);
PCM_Record_Valid: out std_logic;
Debug : out std_logic_Vector(3 downto 0);
AC97Reset_n : out std_logic; -- AC97Clk
AC97Clk : in std_logic;
Sync : out std_logic;
SData_Out : out std_logic;
SData_In : in std_logic
);
end entity ac97_if;
library opb_ac97_v2_00_a;
use opb_ac97_v2_00_a.all;
library unisim;
use unisim.all;
architecture IMP of ac97_if is
component ac97_core is
generic (
C_PCM_DATA_WIDTH : integer := 16
);
port (
Reset : in std_logic;
-- signals attaching directly to AC97 codec
AC97_Bit_Clk : in std_logic;
AC97_Sync : out std_logic;
AC97_SData_Out : out std_logic;
AC97_SData_In : in std_logic;
-- AC97 register interface
AC97_Reg_Addr : in std_logic_vector(0 to 6);
AC97_Reg_Write_Data : in std_logic_vector(0 to 15);
AC97_Reg_Read_Data : out std_logic_vector(0 to 15);
AC97_Reg_Read_Strobe : in std_logic; -- initiates a "read" command
AC97_Reg_Write_Strobe : in std_logic; -- initiates a "write" command
AC97_Reg_Busy : out std_logic;
AC97_Reg_Error : out std_logic;
AC97_Reg_Read_Data_Valid : out std_logic;
-- Playback signal interface
PCM_Playback_Left: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Playback_Right: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Playback_Left_Valid: in std_logic;
PCM_Playback_Right_Valid: in std_logic;
PCM_Playback_Left_Accept: out std_logic;
PCM_Playback_Right_Accept: out std_logic;
-- Record signal interface
PCM_Record_Left: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Record_Right: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Record_Left_Valid: out std_logic;
PCM_Record_Right_Valid: out std_logic;
--
CODEC_RDY : out std_logic
);
end component ac97_core;
component ac97_command_rom is
port (
ClkIn : in std_logic;
ROMAddr : in std_logic_vector(3 downto 0);
ROMData : out std_logic_vector(24 downto 0)
);
end component ac97_command_rom;
signal pcm_playback_accept_ac97clk : std_logic;
signal pcm_playback_accept_ClkIn_0 : std_logic;
signal pcm_playback_accept_ClkIn_1 : std_logic;
signal pcm_playback_accept_ClkIn : std_logic;
signal pcm_record_valid_ac97clk, pcm_record_valid_ClkIn_0, pcm_record_valid_ClkIn_1 : std_logic;
signal pcm_record_valid_ClkIn : std_logic;
signal command_addr : std_logic_vector(6 downto 0);
signal write_data : std_logic_vector(15 downto 0);
signal read_data : std_logic_vector(15 downto 0);
signal codec_rdy : std_logic;
signal debug_i : std_logic_vector(3 downto 0);
signal reg_write_strobe_ac97, reg_busy_ac97, reg_error_ac97 : std_logic;
signal get_next_command : std_logic;
signal valid_command : std_logic;
signal command_num : unsigned(3 downto 0) := "0000";
type read_access_states is (AC97_READY, WARM_START,
REVIEW_COMMAND,ISSUE_COMMAND,
WAIT_COMMAND, NEXT_COMMAND,
READ_COMMAND, DONE);
signal command_SM : read_access_states;
signal reset_counter : unsigned(10 downto 0) := (others => '0');
signal AC97Reset_n_i : std_logic := '0';
signal rom_data : std_logic_vector(24 downto 0);
signal command_addr_i : std_logic_Vector(3 downto 0);
signal start_frame_delay : natural range 0 to 3 := 0;
attribute rom_style: string;
--attribute rom_style of ac97_command_rom: entity is "distributed";
begin -- architecture IMP
-----------------------------------------------------------------------------
-- Command loading
-----------------------------------------------------------------------------
load_commands_SM_PROCESS : process (AC97clk) is
begin
if AC97clk'event and AC97clk = '1' then
if Reset = '1' then
command_SM <= AC97_READY;
command_num <= "0000";
else
case command_SM is
-- Issue some reset?
when AC97_READY =>
-- wait until codec is ready
if codec_rdy = '1' then
command_SM <= REVIEW_COMMAND;
start_frame_delay <= 0;
end if;
when WARM_START =>
if pcm_playback_accept_ac97clk = '1' then
if start_frame_delay = 3 then
command_SM <= REVIEW_COMMAND;
else
start_frame_delay <= start_frame_delay + 1;
end if;
end if;
when REVIEW_COMMAND =>
-- if command is valid, go on to issue command. otherwise, go to
-- end state.
if valid_command = '1' then
command_SM <= ISSUE_COMMAND;
else
command_SM <= DONE;
end if;
when ISSUE_COMMAND =>
-- strobe is issued in output forming logic
command_SM <= WAIT_COMMAND;
when WAIT_COMMAND =>
if reg_busy_ac97 = '0' then
command_SM <= NEXT_COMMAND;
end if;
-- error processing?
when NEXT_COMMAND =>
command_SM <= READ_COMMAND;
command_num <= command_num + 1;
when READ_COMMAND =>
command_SM <= REVIEW_COMMAND;
when DONE =>
-- do nothing
when others => NULL;
end case;
end if;
end if;
end process;
reg_write_strobe_ac97 <= '1' when command_SM = ISSUE_COMMAND else
'0';
get_next_command <= '1' when command_SM = NEXT_COMMAND else
'0';
-- ClkIn processes
-- The AC97 reset signal needs to be driven by ClkIn
-- (AC97 clock does not operate when reset asserted)
reset_process : process (ClkIn) is
begin
if Reset = '1' then
reset_counter <= (others => '0');
AC97Reset_n_i <= '0';
elsif ClkIn'event and ClkIn='1' then
if reset_counter(10) = '1' then
AC97Reset_n_i <= '1';
else
reset_counter <= reset_counter+1;
AC97Reset_n_i <= '0';
end if;
end if;
end process;
AC97Reset_n <= AC97Reset_n_i;
process (ClkIn)
begin
if ClkIn'event and ClkIn='1' then
pcm_playback_accept_ClkIn_0 <= pcm_playback_accept_ac97clk; -- async
pcm_playback_accept_ClkIn_1 <= pcm_playback_accept_ClkIn_0;
pcm_playback_accept_ClkIn <= pcm_playback_accept_ClkIn_0 and not pcm_playback_accept_ClkIn_1;
end if;
end process;
PCM_Playback_Accept <= pcm_playback_accept_ClkIn;
process (ClkIn)
begin
if ClkIn'event and ClkIn='1' then
pcm_record_valid_ClkIn_0 <= pcm_record_valid_ac97clk; -- async
pcm_record_valid_ClkIn_1 <= pcm_record_valid_ClkIn_0;
pcm_record_valid_ClkIn <= pcm_record_valid_ClkIn_0 and not pcm_record_valid_ClkIn_1;
end if;
end process;
PCM_Record_Valid <= pcm_record_valid_ClkIn;
-----------------------------------------------------------------------------
-- Command ROM
-----------------------------------------------------------------------------
ROM : ac97_command_rom
port map (
ClkIn => AC97Clk,
ROMAddr => command_addr_i,
ROMData => rom_data
);
command_addr_i <= CONV_STD_LOGIC_VECTOR(command_num, 4);
write_data <= rom_data(15 downto 0);
command_addr <= rom_data(22 downto 16);
valid_command <= rom_data(24);
-- debug_i(0) <= codec_rdy;
-- debug_i(1) <= '1' when command_SM = DONE else
-- '0';
-- debug_i(2) <= AC97Reset_n_i;
-- debug_i(3) <= reg_error_ac97;
debug_i <= command_addr_i;
debug <= debug_i;
-----------------------------------------------------------------------------
-- Instantiating the core
-----------------------------------------------------------------------------
ac97_core_I : ac97_core
port map (
Reset => Reset,
AC97_Bit_Clk => AC97Clk,
AC97_Sync => Sync,
AC97_SData_Out => SData_Out,
AC97_SData_In => SData_In,
AC97_Reg_Addr => command_addr,
AC97_Reg_Write_Data => write_data,
AC97_Reg_Read_Data => open, -- No reading from AC97
AC97_Reg_Read_Strobe => '0', -- No reading from AC97
AC97_Reg_Write_Strobe => reg_write_strobe_ac97, -- do
AC97_Reg_Busy => reg_busy_ac97, -- do
AC97_Reg_Error => reg_error_ac97, -- do
AC97_Reg_Read_Data_Valid => open, -- No reading from AC97
PCM_Playback_Left => PCM_Playback_Left, -- async
PCM_Playback_Right => PCM_Playback_right, -- async
PCM_Playback_Left_Valid => '1',
PCM_Playback_Right_Valid => '1',
PCM_Playback_Left_Accept => pcm_playback_accept_ac97clk,
PCM_Playback_Right_Accept => open, -- use left_accept
PCM_Record_Left => PCM_Record_Left,
PCM_Record_Right => PCM_Record_Right,
PCM_Record_Left_Valid => pcm_record_valid_ac97clk,
PCM_Record_Right_Valid => open, -- use left_valid
CODEC_RDY => codec_rdy
);
-- leds(3) <= not codec_rdy; -- and (command_SM = DONE);
-- leds(2) <= '0' when command_SM = INIT else '1';
-- leds(1) <= '0';
-- leds(0) <= AC97Clk; -- '0' when command_SM = DONE else '1';
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/ipif_common_v1_00_d/hdl/vhdl/burst_size_calc.vhd
|
3
|
15480
|
-------------------------------------------------------------------------------
-- $Id: burst_size_calc.vhd,v 1.5 2003/10/22 15:06:35 ostlerf Exp $
-------------------------------------------------------------------------------
-- Burst Size Calculation
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: burst_size_calc.vhd
-- Version:
--------------------------------------------------------------------------------
-- Description: This module calculates the size to use for a dma transfer.
-- The main complications are that for Rx channels the minimum
-- of LENGTH and PLENGTH must be used instead of LENGTH and
-- that for the last transfer there may be a need to round up
-- to include bytes that are insufficient to reach a unit of
-- C_BYTES_PER_SINGLE_TRANSFER.
--
-------------------------------------------------------------------------------
-- Structure:
-- burst_size_calc.vhd
-------------------------------------------------------------------------------
-- Author: FO
--
-- History:
--
-- FO 05/09/2003 -- First version
--
-- FLO 05/14/2003
-- ^^^^^^
-- Removed the pipe stage between LENGTH_cco comparison to
-- PLENGTH_cco and thre rest of the logic.
-- Corrected the high-order bits of MstNum from '1' to '0'.
-- ~~~~~~
-- FLO 05/14/2003
-- ^^^^^^
-- Removed C_DMA_ALLOW_BURST; case is now handled as
-- C_DMA_BURST_SIZE = 1.
-- Added the option to handle the remainder (the amount left
-- to be moved by DMA when it is less than a full burst) as
-- a succession of single transactions rather than as a
-- short burst. This adds generic C_DMA_SHORT_BURST_REMAINDER
-- to the parameters. So, these options are now available:
--
-- 1. Single transactions only when C_DMA_BURST_SIZE = 1.
-- 2. Burst transactions, with remainders as singles when
-- C_DMA_BURST_SIZE > 1 and
-- C_DMA_SHORT_BURST_REMAINDER= false.
-- 3. Burst transactions, with remainders as short burst when
-- C_DMA_BURST_SIZE > 1 and
-- C_DMA_SHORT_BURST_REMAINDER = true.
-- ~~~~~~
-- FLO 06/26/2003
-- ^^^^^^
-- Implemented XST WA for G.23 that was communicated by XST team.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v1_00_b;
use proc_common_v1_00_b.proc_common_pkg.log2;
entity burst_size_calc is
generic (
C_LENGTH_WIDTH : positive := 11;
C_MSTNUM_WIDTH : positive := 5;
C_DMA_BURST_SIZE : positive := 16;
C_BYTES_PER_SINGLE_TRANSFER : positive := 4;
C_DMA_SHORT_BURST_REMAINDER : integer := 0
);
port (
Bus2IP_Clk : in std_logic;
LENGTH_cco : in std_logic_vector(0 to C_LENGTH_WIDTH-1);
PLENGTH_cco : in std_logic_vector(0 to C_LENGTH_WIDTH-1);
Rx_cco : in std_logic;
MstNum : out std_logic_vector(0 to C_MSTNUM_WIDTH-1)
);
-- Operational constraints:
-- - PLENGTH_cco is used only for Rx channels and for Rx channels, it is
-- assumed that LENGTH_cco decrements if and only if PLENGTH_cco decrements
-- by the same ammount. Thus, the relationship LENGTH_cco < PLENGTH_cco
-- remains invariant as these quantities change value.
-- - C_BYTES_PER_SINGLE_TRANSFER must be a power of two.
constant TPB : positive := C_DMA_BURST_SIZE; -- Transfers Per Burst
constant BPST : positive := C_BYTES_PER_SINGLE_TRANSFER;
constant BPST_BITS : natural := log2(BPST);
end burst_size_calc;
library unisim;
--use unisim.all;
use unisim.VCOMPONENTS.all;
library ieee;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v1_00_b;
use proc_common_v1_00_b.or_muxcy;
architecture imp of burst_size_calc is
type bo2sl_type is array (boolean) of std_logic;
constant bo2sl : bo2sl_type := ('0', '1');
-- Number of of high-order bits that give a length in
-- units of single transfers (units of the bus width).
-- LW_STU stands for LENGTH_WIDTH in Single Transfer Units.
constant LW_STU : positive := C_LENGTH_WIDTH-BPST_BITS;
constant TPB_U : UNSIGNED(0 to LW_STU-1)
:= (TO_UNSIGNED(TPB, LW_STU));
constant TPB_BITS : natural := log2(TPB+1); -- 0 to TPB values to encode
signal pl_gte_l : std_logic; -- PLENGTH_cco >= LENGTH_cco (forced
-- to true when not Rx_cco, which
-- causes PLENGTH_cco to be ignored
-- in downstream calculations).
signal pl_gte_l_d1 : std_logic;
signal min_pl_l_gte_tpb : std_logic; -- min(PLENGTH_cco, LENGTH_cco) is
-- greater than or equal to TPB
signal min_pl_l_minus_tpb : std_logic_vector(0 to C_LENGTH_WIDTH-1);
-- min(PLENGTH_cco, LENGTH_cco) - TPB
component or_muxcy is
generic (
C_NUM_BITS : integer := 8
);
port (
In_bus : in std_logic_vector(0 to C_NUM_BITS-1);
Or_out : out std_logic
);
end component or_muxcy;
begin
DISALLOW_BURST_GEN: if C_DMA_BURST_SIZE = 1 generate
begin
MstNum <= std_logic_vector(TO_UNSIGNED(1, MstNum'length));
end generate;
ALLOW_BURST_GEN: if C_DMA_BURST_SIZE > 1 generate ---(
begin
-- indentation waived
PL_GTE_L_BLOCK: block ---(
signal brw_n : std_logic_vector(0 to C_LENGTH_WIDTH);
signal lut : std_logic_vector(0 to C_LENGTH_WIDTH-1);
signal mult_and : std_logic_vector(0 to C_LENGTH_WIDTH-1);
begin
--------------------------------------------------------------------------
-- This block assigns:
--
-- pl_gte_l <= not Rx_cco or bo2sl( PLENGTH_cco(0 to C_LENGTH_WIDTH-1)
-- > LENGTH_cco(0 to C_LENGTH_WIDTH-1)
-- );
--------------------------------------------------------------------------
brw_n(brw_n'right) <= '1';
PL_GTE_L_BIT_GEN: for i in C_LENGTH_WIDTH-1 downto 0 generate
begin
lut(i) <= not (Rx_cco and LENGTH_cco(i))
xor PLENGTH_cco(i);
--
-- When Rx_cco = '1', then the minimum of LENGTH_cco and
-- PLENGTH_cco is used in the calculation of the burst
-- size. Otherwise, only LENGTH_cco is used. A little trick
-- is used to assure that LENGTH_cco is used when Rx_cco = '0'.
-- The trick is that PLENGTH_cco is compared with a
-- forced zero, assuring that pl_gte_l is true and that
-- LENGTH_cco will be used in the next stage.
----------------------------------------------------------------------
-- brw_n(i) <= (not lut(i) and not mult_and(i)) or
-- ( lut(i) and brw_n(i+1));
----------------------------------------------------------------------
I_MUXCY: MUXCY
port map (
DI => PLENGTH_cco(i),
CI => brw_n(i+1),
S => lut(i),
O => brw_n(i)
);
end generate;
pl_gte_l <= brw_n(0);
end block; ---)
PL_GTE_L_D1_PROC: process(Bus2IP_Clk)
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
pl_gte_l_d1 <= pl_gte_l;
end if;
end process;
MIN_PL_L_MINUS_TPB_BLOCK: block ---(
signal brw_n : std_logic_vector(0 to C_LENGTH_WIDTH);
signal lut : std_logic_vector(0 to C_LENGTH_WIDTH-1);
constant TPBB_U : UNSIGNED(0 to C_LENGTH_WIDTH-1)
:= (TO_UNSIGNED(TPB*BPST, C_LENGTH_WIDTH));
signal lut_tmp1 : std_logic_vector(0 to C_LENGTH_WIDTH-1); --XST WA for G.23
signal lut_tmp2 : std_logic_vector(0 to C_LENGTH_WIDTH-1); --XST WA for G.23
begin
brw_n(brw_n'length-1) <= '1';
MIN_PL_L_MINUS_TPB_BIT_GEN: for i in C_LENGTH_WIDTH-1 downto 0 generate
begin
-- lut(i) <= ( ( pl_gte_l_d1 and LENGTH_cco(i))
-- or (not pl_gte_l_d1 and PLENGTH_cco(i))
-- )
-- xor not TPBB_U(i);
-------------------
-- The above, using a cycle-delayed pl_gte_l may not
-- be permissable because MstNum likely is used
-- in state DONECHK when on the cycle right after cco changes.
lut_tmp1(i) <= not TPBB_U(i); --XST WA for G.23
lut_tmp2(i) <= ( ( pl_gte_l and LENGTH_cco(i))
or (not pl_gte_l and PLENGTH_cco(i))
);
lut(i) <= lut_tmp2(i)
xor lut_tmp1(i);
-- lut(i) <= ( ( pl_gte_l and LENGTH_cco(i))
-- or (not pl_gte_l and PLENGTH_cco(i))
-- )
-- xor not TPBB_U(i);
----------------------------------------------------------------------
-- brw_n(i) <= (not lut(i) and not TPBB_U(i)) or (lut(i) and brw_n(i+1));
----------------------------------------------------------------------
I_MUXCY: MUXCY
port map (
DI => (not TPBB_U(i)),
CI => brw_n(i+1),
S => lut(i),
O => brw_n(i)
);
----------------------------------------------------------------------
-- min_pl_l_minus_tpb(i) <= lut(i) xor brw_n(i+1);
----------------------------------------------------------------------
I_XORCY: XORCY
port map (
LI => lut(i),
CI => brw_n(i+1),
O => min_pl_l_minus_tpb(i)
);
end generate;
min_pl_l_gte_tpb <= brw_n(0);
end block; ---)
MSTNUM_SINGLES_REM_GEN:
if C_DMA_SHORT_BURST_REMAINDER = 0 generate ---(
begin
MstNum <= std_logic_vector(TO_UNSIGNED(TPB, MstNum'length))
when min_pl_l_gte_tpb = '1' else
std_logic_vector(TO_UNSIGNED(1, MstNum'length));
end generate; ---)
MSTNUM_BURST_REM_GEN:
if C_DMA_SHORT_BURST_REMAINDER = 1 generate ---(
signal cry : std_logic_vector(0 to TPB_BITS);
signal lut : std_logic_vector(0 to TPB_BITS-1);
signal min_pl_l_lt_tpb : std_logic;
signal mult_and_out : std_logic_vector(0 to TPB_BITS-1);
signal has_partial_bpst: std_logic; -- min(PLENGTH_cco, LENGTH_cco)
-- divided by BPST leaves a non-zero
-- remainder.
signal mplmt_a : std_logic_vector(0 to TPB_BITS-1);
signal mstnum_a : std_logic_vector(0 to TPB_BITS-1);
constant tpb_a : std_logic_vector(0 to TPB_BITS-1)
:= std_logic_vector(TO_UNSIGNED(TPB, TPB_BITS));
begin
I_HAS_PARTIAL_BPST : or_muxcy
generic map (
C_NUM_BITS => BPST_BITS
)
port map (
In_bus => min_pl_l_minus_tpb(C_LENGTH_WIDTH-BPST_BITS to
C_LENGTH_WIDTH-1),
Or_out => has_partial_bpst
);
mplmt_a <= min_pl_l_minus_tpb(C_LENGTH_WIDTH-TPB_BITS-BPST_BITS to
C_LENGTH_WIDTH-BPST_BITS-1);
min_pl_l_lt_tpb <= not min_pl_l_gte_tpb;
cry(cry'right) <= has_partial_bpst and min_pl_l_lt_tpb;
MSTNUM_BIT_GEN: for i in TPB_BITS-1 downto 0 generate
begin
----------------------------------------------------------------------
lut(i) <= ( min_pl_l_lt_tpb -- Use "amount left" if it is < TPB.
and ( mplmt_a(i) -- Reconstruct "amount left" by
xor tpb_a(i)) -- adding back TPB.
)
or ( not min_pl_l_lt_tpb
and tpb_a(i) -- Use TPB if "amount left" >= TPB
);
----------------------------------------------------------------------
-- mult_and_out(i) <= min_pl_l_lt_tpb and mplmt_a(i);
----------------------------------------------------------------------
I_MULT_AND: MULT_AND
port map (
LO => mult_and_out(i),
I1 => min_pl_l_lt_tpb,
I0 => mplmt_a(i)
);
----------------------------------------------------------------------
-- cry(i) <= (not lut(i) and mult_and_out(i)) or (lut(i) and cry(i+1));
----------------------------------------------------------------------
I_MUXCY: MUXCY
port map (
DI => mult_and_out(i),
CI => cry(i+1),
S => lut(i),
O => cry(i)
);
----------------------------------------------------------------------
-- mstnum_a(i) <= lut(i) xor cry(i+1);
----------------------------------------------------------------------
I_XORCY: XORCY
port map (
LI => lut(i),
CI => cry(i+1),
O => mstnum_a(i)
);
end generate;
MstNum(C_MSTNUM_WIDTH-TPB_BITS to C_MSTNUM_WIDTH-1) <= mstnum_a;
XST_NULL_SLICE_WORKAROUND_MSTNUM_HIGH_BITS_GEN:
if C_MSTNUM_WIDTH > TPB_BITS generate
MstNum(0 to C_MSTNUM_WIDTH-TPB_BITS-1) <= (others => '0');
end generate;
end generate; ---)
-- indentation waived
end generate; ---)
end imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/srl_fifo3.vhd
|
3
|
13894
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo3.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- srl_fifo3 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo3.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo3.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
-- als 01/19/04 added FIFO_AlmostEmpty output from the not of next_data_exists
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.vcomponents.all;
entity srl_fifo3 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
FIFO_AlmostEmpty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo3;
architecture imp of srl_fifo3 is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
-------------------------------------------------------------------------------
-- Begin Architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
FIFO_AlmostEmpty <= not(next_Data_Exists);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/srl_fifo3.vhd
|
3
|
13894
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo3.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- srl_fifo3 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo3.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo3.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
-- als 01/19/04 added FIFO_AlmostEmpty output from the not of next_data_exists
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.vcomponents.all;
entity srl_fifo3 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
FIFO_AlmostEmpty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo3;
architecture imp of srl_fifo3 is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
-------------------------------------------------------------------------------
-- Begin Architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
FIFO_AlmostEmpty <= not(next_Data_Exists);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/stress/join_1.vhd
|
2
|
21015
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
STATE_21,
STATE_22,
STATE_23,
STATE_24,
STATE_25,
STATE_26,
STATE_27,
STATE_28,
STATE_29,
STATE_30,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
constant U_STATE_21 : std_logic_vector(0 to 15) := x"0121";
constant U_STATE_22 : std_logic_vector(0 to 15) := x"0122";
constant U_STATE_23 : std_logic_vector(0 to 15) := x"0123";
constant U_STATE_24 : std_logic_vector(0 to 15) := x"0124";
constant U_STATE_25 : std_logic_vector(0 to 15) := x"0125";
constant U_STATE_26 : std_logic_vector(0 to 15) := x"0126";
constant U_STATE_27 : std_logic_vector(0 to 15) := x"0127";
constant U_STATE_28 : std_logic_vector(0 to 15) := x"0128";
constant U_STATE_29 : std_logic_vector(0 to 15) := x"0129";
constant U_STATE_30 : std_logic_vector(0 to 15) := x"0130";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
--signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
--signal reg7, reg7_next : std_logic_vector(0 to 31);
--signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
--retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
--reg7 <= reg7_next;
--reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
when U_STATE_21 =>
current_state <= STATE_21;
when U_STATE_22 =>
current_state <= STATE_22;
when U_STATE_23 =>
current_state <= STATE_23;
when U_STATE_24 =>
current_state <= STATE_24;
when U_STATE_25 =>
current_state <= STATE_25;
when U_STATE_26 =>
current_state <= STATE_26;
when U_STATE_27 =>
current_state <= STATE_27;
when U_STATE_28 =>
current_state <= STATE_28;
when U_STATE_29 =>
current_state <= STATE_29;
when U_STATE_30 =>
current_state <= STATE_30;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
--retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
--reg7_next <= reg7;
--reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: join_1_stress
-- reg1 = numberOfTestsToComplete
-- reg2 = * numberOfTestsCompleted
-- reg3 = * function
-- reg4 = thread
-- reg5 = i
-- reg6 = joinReturn
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_attr_t * attr = (hthread_attr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of numberOfTestsToComplete
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Read the value of numberOfTestsToComplete
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
when STATE_3 =>
reg1_next <= intrfc2thrd_value;
-- Read the address of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
reg2_next <= intrfc2thrd_value;
-- Read the address of function
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 8;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
--joinValue = SUCCESS
-- for( i=0; i<*(data->numberOfTestsTocomplete); i++ )
when STATE_5 =>
reg3_next <= intrfc2thrd_value;
reg5_next <= Z32;
reg6_next <= Z32;
next_state <= STATE_6;
when STATE_6 =>
-- Do the comparision between i and toBeCompleted
if ( reg5 < reg1 ) then
next_state <= STATE_7;
else
next_state <= STATE_13;
end if;
when STATE_7 =>
next_state <= STATE_8;
-- createReturn == hthread_create( &(data->threads[i]), NULL, data->function, NULL );
when STATE_8 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
-- push data->function
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg3;
next_state <= WAIT_STATE;
return_state_next <= STATE_10;
when STATE_10 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
-- push &( data->threads[i] )
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"0000000C" + ( reg5(2 to 31) & "00" );
next_state <= WAIT_STATE;
return_state_next <= STATE_12;
when STATE_12 =>
-- call create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_6;
next_state <= WAIT_STATE;
-- increment i
reg5_next <= reg5 + "x00000001";
-- END OF FOR LOOP
-- for( i=0; i<*(data->numberOfTestsTocomplete); i++ )
when STATE_13 =>
reg5_next <= Z32;
next_state <= STATE_14;
when STATE_14 =>
-- Do the comparision between i and toBeCompleted
if ( reg5 < reg1 ) then
next_state <= STATE_15;
else
next_state <= STATE_;
end if;
-- hthread_join( data->thread[i], NULL );
when STATE_15 =>
-- read the value of data->thread[i]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"0000000C" + ( reg5(2 to 31) & "00" );
next_state <= WAIT_STATE;
return_state_next <= STATE_16;
when STATE_16 =>
reg4_next <= intrfc2thrd_value;
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_17;
when STATE_17 =>
-- push thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg4;
next_state <= WAIT_STATE;
return_state_next <= STATE_18;
when STATE_18 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_19;
next_state <= WAIT_STATE;
when STATE_15 =>
-- Read the value of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_16;
reg5_next <= reg5 + x"00000001";
when STATE_16 =>
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_address <= reg2;
thrd2intrfc_value <= intrfc2thrd_value + x"00000001";
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
-- for( i=0; i< *(data->numberOfTestsCompleted); i++ );
when STATE_17 =>
-- set i to 0
reg5_next <= Z32;
-- Read the value of numberOfTestsCompleted
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_24;
when STATE_18 =>
reg6_next <= intrfc2thrd_value;
next_state <= STATE_19;
when STATE_19 =>
-- compare i and numberOfTestsComplted
if ( reg5 < reg6 ) then
next_state <= STATE_20;
else
next_state <=FUNCTION_EXIT;
end if;
when STATE_24 =>
reg5_next <= reg5 + x"00000001";
next_state <= STATE_19;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/axi_sync_manager_v1_00_a/hdl/vhdl/mutex_store.vhd
|
11
|
7532
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity mutex_store is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
miaddr : in std_logic_vector(0 to C_MWIDTH-1);
miena : in std_logic;
miwea : in std_logic;
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
mikind : in std_logic_vector(0 to 1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
sysrst : in std_logic;
rstdone : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mokind : out std_logic_vector(0 to 1);
mocount : out std_logic_vector(0 to C_CWIDTH-1)
);
end mutex_store;
architecture behavioral of mutex_store is
-- Calculate the number of mutexes to use
constant MUTEXES : integer := pow2( C_MWIDTH );
-- Constant for the last position to be reset
constant RST_END : std_logic_vector(0 to C_MWIDTH-1) := (others => '1');
-- Calculate the beginning and ending bit positions for data
constant OWN_SRT : integer := 0;
constant OWN_END : integer := OWN_SRT + C_TWIDTH-1;
constant NXT_SRT : integer := OWN_END+1;
constant NXT_END : integer := NXT_SRT + C_TWIDTH-1;
constant LST_SRT : integer := NXT_END+1;
constant LST_END : integer := LST_SRT + C_TWIDTH-1;
constant KND_SRT : integer := LST_END+1;
constant KND_END : integer := KND_SRT + 1;
constant CNT_SRT : integer := KND_END + 1;
constant CNT_END : integer := CNT_SRT + C_CWIDTH-1;
-- Declare a storage area for the mutex data
type mstore is array(0 to MUTEXES-1) of std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
-- Declare signals for the mutex storage area
signal store : mstore;
signal mena : std_logic;
signal mwea : std_logic;
signal maddr : std_logic_vector(0 to C_MWIDTH-1);
signal minput : std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
signal moutput : std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
-- Type for the reset state machine
type rststate is
(
IDLE,
RESET
);
-- Declare signals for the reset
signal rena : std_logic;
signal rwea : std_logic;
signal rst_cs : rststate;
signal raddr : std_logic_vector(0 to C_MWIDTH-1);
signal raddrn : std_logic_vector(0 to C_MWIDTH-1);
signal rowner : std_logic_vector(0 to C_TWIDTH-1);
signal rnext : std_logic_vector(0 to C_TWIDTH-1);
signal rlast : std_logic_vector(0 to C_TWIDTH-1);
signal rkind : std_logic_vector(0 to 1);
signal rcount : std_logic_vector(0 to C_CWIDTH-1);
begin
moowner <= moutput(OWN_SRT to OWN_END);
monext <= moutput(NXT_SRT to NXT_END);
molast <= moutput(LST_SRT to LST_END);
mokind <= moutput(KND_SRT to KND_END);
mocount <= moutput(CNT_SRT to CNT_END);
mutex_mux : process(clk,rst,sysrst,rena,rwea,raddr,rowner,rnext,rlast,rkind,rcount,
miena,miwea,miaddr,miowner,milast,mikind,micount) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
mena <= rena;
mwea <= rwea;
maddr <= raddr;
minput <= rowner & rnext & rlast & rkind & rcount;
else
mena <= miena;
mwea <= miwea;
maddr <= miaddr;
minput <= miowner & minext & milast & mikind & micount;
end if;
end if;
end process mutex_mux;
mutex_reset_controller : process(clk,rst) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
rst_cs <= RESET;
raddr <= raddrn;
else
rst_cs <= IDLE;
end if;
end if;
end process mutex_reset_controller;
mutex_reset_logic : process(rst_cs,raddr) is
begin
rena <= '1';
rwea <= '1';
rstdone <= '1';
rowner <= (others => '0');
rnext <= (others => '0');
rlast <= (others => '0');
rkind <= (others => '0');
rcount <= (others => '0');
case rst_cs is
when IDLE =>
raddrn <= (others => '0');
when RESET =>
if( raddr = RST_END ) then
raddrn <= raddr;
else
rstdone <= '0';
raddrn <= raddr + 1;
end if;
end case;
end process mutex_reset_logic;
mutex_store_controller : process (clk) is
begin
if( rising_edge(clk) ) then
if( mena = '1' ) then
if( mwea = '1' ) then
store( conv_integer(maddr) ) <= minput;
end if;
moutput <= store( conv_integer(maddr) );
end if;
end if;
end process mutex_store_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/wrpfifo_dp_cntl.vhd
|
3
|
51701
|
-------------------------------------------------------------------------------
-- $Id: wrpfifo_dp_cntl.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
--wrpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: wrpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Write Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the WPFIFO design.
--
--
-- wrpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- pf_occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- | |
-- | |-- pf_adder_bit.vhd
-- |
-- |
-- |
-- |-- pf_dly1_mux.vhd
--
--
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe April 6, 2001 -- V1.00b (Backup of read count at end of
-- read)
--
-- DET May 24, 2001 -- V1.00c (fixed bug where RdAck was
-- issued if RdReq from IP occured on the
-- immediatly following clock cycle after
-- a 'Release' command
--
-- DET June 25, 2001 -- Added the DP Core with the ENB input
-- so that the DP port B (Read port) is
-- disabled when the WrFIFO is empty. This
-- clears up MTI sim warnings.
--
--
-- DET Sept. 27, 2001 -- Size Optimized redesign and
-- parameterization
--
-- DET Oct. 10, 2001 -- added pf_dly1_mux module to design
--
--
-- DET 1/21/2003 V2_00_a
-- ~~~~~~
-- - Corrected a burst read problem where the IP stops a burst read
-- with one data value left in the FIFO.
-- ^^^^^^
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--
-- Designed by: D. Thorpe
-- Xilinx Mona Loa IP Team
-- Albuquerque, NM
-- APR 10, 2001
--
--
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library opb_v20_v1_10_d;
Use opb_v20_v1_10_d.pf_counter_top;
Use opb_v20_v1_10_d.pf_occ_counter_top;
Use opb_v20_v1_10_d.pf_adder;
Use opb_v20_v1_10_d.pf_dly1_mux;
----------------------------------------------------------------------
entity wrpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 5;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port(
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_wr_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end wrpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of wrpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
Constant DLY_MUX_WIDTH : integer := OCC_CNTR_WIDTH+2;
--Shared internal signals
Signal base_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
-------------------------- start processes ------------------------------------
begin -- architecture
---------------------------------------------------------------------------
-- Generate the Write PFIFO with packetizing features included
---------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (reset1,
--reset2,
--reset3,
normal_op,
packet_op,
rest1,
rest2,
mark1,
--mark2,
rls1,
--rls2,
--pkt_rd_backup,
--nml_rd_backup,
pkt_update,
nml_update
);
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal decr_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_read : std_logic;
signal ld_addr_read_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_decr : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
--signal sig_normal_occupancy_dly1 : std_logic_vector(0 to
-- OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
base_occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib)
-- needed during burst to fill pipeline
-- (1 clock) out of DPort Block
and not(hold_ack);
-- added May 24 to fix RdAck generation
-- immediately after release
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_v20_v1_10_d.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
dly_sel1 => '0',
dly_sel2 => back_to_back_rd,
Inputs => dly_mux_in,
Y_out => dly_mux_out
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
--------------------------------------------------------------------
-- Transition sequence state machine
--------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
trans_state <= reset1;
hold_ack <= '1';
Elsif (Bus_clk'event and Bus_clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Case trans_state Is
When reset1 =>
--trans_state <= reset2;
trans_state <= normal_op;
hold_ack <= '1';
enable_wr_addr_inc <= '0';
-- When reset2 =>
-- trans_state <= reset3;
-- hold_ack <= '1';
-- When reset3 =>
-- trans_state <= normal_op;
-- hold_ack <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
-- Elsif (rd_backup = '1') Then
-- trans_state <= nml_rd_backup;
-- hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
-- elsif (rd_backup = '1') then
-- trans_state <= pkt_rd_backup;
-- hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
ld_addr_mark_into_read <= '1';
ld_occ_mark_into_norm <= '1';
trans_state <= rest2;
--trans_state <= pkt_update;
hold_ack <= '1';
When rest2 =>
trans_state <= pkt_update;
hold_ack <= '1';
When mark1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= mark2;
trans_state <= pkt_update;
hold_ack <= '1';
-- When mark2 =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
When rls1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= rls2;
trans_state <= nml_update;
hold_ack <= '1';
-- When rls2 =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
-- When pkt_rd_backup =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
-- When nml_rd_backup =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
When nml_update =>
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking
-- operations. This counter establishes the full flag states
------------------------------------------------------------------
--inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc_by_2 <= decr_mark_addr and inc_wr_addr;
inc_mocc <= decr_mark_addr or inc_wr_addr;
I_MARK_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_mark_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup) ;
-- added as part of V0_00c mods
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
-- inc_wr_addr <= WrReq
-- and not(int_full)
-- and not(int_full_dly1)
-- and not(int_full_dly2)
-- and not(hold_ack)
-- and not(rd_backup and int_almost_full)
-- and enable_wr_addr_inc;
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and enable_wr_addr_inc;
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- process_name
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(hold_ack)
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
I_READ_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_read,
Load_value => mark_address,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_rd_addr
and enable_mark_addr_inc;
decr_mark_addr <= rd_backup
and enable_rd_addr_decr
and enable_mark_addr_decr;
I_MARKREG_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_read_into_mark,
Load_value => read_address,
Count_Down => decr_mark_addr,
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
----------------------------------------------------------------------------
-- Generate the Write PFIFO with no packetizing features
----------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
-- Internal signals
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_wr_addr : std_logic;
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal rd_backup : std_logic;
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal occ_load_value : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib);
-- needed during burst to fill
-- pipeline (1 clock) out of DPort
-- Block
DeadLock <= int_full and int_empty; -- both full and empty
-- at the same time
DP_core_rden <= not(int_empty)-- assert read enable when not
or Bus_rst; -- empty or during reset
DP_core_wren <= not(int_full) -- assert write enable when not
or Bus_rst; -- full or during reset
base_occupancy <= sig_normal_occupancy;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_v20_v1_10_d.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,-- : in std_logic;
Rst => Bus_rst,-- : In std_logic;
dly_sel1 => '0', --burst_ack_inhib,-- : in std_logic;
dly_sel2 => back_to_back_rd,-- : in std_logic;
Inputs => dly_mux_in,-- : in std_logic_vector;
Y_out => dly_mux_out-- : out std_logic_vector
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup);
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2);
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- REG_READ_REQUEST
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(int_empty)
and not(int_empty_dly1);
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup;
I_READ_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_v20_v1_10_d.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_clk,
Rst => Bus_rst,
Ain => slv_max_vacancy,
Bin => base_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/wrpfifo_dp_cntl.vhd
|
3
|
51701
|
-------------------------------------------------------------------------------
-- $Id: wrpfifo_dp_cntl.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
--wrpfifo_dp_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: wrpfifo_dp_cntl.vhd
--
-- Description: This VHDL design file is for the Mauna Loa Write Packet
-- FIFO Dual Port Control block and the status
-- calculations for the Occupancy, Vacancy, Full, and Empty.
--
-------------------------------------------------------------------------------
-- Structure: This is the hierarchical structure of the WPFIFO design.
--
--
-- wrpfifo_dp_cntl.vhd
-- |
-- |
-- |-- pf_counter_top.vhd
-- | |
-- | |-- pf_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |
-- |-- pf_occ_counter_top.vhd
-- | |
-- | |-- pf_occ_counter.vhd
-- | |
-- | |-- pf_counter_bit.vhd
-- |
-- |-- pf_adder.vhd
-- | |
-- | |-- pf_adder_bit.vhd
-- |
-- |
-- |
-- |-- pf_dly1_mux.vhd
--
--
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe April 6, 2001 -- V1.00b (Backup of read count at end of
-- read)
--
-- DET May 24, 2001 -- V1.00c (fixed bug where RdAck was
-- issued if RdReq from IP occured on the
-- immediatly following clock cycle after
-- a 'Release' command
--
-- DET June 25, 2001 -- Added the DP Core with the ENB input
-- so that the DP port B (Read port) is
-- disabled when the WrFIFO is empty. This
-- clears up MTI sim warnings.
--
--
-- DET Sept. 27, 2001 -- Size Optimized redesign and
-- parameterization
--
-- DET Oct. 10, 2001 -- added pf_dly1_mux module to design
--
--
-- DET 1/21/2003 V2_00_a
-- ~~~~~~
-- - Corrected a burst read problem where the IP stops a burst read
-- with one data value left in the FIFO.
-- ^^^^^^
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--
-- Designed by: D. Thorpe
-- Xilinx Mona Loa IP Team
-- Albuquerque, NM
-- APR 10, 2001
--
--
---------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library opb_v20_v1_10_d;
Use opb_v20_v1_10_d.pf_counter_top;
Use opb_v20_v1_10_d.pf_occ_counter_top;
Use opb_v20_v1_10_d.pf_adder;
Use opb_v20_v1_10_d.pf_dly1_mux;
----------------------------------------------------------------------
entity wrpfifo_dp_cntl is
Generic (
C_DP_ADDRESS_WIDTH : Integer := 5;
-- number of bits needed for dual port addressing
-- of requested FIFO depth
C_INCLUDE_PACKET_MODE : Boolean := true;
-- Select for inclusion/ommision of packet mode
-- features
C_INCLUDE_VACANCY : Boolean := true
-- Enable for Vacancy calc feature
);
port(
-- Inputs
Bus_rst : In std_logic;
Bus_clk : In std_logic;
Rdreq : In std_logic;
Wrreq : In std_logic;
Burst_wr_xfer : In std_logic;
Mark : In std_logic;
Restore : In std_logic;
Release : In std_logic;
-- Outputs
WrAck : Out std_logic;
RdAck : Out std_logic;
Full : Out std_logic;
Empty : Out std_logic;
Almost_Full : Out std_logic;
Almost_Empty : Out std_logic;
DeadLock : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Vacancy : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
DP_core_wren : Out std_logic;
Wr_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
DP_core_rden : Out std_logic;
Rd_Addr : Out std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1)
);
end wrpfifo_dp_cntl ;
-------------------------------------------------------------------------------
architecture implementation of wrpfifo_dp_cntl is
-- Components
-- CONSTANTS
Constant OCC_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH+1;
Constant ADDR_CNTR_WIDTH : integer := C_DP_ADDRESS_WIDTH;
Constant MAX_OCCUPANCY : integer := 2**ADDR_CNTR_WIDTH;
Constant LOGIC_LOW : std_logic := '0';
Constant DLY_MUX_WIDTH : integer := OCC_CNTR_WIDTH+2;
--Shared internal signals
Signal base_occupancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
-------------------------------------------------------------------------------
-------------------------- start processes ------------------------------------
begin -- architecture
---------------------------------------------------------------------------
-- Generate the Write PFIFO with packetizing features included
---------------------------------------------------------------------------
INCLUDE_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = true) generate
--TYPES
type transition_state_type is (reset1,
--reset2,
--reset3,
normal_op,
packet_op,
rest1,
rest2,
mark1,
--mark2,
rls1,
--rls2,
--pkt_rd_backup,
--nml_rd_backup,
pkt_update,
nml_update
);
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal trans_state : transition_state_type;
signal hold_ack : std_logic;
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal inc_wr_addr : std_logic;
Signal inc_mark_addr : std_logic;
Signal decr_mark_addr : std_logic;
Signal rd_backup : std_logic;
Signal dummy_empty : std_logic;
Signal dummy_almost_empty : std_logic;
Signal dummy_full : std_logic;
Signal dummy_almost_full : std_logic;
signal ld_occ_norm_into_mark : std_logic;
signal ld_addr_mark_into_read : std_logic;
signal ld_addr_read_into_mark : std_logic;
signal ld_occ_mark_into_norm : std_logic;
signal enable_mark_addr_decr : std_logic;
signal enable_mark_addr_inc : std_logic;
signal enable_wr_addr_inc : std_logic;
signal enable_rd_addr_inc : std_logic;
signal enable_rd_addr_decr : std_logic;
signal sig_mark_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
--signal sig_normal_occupancy_dly1 : std_logic_vector(0 to
-- OCC_CNTR_WIDTH-1);
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal mark_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_mocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal inc_mocc_by_2 : std_logic;
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
base_occupancy <= sig_mark_occupancy;
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib)
-- needed during burst to fill pipeline
-- (1 clock) out of DPort Block
and not(hold_ack);
-- added May 24 to fix RdAck generation
-- immediately after release
DeadLock <= int_full and int_empty; -- both full and empty at
-- the same time
DP_core_rden <= not(int_empty)-- assert read enable when not empty
or Bus_rst; -- or during reset
DP_core_wren <= not(int_full) -- assert write enable when not full
or Bus_rst; -- or during reset
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_v20_v1_10_d.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
dly_sel1 => '0',
dly_sel2 => back_to_back_rd,
Inputs => dly_mux_in,
Y_out => dly_mux_out
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
--------------------------------------------------------------------
-- Transition sequence state machine
--------------------------------------------------------------------
TRANSITION_STATE_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '0';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
trans_state <= reset1;
hold_ack <= '1';
Elsif (Bus_clk'event and Bus_clk = '1') Then
-- set default values
trans_state <= reset1;
hold_ack <= '1';
ld_occ_norm_into_mark <= '0';
ld_addr_read_into_mark <= '0';
ld_addr_mark_into_read <= '0';
ld_occ_mark_into_norm <= '0';
enable_mark_addr_inc <= '0';
enable_mark_addr_decr <= '0';
enable_wr_addr_inc <= '1';
enable_rd_addr_inc <= '0';
enable_rd_addr_decr <= '0';
Case trans_state Is
When reset1 =>
--trans_state <= reset2;
trans_state <= normal_op;
hold_ack <= '1';
enable_wr_addr_inc <= '0';
-- When reset2 =>
-- trans_state <= reset3;
-- hold_ack <= '1';
-- When reset3 =>
-- trans_state <= normal_op;
-- hold_ack <= '0';
When normal_op => -- Ignore restore and release inputs
-- during normal op
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Mark = '1') Then -- transition to packet op on a
-- Mark command
trans_state <= mark1;
hold_ack <= '1';
-- Elsif (rd_backup = '1') Then
-- trans_state <= nml_rd_backup;
-- hold_ack <= '1';
else
trans_state <= normal_op;
hold_ack <= '0';
End if;
When packet_op =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
If (Restore = '1') Then
trans_state <= rest1;
hold_ack <= '1';
Elsif (Mark = '1') Then
trans_state <= mark1;
hold_ack <= '1';
Elsif (Release = '1') Then
trans_state <= rls1;
hold_ack <= '1';
-- elsif (rd_backup = '1') then
-- trans_state <= pkt_rd_backup;
-- hold_ack <= '1';
else
trans_state <= packet_op;
hold_ack <= '0';
End if;
When rest1 =>
ld_addr_mark_into_read <= '1';
ld_occ_mark_into_norm <= '1';
trans_state <= rest2;
--trans_state <= pkt_update;
hold_ack <= '1';
When rest2 =>
trans_state <= pkt_update;
hold_ack <= '1';
When mark1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= mark2;
trans_state <= pkt_update;
hold_ack <= '1';
-- When mark2 =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
When rls1 =>
ld_occ_norm_into_mark <= '1';
ld_addr_read_into_mark <= '1';
--trans_state <= rls2;
trans_state <= nml_update;
hold_ack <= '1';
-- When rls2 =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
-- When pkt_rd_backup =>
-- trans_state <= pkt_update;
-- hold_ack <= '1';
-- When nml_rd_backup =>
-- trans_state <= nml_update;
-- hold_ack <= '1';
When nml_update =>
enable_mark_addr_inc <= '1';
enable_mark_addr_decr <= '1';
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= normal_op;
hold_ack <= '0';
When pkt_update =>
enable_rd_addr_inc <= '1';
enable_rd_addr_decr <= '1';
trans_state <= packet_op;
hold_ack <= '0';
When others =>
trans_state <= normal_op;
hold_ack <= '0';
End case;
Else
null;
End if;
End process; -- TRANSITION_STATE_PROCESS
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to marking
-- operations. This counter establishes the full flag states
------------------------------------------------------------------
--inc_mocc_by_2 <= decr_rd_addr and inc_mark_addr;
inc_mocc_by_2 <= decr_mark_addr and inc_wr_addr;
inc_mocc <= decr_mark_addr or inc_wr_addr;
I_MARK_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_norm_into_mark,
Load_value => sig_normal_occupancy,
Count_Down => inc_mark_addr,
Count_Up => inc_mocc,
By_2 => inc_mocc_by_2,
Count_Out => sig_mark_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => dummy_almost_empty,
empty => dummy_empty
);
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
I_NORMAL_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_occ_mark_into_norm,
Load_value => sig_mark_occupancy,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => dummy_almost_full,
full => dummy_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup) ;
-- added as part of V0_00c mods
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
-- inc_wr_addr <= WrReq
-- and not(int_full)
-- and not(int_full_dly1)
-- and not(int_full_dly2)
-- and not(hold_ack)
-- and not(rd_backup and int_almost_full)
-- and enable_wr_addr_inc;
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2)
and enable_wr_addr_inc;
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- process_name
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(hold_ack)
and not(int_empty)
and not(int_empty_dly1)
and enable_rd_addr_inc;
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup
and enable_rd_addr_decr;
I_READ_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_mark_into_read,
Load_value => mark_address,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Mark Register Control
inc_mark_addr <= inc_rd_addr
and enable_mark_addr_inc;
decr_mark_addr <= rd_backup
and enable_rd_addr_decr
and enable_mark_addr_decr;
I_MARKREG_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => ld_addr_read_into_mark,
Load_value => read_address,
Count_Down => decr_mark_addr,
Count_Up => inc_mark_addr,
Count_Out => mark_address
);
-- end mark address counter logic
------------------------------------------------------------------
end generate INCLUDE_PACKET_FEATURES;
----------------------------------------------------------------------------
-- Generate the Write PFIFO with no packetizing features
----------------------------------------------------------------------------
OMIT_PACKET_FEATURES : if (C_INCLUDE_PACKET_MODE = false) generate
-- Internal signals
signal int_full : std_logic;
signal int_full_dly1 : std_logic;
signal int_full_dly2 : std_logic;
signal int_almost_full : std_logic;
signal int_empty : std_logic;
signal int_almost_empty : std_logic;
Signal int_almost_empty_dly1 : std_logic;
Signal int_empty_dly1 : std_logic;
Signal inc_wr_addr : std_logic;
signal write_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
Signal inc_rd_addr : std_logic;
Signal decr_rd_addr : std_logic;
Signal rd_backup : std_logic;
signal read_address : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal sig_zeros : std_logic_vector(0 to
ADDR_CNTR_WIDTH-1);
signal inc_nocc : std_logic;
signal inc_nocc_by_2 : std_logic;
signal sig_normal_occupancy : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
signal occ_load_value : std_logic_vector(0 to
OCC_CNTR_WIDTH-1);
Signal burst_ack_inhib : std_logic;
signal int_rdack : std_logic;
Signal valid_read : std_logic;
Signal back_to_back_rd : std_logic;
Signal rdreq_dly1 : std_logic;
Signal dly_mux_in :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal dly_mux_out :std_logic_vector(0 to
DLY_MUX_WIDTH-1);
Signal rdack_dly1 : std_logic;
Signal rdack_i : std_logic;
Signal bkup_recover : std_logic;
begin
--Misc I/O Assignments
Full <= int_full
or int_full_dly1
or int_full_dly2;
Almost_Full <= int_almost_full
and not(int_full_dly1)
and not(int_full_dly2);
Wr_Addr <= write_address;
Rd_Addr <= read_address;
WrAck <= inc_wr_addr ; -- currently combinitorial
RdAck <= rdack_i;
rdack_i <= int_rdack
and Rdreq -- RdReq used to terminate acknowledge
and not(burst_ack_inhib);
-- needed during burst to fill
-- pipeline (1 clock) out of DPort
-- Block
DeadLock <= int_full and int_empty; -- both full and empty
-- at the same time
DP_core_rden <= not(int_empty)-- assert read enable when not
or Bus_rst; -- empty or during reset
DP_core_wren <= not(int_full) -- assert write enable when not
or Bus_rst; -- full or during reset
base_occupancy <= sig_normal_occupancy;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_RDACK
--
-- Process Description:
-- Register the RdAck by one clock.
--
-------------------------------------------------------------
REG_RDACK : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
rdack_dly1 <= '0';
else
rdack_dly1 <= rdack_i;
end if;
else
null;
end if;
end process REG_RDACK;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_BKUP_RECOVER
--
-- Process Description:
-- This process generates a signal indicating the required
-- recovery cycle after a backup condition has occured.
--
-------------------------------------------------------------
GEN_BKUP_RECOVER : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
bkup_recover <= '0';
else
bkup_recover <= rd_backup;
end if;
else
null;
end if;
end process GEN_BKUP_RECOVER;
----------------------------------------------------------------------
-- Compensate for timing differences needed for Empty flag and
-- Occupancy outputs during single cycle reads and burst reads
-- No delay on single cycle reads
-- 1 clock delay during burst reads
dly_mux_in(0) <= int_empty;
dly_mux_in(1) <= int_almost_empty;
dly_mux_in(2 to DLY_MUX_WIDTH-1) <= sig_normal_occupancy;
I_DELAY_MUX : entity opb_v20_v1_10_d.pf_dly1_mux
Generic map(C_MUX_WIDTH => DLY_MUX_WIDTH
)
port map(
Clk => Bus_clk,-- : in std_logic;
Rst => Bus_rst,-- : In std_logic;
dly_sel1 => '0', --burst_ack_inhib,-- : in std_logic;
dly_sel2 => back_to_back_rd,-- : in std_logic;
Inputs => dly_mux_in,-- : in std_logic_vector;
Y_out => dly_mux_out-- : out std_logic_vector
);
Empty <= dly_mux_out(0);
Almost_empty <= dly_mux_out(1);
Occupancy <= dly_mux_out(2 to DLY_MUX_WIDTH-1);
---------------------------------------------------------------------
------------------------------------------------------------------
-- Instantiate the Occupancy Counter relative to normal operations
-- This counter establishes the empty flag states.
------------------------------------------------------------------
inc_nocc_by_2 <= decr_rd_addr and inc_wr_addr;
inc_nocc <= decr_rd_addr or inc_wr_addr;
occ_load_value <= (others => '0');
I_NORMAL_OCCUPANCY : entity opb_v20_v1_10_d.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => occ_load_value,
Count_Down => inc_rd_addr,
Count_Up => inc_nocc,
By_2 => inc_nocc_by_2,
Count_Out => sig_normal_occupancy,
almost_full => int_almost_full,
full => int_full,
almost_empty => int_almost_empty,
empty => int_empty
);
------------------------------------------------------------------
-- Register and delay Full/Empty flags
------------------------------------------------------------------
REGISTER_FLAG_PROCESS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
int_empty_dly1 <= '1';
int_almost_empty_dly1 <= '0';
int_rdack <= '0';
int_full_dly1 <= '0';
int_full_dly2 <= '0';
--sig_normal_occupancy_dly1 <= (others => '0');
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
int_empty_dly1 <= int_empty;
int_almost_empty_dly1 <= int_almost_empty;
int_rdack <= not(int_empty)
and not(rd_backup);
int_full_dly1 <= int_full;
int_full_dly2 <= int_full_dly1;
--sig_normal_occupancy_dly1 <= sig_normal_occupancy;
else
null;
End if;
End process; -- REGISTER_FLAG_PROCESS
------------------------------------------------------------------
-- Write Address Counter Logic
inc_wr_addr <= WrReq
and not(int_full)
and not(int_full_dly1)
and not(int_full_dly2);
sig_zeros <= (others => '0');
I_WRITE_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map (
C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => '0',
Count_Up => inc_wr_addr,
Count_Out => write_address
);
-- end of write counter logic
------------------------------------------------------------------
------------------------------------------------------------------
-- Read Address Counter Logic
---------------------------------------------------------------
-- Detect Back to back reads
---------------------------------------------------------------
BACK_TO_BACK_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
valid_read <= '0';
back_to_back_rd <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (inc_rd_addr = '1') Then
valid_read <= '1';
back_to_back_rd <= valid_read;
else
valid_read <= '0';
back_to_back_rd <= '0';
End if;
else
null;
End if;
End process; -- BACK_TO_BACK_DETECT
-- Must create a rdack inhibit the second clock into a burst
-- read to allow the data pipeline to catch up.
--
burst_ack_inhib <= RdReq
and valid_read
and not(back_to_back_rd) -- not yet detected a back to back
and rdack_dly1; -- must have ack'd a read one clock before
---------------------------------------------------------------
-- Register the IP Read Request for use in read counter backup
-- function
---------------------------------------------------------------
REG_READ_REQUEST : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rdreq_dly1 <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
rdreq_dly1 <= RdReq;
else
null;
End if;
End process; -- REG_READ_REQUEST
inc_rd_addr <= RdReq
And not(bkup_recover) -- DET added for
and not(int_empty)
and not(int_empty_dly1);
rd_backup <= not(RdReq)
And back_to_back_rd
-- DET Test fix for --And not(int_empty);
And not(int_empty_dly1);
decr_rd_addr <= rd_backup;
I_READ_ADDR_CNTR : entity opb_v20_v1_10_d.pf_counter_top
Generic Map ( C_COUNT_WIDTH => ADDR_CNTR_WIDTH
)
Port Map (
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => '0',
Load_value => sig_zeros,
Count_Down => decr_rd_addr,
Count_Up => inc_rd_addr,
Count_Out => read_address
);
-- end read address counter logic
------------------------------------------------------------------
end generate OMIT_PACKET_FEATURES;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity opb_v20_v1_10_d.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_clk,
Rst => Bus_rst,
Ain => slv_max_vacancy,
Bin => base_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/master.vhd
|
10
|
10243
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity master is
generic
(
C_BASEADDR : std_logic_vector := x"00000000";
C_HIGHADDR : std_logic_vector := x"FFFFFFFF";
C_SCHED_BASEADDR : std_logic_vector := x"00000000";
C_RESULT_BASEADDR : std_logic_vector := x"00000000";
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 6;
C_NUM_CE : integer := 4
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
-- Bus2IP_MstLastAck : in std_logic;
-- IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1);
-- IP2Bus_MstBE : out std_logic_vector(0 to C_DWIDTH/8-1);
-- IP2Bus_MstBurst : out std_logic;
-- IP2Bus_MstBusLock : out std_logic;
-- IP2Bus_MstRdReq : out std_logic;
-- IP2Bus_MstWrReq : out std_logic;
-- IP2IP_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : in std_logic;
send_id : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : out std_logic;
saddr : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sena : out std_logic;
swea : out std_logic;
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end master;
architecture behavioral of master is
constant THR_BIT : integer := log2( C_NUM_THREADS );
type send_state is
(
IDLE,
SENDING,
FINISH
);
type queue_state is
(
IDLE,
DONE,
GETWAIT,
GETDONE
);
signal mst_cmplt : std_logic;
signal send_cs : send_state;
signal send_ns : send_state;
signal queue_cs : queue_state;
signal queue_ns : queue_state;
signal send_rdy : std_logic;
signal send_valid : std_logic;
signal send_validn : std_logic;
signal send_cur : std_logic_vector(0 to THR_BIT-1);
signal send_curn : std_logic_vector(0 to THR_BIT-1);
signal send_first : std_logic_vector(0 to THR_BIT-1);
signal send_firstn : std_logic_vector(0 to THR_BIT-1);
signal send_last : std_logic_vector(0 to THR_BIT-1);
signal send_lastn : std_logic_vector(0 to THR_BIT-1);
signal send_count : std_logic_vector(0 to THR_BIT-1);
signal send_countn : std_logic_vector(0 to THR_BIT-1);
begin
-- System reset only takes one clock cycle so were always "done"
system_resetdone <= '1';
queue_update : process(Bus2IP_Clk) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
queue_cs <= IDLE;
send_count <= (others => '0');
send_first <= (others => '0');
send_last <= (others => '0');
send_cur <= (others => '0');
send_valid <= '0';
else
queue_cs <= queue_ns;
send_count <= send_countn;
send_first <= send_firstn;
send_last <= send_lastn;
send_cur <= send_curn;
send_valid <= send_validn;
end if;
end if;
end process queue_update;
queue_controller : process(Bus2IP_Clk,queue_cs, send_cur, send_last, send_first, send_count, send_ena, send_id, send_rdy, sinext) is
begin
sena <= '0';
swea <= '0';
saddr <= (others => '0');
sonext <= (others => '0');
queue_ns <= queue_cs;
send_curn <= send_cur;
send_lastn <= send_last;
send_firstn <= send_first;
send_countn <= send_count;
send_validn <= '0';
case queue_cs is
when IDLE =>
if( send_ena = '1' ) then
if( send_count = zero(THR_BIT) ) then
send_firstn <= send_id;
else
sena <= '1';
swea <= '1';
saddr <= send_last;
sonext <= send_id;
end if;
send_lastn <= send_id;
send_countn <= send_count+1;
send_ack <= '1';
queue_ns <= DONE;
elsif( send_rdy = '1' and send_count /= zero(THR_BIT) ) then
send_curn <= send_first;
send_validn <= '1';
sena <= '1';
saddr <= send_first;
queue_ns <= GETWAIT;
end if;
when DONE =>
send_ack <= '1';
if( send_ena = '0' ) then
queue_ns <= IDLE;
end if;
when GETWAIT => null;
queue_ns <= GETDONE;
when GETDONE =>
send_firstn <= sinext;
send_countn <= send_count-1;
queue_ns <= IDLE;
end case;
end process queue_controller;
send_update : process (Bus2IP_Clk,send_ns) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
send_cs <= IDLE;
else
send_cs <= send_ns;
end if;
end if;
end process send_update;
send_controller : process (Bus2IP_Mst_CmdAck, Bus2IP_MstRd_src_rdy_n, send_cs,send_valid,send_cur) is
begin
send_ns <= send_cs;
send_rdy <= '0';
IP2Bus_Mst_Addr <= (others => '0');
IP2Bus_Mst_BE <= (others => '0');
IP2Bus_MstRd_Req <= '0';
case send_cs is
when IDLE =>
send_rdy <= '1';
if( send_valid = '1' ) then
send_ns <= SENDING;
end if;
when SENDING =>
-- Capture the Bus2IP_Mst_Cmplt value for later.
if (mst_cmplt = '0') then
mst_cmplt <= Bus2IP_Mst_Cmplt;
end if;
if (Bus2IP_Mst_CmdAck = '1') then
send_ns <= FINISH;
else
IP2Bus_Mst_Addr <= add_thread(C_SCHED_BASEADDR,send_cur);
IP2Bus_MstRd_Req <= '1';
IP2Bus_Mst_BE <= "1111";
send_ns <= SENDING;
end if;
when FINISH =>
if ((mst_cmplt = '1') or (Bus2IP_Mst_Cmplt = '1')) then
send_ns <= IDLE;
else
send_ns <= FINISH;
end if;
end case;
end process send_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/pf_dpram_select.vhd
|
2
|
118964
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/pr_6smp/design/pcores/plb_hthread_reset_core_v1_00_a/hdl/vhdl/user_logic.vhd
|
10
|
12147
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Wed Sep 24 16:19:15 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 4
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
reset_port0 : out std_logic;
reset_response_port0 : in std_logic;
reset_port1 : out std_logic;
reset_response_port1 : in std_logic;
reset_port2 : out std_logic;
reset_response_port2 : in std_logic;
reset_port3 : out std_logic;
reset_response_port3 : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg1 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg2 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg3 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 3);
signal slv_reg_read_sel : std_logic_vector(0 to 3);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 3);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 3);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3);
-- Connect reset signal ports from reg0
reset_port0 <= slv_reg0(C_SLV_DWIDTH-1);
reset_port1 <= slv_reg0(C_SLV_DWIDTH-2);
reset_port2 <= slv_reg0(C_SLV_DWIDTH-3);
reset_port3 <= slv_reg0(C_SLV_DWIDTH-4);
-- Connect reg1 to reset responses so that they can be read
RESET_RESPONSE_REG : process (Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (Bus2IP_Reset = '1') then
slv_reg1 <= (others => '0');
else
slv_reg1(C_SLV_DWIDTH-1) <= reset_response_port0;
slv_reg1(C_SLV_DWIDTH-2) <= reset_response_port1;
slv_reg1(C_SLV_DWIDTH-3) <= reset_response_port2;
slv_reg1(C_SLV_DWIDTH-4) <= reset_response_port3;
end if;
end if;
end process RESET_RESPONSE_REG;
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
-- slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
else
case slv_reg_write_sel is
when "1000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
-- when "0100" =>
-- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
-- if ( Bus2IP_BE(byte_index) = '1' ) then
-- slv_reg1(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
-- end if;
-- end loop;
when "0010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg2(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when "0001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg3(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3 ) is
begin
case slv_reg_read_sel is
when "1000" => slv_ip2bus_data <= slv_reg0;
when "0100" => slv_ip2bus_data <= slv_reg1;
when "0010" => slv_ip2bus_data <= slv_reg2;
when "0001" => slv_ip2bus_data <= slv_reg3;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/pr_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/user_logic.vhd
|
10
|
26990
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 1);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 0);
signal slv_reg_read_sel : std_logic_vector(0 to 0);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal mst_reg_write_req : std_logic;
signal mst_reg_read_req : std_logic;
signal mst_reg_write_sel : std_logic_vector(0 to 3);
signal mst_reg_read_sel : std_logic_vector(0 to 3);
signal mst_write_ack : std_logic;
signal mst_read_ack : std_logic;
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_reg : BYTE_REG_TYPE;
signal mst_byte_we : std_logic_vector(0 to 15);
signal mst_cntl_rd_req : std_logic;
signal mst_cntl_wr_req : std_logic;
signal mst_cntl_bus_lock : std_logic;
signal mst_cntl_burst : std_logic;
signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_xfer_length : std_logic_vector(0 to 11);
signal mst_ip2bus_be : std_logic_vector(0 to 15);
signal mst_go : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 0);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 0);
slv_write_ack <= Bus2IP_WrCE(0);
slv_read_ack <= Bus2IP_RdCE(0);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
else
case slv_reg_write_sel is
when "1" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is
begin
case slv_reg_read_sel is
when "1" => slv_ip2bus_data <= slv_reg0;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to demonstrate user logic master model functionality
--
-- Note:
-- The example code presented here is to show you one way of stimulating
-- the PLBv46 master interface under user control. It is provided for
-- demonstration purposes only and allows the user to exercise the PLBv46
-- master interface during test and evaluation of the template.
-- This user logic master model contains a 16-byte flattened register and
-- the user is required to initialize the value to desire and then write to
-- the model's 'Go' port to initiate the user logic master operation.
--
-- Control Register (C_BASEADDR + OFFSET + 0x0):
-- bit 0 - Rd (Read Request Control)
-- bit 1 - Wr (Write Request Control)
-- bit 2 - BL (Bus Lock Control)
-- bit 3 - Brst (Burst Assertion Control)
-- bit 4-7 - Spare (Spare Control Bits)
-- Status Register (C_BASEADDR + OFFSET + 0x1):
-- bit 0 - Done (Transfer Done Status)
-- bit 1 - Busy (User Logic Master is Busy)
-- bit 2 - Error (User Logic Master request got error response)
-- bit 3 - Tmout (User Logic Master request is timeout)
-- bit 2-7 - Spare (Spare Status Bits)
-- Addrress Register (C_BASEADDR + OFFSET + 0x4):
-- bit 0-31 - Target Address (This 32-bit value is used to populate the
-- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write
-- user logic master operation)
-- Byte Enable Register (C_BASEADDR + OFFSET + 0x8):
-- bit 0-15 - Master BE (This 16-bit value is used to populate the
-- IP2Bus_Mst_BE byte enable bus during a Read or Write user
-- logic master operation for single data beat transfer)
-- Length Register (C_BASEADDR + OFFSET + 0xC):
-- bit 0-3 - Reserved
-- bit 4-15 - Transfer Length (This 12-bit value is used to populate the
-- IP2Bus_Mst_Length(0:11) transfer length bus which specifies
-- the number of bytes (1 to 4096) to transfer during user logic
-- master Read or Write fixed length burst operations)
-- Go Register (C_BASEADDR + OFFSET + 0xF):
-- bit 0-7 - Go Port (Write to this byte address initiates the user
-- logic master transfer, data key value of 0x0A must be used)
--
-- Note: OFFSET may be different depending on your address space configuration,
-- by default it's either 0x0 or 0x100. Refer to IPIF address range array
-- for actual value.
--
-- Here's an example procedure in your software application to initiate a 4-byte
-- write operation (single data beat) of this master model:
-- 1. write 0x40 to the control register
-- 2. write the target address to the address register
-- 3. write valid byte lane value to the be register
-- - note: this value must be aligned with ip2bus address
-- 4. write 0x0004 to the length register
-- 5. write 0x0a to the go register, this will start the master write operation
--
------------------------------------------
mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4);
mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4);
mst_reg_write_sel <= Bus2IP_WrCE(1 to 4);
mst_reg_read_sel <= Bus2IP_RdCE(1 to 4);
mst_write_ack <= mst_reg_write_req;
mst_read_ack <= mst_reg_read_req;
-- rip control bits from master model registers
mst_cntl_rd_req <= mst_reg(0)(0);
mst_cntl_wr_req <= mst_reg(0)(1);
mst_cntl_bus_lock <= mst_reg(0)(2);
mst_cntl_burst <= mst_reg(0)(3);
mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7);
mst_ip2bus_be <= mst_reg(8) & mst_reg(9);
mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13);
-- implement byte write enable for each byte slice of the master model registers
MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
for byte_index in 0 to 15 loop
mst_byte_we(byte_index) <= mst_reg_write_req and
mst_reg_write_sel(byte_index/BE_WIDTH) and
Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH);
end loop;
end process MASTER_REG_BYTE_WR_EN;
-- implement master model registers
MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
mst_reg(0 to 14) <= (others => "00000000");
else
-- control register (byte 0)
if ( mst_byte_we(0) = '1' ) then
mst_reg(0) <= Bus2IP_Data(0 to 7);
end if;
-- status register (byte 1)
mst_reg(1)(1) <= mst_cmd_sm_busy;
if ( mst_byte_we(1) = '1' ) then
-- allows a clear of the 'Done'/'error'/'timeout'
mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8);
mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2);
mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3);
else
-- 'Done'/'error'/'timeout' from master control state machine
mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0);
mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2);
mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3);
end if;
-- byte 2 and 3 are reserved
-- address register (byte 4 to 7)
-- be register (byte 8 to 9)
-- length register (byte 12 to 13)
-- byte 10, 11 and 14 are reserved
for byte_index in 4 to 14 loop
if ( mst_byte_we(byte_index) = '1' ) then
mst_reg(byte_index) <= Bus2IP_Data(
(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to
(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7);
end if;
end loop;
end if;
end if;
end process MASTER_REG_WRITE_PROC;
-- implement master model write only 'go' port
MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is
constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A";
constant GO_BYTE_LANE : integer := 15;
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then
mst_go <= '0';
elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and
Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to
(GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then
mst_go <= '1';
else
null;
end if;
end if;
end process MASTER_WRITE_GO_PORT;
-- implement master model register read mux
MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
case mst_reg_read_sel is
when "1000" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index);
end loop;
when "0100" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index);
end loop;
when "0010" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index);
end loop;
when "0001" =>
for byte_index in 0 to BE_WIDTH-1 loop
if ( byte_index = BE_WIDTH-1 ) then
-- go port is not readable
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0');
else
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index);
end if;
end loop;
when others =>
mst_ip2bus_data <= (others => '0');
end case;
end process MASTER_REG_READ_PROC;
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= mst_cntl_rd_req;
mst_cmd_sm_wr_req <= mst_cntl_wr_req;
mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr;
mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15);
mst_cmd_sm_bus_lock <= mst_cntl_bus_lock;
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- local srl fifo for data storage
mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n);
mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n);
DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f
generic map
(
C_DWIDTH => C_MST_DWIDTH,
C_DEPTH => 16
)
port map
(
Clk => Bus2IP_Clk,
Reset => Bus2IP_Reset,
FIFO_Write => mst_fifo_valid_write_xfer,
Data_In => Bus2IP_MstRd_d,
FIFO_Read => mst_fifo_valid_read_xfer,
Data_Out => IP2Bus_MstWr_d,
FIFO_Full => open,
FIFO_Empty => open,
Addr => open
);
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
mst_ip2bus_data when mst_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack or mst_write_ack;
IP2Bus_RdAck <= slv_read_ack or mst_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/user_logic.vhd
|
10
|
26990
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 1);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_reg0 : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_reg_write_sel : std_logic_vector(0 to 0);
signal slv_reg_read_sel : std_logic_vector(0 to 0);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal mst_reg_write_req : std_logic;
signal mst_reg_read_req : std_logic;
signal mst_reg_write_sel : std_logic_vector(0 to 3);
signal mst_reg_read_sel : std_logic_vector(0 to 3);
signal mst_write_ack : std_logic;
signal mst_read_ack : std_logic;
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_reg : BYTE_REG_TYPE;
signal mst_byte_we : std_logic_vector(0 to 15);
signal mst_cntl_rd_req : std_logic;
signal mst_cntl_wr_req : std_logic;
signal mst_cntl_bus_lock : std_logic;
signal mst_cntl_burst : std_logic;
signal mst_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_xfer_length : std_logic_vector(0 to 11);
signal mst_ip2bus_be : std_logic_vector(0 to 15);
signal mst_go : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_write_sel <= Bus2IP_WrCE(0 to 0);
slv_reg_read_sel <= Bus2IP_RdCE(0 to 0);
slv_write_ack <= Bus2IP_WrCE(0);
slv_read_ack <= Bus2IP_RdCE(0);
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_reg0 <= (others => '0');
else
case slv_reg_write_sel is
when "1" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if ( Bus2IP_BE(byte_index) = '1' ) then
slv_reg0(byte_index*8 to byte_index*8+7) <= Bus2IP_Data(byte_index*8 to byte_index*8+7);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0 ) is
begin
case slv_reg_read_sel is
when "1" => slv_ip2bus_data <= slv_reg0;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to demonstrate user logic master model functionality
--
-- Note:
-- The example code presented here is to show you one way of stimulating
-- the PLBv46 master interface under user control. It is provided for
-- demonstration purposes only and allows the user to exercise the PLBv46
-- master interface during test and evaluation of the template.
-- This user logic master model contains a 16-byte flattened register and
-- the user is required to initialize the value to desire and then write to
-- the model's 'Go' port to initiate the user logic master operation.
--
-- Control Register (C_BASEADDR + OFFSET + 0x0):
-- bit 0 - Rd (Read Request Control)
-- bit 1 - Wr (Write Request Control)
-- bit 2 - BL (Bus Lock Control)
-- bit 3 - Brst (Burst Assertion Control)
-- bit 4-7 - Spare (Spare Control Bits)
-- Status Register (C_BASEADDR + OFFSET + 0x1):
-- bit 0 - Done (Transfer Done Status)
-- bit 1 - Busy (User Logic Master is Busy)
-- bit 2 - Error (User Logic Master request got error response)
-- bit 3 - Tmout (User Logic Master request is timeout)
-- bit 2-7 - Spare (Spare Status Bits)
-- Addrress Register (C_BASEADDR + OFFSET + 0x4):
-- bit 0-31 - Target Address (This 32-bit value is used to populate the
-- IP2Bus_Mst_Addr(0:31) address bus during a Read or Write
-- user logic master operation)
-- Byte Enable Register (C_BASEADDR + OFFSET + 0x8):
-- bit 0-15 - Master BE (This 16-bit value is used to populate the
-- IP2Bus_Mst_BE byte enable bus during a Read or Write user
-- logic master operation for single data beat transfer)
-- Length Register (C_BASEADDR + OFFSET + 0xC):
-- bit 0-3 - Reserved
-- bit 4-15 - Transfer Length (This 12-bit value is used to populate the
-- IP2Bus_Mst_Length(0:11) transfer length bus which specifies
-- the number of bytes (1 to 4096) to transfer during user logic
-- master Read or Write fixed length burst operations)
-- Go Register (C_BASEADDR + OFFSET + 0xF):
-- bit 0-7 - Go Port (Write to this byte address initiates the user
-- logic master transfer, data key value of 0x0A must be used)
--
-- Note: OFFSET may be different depending on your address space configuration,
-- by default it's either 0x0 or 0x100. Refer to IPIF address range array
-- for actual value.
--
-- Here's an example procedure in your software application to initiate a 4-byte
-- write operation (single data beat) of this master model:
-- 1. write 0x40 to the control register
-- 2. write the target address to the address register
-- 3. write valid byte lane value to the be register
-- - note: this value must be aligned with ip2bus address
-- 4. write 0x0004 to the length register
-- 5. write 0x0a to the go register, this will start the master write operation
--
------------------------------------------
mst_reg_write_req <= Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4);
mst_reg_read_req <= Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4);
mst_reg_write_sel <= Bus2IP_WrCE(1 to 4);
mst_reg_read_sel <= Bus2IP_RdCE(1 to 4);
mst_write_ack <= mst_reg_write_req;
mst_read_ack <= mst_reg_read_req;
-- rip control bits from master model registers
mst_cntl_rd_req <= mst_reg(0)(0);
mst_cntl_wr_req <= mst_reg(0)(1);
mst_cntl_bus_lock <= mst_reg(0)(2);
mst_cntl_burst <= mst_reg(0)(3);
mst_ip2bus_addr <= mst_reg(4) & mst_reg(5) & mst_reg(6) & mst_reg(7);
mst_ip2bus_be <= mst_reg(8) & mst_reg(9);
mst_xfer_length <= mst_reg(12)(4 to 7) & mst_reg(13);
-- implement byte write enable for each byte slice of the master model registers
MASTER_REG_BYTE_WR_EN : process( Bus2IP_BE, mst_reg_write_req, mst_reg_write_sel ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
for byte_index in 0 to 15 loop
mst_byte_we(byte_index) <= mst_reg_write_req and
mst_reg_write_sel(byte_index/BE_WIDTH) and
Bus2IP_BE(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH);
end loop;
end process MASTER_REG_BYTE_WR_EN;
-- implement master model registers
MASTER_REG_WRITE_PROC : process( Bus2IP_Clk ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
mst_reg(0 to 14) <= (others => "00000000");
else
-- control register (byte 0)
if ( mst_byte_we(0) = '1' ) then
mst_reg(0) <= Bus2IP_Data(0 to 7);
end if;
-- status register (byte 1)
mst_reg(1)(1) <= mst_cmd_sm_busy;
if ( mst_byte_we(1) = '1' ) then
-- allows a clear of the 'Done'/'error'/'timeout'
mst_reg(1)(0) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8);
mst_reg(1)(2) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+2);
mst_reg(1)(3) <= Bus2IP_Data((1-(1/BE_WIDTH)*BE_WIDTH)*8+3);
else
-- 'Done'/'error'/'timeout' from master control state machine
mst_reg(1)(0) <= mst_cmd_sm_set_done or mst_reg(1)(0);
mst_reg(1)(2) <= mst_cmd_sm_set_error or mst_reg(1)(2);
mst_reg(1)(3) <= mst_cmd_sm_set_timeout or mst_reg(1)(3);
end if;
-- byte 2 and 3 are reserved
-- address register (byte 4 to 7)
-- be register (byte 8 to 9)
-- length register (byte 12 to 13)
-- byte 10, 11 and 14 are reserved
for byte_index in 4 to 14 loop
if ( mst_byte_we(byte_index) = '1' ) then
mst_reg(byte_index) <= Bus2IP_Data(
(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8 to
(byte_index-(byte_index/BE_WIDTH)*BE_WIDTH)*8+7);
end if;
end loop;
end if;
end if;
end process MASTER_REG_WRITE_PROC;
-- implement master model write only 'go' port
MASTER_WRITE_GO_PORT : process( Bus2IP_Clk ) is
constant GO_DATA_KEY : std_logic_vector(0 to 7) := X"0A";
constant GO_BYTE_LANE : integer := 15;
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' or mst_cmd_sm_clr_go = '1' ) then
mst_go <= '0';
elsif ( mst_cmd_sm_busy = '0' and mst_byte_we(GO_BYTE_LANE) = '1' and
Bus2IP_Data((GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8 to
(GO_BYTE_LANE-(GO_BYTE_LANE/BE_WIDTH)*BE_WIDTH)*8+7) = GO_DATA_KEY ) then
mst_go <= '1';
else
null;
end if;
end if;
end process MASTER_WRITE_GO_PORT;
-- implement master model register read mux
MASTER_REG_READ_PROC : process( mst_reg_read_sel, mst_reg ) is
constant BE_WIDTH : integer := C_SLV_DWIDTH/8;
begin
case mst_reg_read_sel is
when "1000" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(byte_index);
end loop;
when "0100" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH+byte_index);
end loop;
when "0010" =>
for byte_index in 0 to BE_WIDTH-1 loop
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*2+byte_index);
end loop;
when "0001" =>
for byte_index in 0 to BE_WIDTH-1 loop
if ( byte_index = BE_WIDTH-1 ) then
-- go port is not readable
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= (others => '0');
else
mst_ip2bus_data(byte_index*8 to byte_index*8+7) <= mst_reg(BE_WIDTH*3+byte_index);
end if;
end loop;
when others =>
mst_ip2bus_data <= (others => '0');
end case;
end process MASTER_REG_READ_PROC;
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= mst_cntl_rd_req;
mst_cmd_sm_wr_req <= mst_cntl_wr_req;
mst_cmd_sm_ip2bus_addr <= mst_ip2bus_addr;
mst_cmd_sm_ip2bus_be <= mst_ip2bus_be(16-C_MST_DWIDTH/8 to 15);
mst_cmd_sm_bus_lock <= mst_cntl_bus_lock;
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- local srl fifo for data storage
mst_fifo_valid_write_xfer <= not(Bus2IP_MstRd_src_rdy_n);
mst_fifo_valid_read_xfer <= not(Bus2IP_MstWr_dst_rdy_n);
DATA_CAPTURE_FIFO_I : entity proc_common_v3_00_a.srl_fifo_f
generic map
(
C_DWIDTH => C_MST_DWIDTH,
C_DEPTH => 16
)
port map
(
Clk => Bus2IP_Clk,
Reset => Bus2IP_Reset,
FIFO_Write => mst_fifo_valid_write_xfer,
Data_In => Bus2IP_MstRd_d,
FIFO_Read => mst_fifo_valid_read_xfer,
Data_Out => IP2Bus_MstWr_d,
FIFO_Full => open,
FIFO_Empty => open,
Addr => open
);
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
mst_ip2bus_data when mst_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack or mst_write_ack;
IP2Bus_RdAck <= slv_read_ack or mst_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_plbv46_bridge_v1_01_a/hdl/vhdl/opb_plbv46_bridge.vhd
|
3
|
35705
|
-------------------------------------------------------------------------------
-- $Id: opb_plbv46_bridge.vhd,v 1.1.2.1 2008/12/17 19:04:49 mlovejoy Exp $
-------------------------------------------------------------------------------
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2006, 2008 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-------------------------------------------------------------------------------
-- Filename: opb_plbv46_bridge.vhd
--
-- Description: This bridge accepts OPB master transactions directed at any
-- one of up-to four address ranges and bridges them to the
-- PLBV46 bus. Write transactions are accepted immediately and
-- posted to an internal write buffer. The write data is
-- transported to the PLBV46 bus as soon as it is ready. Read
-- requests are satisfied through a prefetch mechanism. Once
-- the read prefetch has completed on the PLBV46 bus and data
-- from the read has been buffered internal to the bridge an
-- OPB request that matches the address of the original
-- prefetch can claim the buffer contents.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
--
--
-------------------------------------------------------------------------------
-- Author: TRD
-- Revision: $Revision: 1.1.2.1 $
-- Date: $11/06/2006$
--
-- History:
-- TRD 11/06/2006 Initial V46 Version
-- MLL 09/02/2008 Rev`d to proc_common v3, added coverage/off/on
-- statements, new v1.01.a version and CHANGELOG
-- removed
-- MLL 12/17/2008 Legal header updated
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
LIBRARY proc_common_v3_00_a;
USE proc_common_v3_00_a.proc_common_pkg.ALL; -- need log2()
USE proc_common_v3_00_a.family.ALL; -- need C_FAMILY definitions
LIBRARY opb_plbv46_bridge_v1_01_a;
LIBRARY plbv46_master_burst_v1_01_a;
-------------------------------------------------------------------------------
ENTITY opb_plbv46_bridge IS
GENERIC (
-- OPB Address range definition
C_NUM_ADDR_RNG : integer RANGE 1 TO 4 := 1; -- Number of Address Ranges
C_RNG0_BASEADDR : std_logic_vector(0 TO 31) := X"FFFFFFFF"; -- Address range definition base address
C_RNG0_HIGHADDR : std_logic_vector(0 TO 31) := X"00000000"; -- Address range definition high address
C_RNG1_BASEADDR : std_logic_vector(0 TO 31) := X"FFFFFFFF"; -- Address range definition base address
C_RNG1_HIGHADDR : std_logic_vector(0 TO 31) := X"00000000"; -- Address range definition high address
C_RNG2_BASEADDR : std_logic_vector(0 TO 31) := X"FFFFFFFF"; -- Address range definition base address
C_RNG2_HIGHADDR : std_logic_vector(0 TO 31) := X"00000000"; -- Address range definition high address
C_RNG3_BASEADDR : std_logic_vector(0 TO 31) := X"FFFFFFFF"; -- Address range definition base address
C_RNG3_HIGHADDR : std_logic_vector(0 TO 31) := X"00000000"; -- Address range definition high address
-- BRIDGE CONFIGURATION
C_BUS_CLOCK_PERIOD_RATIO : integer RANGE 1 TO 2 := 1;
C_PREFETCH_TIMEOUT : integer RANGE 1 TO 32 := 10; -- prefetch timeout counter size (bits)
-- PLB I/O Specification
C_MPLB_AWIDTH : integer RANGE 32 TO 64 := 32; -- Used Address bits out of the available 64 bits of PLBV46 addressing
C_MPLB_DWIDTH : integer RANGE 32 TO 128 := 32; --Width of the PLB Data Bus to which the Master is attached
C_MPLB_NATIVE_DWIDTH : integer RANGE 32 TO 128 := 32; --Specifies the internal native data width of the Master
C_FAMILY : string := "virtex4" -- Xilinx FPGA Family Type spartan3, virtex4,virtex5
);
PORT (
-------------------------------------------------------------------------
-- PLBV46 Bus Master Interface
-------------------------------------------------------------------------
-- System Ports
MPLB_Clk : IN std_logic;
MPLB_Rst : IN std_logic;
MD_Error : OUT std_logic;
-- Master Request/Qualifiers to PLB V4.6 (outputs)
M_request : OUT std_logic;
M_priority : OUT std_logic_vector(0 TO 1);
M_busLock : OUT std_logic;
M_RNW : OUT std_logic;
M_BE : OUT std_logic_vector(0 TO (C_MPLB_DWIDTH/8) - 1);
M_MSize : OUT std_logic_vector(0 TO 1);
M_size : OUT std_logic_vector(0 TO 3);
M_type : OUT std_logic_vector(0 TO 2);
M_ABus : OUT std_logic_vector(0 TO 31);
M_wrBurst : OUT std_logic;
M_rdBurst : OUT std_logic;
M_wrDBus : OUT std_logic_vector(0 TO C_MPLB_DWIDTH-1);
-- PLB Reply to Master (inputs)
PLB_MAddrAck : IN std_logic;
PLB_MSSize : IN std_logic_vector(0 TO 1);
PLB_MRearbitrate : IN std_logic;
PLB_MTimeout : IN std_logic;
PLB_MRdErr : IN std_logic;
PLB_MWrErr : IN std_logic;
PLB_MRdDBus : IN std_logic_vector(0 TO C_MPLB_DWIDTH-1);
PLB_MRdDAck : IN std_logic;
PLB_MRdBTerm : IN std_logic;
PLB_MWrDAck : IN std_logic;
PLB_MWrBTerm : IN std_logic;
-- Included PLB ports but unused in the design
M_TAttribute : OUT std_logic_vector(0 TO 15);
M_lockErr : OUT std_logic;
M_abort : OUT std_logic;
M_UABus : OUT std_logic_vector(0 TO 31);
PLB_MBusy : IN std_logic;
PLB_MIRQ : IN std_logic;
PLB_MRdWdAddr : IN std_logic_vector(0 TO 3);
--------------------------------------------------------------------------
-- OPB Bus Slave Interface
--------------------------------------------------------------------------
-- System Interface
SOPB_rst : IN std_logic; -- opb reset
SOPB_clk : IN std_logic; -- opb clock
-- Slave ports
OPB_Select : IN std_logic; -- OPB select
OPB_RNW : IN std_logic; -- OPB Read not Write
OPB_BE : IN std_logic_vector(0 TO (32/8)-1); -- OPB transaction byte enables
OPB_seqAddr : IN std_logic; -- OPB sequential address
OPB_DBus : IN std_logic_vector(0 TO 32-1); -- OPB master data bus
OPB_ABus : IN std_logic_vector(0 TO 32-1); -- OPB Slave address bus
Sl_xferAck : OUT std_logic; -- OPB Slave transfer acknowledgement
Sl_errAck : OUT std_logic; -- OPB Slave transaction error acknowledgement
Sl_retry : OUT std_logic; -- OPB Slave transaction retry
Sl_ToutSup : OUT std_logic; -- OPB Slave timeout suppress
Sl_DBus : OUT std_logic_vector(0 TO 32-1) -- OPB Slave data BUS
);
-- Platform Specification Attributes
ATTRIBUTE IMP_NETLIST : string;
ATTRIBUTE IMP_NETLIST OF opb_plbv46_bridge : ENTITY IS "TRUE";
ATTRIBUTE IPTYPE : string;
ATTRIBUTE IPTYPE OF opb_plbv46_bridge : ENTITY IS "BRIDGE";
ATTRIBUTE STYLE : string;
ATTRIBUTE STYLE OF opb_plbv46_bridge : ENTITY IS "HDL";
ATTRIBUTE HDL : string;
ATTRIBUTE HDL OF opb_plbv46_bridge : ENTITY IS "VHDL";
-- ATTRIBUTE BUSIF : string;
-- ATTRIBUTE BUSIF OF C_RNG0_BASEADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG0_HIGHADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG1_BASEADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG1_HIGHADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG2_BASEADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG2_HIGHADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG3_BASEADDR : CONSTANT IS "SOPB";
-- ATTRIBUTE BUSIF OF C_RNG3_HIGHADDR : CONSTANT IS "SOPB";
ATTRIBUTE SIGIS : string;
ATTRIBUTE SIGIS OF SOPB_rst : SIGNAL IS "RST";
ATTRIBUTE SIGIS OF SOPB_clk : SIGNAL IS "CLK";
ATTRIBUTE SIGIS OF MPLB_rst : SIGNAL IS "RST";
ATTRIBUTE SIGIS OF MPLB_clk : SIGNAL IS "CLK";
END ENTITY opb_plbv46_bridge;
ARCHITECTURE syn OF opb_plbv46_bridge IS
CONSTANT C_MPLB_SMALLEST_SLAVE : integer RANGE 32 TO 128 := 32;
CONSTANT C_INHIBIT_CC_BLE_INCLUSION : integer RANGE 0 TO 1 := 0;
-- IP Master Request/Qualifiers
SIGNAL IP2Bus_MstRd_Req : std_logic; -- [In]
SIGNAL IP2Bus_MstWr_Req : std_logic; -- [In]
SIGNAL IP2Bus_Mst_Addr : std_logic_vector(0 TO
C_MPLB_AWIDTH-1); -- [in]
SIGNAL IP2Bus_Mst_Length : std_logic_vector(0 TO 11); -- [in]
SIGNAL IP2Bus_Mst_BE : std_logic_vector(0 TO
(C_MPLB_NATIVE_DWIDTH/8) -1); -- [in]
SIGNAL IP2Bus_Mst_Type : std_logic; -- [in]
SIGNAL IP2Bus_Mst_Lock : std_logic; -- [In]
SIGNAL IP2Bus_Mst_Reset : std_logic; -- [In]
-- IP Master Primary Read Request Status Reply
SIGNAL Bus2IP_Mst_CmdAck : std_logic; -- [Out]
SIGNAL Bus2IP_Mst_Cmplt : std_logic; -- [Out]
SIGNAL Bus2IP_Mst_Error : std_logic; -- [Out]
SIGNAL Bus2IP_Mst_Rearbitrate : std_logic; -- [Out]
SIGNAL Bus2IP_Mst_Cmd_Timeout : std_logic; -- [out]
-- IP Master Primary Read LocalLink Interface
SIGNAL Bus2IP_MstRd_d : std_logic_vector(0 TO
C_MPLB_NATIVE_DWIDTH-1); -- [out]
SIGNAL Bus2IP_MstRd_rem : std_logic_vector(0 TO
(C_MPLB_NATIVE_DWIDTH/8)-1); -- [out]
SIGNAL Bus2IP_MstRd_sof_n : std_logic; -- [Out]
SIGNAL Bus2IP_MstRd_eof_n : std_logic; -- [Out]
SIGNAL Bus2IP_MstRd_src_rdy_n : std_logic; -- [Out]
SIGNAL Bus2IP_MstRd_src_dsc_n : std_logic; -- [Out]
SIGNAL IP2Bus_MstRd_dst_rdy_n : std_logic; -- [In]
SIGNAL IP2Bus_MstRd_dst_dsc_n : std_logic; -- [In]
-- IP Master Primary Write LocalLink Interface
SIGNAL IP2Bus_MstWr_d : std_logic_vector(0 TO
C_MPLB_NATIVE_DWIDTH-1); -- [In]
SIGNAL IP2Bus_MstWr_rem : std_logic_vector(0 TO
(C_MPLB_NATIVE_DWIDTH/8)-1) := (OTHERS => '1'); -- [In]
SIGNAL IP2Bus_MstWr_sof_n : std_logic; -- [In]
SIGNAL IP2Bus_MstWr_eof_n : std_logic; -- [In]
SIGNAL IP2Bus_MstWr_src_rdy_n : std_logic; -- [In]
SIGNAL IP2Bus_MstWr_src_dsc_n : std_logic; -- [In]
SIGNAL Bus2IP_MstWr_dst_rdy_n : std_logic; -- [Out]
SIGNAL Bus2IP_MstWr_dst_dsc_n : std_logic; -- [Out]
-- OPB slave to Bridge Interface
SIGNAL brdg_block : std_logic; -- [IN] bridge block
SIGNAL brdg_prefetch_cmplt : std_logic; -- [IN] bridge prefetch complete
SIGNAL brdg_prefetch_status : std_logic; -- [IN] bridge prefetch status
SIGNAL brdg_prefetch_addr : std_logic_vector(0 TO 31); -- [IN] bridge prefetch address
SIGNAL opbs_prefetch_req : std_logic; -- [OUT] opb slave prefetch request
SIGNAL opbs_type : std_logic; -- [OUT] opb slave transaction request type
SIGNAL opbs_prefetch_clr : std_logic; -- [OUT] opb slave prefetch clear
SIGNAL opbs_postedwr_clr : std_logic; -- [OUT] opb slave posted write clear
SIGNAL opbs_trans_addr : std_logic_vector(0 TO 31); -- [OUT] opb slave transaction address
SIGNAL opbs_length : std_logic_vector(0 TO 11); -- [OUT] opb slave transaction length
SIGNAL opbs_postedwrt_req : std_logic; -- [OUT] opb slave posted write request
SIGNAL opbs_be : std_logic_vector(0 TO 3); -- [OUT] opb slave byte enable
-- Local Link Read Buffer
SIGNAL bfs_data : std_logic_vector(0 TO 31); -- [IN] Read data output to user logic
SIGNAL bfs_sof_n : std_logic; -- [IN] Active low signal indicating the starting data beat of a read local link transfer (unused by slave)
SIGNAL bfs_eof_n : std_logic; -- [IN] Active low signal indicating the ending data beat of a Read local link transfer. (Unused by slave)
SIGNAL bfs_src_rdy_n : std_logic; -- [IN] Asserts active low to indicate the presence of valid data on signal bfs_data.
SIGNAL bfs_src_dsc_n : std_logic; -- [IN] Active low signal indicating that the read local link source (master) needs to discontinue the transfer. (Unused. Drive high)
SIGNAL bfs_dst_rdy_n : std_logic; -- [OUT] Destination (ie the slave) asserts active low to signal it is ready to take valid data on bfs_data.
SIGNAL bfs_dst_dsc_n : std_logic; -- [OUT] Active low signal that the read local link destination needs to discontinue the transfer.
SIGNAL brdg_rd_bf_rst : std_logic; -- [IN]
-- Local Link Write Buffer
SIGNAL bfd_data : std_logic_vector(0 TO 31); -- [OUT]
SIGNAL bfd_sof_n : std_logic; -- [OUT]
SIGNAL bfd_eof_n : std_logic; -- [OUT]
SIGNAL bfd_src_rdy_n : std_logic; -- [OUT]
SIGNAL bfd_src_dsc_n : std_logic; -- [OUT]
SIGNAL bfd_dst_rdy_n : std_logic; -- [IN]
SIGNAL bfd_dst_dsc_n : std_logic; -- [IN]
SIGNAL brdg_wr_bf_rst : std_logic; -- [IN]
BEGIN
x_plbv46_master_burst : ENTITY plbv46_master_burst_v1_01_a.plbv46_master_burst
GENERIC MAP (
-- PLB Parameters
C_MPLB_AWIDTH => C_MPLB_AWIDTH, -- [INTEGER range 32 to 36]
-- Number of PLBV46 Address Bus bits actually used.
C_MPLB_DWIDTH => C_MPLB_DWIDTH, -- [INTEGER range 32 to 128]
-- Width of the PLBV46 Data Bus Attachment (in bits)
C_MPLB_NATIVE_DWIDTH => C_MPLB_NATIVE_DWIDTH, -- [INTEGER range 32 to 32]
-- Set this equal to largest data bus width needed by IPIF
-- and IP elements.
C_MPLB_SMALLEST_SLAVE => C_MPLB_SMALLEST_SLAVE,
-- Indicates the Native Data Width of the smallest slave
-- on the PLB connected to this Master. If this parameter's
-- value is less than the native Data width of the Master,
-- then the Conversion Cycle and Burst Length Expansion
-- Adapter will be included in the Master's implementation.
C_INHIBIT_CC_BLE_INCLUSION => C_INHIBIT_CC_BLE_INCLUSION,
-- This parameter will inhibit the automatic inclusion
-- of the Conversion Cycle and Burst length Expansion
-- Adapter. This override is useful if the connected PLB has
-- narrow Slaves attached to it but this Master will not access
-- those narrow Slaves.
-- FPGA Family Parameter
C_FAMILY => C_FAMILY) -- [String]
PORT MAP (
-- System Ports
MPLB_Clk => MPLB_Clk, -- [In std_logic]
MPLB_Rst => MPLB_Rst, -- [In std_logic]
MD_Error => MD_Error, -- [Out std_logic]
-- Master Request/Qualifiers to PLB V4.6 (outputs)
M_request => M_request, -- [out std_logic]
M_priority => M_priority, -- [out std_logic_vector(0 to 1)]
M_busLock => M_busLock, -- [out std_logic]
M_RNW => M_RNW, -- [out std_logic]
M_BE => M_BE, -- [out std_logic_vector(0 to (C_MPLB_DWIDTH/8) - 1)]
M_MSize => M_MSize, -- [out std_logic_vector(0 to 1)]
M_size => M_size, -- [out std_logic_vector(0 to 3)]
M_type => M_type, -- [out std_logic_vector(0 to 2)]
M_ABus => M_ABus, -- [out std_logic_vector(0 to 31)]
M_wrBurst => M_wrBurst, -- [out std_logic]
M_rdBurst => M_rdBurst, -- [out std_logic]
M_wrDBus => M_wrDBus, -- [out std_logic_vector(0 to C_MPLB_DWIDTH-1)]
-- PLB Reply to Master (inputs)
PLB_MAddrAck => PLB_MAddrAck, -- [in std_logic]
PLB_MSSize => PLB_MSSize, -- [in std_logic_vector(0 to 1)]
PLB_MRearbitrate => PLB_MRearbitrate, -- [in std_logic]
PLB_MTimeout => PLB_MTimeout, -- [in std_logic]
PLB_MRdErr => PLB_MRdErr, -- [in std_logic]
PLB_MWrErr => PLB_MWrErr, -- [in std_logic]
PLB_MRdDBus => PLB_MRdDBus, -- [in std_logic_vector(0 to C_MPLB_DWIDTH-1)]
PLB_MRdDAck => PLB_MRdDAck, -- [in std_logic]
PLB_MRdBTerm => PLB_MRdBTerm, -- [in std_logic]
PLB_MWrDAck => PLB_MWrDAck, -- [in std_logic]
PLB_MWrBTerm => PLB_MWrBTerm, -- [in std_logic]
-- Included PLB ports but unused in the design
M_TAttribute => M_TAttribute, -- [out std_logic_vector(0 to 15)]
M_lockErr => M_lockErr, -- [out std_logic]
M_abort => M_abort, -- [out std_logic]
M_UABus => M_UABus, -- [out std_logic_vector(0 to 31)]
PLB_MBusy => PLB_MBusy, -- [in std_logic]
PLB_MIRQ => PLB_MIRQ, -- [in std_logic]
PLB_MRdWdAddr => PLB_MRdWdAddr, -- [in std_logic_vector(0 to 3)]
-- IP Master Request/Qualifiers
IP2Bus_MstRd_Req => IP2Bus_MstRd_Req, -- [In std_logic]
IP2Bus_MstWr_Req => IP2Bus_MstWr_Req, -- [In std_logic]
IP2Bus_Mst_Addr => IP2Bus_Mst_Addr, -- [in std_logic_vector(0 toC_MPLB_AWIDTH-1)]
IP2Bus_Mst_Length => IP2Bus_Mst_Length, -- [in std_logic_vector(0 to 11)]
IP2Bus_Mst_BE => IP2Bus_Mst_BE, -- [in std_logic_vector(0 to(C_MPLB_NATIVE_DWIDTH/8) -1)]
IP2Bus_Mst_Type => IP2Bus_Mst_Type, -- [in std_logic]
IP2Bus_Mst_Lock => IP2Bus_Mst_Lock, -- [In std_logic]
IP2Bus_Mst_Reset => IP2Bus_Mst_Reset, -- [In std_logic]
-- IP Master Primary Read Request Status Reply
Bus2IP_Mst_CmdAck => Bus2IP_Mst_CmdAck, -- [Out std_logic]
Bus2IP_Mst_Cmplt => Bus2IP_Mst_Cmplt, -- [Out std_logic]
Bus2IP_Mst_Error => Bus2IP_Mst_Error, -- [Out std_logic]
Bus2IP_Mst_Rearbitrate => Bus2IP_Mst_Rearbitrate, -- [Out std_logic]
Bus2IP_Mst_Cmd_Timeout => Bus2IP_Mst_Cmd_Timeout, -- [out std_logic]
-- IP Master Primary Read LocalLink Interface
Bus2IP_MstRd_d => Bus2IP_MstRd_d, -- [out std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1)]
Bus2IP_MstRd_rem => OPEN, -- [out std_logic_vector(0 to (C_MPLB_NATIVE_DWIDTH/8)-1)]
Bus2IP_MstRd_sof_n => Bus2IP_MstRd_sof_n, -- [Out std_logic]
Bus2IP_MstRd_eof_n => Bus2IP_MstRd_eof_n, -- [Out std_logic]
Bus2IP_MstRd_src_rdy_n => Bus2IP_MstRd_src_rdy_n, -- [Out std_logic]
Bus2IP_MstRd_src_dsc_n => Bus2IP_MstRd_src_dsc_n, -- [Out std_logic]
IP2Bus_MstRd_dst_rdy_n => IP2Bus_MstRd_dst_rdy_n, -- [In std_logic]
IP2Bus_MstRd_dst_dsc_n => IP2Bus_MstRd_dst_dsc_n, -- [In std_logic]
-- IP Master Primary Write LocalLink Interface
IP2Bus_MstWr_d => IP2Bus_MstWr_d, -- [In std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1)]
IP2Bus_MstWr_rem => IP2Bus_MstWr_rem, -- [In std_logic_vector(0 to (C_MPLB_NATIVE_DWIDTH/8)-1)]
IP2Bus_MstWr_sof_n => IP2Bus_MstWr_sof_n, -- [In std_logic]
IP2Bus_MstWr_eof_n => IP2Bus_MstWr_eof_n, -- [In std_logic]
IP2Bus_MstWr_src_rdy_n => IP2Bus_MstWr_src_rdy_n, -- [In std_logic]
IP2Bus_MstWr_src_dsc_n => IP2Bus_MstWr_src_dsc_n, -- [In std_logic]
Bus2IP_MstWr_dst_rdy_n => Bus2IP_MstWr_dst_rdy_n, -- [Out std_logic]
Bus2IP_MstWr_dst_dsc_n => Bus2IP_MstWr_dst_dsc_n); -- [Out std_logic]
x_rd_buffer : ENTITY opb_plbv46_bridge_v1_01_a.buffer_x16
GENERIC MAP (
C_FAMILY => C_FAMILY) -- [string] Xilinx FPGA Family Type spartan3, virtex4, virtex5
PORT MAP (
-- Source Interface (from the OPB slave)
bfs_data => bfs_data, -- [OUT std_logic_vector(0 TO 31)] Read data output to user logic
bfs_sof_n => bfs_sof_n, -- [OUT std_logic] Active low signal indicating the starting data beat of a read local link transfer (unused by slave)
bfs_eof_n => bfs_eof_n, -- [OUT std_logic] Active low signal indicating the ending data beat of a Read local link transfer. (Unused by slave)
bfs_src_rdy_n => bfs_src_rdy_n, -- [OUT std_logic] Asserts active low to indicate the presence of valid data on signal bfs_data.
bfs_src_dsc_n => bfs_src_dsc_n, -- [OUT std_logic] Active low signal indicating that the read local link source (master) needs to discontinue the transfer. (Unused. Drive high)
bfs_dst_rdy_n => bfs_dst_rdy_n, -- [IN std_logic] Destination (ie the slave) asserts active low to signal it is ready to take valid data on bfs_data.
bfs_dst_dsc_n => bfs_dst_dsc_n, -- [IN std_logic] Active low signal that the read local link destination needs to discontinue the transfer.
-- Destination (Sink) Interface (to the plbv46_master_burst)
bfd_data => Bus2IP_MstRd_d, -- [IN std_logic_vector(0 TO 31)]
bfd_sof_n => Bus2IP_MstRd_sof_n, -- [IN std_logic]
bfd_eof_n => Bus2IP_MstRd_eof_n, -- [IN std_logic]
bfd_src_rdy_n => Bus2IP_MstRd_src_rdy_n, -- [IN std_logic]
bfd_src_dsc_n => Bus2IP_MstRd_src_dsc_n, -- [IN std_logic]
bfd_dst_rdy_n => IP2Bus_MstRd_dst_rdy_n, -- [OUT std_logic]
bfd_dst_dsc_n => IP2Bus_MstRd_dst_dsc_n, -- [OUT std_logic]
-- System Interface
rst => brdg_rd_bf_rst, -- [IN std_logic] reset
clk => MPLB_clk); -- [IN std_logic] clock
x_wr_buffer : ENTITY opb_plbv46_bridge_v1_01_a.buffer_x16
GENERIC MAP (
C_FAMILY => C_FAMILY) -- [string] Xilinx FPGA Family Type spartan3, virtex4, virtex5
PORT MAP (
-- Source Interface (from the plbv46_master_burst)
bfs_data => IP2Bus_MstWr_d, -- [OUT std_logic_vector(0 TO 31)] Read data output to user logic
bfs_sof_n => IP2Bus_MstWr_sof_n, -- [OUT std_logic] Active low signal indicating the starting data beat of a read local link transfer (unused by slave)
bfs_eof_n => IP2Bus_MstWr_eof_n, -- [OUT std_logic] Active low signal indicating the ending data beat of a Read local link transfer. (Unused by slave)
bfs_src_rdy_n => IP2Bus_MstWr_src_rdy_n, -- [OUT std_logic] Asserts active low to indicate the presence of valid data on signal bfs_data.
bfs_src_dsc_n => IP2Bus_MstWr_src_dsc_n, -- [OUT std_logic] Active low signal indicating that the read local link source (master) needs to discontinue the transfer. (Unused. Drive high)
bfs_dst_rdy_n => Bus2IP_MstWr_dst_rdy_n, -- [IN std_logic] Destination (ie the slave) asserts active low to signal it is ready to take valid data on bfs_data.
bfs_dst_dsc_n => Bus2IP_MstWr_dst_dsc_n, -- [IN std_logic] Active low signal that the read local link destination needs to discontinue the transfer.
-- Destination (Sink) Interface (to the opb_slave)
bfd_data => bfd_data, -- [IN std_logic_vector(0 TO 31)]
bfd_sof_n => bfd_sof_n, -- [IN std_logic]
bfd_eof_n => bfd_eof_n, -- [IN std_logic]
bfd_src_rdy_n => bfd_src_rdy_n, -- [IN std_logic]
bfd_src_dsc_n => bfd_src_dsc_n, -- [IN std_logic]
bfd_dst_rdy_n => bfd_dst_rdy_n, -- [OUT std_logic]
bfd_dst_dsc_n => bfd_dst_dsc_n, -- [OUT std_logic]
-- System Interface
rst => brdg_wr_bf_rst, -- [IN std_logic] reset
clk => MPLB_clk); -- [IN std_logic] clock
x_bridge : ENTITY opb_plbv46_bridge_v1_01_a.bridge
GENERIC MAP (
-- BRIDGE CONFIGURATION
C_PREFETCH_TIMEOUT => C_PREFETCH_TIMEOUT, --
-- System wide Specification
C_FAMILY => C_FAMILY) -- [string] Xilinx FPGA Family Type spartan3, virtex4, virtex5
PORT MAP (
-- PLBV46 Master Burst Interface
IP2Bus_MstRd_Req => IP2Bus_MstRd_Req, -- [OUT std_logic] User Logic Read Request
IP2Bus_MstWr_Req => IP2Bus_MstWr_Req, -- [OUT std_logic] User Logic Write Request
IP2Bus_Mst_Addr => IP2Bus_Mst_Addr, -- [OUT std_logic_vector(0 TO 32-1)] User Logic Request Address
IP2Bus_Mst_BE => IP2Bus_Mst_BE, -- [OUT std_logic_vector(0 TO (32/8)-1)] User Logic Request Byte Enables (only used during single data beat requests)
IP2Bus_Mst_Length => IP2Bus_Mst_Length, -- [OUT std_logic_vector(0 TO 11)]
IP2Bus_Mst_Type => IP2Bus_Mst_Type, -- [OUT std_logic] User Logic Request Type Indicator
IP2Bus_Mst_Lock => IP2Bus_Mst_Lock, -- [OUT std_logic] User Logic Bus Lock Request
IP2Bus_Mst_Reset => IP2Bus_Mst_Reset, -- [OUT std_logic] Optional User Logic Reset Request.
Bus2IP_Mst_CmdAck => Bus2IP_Mst_CmdAck, -- [IN std_logic] Command Acknowledge Status
Bus2IP_Mst_Cmplt => Bus2IP_Mst_Cmplt, -- [IN std_logic] Command Complete Status
Bus2IP_Mst_Error => Bus2IP_Mst_Error, -- [IN std_logic] Command Error Status
Bus2IP_Mst_Rearbitrate => Bus2IP_Mst_Rearbitrate, -- [IN std_logic] Command Rearbitrate Status
Bus2IP_Mst_Cmd_Timeout => Bus2IP_Mst_Cmd_Timeout, -- [IN std_logic] Command Timeout Status
-- opb_slave Interface
opbs_prefetch_req => opbs_prefetch_req, -- [IN std_logic] opb slave prefetch request
opbs_type => opbs_type, -- [IN std_logic] opb slave transaction request type
opbs_prefetch_clr => opbs_prefetch_clr, -- [IN std_logic] opb slave prefetch clear
opbs_postedwr_clr => opbs_postedwr_clr, -- [IN std_logic] opb slave posted write clear
opbs_length => opbs_length, -- [IN std_logic_vector(0 TO 11)] opb slave transaction length
opbs_postedwrt_req => opbs_postedwrt_req, -- [IN std_logic] opb slave posted write request
opbs_trans_addr => opbs_trans_addr, -- [IN std_logic_vector(0 TO 31)] opb slave transaction address
opbs_be => opbs_be, -- [IN std_logic_vector(0 TO 3)] opb slave byte enable
brdg_block => brdg_block, -- [OUT std_logic] bridge block
brdg_prefetch_cmplt => brdg_prefetch_cmplt, -- [OUT std_logic] bridge prefetch complete
brdg_prefetch_status => brdg_prefetch_status, -- [OUT std_logic] bridge prefetch status
-- Buffer Interface
brdg_wr_bf_rst => brdg_wr_bf_rst, -- [OUT std_logic] bridge write buffer reset
brdg_rd_bf_rst => brdg_rd_bf_rst, -- [OUT std_logic] bridge read buffer reset
-- System
MPLB_rst => MPLB_rst, -- [IN std_logic] plb reset
MPLB_clk => MPLB_clk); -- [IN std_logic] plb clock
x_opb_slave : ENTITY opb_plbv46_bridge_v1_01_a.opb_slave
GENERIC MAP (
-- OPB Address range definition
C_NUM_ADDR_RNG => C_NUM_ADDR_RNG, -- [integer RANGE 1 TO 4] Number of Address Ranges
C_RNG0_BASEADDR => C_RNG0_BASEADDR, -- [std_logic_vector(0 TO 31)] Address range definition base address
C_RNG0_HIGHADDR => C_RNG0_HIGHADDR, -- [std_logic_vector(0 TO 31)] Address range definition high address
C_RNG1_BASEADDR => C_RNG1_BASEADDR, -- [std_logic_vector(0 TO 31)] Address range definition base address
C_RNG1_HIGHADDR => C_RNG1_HIGHADDR, -- [std_logic_vector(0 TO 31)] Address range definition high address
C_RNG2_BASEADDR => C_RNG2_BASEADDR, -- [std_logic_vector(0 TO 31)] Address range definition base address
C_RNG2_HIGHADDR => C_RNG2_HIGHADDR, -- [std_logic_vector(0 TO 31)] Address range definition high address
C_RNG3_BASEADDR => C_RNG3_BASEADDR, -- [std_logic_vector(0 TO 31)] Address range definition base address
C_RNG3_HIGHADDR => C_RNG3_HIGHADDR, -- [std_logic_vector(0 TO 31)] Address range definition high address
-- BRIDGE CONFIGURATION
C_BUS_CLOCK_PERIOD_RATIO => C_BUS_CLOCK_PERIOD_RATIO, -- [integer RANGE 1 TO 2]
-- PLB I/O Specification
C_FAMILY => C_FAMILY) -- [string] Xilinx FPGA Family Type spartan3, virtex4, virtex5
PORT MAP (
-- OPBS Interface
brdg_block => brdg_block, -- [IN std_logic] bridge block
brdg_prefetch_cmplt => brdg_prefetch_cmplt, -- [IN std_logic] bridge prefetch complete
brdg_prefetch_status => brdg_prefetch_status, -- [IN std_logic] bridge prefetch status
opbs_prefetch_req => opbs_prefetch_req, -- [OUT std_logic] opb slave prefetch request
opbs_type => opbs_type, -- [OUT std_logic] opb slave transaction request type
opbs_prefetch_clr => opbs_prefetch_clr, -- [OUT std_logic] opb slave prefetch clear
opbs_postedwr_clr => opbs_postedwr_clr, -- [OUT std_logic] opb slave posted write clear
opbs_trans_addr => opbs_trans_addr, -- [OUT std_logic_vector(0 TO 31)] opb slave transaction address
opbs_length => opbs_length, -- [OUT std_logic_vector(0 TO 11)] opb slave transaction length
opbs_postedwrt_req => opbs_postedwrt_req, -- [OUT std_logic] opb slave posted write request
opbs_be => opbs_be, -- [OUT std_logic_vector(0 TO 3)] opb slave byte enable
-- Local Link Read Buffer
bfs_data => bfs_data, -- [IN std_logic_vector(0 TO 31)] Read data output to user logic
bfs_sof_n => bfs_sof_n, -- [IN std_logic] Active low signal indicating the starting data beat of a read local link transfer (unused by slave)
bfs_eof_n => bfs_eof_n, -- [IN std_logic] Active low signal indicating the ending data beat of a Read local link transfer. (Unused by slave)
bfs_src_rdy_n => bfs_src_rdy_n, -- [IN std_logic] Asserts active low to indicate the presence of valid data on signal bfs_data.
bfs_src_dsc_n => bfs_src_dsc_n, -- [IN std_logic] Active low signal indicating that the read local link source (master) needs to discontinue the transfer. (Unused. Drive high)
bfs_dst_rdy_n => bfs_dst_rdy_n, -- [OUT std_logic] Destination (ie the slave) asserts active low to signal it is ready to take valid data on bfs_data.
bfs_dst_dsc_n => bfs_dst_dsc_n, -- [OUT std_logic] Active low signal that the read local link destination needs to discontinue the transfer.
-- Local Link Write Buffer
bfd_data => bfd_data, -- [OUT std_logic_vector(0 TO 31)]
bfd_sof_n => bfd_sof_n, -- [OUT std_logic]
bfd_eof_n => bfd_eof_n, -- [OUT std_logic]
bfd_src_rdy_n => bfd_src_rdy_n, -- [OUT std_logic]
bfd_src_dsc_n => bfd_src_dsc_n, -- [OUT std_logic]
bfd_dst_rdy_n => bfd_dst_rdy_n, -- [IN std_logic]
bfd_dst_dsc_n => bfd_dst_dsc_n, -- [IN std_logic]
-- OPB Slave Interface
OPB_Select => OPB_Select, -- [IN std_logic] OPB Master select
OPB_RNW => OPB_RNW, -- [IN std_logic] OPB Read not Write
OPB_BE => OPB_BE, -- [IN std_logic_vector(0 TO (32/8)-1)] OPB transaction byte enables
OPB_seqAddr => OPB_seqAddr, -- [IN std_logic] OPB sequential address
OPB_DBus => OPB_DBus, -- [IN std_logic_vector(0 TO 32-1)] OPB master data bus
OPB_ABus => OPB_ABus, -- [IN std_logic_vector(0 TO 32-1)] OPB Slave address bus
Sl_xferAck => Sl_xferAck, -- [OUT std_logic] OPB Slave transfer acknowledgement
Sl_errAck => Sl_errAck, -- [OUT std_logic] OPB Slave transaction error acknowledgement
Sl_retry => Sl_retry, -- [OUT std_logic] OPB Slave transaction retry
Sl_ToutSup => Sl_ToutSup, -- [OUT std_logic] OPB Slave timeout suppress
Sl_DBus => Sl_DBus, -- [OUT std_logic_vector(0 TO 32-1)] OPB Slave data BUS
-- System Interface
MPLB_rst => MPLB_rst, -- [IN std_logic] plb reset
MPLB_clk => MPLB_clk, -- [IN std_logic] plb clock
SOPB_rst => SOPB_rst, -- [IN std_logic] opbv46 reset
SOPB_clk => SOPB_clk); -- [IN std_logic] opbv46 clock
END ARCHITECTURE syn;
|
bsd-3-clause
|
jevinskie/aes-over-pcie
|
source/tb_aes_rcu.vhd
|
1
|
2425
|
-- File name: tb_aes_rcu.vhd
-- Created: 4/4/2009
-- Author: Zachary Curosh
-- Lab Section: 337-02
-- Version: 1.0 Initial Test Bench
use work.aes.all;
library ieee;
--library gold_lib; --UNCOMMENT if you're using a GOLD model
use ieee.std_logic_1164.all;
--use gold_lib.all; --UNCOMMENT if you're using a GOLD model
entity tb_aes_rcu is
generic (clk_per : time := 4 ns);
end tb_aes_rcu ;
architecture TEST of tb_aes_rcu is
-- Insert signals Declarations here
signal clk : std_logic := '0';
signal nrst : std_logic;
signal p : g_index;
signal subblock : subblock_type;
signal encryption_key : key_type;
signal stop : std_logic := '1';
signal sbox_lookup, sbox_return : byte;
signal round_key : key_type;
signal start_key : std_logic;
signal key_done : std_logic;
signal go : std_logic;
signal done: std_logic;
signal current_round: round_type;
begin
behavioral: entity work.aes_rcu (behavioral) port map(
clk => clk,
nrst => nrst,
p => p,
subblock => subblock,
start_key => start_key,
key_done => done,
current_round => current_round,
got_pt => open,
got_key => open
);
keysched: entity work.key_scheduler(behavioral) port map(
clk => clk,
nrst => nrst,
sbox_lookup=>sbox_lookup,
sbox_return=>sbox_return,
round => current_round,
key_load,
round_key => round_key,
go => start_key,
done => done
);
data : entity work.sbox(dataflow) port map (
clk => clk, a => sbox_lookup, b => sbox_return
);
-- GOLD: <GOLD_NAME> port map(<put mappings here>);
-- clock when stop isnt asserted
clk <= not clk and not stop after clk_per/2;
process
begin
encryption_key <= ((x"00", x"00", x"00", x"00"), (x"00", x"00",
x"00", x"00"), (x"00", x"00", x"00", x"00"),
(x"00", x"00", x"00", x"00"));
-- start the clock
stop <= '0';
nrst <= '0';
wait for clk_per*4;
nrst <= '1';
wait for clk_per*2;
wait for clk_per*3000;
-- stop the clock
stop <= '1';
wait;
end process;
end TEST;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/arbitration_logic.vhd
|
3
|
34676
|
-------------------------------------------------------------------------------
-- $Id: arbitration_logic.vhd,v 1.1.2.1 2009/10/06 21:14:59 gburch Exp $
------------------------------------------------------------------------------
-- arbitration_logic.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: arbitration_logic.vhd
-- Version: v1.02e
-- Description:
-- This file contains the priority encoding for the Masters.
-- Based on the current priority of the Masters and their
-- requests, it determines an intermediate grant signal for
-- the Masters. This intermediate grant signal is then input
-- to the Park Lock Logic block to determine the final grant
-- signal based on bus parking and locking.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- opb_arbiter.vhd
-- --opb_arbiter_core.vhd
-- -- ipif_regonly_slave.vhd
-- -- priority_register_logic.vhd
-- -- priority_reg.vhd
-- -- onehot2encoded.vhd
-- -- or_bits.vhd
-- -- control_register.vhd
-- -- arb2bus_data_mux.vhd
-- -- mux_onehot.vhd
-- -- or_bits.vhd
-- -- watchdog_timer.vhd
-- -- arbitration_logic.vhd
-- -- or_bits.vhd
-- -- park_lock_logic.vhd
-- -- or_bits.vhd
-- -- or_gate.vhd
-- -- or_muxcy.vhd
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 08/28/01 -- Version 1.01a creation to include IPIF v1.22a
-- ALS 10/04/01 -- Version 1.02a creation to include IPIF v1.23a
-- ALS 11/30/01
-- ^^^^^^
-- Created version 1.02b to fix problem with registered grants in fixed priority.
-- Created a state machine to generate arb_cycle when grants are registered and
-- in fixed priority. This is not needed in dynamic priority because the internal
-- grant pipeline registers are only enabled during valid arb cycles - also not
-- needed in combinational grants because there isn't a clock delay before master
-- sees the grant and responds with select.
-- ~~~~~~
--
-- ALS 01/24/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- Modified the code so that the arbitration cycle and/or the internal grant
-- register enables are based off the external grants, i.e., grants output
-- to the bus taking into account buslock and park.
-- When in dynamic priority and combinational outputs, the internal grant
-- registers are like the final registers, so the state machine to control
-- the enable is based on the external grants. When in dynamic priority and
-- registered outputs, the internal state machine is enabled by using the
-- internal grants and the grant registers are enabled by arb_cycle. Arb_cycle
-- is generated from a state machine that uses the external grants. When in
-- fixed priority and registered grants, the same applies. When in fixed priority
-- and combinational grants, arb_cycle is generated by simply examining OPB_Select
-- and OPB_xferAck.
-- ~~~~~~~
-- ALS 01/09/03
-- ^^^^^^
-- Created version 1.02d to register OPB_timeout to improve timing
-- ~~~~~~
-- bsbrao 09/27/04
-- ^^^^^^
-- Created version 1.02e to upgrade IPIF from opb_ipif_v1_23_a to
-- opb_ipif_v3_01_a
-- ~~~~~~
-- LCW 02/04/05 - update library statements
-- ~~~~~~
-- chandan 05/25/06
-- ^^^^^^
-- Modified the process MASTERLOOP to remove the latch it was creating.
-- ~~~~~~
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.std_logic_arith.conv_std_logic_vector;
--
-- library unsigned is used for overloading of "=" which allows integer to
-- be compared to std_logic_vector
use ieee.std_logic_unsigned.all;
-- The unisim library is required to instantiate Xilinx primitives.
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.opb_arb_pkg.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_MASTERS -- number of masters
-- C_NUM_MID_BITS -- number of bits required to encode master ids
-- C_OPBDATA_WIDTH -- number of bits in OPB data bus
-- C_DYNAM_PRIORITY -- dynamic or fixed priority
-- C_REG_GRANTS -- registered or combinatorial grant outputs
--
-- Definition of Ports:
--
-- input OPB_select -- indicates a Master is controlling the bus
-- input OPB_xferAck -- transfer acknowledge
-- input M_request -- bus of master request signals
-- input OPB_buslock -- indicates the OPB is locked
-- input Bus_park -- indicates that the bus is parked
-- input Any_mgrant -- indicates that a Master has been granted the bus
-- input Priority_ids -- the priority IDs of the masters
--
-- output Arb_cycle -- arbitration cycle
-- output Grant -- intermediate Master grant signals
--
-- -- System signals
-- input Clk
-- input Rst
--
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity arbitration_logic is
generic( C_NUM_MASTERS : integer := 16;
C_NUM_MID_BITS : integer := 4;
C_OPBDATA_WIDTH : integer := 32;
C_DYNAM_PRIORITY: boolean := false;
C_REG_GRANTS : boolean := false
);
port (
OPB_select : in std_logic;
OPB_xferAck : in std_logic;
M_request : in std_logic_vector(0 to C_NUM_MASTERS-1);
OPB_buslock : in std_logic;
Bus_park : in std_logic;
Any_mgrant : in std_logic;
Priority_ids : in std_logic_vector(0 to C_NUM_MASTERS*C_NUM_MID_BITS-1);
Arb_cycle : out std_logic;
Grant : out std_logic_vector(0 to C_NUM_MASTERS-1);
Clk : in std_logic;
Rst : in std_logic
);
end arbitration_logic;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of arbitration_logic is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- number of priority levels is equal to the number of masters
constant NUM_LVLS : integer := C_NUM_MASTERS;
-- pad number of masters to nearest power of 2 for mux_encode_sel
constant NUM_MSTRS_PAD : integer := pad_power2(C_NUM_MASTERS);
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
-- Need active low request signals to properly drive select lines of muxes
-- this bus will use NUM_MSTRS_PAD so that bus is sized to nearest power of 2
-- for mux_encode_sel. Bus defaults to '0', only the bits up to C_NUM_MASTERS
-- will get assigned a real value.
signal m_request_n : std_logic_vector(0 to NUM_MSTRS_PAD-1) := (others => '0');
-- declare a 2-dimensional array for each master's priority level and mux chain
type MASTER_LVL_TYPE is array(0 to C_NUM_MASTERS-1) of std_logic_vector(0 to NUM_LVLS-1);
signal M_req_lvl : MASTER_LVL_TYPE; -- holds master's priority levels
signal M_muxout : MASTER_LVL_TYPE; -- output of each MUXCY
-- declare a signal to hold decode requests
-- active low bus where if the bit location =0, a request was received at that priority
-- level
signal request_lvl_n : std_logic_vector(0 to NUM_LVLS-1);
-- internal intermediate grant signals
signal grant_i : std_logic_vector(0 to C_NUM_MASTERS-1);
-- OR of all intermediate grant signals
signal any_grant : std_logic;
-- enables grant registers
signal en_grant_reg : std_logic := '0';
-- Enable Grant State Machine signals for dynamic priority, registered outputs
type ENGRNTREG_STATE_TYPE is (IDLE, WAIT1, WAIT2, CHK_SELECT);
signal engrntreg_cs : ENGRNTREG_STATE_TYPE := IDLE;
signal engrntreg_ns : ENGRNTREG_STATE_TYPE := IDLE;
-- Enable Grant State Machine signals for dynamic priority, combinational outputs
type ENGRNTCMB_STATE_TYPE is (IDLE, CHK_SELECT);
signal engrntcmb_cs : ENGRNTCMB_STATE_TYPE := IDLE;
signal engrntcmb_ns : ENGRNTCMB_STATE_TYPE := IDLE;
-- Arb Cycle State Machine signals
type ARBCYCLE_STATE_TYPE is (IDLE, CHK_SELECT);
signal arbcycle_cs : ARBCYCLE_STATE_TYPE := IDLE;
signal arbcycle_ns : ARBCYCLE_STATE_TYPE := IDLE;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- MUXCY - carry chain multiplexors
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- OR_BITS is used to OR all of the intermediate Grant signals so that the
-- enable can be generated to the grant registers (only used when C_DYNAM_PRIORITY
-- =1)
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-- If Dynamic priority with registered outputs, determine
-- if any grant has been asserted. This is to used to enable the
-- internal grant registers at the proper time.
ANY_GRNT_GEN: if (C_DYNAM_PRIORITY and C_REG_GRANTS) generate
OR_IGRNTS_I: entity opb_v20_v1_10_d.or_bits
generic map( C_NUM_BITS => C_NUM_MASTERS,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS)
port map ( In_bus => grant_i,
Sig => '0',
Or_out => any_grant
);
end generate ANY_GRNT_GEN;
-------------------------------------------------------------------------------
-- Set output grants to internal grants
-- If parameterized for dynamic priority, register these signals
-- have to generate the correct clock enables for these internal registers
-- If parameterized for fixed priority, simply assign them
-------------------------------------------------------------------------------
FIXED_GRANT_GENERATE: if not(C_DYNAM_PRIORITY) generate
Grant <= grant_i;
end generate FIXED_GRANT_GENERATE;
DYNAM_GRANT_GENERATE: if C_DYNAM_PRIORITY generate
-- when dyanmic priority and combinational outputs, generate enables to
-- internal grant registers based on the external bus grants (any_mgrant).
DYNAM_CMB_GRANT_GEN: if not(C_REG_GRANTS) generate
---------------------------------------------------------------------------
--ENGRNTCMB_CMB_PROCESS
--ENGRNTCMB_REG_PROCESS
--
-- This state machine generates the enable for the grant registers. When
-- any bus grant is asserted, the grant registers are enabled. Then the state
-- machine checks OPB_select. If its
-- negated, the master has aborted the transaction and the grant registers
-- are enabled if any_mgrant is still asserted. If select is asserted, then
-- the state machine waits for OPB_xferAck and enables
-- the grant registers when these are asserted.
---------------------------------------------------------------------------
ENGRNTCMB_CMB_PROCESS: process (OPB_select, any_mgrant, OPB_xferAck, engrntcmb_cs)
begin
-- set defaults
en_grant_reg<= '0';
engrntcmb_ns <= engrntcmb_cs;
case engrntcmb_cs is
-------------------------- IDLE State -----------------------------
-- wait in this state until OPB_Select or any_mgrant asserts
-- negate en_grant_reg and either wait for OPB_select to assert or
-- if its asserted, wait for XferAck.
when IDLE =>
en_grant_reg<= '1';
if OPB_Select = '1' or
any_mgrant = '1' then
en_grant_reg<= '0';
engrntcmb_ns <= CHK_SELECT;
end if;
-------------------------- CHK_SELECT State -----------------------
-- OPB_Select should be asserted in this state
-- if its not asserted, the master has aborted the transaction,so
-- en_grant_reg should be asserted. If OPB_select is asserted, wait
-- for OPB_xferAck to assert en_grant_reg. If any_mgrant
-- is asserted, return to IDLE, otherwise stay in this
-- state
when CHK_SELECT =>
if OPB_select = '0' then
if any_mgrant = '0' then
en_grant_reg<= '1';
engrntcmb_ns <= IDLE;
end if;
elsif OPB_xferAck = '1'then
if any_mgrant = '0' then
en_grant_reg<= '1';
engrntcmb_ns <= IDLE;
end if;
end if;
-------------------------- DEFAULT State --------------------------
when others =>
engrntcmb_ns <= IDLE;
end case;
end process ENGRNTCMB_CMB_PROCESS;
-- ENGRNTCMB_REG_PROCESS
ENGRNTCMB_REG_PROCESS:
process (Clk)
begin
if (Clk'event and Clk = '1') then
if (Rst = RESET_ACTIVE) then
engrntcmb_cs <= IDLE;
else
engrntcmb_cs <= engrntcmb_ns;
end if;
end if;
end process ENGRNTCMB_REG_PROCESS;
end generate DYNAM_CMB_GRANT_GEN;
-- when dyanmic priority and registered outputs, generate enables to
-- internal grant registers based on the internal bus grants (any_grant).
DYNAM_REG_GRANT_GEN: if C_REG_GRANTS generate
ENGRNTREG_CMB_PROCESS: process (OPB_select, any_grant, OPB_xferAck, engrntreg_cs)
-- set defaults
begin
en_grant_reg <= '0';
engrntreg_ns <= engrntreg_cs;
case engrntreg_cs is
-------------------------- IDLE State -----------------------------
-- wait in this state until any_grant asserts, then enable the
-- grant registers and begin waiting through the grant pipeline
when IDLE =>
if OPB_select = '1' then
engrntreg_ns <= CHK_SELECT;
elsif any_grant = '1' then
engrntreg_ns <= WAIT1;
en_grant_reg <= '1';
end if;
-------------------------- WAIT1 State ----------------------------
-- this state represents the internal grant registers
-- wait another state before checking OPB_select
when WAIT1 =>
engrntreg_ns <= WAIT2;
-------------------------- WAIT2 State ----------------------------
-- this state represents the registers on the grant outputs
-- check OPB_select in the next clock
when WAIT2 =>
engrntreg_ns <= CHK_SELECT;
-------------------------- CHK_SELECT State -----------------------
-- OPB_Select should be asserted in this state
-- if its not asserted, the master has aborted the transaction,so
-- the grant registers need to be enabled to allow the next grant
-- to flow through the pipeline. If OPB_select is asserted, wait
-- for OPB_xferAck assert. When asserted, enable the grant registers.
-- If any_grant is asserted, return to the WAIT1 state, otherwise
-- return to the IDLE state.
when CHK_SELECT =>
if OPB_select = '0' then
if any_grant = '1' then
en_grant_reg <= '1';
engrntreg_ns <= WAIT1;
else
engrntreg_ns <= IDLE;
end if;
elsif OPB_xferAck = '1' then
if any_grant = '1' then
en_grant_reg <= '1';
engrntreg_ns <= WAIT1;
else
engrntreg_ns <= IDLE;
end if;
end if;
-------------------------- DEFAULT State --------------------------
when others =>
engrntreg_ns <= IDLE;
end case;
end process ENGRNTREG_CMB_PROCESS;
-- ENGRNTREG_REG_PROCESS
ENGRNTREG_REG_PROCESS:
process (Clk)
begin
if (Clk'event and Clk = '1') then
if (Rst = RESET_ACTIVE) then
engrntreg_cs <= IDLE;
else
engrntreg_cs <= engrntreg_ns;
end if;
end if;
end process ENGRNTREG_REG_PROCESS;
end generate DYNAM_REG_GRANT_GEN;
---------------------------------------------------------------------------
-- REGGRNT_PROCESS defines the registes on the arbiter grant outputs
---------------------------------------------------------------------------
REGGRNT_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Rst = RESET_ACTIVE then
Grant <= (others => '0');
elsif en_grant_reg = '1' then
Grant <= grant_i;
else
Grant <= (others => '0');
end if;
end if;
end process;
end generate DYNAM_GRANT_GENERATE;
-------------------------------------------------------------------------------
-- Set arbitration cycle signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- When combinational grant outputs, valid arbitration cycles
-- are when OPB_select = 0 or OPB_xferAck = 1
-------------------------------------------------------------------------------
CMB_ARBCYCLE_GEN: if not(C_REG_GRANTS) generate
Arb_cycle <= '1' when OPB_select = '0' or OPB_xferAck = '1'
else '0';
end generate CMB_ARBCYCLE_GEN;
-------------------------------------------------------------------------------
-- When registered grant outputs, arb_cycle is determined by
-- a state machine which waits the external bus grants to be output
-- and then checks select. Since it uses the output of the grant registers,
-- parking and locking are accounted for.
-------------------------------------------------------------------------------
REG_ARBCYCLE_GEN: if C_REG_GRANTS generate
ARBCYCLE_CMB_PROCESS: process (OPB_select, any_mgrant, OPB_xferAck,
arbcycle_cs, Bus_park)
begin
-- set defaults
Arb_cycle<= '0';
arbcycle_ns <= arbcycle_cs;
case arbcycle_cs is
-------------------------- IDLE State -----------------------------
-- wait in this state until OPB_Select or any_mgrant asserts
-- negate Arb_cycle and either wait for OPB_select to assert or
-- if its asserted, wait for XferAck.
when IDLE =>
Arb_cycle<= '1';
if (OPB_Select = '1' and OPB_xferAck = '0') or
(any_mgrant = '1') then
Arb_cycle<= '0';
arbcycle_ns <= CHK_SELECT;
end if;
-------------------------- CHK_SELECT State -----------------------
-- OPB_Select should be asserted in this state
-- if its not asserted, the master has aborted the transaction,so
-- Arb_cycle should be asserted. If OPB_select is asserted, wait
-- for OPB_xferAck to assert arb_cycle.
when CHK_SELECT =>
if OPB_select = '0' then
Arb_cycle<= '1';
if bus_park = '0' then
arbcycle_ns <= IDLE;
end if;
elsif OPB_xferAck = '1'then
Arb_cycle<= '1';
arbcycle_ns <= IDLE;
end if;
-------------------------- DEFAULT State --------------------------
when others =>
arbcycle_ns <= IDLE;
end case;
end process ARBCYCLE_CMB_PROCESS;
-- ARBCYCLE_REG_PROCESS
ARBCYCLE_REG_PROCESS:
process (Clk)
begin
if (Clk'event and Clk = '1') then
if (Rst = RESET_ACTIVE) then
arbcycle_cs <= IDLE;
else
arbcycle_cs <= arbcycle_ns;
end if;
end if;
end process ARBCYCLE_REG_PROCESS;
end generate REG_ARBCYCLE_GEN;
--
-------------------------------------------------------------------------------
-- LOGIC DESCRIPTION
-------------------------------------------------------------------------------
-- The arbitration logic was designed using the Xilinx FPGA primitives so that
-- the fastest speed could be achieved. LUTs (Look-Up Tables) were used as MUXs
-- and OR/AND gates to determine the request level of each Master. The outputs
-- of the LUTs were then input to the carry chain muxes to determine whether
-- grant signal for that Master would be asserted. The MUX select signals were
-- signals indicating whether any Master had asserted a request for each
-- priority level.The inputs to the muxes were signals indicating
-- the level of each master's request. For example, the
-- first mux in the carry chain for Master 0 had the LVL3_0 and the LVL2_0
-- signals as inputs and the select signal was LVL2_REQ_N indicating that a
-- LVL2 request had been received. If this signal was asserted (active low)
-- then the output of the mux would be LVL2_0 and this would be an input to the
-- next mux in the priority carry chain which would select between LVL1 and
-- LVL2 requests, etc.
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Mux Selects
-------------------------------------------------------------------------------
-- The mux selects are used in the carry chain for all masters. These signals
-- indicate whether any master had a request at a particular priority level.
-- This is essentially an encoded mux with each priority ID being the selects
-- and the Master requests being the data.
-------------------------------------------------------------------------------
MASTERLOOP: for i in 0 to C_NUM_MASTERS-1 generate --4
-- need active low request signals to properly drive mux select lines
-- if bus lock is not asserted
m_request_n(i) <= not(M_request(i))
when OPB_buslock = '0'
else '1';
MASTER_4 : if C_NUM_MID_BITS = 4 generate
signal priority_ids_int : std_logic_vector(0 to 3);
begin
priority_ids_int <= priority_ids(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(m_request_n, priority_ids_int)
begin
case priority_ids_int is
when "0000" => request_lvl_n(i) <= m_request_n(0);
when "0001" => request_lvl_n(i) <= m_request_n(1);
when "0010" => request_lvl_n(i) <= m_request_n(2);
when "0011" => request_lvl_n(i) <= m_request_n(3);
when "0100" => request_lvl_n(i) <= m_request_n(4);
when "0101" => request_lvl_n(i) <= m_request_n(5);
when "0110" => request_lvl_n(i) <= m_request_n(6);
when "0111" => request_lvl_n(i) <= m_request_n(7);
when "1000" => request_lvl_n(i) <= m_request_n(8);
when "1001" => request_lvl_n(i) <= m_request_n(9);
when "1010" => request_lvl_n(i) <= m_request_n(10);
when "1011" => request_lvl_n(i) <= m_request_n(11);
when "1100" => request_lvl_n(i) <= m_request_n(12);
when "1101" => request_lvl_n(i) <= m_request_n(13);
when "1110" => request_lvl_n(i) <= m_request_n(14);
when others => request_lvl_n(i) <= m_request_n(15);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_4;
MASTER_3 : if C_NUM_MID_BITS = 3 generate
signal priority_ids_int : std_logic_vector(0 to 2);
begin
priority_ids_int <= priority_ids(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(m_request_n, priority_ids_int)
begin
case priority_ids_int is
when "000" => request_lvl_n(i) <= m_request_n(0);
when "001" => request_lvl_n(i) <= m_request_n(1);
when "010" => request_lvl_n(i) <= m_request_n(2);
when "011" => request_lvl_n(i) <= m_request_n(3);
when "100" => request_lvl_n(i) <= m_request_n(4);
when "101" => request_lvl_n(i) <= m_request_n(5);
when "110" => request_lvl_n(i) <= m_request_n(6);
when others => request_lvl_n(i) <= m_request_n(7);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_3;
MASTER_2 : if C_NUM_MID_BITS = 2 generate
signal priority_ids_int : std_logic_vector(0 to 1);
begin
priority_ids_int <= priority_ids(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(m_request_n, priority_ids_int)
begin
case priority_ids_int is
when "00" => request_lvl_n(i) <= m_request_n(0);
when "01" => request_lvl_n(i) <= m_request_n(1);
when "10" => request_lvl_n(i) <= m_request_n(2);
when others => request_lvl_n(i) <= m_request_n(3);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_2;
MASTER_1 : if C_NUM_MID_BITS = 1 generate
signal priority_ids_int : std_logic_vector (0 to 0);
begin
priority_ids_int <= priority_ids(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(m_request_n, priority_ids_int)
begin
case priority_ids_int is
when "0" => request_lvl_n(i) <= m_request_n(0);
when others => request_lvl_n(i) <= m_request_n(1);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_1;
-- for each master, determine its priority level and if its request
-- is asserted
MASTERREQ_LVL: for j in 0 to NUM_LVLS-1 generate
REQPROC: process (m_request_n(i),
Priority_ids(j*C_NUM_MID_BITS to j*C_NUM_MID_BITS+C_NUM_MID_BITS-1))
begin
if m_request_n(i) = '0' then
if Priority_ids(j*C_NUM_MID_BITS to j*C_NUM_MID_BITS+C_NUM_MID_BITS-1)
= conv_std_logic_vector(i, C_NUM_MID_BITS) then
M_req_lvl(i)(j) <= '1';
else
M_req_lvl(i)(j) <= '0';
end if;
else
M_req_lvl(i)(j) <= '0';
end if;
end process REQPROC;
end generate MASTERREQ_LVL;
-- for each master, set up carry chain
MASTER_CHAIN: for j in NUM_LVLS-2 downto 0 generate
FIRSTMUX_GEN: if j = NUM_LVLS-2 generate
FIRST_I: MUXCY
port map (
O => M_muxout(i)(j), --[out]
CI => M_req_lvl(i)(j+1),--[in]
DI => M_req_lvl(i)(j), --[in]
S => request_lvl_n(j) --[in]
);
end generate FIRSTMUX_GEN;
OTHERMUX_GEN: if j /= NUM_LVLS-2 generate
OTHERS_I: MUXCY
port map (
O => M_muxout(i)(j), --[out]
CI => M_muxout(i)(j+1), --[in]
DI => M_req_lvl(i)(j),--[in]
S => request_lvl_n(j) --[in]
);
end generate OTHERMUX_GEN;
end generate MASTER_CHAIN;
grant_i(i) <= M_muxout(i)(0);
end generate MASTERLOOP;
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/pf_counter_top.vhd
|
3
|
8419
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- DET 2001-08-30 First Version
--
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity opb_v20_v1_10_d.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/plb_cond_vars_v1_00_a/hdl/vhdl/condvar.vhd
|
10
|
18666
|
-- ************************************
-- Automatically Generated FSM
-- condvar
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity condvar is
generic(
G_ADDR_WIDTH : integer := 11;
G_OP_WIDTH : integer := 2;
G_TID_WIDTH : integer := 8
);
port
(
msg_chan_channelDataIn : out std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_channelDataOut : in std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_exists : in std_logic;
msg_chan_full : in std_logic;
msg_chan_channelRead : out std_logic;
msg_chan_channelWrite : out std_logic;
cmd : in std_logic;
opcode : in std_logic_vector(0 to G_OP_WIDTH - 1);
cvar : in std_logic_vector(0 to G_TID_WIDTH - 1);
tid : in std_logic_vector(0 to G_TID_WIDTH - 1);
ack : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity condvar;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of condvar is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
init_bram,
idle,
enq_begin,
deq_begin,
deqall_begin,
extra1,
extra2,
enq_adjust_queue,
enq_add_to_empty_queue,
enq_add_to_nonempty_queue,
transaction_complete,
extra3,
extra4,
enq_add_link,
extra5,
extra6,
deq_examine_length,
extra7,
extra8,
deq_remove_only,
extra9,
extra10,
deq_remove_general,
extra11,
extra12,
deq_send_owner,
extra13,
extra14,
deqall_examine_length,
extra15,
extra16,
extra17,
extra18,
deqall_remove_loop,
extra19,
extra20,
deqall_done,
return_to_idle
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal addr_counter, addr_counter_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal arg_cvar, arg_cvar_next : std_logic_vector(0 to G_TID_WIDTH - 1);
signal arg_tid, arg_tid_next : std_logic_vector(0 to G_TID_WIDTH - 1);
signal entry, entry_next : std_logic_vector(0 to G_TID_WIDTH - 1);
signal done, done_next : std_logic;
-- **************************
-- BRAM Signals for table
-- **************************
signal table_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal table_dIN0 : std_logic_vector(0 to (G_TID_WIDTH - 1));
signal table_dOUT0 : std_logic_vector(0 to (G_TID_WIDTH - 1));
signal table_rENA0 : std_logic;
signal table_wENA0 : std_logic;
signal table_addr1 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal table_dIN1 : std_logic_vector(0 to (G_TID_WIDTH - 1));
signal table_dOUT1 : std_logic_vector(0 to (G_TID_WIDTH - 1));
signal table_rENA1 : std_logic;
signal table_wENA1 : std_logic;
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
constant OPCODE_ENQUEUE : std_logic_vector(0 to G_OP_WIDTH-1) := conv_std_logic_vector(2, G_OP_WIDTH); -- Opcode for "wait" enqueue
constant OPCODE_DEQUEUE : std_logic_vector(0 to G_OP_WIDTH-1) := conv_std_logic_vector(1, G_OP_WIDTH); -- Opcode for "signal" dequeue
constant OPCODE_DEQUEUE_ALL : std_logic_vector(0 to G_OP_WIDTH-1) := conv_std_logic_vector(3, G_OP_WIDTH); -- Opcode for "broadcast" dequeue
-- Helper Functions
pure function lengthEntry(cvar : std_logic_vector(0 to G_TID_WIDTH-1)) return std_logic_vector is
variable header : std_logic_vector(0 to G_ADDR_WIDTH - G_TID_WIDTH - 1);
begin
header := conv_std_logic_vector(0,G_ADDR_WIDTH - G_TID_WIDTH);
return header & cvar;
end function lengthEntry;
pure function linkEntry(tid : std_logic_vector(0 to G_TID_WIDTH-1)) return std_logic_vector is
variable header : std_logic_vector(0 to G_ADDR_WIDTH - G_TID_WIDTH - 1);
begin
header := conv_std_logic_vector(1,G_ADDR_WIDTH - G_TID_WIDTH);
return header & tid;
end function linkEntry;
pure function lastReqEntry(cvar : std_logic_vector(0 to G_TID_WIDTH-1)) return std_logic_vector is
variable header : std_logic_vector(0 to G_ADDR_WIDTH - G_TID_WIDTH - 1);
begin
header := conv_std_logic_vector(2,G_ADDR_WIDTH - G_TID_WIDTH);
return header & cvar;
end function lastReqEntry;
pure function ownerEntry(cvar : std_logic_vector(0 to G_TID_WIDTH-1)) return std_logic_vector is
variable header : std_logic_vector(0 to G_ADDR_WIDTH - G_TID_WIDTH - 1);
begin
header := conv_std_logic_vector(3,G_ADDR_WIDTH - G_TID_WIDTH);
return header & cvar;
end function ownerEntry;
pure function getLength(entry : std_logic_vector(0 to G_TID_WIDTH-1)) return std_logic_vector is
begin
return entry;
end function getLength;
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
ack <= done;
-- ************************
-- BRAM implementations
-- ************************
table_BRAM : infer_bram
generic map (
ADDRESS_BITS => G_ADDR_WIDTH,
DATA_BITS => G_TID_WIDTH
)
port map (
CLKA => clock_sig,
ENA => table_rENA0,
WEA => table_wENA0,
ADDRA => table_addr0,
DIA => table_dIN0,
DOA => table_dOUT0,
CLKB => clock_sig,
ENB => table_rENA1,
WEB => table_wENA1,
ADDRB => table_addr1,
DIB => table_dIN1,
DOB => table_dOUT1
);
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
addr_counter_next,
arg_cvar_next,
arg_tid_next,
entry_next,
done_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
addr_counter <= (others => '0');
arg_cvar <= (others => '0');
arg_tid <= (others => '0');
entry <= (others => '0');
done <= '0';
current_state <= reset;
else
-- Transition to next state
addr_counter <= addr_counter_next;
arg_cvar <= arg_cvar_next;
arg_tid <= arg_tid_next;
entry <= entry_next;
done <= done_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
table_dOUT0, table_dOUT1,
msg_chan_channelDataOut, msg_chan_full, msg_chan_exists,
cmd,
opcode,
cvar,
tid,
addr_counter,
arg_cvar,
arg_tid,
entry,
done,
current_state) is
begin
-- Default signal assignments
addr_counter_next <= addr_counter;
arg_cvar_next <= arg_cvar;
arg_tid_next <= arg_tid;
entry_next <= entry;
done_next <= done;
table_addr0 <= (others => '0');
table_dIN0 <= (others => '0');
table_rENA0 <= '0';
table_wENA0 <= '0';
table_addr1 <= (others => '0');
table_dIN1 <= (others => '0');
table_rENA1 <= '0';
table_wENA1 <= '0';
msg_chan_channelDataIn <= (others => '0');
msg_chan_channelRead <= '0';
msg_chan_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when deq_begin =>
table_addr0 <= lengthEntry(arg_cvar);
table_rENA0 <= '1';
next_state <= extra5;
when deq_examine_length =>
if ( getLength(entry) = 0 ) then
done_next <= '1';
next_state <= transaction_complete;
elsif ( getLength(entry) = 1 ) then
table_addr1 <= ownerEntry(arg_cvar);
table_rENA1 <= '1';
next_state <= extra7;
else
table_addr1 <= ownerEntry(arg_cvar);
table_rENA1 <= '1';
next_state <= extra9;
end if;
when deq_remove_general =>
table_addr1 <= linkEntry(entry);
table_rENA1 <= '1';
next_state <= extra11;
when deq_remove_only =>
if msg_chan_full /= '0' then
next_state <= deq_remove_only;
elsif msg_chan_full = '0' then
done_next <= '1';
msg_chan_channelDataIn <= entry;
msg_chan_channelWrite <= '1';
next_state <= transaction_complete;
end if;
when deq_send_owner =>
if msg_chan_full /= '0' then
next_state <= deq_send_owner;
elsif msg_chan_full = '0' then
done_next <= '1';
msg_chan_channelDataIn <= entry;
msg_chan_channelWrite <= '1';
next_state <= transaction_complete;
end if;
when deqall_begin =>
table_addr0 <= lengthEntry(arg_cvar);
table_rENA0 <= '1';
next_state <= extra13;
when deqall_done =>
done_next <= '1';
next_state <= transaction_complete;
when deqall_examine_length =>
if ( getLength(entry) = 0 ) then
done_next <= '1';
next_state <= transaction_complete;
elsif ( getLength(entry) = 1 ) then
table_addr1 <= ownerEntry(arg_cvar);
table_rENA1 <= '1';
next_state <= extra15;
else
table_addr1 <= ownerEntry(arg_cvar);
table_rENA1 <= '1';
next_state <= extra17;
end if;
when deqall_remove_loop =>
if ( arg_tid > 0 ) then
table_addr0 <= linkEntry(entry);
table_rENA0 <= '1';
next_state <= extra19;
else
next_state <= deqall_done;
end if;
when enq_add_link =>
done_next <= '1';
table_addr0 <= lastReqEntry(arg_cvar);
table_dIN0 <= arg_tid;
table_wENA0 <= '1';
table_rENA0 <= '1';
table_addr1 <= linkEntry(entry);
table_dIN1 <= arg_tid;
table_wENA1 <= '1';
table_rENA1 <= '1';
next_state <= transaction_complete;
when enq_add_to_empty_queue =>
done_next <= '1';
table_addr0 <= ownerEntry(arg_cvar);
table_dIN0 <= arg_tid;
table_wENA0 <= '1';
table_rENA0 <= '1';
table_addr1 <= lastReqEntry(arg_cvar);
table_dIN1 <= arg_tid;
table_wENA1 <= '1';
table_rENA1 <= '1';
next_state <= transaction_complete;
when enq_add_to_nonempty_queue =>
table_addr0 <= lastReqEntry(arg_cvar);
table_rENA0 <= '1';
next_state <= extra3;
when enq_adjust_queue =>
if ( getLength(entry) = 1 ) then
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= entry;
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= enq_add_to_empty_queue;
else
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= entry;
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= enq_add_to_nonempty_queue;
end if;
when enq_begin =>
table_addr0 <= lengthEntry(arg_cvar);
table_rENA0 <= '1';
next_state <= extra1;
when extra1 =>
next_state <= extra2;
when extra10 =>
entry_next <= table_dOUT1;
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= entry - 1;
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= deq_remove_general;
when extra11 =>
next_state <= extra12;
when extra12 =>
table_addr0 <= ownerEntry(arg_cvar);
table_dIN0 <= table_dOUT1;
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= deq_send_owner;
when extra13 =>
next_state <= extra14;
when extra14 =>
entry_next <= table_dOUT0;
next_state <= deqall_examine_length;
when extra15 =>
next_state <= extra16;
when extra16 =>
entry_next <= table_dOUT1;
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= (others => '0');
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= deq_remove_only;
when extra17 =>
next_state <= extra18;
when extra18 =>
entry_next <= table_dOUT1;
arg_tid_next <= getLength(entry);
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= (others => '0');
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= deqall_remove_loop;
when extra19 =>
next_state <= extra20;
when extra2 =>
entry_next <= table_dOUT0 + 1;
next_state <= enq_adjust_queue;
when extra20 =>
if msg_chan_full /= '0' then
next_state <= extra20;
elsif msg_chan_full = '0' then
entry_next <= table_dOUT0;
arg_tid_next <= arg_tid - 1;
msg_chan_channelDataIn <= entry;
msg_chan_channelWrite <= '1';
next_state <= deqall_remove_loop;
end if;
when extra3 =>
next_state <= extra4;
when extra4 =>
entry_next <= table_dOUT0;
next_state <= enq_add_link;
when extra5 =>
next_state <= extra6;
when extra6 =>
entry_next <= table_dOUT0;
next_state <= deq_examine_length;
when extra7 =>
next_state <= extra8;
when extra8 =>
entry_next <= table_dOUT1;
table_addr0 <= lengthEntry(arg_cvar);
table_dIN0 <= (others => '0');
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= deq_remove_only;
when extra9 =>
next_state <= extra10;
when idle =>
if ( cmd = '1' and opcode = OPCODE_ENQUEUE ) then
arg_cvar_next <= cvar;
arg_tid_next <= tid;
next_state <= enq_begin;
elsif ( cmd = '1' and opcode = OPCODE_DEQUEUE ) then
arg_cvar_next <= cvar;
next_state <= deq_begin;
elsif ( cmd = '1' and opcode = OPCODE_DEQUEUE_ALL ) then
arg_cvar_next <= cvar;
next_state <= deqall_begin;
else
done_next <= '0';
next_state <= idle;
end if;
when init_bram =>
if ( addr_counter > 0 ) then
addr_counter_next <= addr_counter - 1;
table_addr0 <= addr_counter;
table_dIN0 <= (others => '0');
table_wENA0 <= '1';
table_rENA0 <= '1';
next_state <= init_bram;
else
done_next <= '1';
next_state <= idle;
end if;
when reset =>
table_addr0 <= (others => '0');
table_dIN0 <= (others => '0');
table_wENA0 <= '1';
table_rENA0 <= '1';
addr_counter_next <= (others => '1');
next_state <= init_bram;
when return_to_idle =>
if ( cmd = '0' ) then
next_state <= idle;
else
next_state <= return_to_idle;
end if;
when transaction_complete =>
done_next <= '0';
next_state <= return_to_idle;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- ************************************************
-- Entity used for implementing the inferred BRAMs
-- ************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end entity infer_bram;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram is
-- Constant declarations
constant BRAM_SIZE : integer := 2 **ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
-- attribute ram_style : string;
-- attribute ram_style of BRAM_DATA : signal is "block";
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
if( WEB = '1' ) then
BRAM_DATA( conv_integer(ADDRB) ) := DIB;
end if;
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/pr_6smp/design/pcores/plb_hthread_reset_core_v1_00_a/hdl/vhdl/plb_hthread_reset_core.vhd
|
9
|
24727
|
------------------------------------------------------------------------------
-- plb_hthread_reset_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_hthread_reset_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Wed Sep 24 16:19:15 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
use proc_common_v3_00_a.soft_reset;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_hthread_reset_core_v1_00_a;
use plb_hthread_reset_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_hthread_reset_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
reset_port0 : out std_logic;
reset_response_port0 : in std_logic;
reset_port1 : out std_logic;
reset_response_port1 : in std_logic;
reset_port2 : out std_logic;
reset_response_port2 : in std_logic;
reset_port3 : out std_logic;
reset_response_port3 : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_hthread_reset_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_hthread_reset_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant RST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant RST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
ZERO_ADDR_PAD & RST_BASEADDR, -- soft reset space base address
ZERO_ADDR_PAD & RST_HIGHADDR -- soft reset space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant RST_NUM_CE : integer := 1;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
1 => RST_NUM_CE -- number of ce for soft reset space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of triggered reset in bus clocks
------------------------------------------
constant RESET_WIDTH : integer := 4;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant RST_CS_INDEX : integer := 1;
constant RST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, RST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal rst_Bus2IP_Reset : std_logic;
signal rst_IP2Bus_WrAck : std_logic;
signal rst_IP2Bus_Error : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate soft_reset
------------------------------------------
SOFT_RESET_I : entity proc_common_v3_00_a.soft_reset
generic map
(
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_RESET_WIDTH => RESET_WIDTH
)
port map
(
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_WrCE => ipif_Bus2IP_WrCE(RST_CE_INDEX),
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Reset2IP_Reset => rst_Bus2IP_Reset,
Reset2Bus_WrAck => rst_IP2Bus_WrAck,
Reset2Bus_Error => rst_IP2Bus_Error,
Reset2Bus_ToutSup => open
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_hthread_reset_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
reset_port0 => reset_port0,
reset_response_port0 => reset_response_port0,
reset_port1 => reset_port1,
reset_response_port1 => reset_response_port1,
reset_port2 => reset_port2,
reset_response_port2 => reset_response_port2,
reset_port3 => reset_port3,
reset_response_port3 => reset_response_port3,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => rst_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "01" => ipif_IP2Bus_Data <= (others => '0');
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or rst_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error or rst_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_hthread_reset_core_v1_00_a/hdl/vhdl/plb_hthread_reset_core.vhd
|
9
|
24727
|
------------------------------------------------------------------------------
-- plb_hthread_reset_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_hthread_reset_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Wed Sep 24 16:19:15 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
use proc_common_v3_00_a.soft_reset;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_hthread_reset_core_v1_00_a;
use plb_hthread_reset_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_hthread_reset_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
reset_port0 : out std_logic;
reset_response_port0 : in std_logic;
reset_port1 : out std_logic;
reset_response_port1 : in std_logic;
reset_port2 : out std_logic;
reset_response_port2 : in std_logic;
reset_port3 : out std_logic;
reset_response_port3 : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_hthread_reset_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_hthread_reset_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant RST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant RST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
ZERO_ADDR_PAD & RST_BASEADDR, -- soft reset space base address
ZERO_ADDR_PAD & RST_HIGHADDR -- soft reset space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant RST_NUM_CE : integer := 1;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
1 => RST_NUM_CE -- number of ce for soft reset space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of triggered reset in bus clocks
------------------------------------------
constant RESET_WIDTH : integer := 4;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant RST_CS_INDEX : integer := 1;
constant RST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, RST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal rst_Bus2IP_Reset : std_logic;
signal rst_IP2Bus_WrAck : std_logic;
signal rst_IP2Bus_Error : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate soft_reset
------------------------------------------
SOFT_RESET_I : entity proc_common_v3_00_a.soft_reset
generic map
(
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_RESET_WIDTH => RESET_WIDTH
)
port map
(
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_WrCE => ipif_Bus2IP_WrCE(RST_CE_INDEX),
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Reset2IP_Reset => rst_Bus2IP_Reset,
Reset2Bus_WrAck => rst_IP2Bus_WrAck,
Reset2Bus_Error => rst_IP2Bus_Error,
Reset2Bus_ToutSup => open
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_hthread_reset_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
reset_port0 => reset_port0,
reset_response_port0 => reset_response_port0,
reset_port1 => reset_port1,
reset_response_port1 => reset_response_port1,
reset_port2 => reset_port2,
reset_response_port2 => reset_response_port2,
reset_port3 => reset_port3,
reset_response_port3 => reset_response_port3,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => rst_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "01" => ipif_IP2Bus_Data <= (others => '0');
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or rst_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error or rst_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/testbench.vhd
|
11
|
11805
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity testbench is
end testbench;
architecture behavior of testbench is
-- Synch Manager Configuration Constants
constant SCHED_BADDR : std_logic_vector(0 to 31) := x"60000000";
constant SCHED_HADDR : std_logic_vector(0 to 31) := x"6FFFFFFF";
constant MUTEX_BADDR : std_logic_vector(0 to 31) := x"70000000";
constant MUTEX_HADDR : std_logic_vector(0 to 31) := x"7FFFFFFF";
constant SYNCH_THREADS : integer := 256;
constant SYNCH_MUTEXES : integer := 64;
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(SYNCH_MUTEXES);
constant THREAD_BITS : integer := log2(SYNCH_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
constant DATA_BITS : integer := 32;
--Inputs
signal OPB_Clk : std_logic := '0';
signal OPB_Rst : std_logic := '0';
signal OPB_RNW : std_logic := '0';
signal OPB_select : std_logic := '0';
signal OPB_seqAddr : std_logic := '0';
signal OPB_errAck : std_logic := '0';
signal OPB_MGrant : std_logic := '0';
signal OPB_retry : std_logic := '0';
signal OPB_timeout : std_logic := '0';
signal OPB_xferAck : std_logic := '0';
signal OPB_ABus : std_logic_vector(0 to 31) := (others=>'0');
signal OPB_BE : std_logic_vector(0 to 3) := (others=>'0');
signal OPB_DBus : std_logic_vector(0 to 31) := (others=>'0');
--Outputs
signal Sl_DBus : std_logic_vector(0 to 31);
signal Sl_errAck : std_logic;
signal Sl_retry : std_logic;
signal Sl_toutSup : std_logic;
signal Sl_xferAck : std_logic;
signal M_ABus : std_logic_vector(0 to 31);
signal M_BE : std_logic_vector(0 to 3);
signal M_busLock : std_logic;
signal M_request : std_logic;
signal M_RNW : std_logic;
signal M_select : std_logic;
signal M_seqAddr : std_logic;
-- Reset signals
signal system_reset : std_logic;
signal system_resetdone : std_logic;
begin
-- Instantiate the Unit Under Test (UUT)
synch : entity work.opb_synchmanager
generic map
(
C_NUM_THREADS => SYNCH_THREADS,
C_NUM_MUTEXES => SYNCH_MUTEXES,
C_SCHED_BADDR => SCHED_BADDR,
C_SCHED_HADDR => SCHED_HADDR,
C_BASEADDR => MUTEX_BADDR,
C_HIGHADDR => MUTEX_HADDR
)
port map
(
OPB_Clk => OPB_Clk,
OPB_Rst => OPB_Rst,
Sl_DBus => Sl_DBus,
Sl_errAck => Sl_errAck,
Sl_retry => Sl_retry,
Sl_toutSup => Sl_toutSup,
Sl_xferAck => Sl_xferAck,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus => OPB_DBus,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_seqAddr => OPB_seqAddr,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_request => M_request,
M_RNW => M_RNW,
M_select => M_select,
M_seqAddr => M_seqAddr,
OPB_errAck => OPB_errAck,
OPB_MGrant => OPB_MGrant,
OPB_retry => OPB_retry,
OPB_timeout => OPB_timeout,
OPB_xferAck => OPB_xferAck,
system_reset => system_reset,
system_resetdone => system_resetdone
);
tb : process
procedure bus_trans( rnw : in std_logic;
abus : in std_logic_vector(0 to 31);
dbus : in std_logic_vector(0 to 31) ) is
begin
wait until OPB_Clk = '1';
OPB_ABus <= abus;
OPB_DBus <= dbus;
OPB_RNW <= rnw;
OPB_select <= '1';
OPB_BE <= (others => '1');
wait until Sl_xferAck = '1' and OPB_Clk = '1';
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
OPB_BE <= (others => '0');
wait until OPB_Clk = '1';
end procedure bus_trans;
procedure bus_reset is
begin
wait until OPB_Clk = '1';
OPB_Rst <= '1';
OPB_select <= '0';
OPB_seqAddr <= '0';
OPB_RNW <= '0';
OPB_BE <= (others => '0');
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
wait until OPB_Clk = '1';
OPB_Rst <= '0';
end procedure bus_reset;
procedure sys_reset is
begin
-- Issue a bus reset first
bus_reset;
-- Assert the system reset signal
system_reset <= '1';
-- Wait until the core is finished resetting
wait until system_resetdone = '1';
-- Deassert the system reset signal
system_reset <= '0';
end procedure sys_reset;
function synch_cmd( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1);
cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to 31);
begin
addr := MUTEX_BADDR;
addr(30-MUTEX_BITS to 29) := mid;
addr(30-MUTEX_BITS-THREAD_BITS to 29-MUTEX_BITS) := tid;
addr(30-MUTEX_BITS-THREAD_BITS-COMMAND_BITS to 29-MUTEX_BITS-THREAD_BITS) := cmd;
return addr;
end function synch_cmd;
procedure synchm_lock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_LOCK),x"FFFFFFFF");
end procedure synchm_lock;
procedure synchm_unlock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_UNLOCK),x"FFFFFFFF");
end procedure synchm_unlock;
procedure synchm_trylock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_TRY),x"FFFFFFFF");
end procedure synchm_trylock;
procedure synchm_kind( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_KIND),x"FFFFFFFF");
end procedure synchm_kind;
procedure synchm_count( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_COUNT),x"FFFFFFFF");
end procedure synchm_count;
procedure synchm_owner( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_OWNER),x"FFFFFFFF");
end procedure synchm_owner;
procedure synchm_setkind( mid : in std_logic_vector(0 to MUTEX_BITS-1);
kind : in std_logic_vector(0 to KIND_BITS-1)) is
variable data : std_logic_vector(0 to DATA_BITS-1);
begin
data := (others => '0');
data(DATA_BITS-KIND_BITS to DATA_BITS-1) := kind;
bus_trans('0',synch_cmd(x"00",mid,SYNCH_KIND),data);
end procedure synchm_setkind;
begin
-- Wait 100 ns for global reset to finish
wait for 100 ns;
-- Send a bus reset command
sys_reset;
-- Setup the mutex kinds
synchm_setkind( "000000", SYNCH_FAST );
synchm_setkind( "000001", SYNCH_FAST );
synchm_setkind( "000010", SYNCH_ERROR );
synchm_setkind( "000011", SYNCH_RECURS );
-- Test standard locking and unlocking
synchm_lock( x"01", "000000" );
synchm_lock( x"02", "000000" );
synchm_trylock( x"03", "000000" );
synchm_lock( x"04", "000000" );
synchm_unlock( x"01", "000000" );
synchm_unlock( x"02", "000000" );
synchm_unlock( x"04", "000000" );
-- Test that fast mutex locking method works properly
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
-- Test that error checking mutex locking method works properly
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
-- Test that recursive mutex locking method works properly
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
-- Test that getting the owner works properly
synchm_owner( "000001" );
synchm_owner( "000010" );
synchm_owner( "000011" );
-- Test that getting the count works properly
synchm_count( "000011" );
-- Test that getting the kind works properly
synchm_kind( "000000" );
synchm_kind( "000001" );
synchm_kind( "000010" );
synchm_kind( "000011" );
-- Test that recursive mutex unlocking works
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
wait; -- will wait forever
end process;
clk : process
begin
OPB_Clk <= '0';
wait for 10 ns;
loop
OPB_Clk <= '1', '0' after 5 ns;
wait for 10 ns;
end loop;
end process;
end;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/pf_dly1_mux.vhd
|
3
|
9364
|
-------------------------------------------------------------------------------
-- $Id: pf_dly1_mux.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_dly1_mux.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dly1_mux.vhd
--
-- Description: Implements a multiplexer and register combo that allows
-- selection of a registered or non-registered version of
-- the input signal for output.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dly1_mux.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input signal and connected it to the FDRE
-- reset input.
--
--
-- DET 4/2/2004 IPIF to v2_02_a
-- ~~~~~~
-- - Updated proc common library reference to v2_00_a
-- ^^^^^^
--
--
-- DET 4/12/2004 IPIF to V1_00_f
-- ~~~~~~
-- - Updated unisim library reference to unisim.vcomponents.all.
-- - Commented out Xilinx primitive component declarations
-- ^^^^^^
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-----------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library opb_v20_v1_10_d;
Use opb_v20_v1_10_d.inferred_lut4;
-- Xilinx primitive library
library unisim;
use unisim.vcomponents.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_dly1_mux is
Generic (C_MUX_WIDTH : Integer := 12
);
port (
Clk : in std_logic;
Rst : In std_logic;
dly_sel1 : in std_logic;
dly_sel2 : in std_logic;
Inputs : in std_logic_vector(0 to C_MUX_WIDTH-1);
Y_out : out std_logic_vector(0 to C_MUX_WIDTH-1)
);
end pf_dly1_mux;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_dly1_mux is
signal lut_out : std_logic_vector(0 to C_MUX_WIDTH-1);
signal reg_out : std_logic_vector(0 to C_MUX_WIDTH-1);
signal count_Result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
MAKE_DLY_MUX : for i in 0 to C_MUX_WIDTH-1 generate
--- xst wrk around I_SEL_LUT : LUT4
--- xst wrk around generic map(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon => false,
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT => X"FE10"
--- xst wrk around )
--- xst wrk around port map (
--- xst wrk around O => lut_out(i),
--- xst wrk around I0 => dly_sel1,
--- xst wrk around I1 => dly_sel2,
--- xst wrk around I2 => Inputs(i),
--- xst wrk around I3 => reg_out(i)
--- xst wrk around );
I_SEL_LUT : entity opb_v20_v1_10_d.inferred_lut4
generic map(
INIT => X"FE10"
)
port map (
O => lut_out(i),
I0 => dly_sel1,
I1 => dly_sel2,
I2 => Inputs(i),
I3 => reg_out(i)
);
FDRE_I: FDRE
port map (
Q => reg_out(i),
C => Clk,
CE => '1',
D => Inputs(i),
R => Rst
);
End generate MAKE_DLY_MUX;
Y_out <= lut_out;
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_fsmlang_special_pic_v1_00_a/hdl/vhdl/user_logic.vhd
|
2
|
34238
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library fsl_v20_v2_11_a;
use fsl_v20_v2_11_a.all;
--library proc_common_v2_00_a;
--use proc_common_v2_00_a.proc_common_pkg.all;
--use proc_common_v2_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
C_TM_BASE : std_logic_vector := x"11000000";
C_IID_WIDTH : integer := 3;
C_REG_SIZE : integer := 9;
C_CMD_WIDTH : integer := 4;
C_NUM_INTERRUPTS : integer := 8;
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
--interrupts_in : in std_logic_vector(0 to 2**C_IID_WIDTH-1);
interrupts_in : in std_logic_vector(0 to C_NUM_INTERRUPTS-1);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
-- Added in by Xilinx even though XST doesn't even recognize these attributes
--attribute SIGIS : string;
--attribute SIGIS of Bus2IP_Clk : signal is "CLK";
--attribute SIGIS of Bus2IP_Reset : signal is "RST";
--attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
-- Define the memory map for each command register, Address[13 to 14]
-- This value is the offset from the base address assigned to this module
constant OPCODE_READ : std_logic_vector(0 to 4-1) := x"0";
constant OPCODE_WRITE : std_logic_vector(0 to 4-1) := x"1";
constant OPCODE_CLEAR : std_logic_vector(0 to 4-1) := x"2";
constant OPCODE_MANUAL_RESET : std_logic_vector(0 to 4-1) := x"3";
-- ACK signal
signal IP2Bus_Ack : std_logic;
-- CE concatenation signals
signal Bus2IP_RdCE_concat : std_logic;
signal Bus2IP_WrCE_concat : std_logic;
-- Bus Output Controller signals
signal bus_data_ready : std_logic;
signal bus_ack_ready : std_logic;
signal bus_data_out : std_logic_vector (0 to 31);
-- Reset Signals
-- FIXME: It would be nice to eliminate the default values here
signal inside_reset : std_logic := '0';
signal inside_reset_next : std_logic := '0';
-- Signals for each event type
signal OPWrite_Request : std_logic;
signal OPRead_Request : std_logic;
signal OPClear_Request : std_logic;
signal OPManualReset_Request : std_logic;
signal Error_Request : std_logic;
-- signal and type for MASTER FSM
type master_state_type is
(
idle, -- idle states
wait_trans_done, -- wait for bus transaction to complete
reset, -- reset states
reset_core,
reset_wait_4_ack,
opwrite_begin,
opwrite_wait_4_busy,
opwrite_finish,
opread_begin,
opread_wait_4_busy,
opread_finish,
manual_reset_begin,
manual_reset_wait,
manual_reset_finish,
opclear_begin,
opclear_wait_4_busy,
opclear_finish
);
signal current_state, next_state : master_state_type := idle;
--Core Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to C_CMD_WIDTH-1) := (others => '0');
signal iid : std_logic_vector(0 to C_IID_WIDTH-1) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal core_reset, reset_sig : std_logic := '0';
-- Core Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
signal ret_out: std_logic_vector(0 to 7);
signal tid_out : std_logic_vector(0 to 7);
-- Message channels signals
signal FSL_S_Read : std_logic;
signal FSL_S_Exists : std_logic;
signal FSL_Has_Data : std_logic;
signal FSL_Data : std_logic_vector(0 to 7);
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_go, IP2Bus_MstRdReq : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal IP2Bus_Addr, mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
COMPONENT complete_pic
generic(
IID_WIDTH : integer := 3;
REG_SIZE : integer := 9;
CMD_WIDTH : integer := 4;
C_NUM_INTERRUPTS : integer := 8
);
PORT(
msg_chan_channelDataIn : OUT std_logic_vector(0 to 7);
msg_chan_channelDataOut : IN std_logic_vector(0 to 7);
msg_chan_exists : IN std_logic;
msg_chan_full : IN std_logic;
msg_chan_channelRead : OUT std_logic;
msg_chan_channelWrite : OUT std_logic;
go : IN std_logic;
ack : OUT std_logic;
TID_IN : IN std_logic_vector(0 to 7);
IID_IN : in std_logic_vector(0 to IID_WIDTH - 1);
CMD_IN : in std_logic_vector(0 to CMD_WIDTH - 1);
RET_OUT : OUT std_logic_vector(0 to 7);
TID_OUT : OUT std_logic_vector(0 to 7);
-- interrupts_in : in std_logic_vector(0 to 2**IID_WIDTH - 1);
-- TODO: Fix files to handle variable number and not exactly 8!
interrupts_in : in std_logic_vector(0 to C_NUM_INTERRUPTS-1);
clock_sig : IN std_logic;
reset_sig : IN std_logic
);
END COMPONENT;
component fsl_v20 is
generic (
C_EXT_RESET_HIGH : integer;
C_ASYNC_CLKS : integer;
C_IMPL_STYLE : integer;
C_USE_CONTROL : integer;
C_FSL_DWIDTH : integer;
C_FSL_DEPTH : integer;
C_READ_CLOCK_PERIOD : integer
);
port (
FSL_Clk : in std_logic;
SYS_Rst : in std_logic;
FSL_Rst : out std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Data : in std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_M_Control : in std_logic;
FSL_M_Write : in std_logic;
FSL_M_Full : out std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Data : out std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_S_Control : out std_logic;
FSL_S_Read : in std_logic;
FSL_S_Exists : out std_logic;
FSL_Full : out std_logic;
FSL_Has_Data : out std_logic;
FSL_Control_IRQ : out std_logic
);
end component;
-- ***************************
-- ChipScope Cores
-- ***************************
-- ChipScope signals
-- signal CONTROL0 : std_logic_vector(35 downto 0);
-- signal TRIG0 : std_logic_vector(15 downto 0);
-- signal TRIG1 : std_logic_vector(31 downto 0);
-- signal TRIG2 : std_logic_vector(3 downto 0);
--
-- component chipscope_icon_v1_03_a
-- PORT (
-- CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0));
--
-- end component;
--
-- component chipscope_ila_ul_v1_02_a
-- PORT (
-- CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0);
-- CLK : IN STD_LOGIC;
-- TRIG0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
-- TRIG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
-- TRIG2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0));
--
-- end component;
---------------------------------------------------
-- bit_set()
-- *******************
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
---------------------------------------------------
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
---------------------------------------------------
function getIID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
--return "00" & addr(24 to 29);
return addr( 24 to (24+C_IID_WIDTH-1) );
end function;
function getTID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return addr(16 to 23);
end function;
function form_tm_addr( tid : in std_logic_vector(0 to 7)) return std_logic_vector is
variable mask : std_logic_vector(0 to 31);
begin
mask := x"00001" & "00" & tid & "00";
return C_TM_BASE or mask;
end function;
--*************************************************
-- Beginning of user_logic ARCHITECTURE
--*************************************************
begin
core_reset <= reset_sig; -- or Bus2IP_Reset;
-- Instantiate the Core
internalCore: complete_pic
-- internalCore : entity plb_fsmlang_special_pic_v1_00_a.complete_pic
-- internalCore : entity complete_pic
GENERIC MAP (
IID_WIDTH => C_IID_WIDTH,
REG_SIZE => C_REG_SIZE,
CMD_WIDTH => C_CMD_WIDTH,
C_NUM_INTERRUPTS => C_NUM_INTERRUPTS
)
PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
go => cmd,
ack => ack,
TID_IN => tid,
IID_IN => iid,
CMD_IN => opcode,
RET_OUT => ret_out,
TID_OUT => tid_out,
interrupts_in => interrupts_in,
clock_sig => Bus2IP_Clk,
reset_sig => core_reset
);
-- ChipScope Instantiations
-- pic_icon : chipscope_icon_v1_03_a
-- port map (
-- CONTROL0 => CONTROL0);
--
-- pic_ila_ul : chipscope_ila_ul_v1_02_a
-- port map (
-- CONTROL => CONTROL0,
-- CLK => Bus2IP_Clk,
-- TRIG0 => TRIG0,
-- TRIG1 => TRIG1,
-- TRIG2 => TRIG2);
--
-- TRIG0 <= FSL_S_Exists & FSL_S_Read & mst_go & mst_cmd_sm_rd_req & Bus2IP_Mst_CmdAck & Bus2IP_Mst_Cmplt & Bus2IP_Mst_Cmd_Timeout & Bus2IP_Mst_Error & FSL_Data;
-- TRIG1 <= mst_cmd_sm_ip2bus_addr;
-- TRIG2 <= interrupts_in;
message_channel : fsl_v20
generic map (
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 1,
C_USE_CONTROL => 0,
C_FSL_DWIDTH => 8,
C_FSL_DEPTH => 256,
C_READ_CLOCK_PERIOD => 0
)
port map (
FSL_Clk => Bus2IP_Clk,
SYS_Rst => Bus2IP_Reset,
FSL_Rst => open,
FSL_M_Clk => Bus2IP_Clk,
FSL_M_Data => msg_chan_channelDataIn,
FSL_M_Control => '0',
FSL_M_Write => msg_chan_channelWrite,
FSL_M_Full => msg_chan_full,
FSL_S_Clk => Bus2IP_Clk,
FSL_S_Data => FSL_Data,
FSL_S_Control => open,
FSL_S_Read => FSL_S_Read,
FSL_S_Exists => FSL_S_Exists,
FSL_Full => open,
FSL_Has_Data => FSL_Has_Data,
FSL_Control_IRQ => open
);
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
mst_go <= FSL_S_Exists; -- Start master transaction when data exists in the FSL
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
FSL_S_Read <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
FSL_S_Read <= '0';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= '1'; -- Perform a write (rd = '1', wr = '0')
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_ip2bus_addr <= form_tm_addr(FSL_Data); -- Setup address
mst_cmd_sm_ip2bus_be <= (others => '1'); -- Use all byte lanes
mst_cmd_sm_bus_lock <= '0'; -- De-assert bus lock
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- Create concatenation signals
Bus2IP_RdCE_concat <= bit_set(Bus2IP_RdCE);
Bus2IP_WrCE_concat <= bit_set(Bus2IP_WrCE);
-- *************************************************************************
-- Process: BUS_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to Bus
-- * Can be controlled using bus_data_ready, bus_ack_ready, and bus_data_out signals.
-- *************************************************************************
BUS_OUTPUT_CONTROLLER : process( Bus2IP_Clk, bus_data_ready, bus_ack_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( bus_data_ready = '1' and bus_ack_ready = '1' ) then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '1'; -- ACK bus
elsif (bus_data_ready = '1' and bus_ack_ready = '0') then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '0'; -- turn off ACK
else
IP2Bus_Data <= (others => '0'); -- output 0's on bus
IP2Bus_Ack <= '0'; -- turn off ACK
end if;
end if;
end process BUS_OUTPUT_CONTROLLER;
ACK_ROUTER : process (IP2Bus_Ack, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat) is
begin
-- Turn an "ACK" into a specific ACK (read or write ACK)
if (Bus2IP_RdCE_concat = '1') then
IP2Bus_RdAck <= IP2Bus_Ack;
IP2Bus_WrAck <= '0';
else
IP2Bus_RdAck <= '0';
IP2Bus_WrAck <= IP2Bus_Ack;
end if;
end process;
-- *************************************************************************
-- Process: BUS_CMD_PROC
-- Purpose: Controller and decoder for incoming bus operations (reads and writes)
-- *************************************************************************
BUS_CMD_PROC : process (Bus2IP_Clk, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Bus2IP_Addr ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
OPWrite_Request <= '0';
OPRead_Request <= '0';
OPClear_Request <= '0';
OPManualReset_Request <= '0';
Error_Request <= '0';
if( Bus2IP_WrCE_concat = '1' ) then
Error_Request <= '1';
elsif( Bus2IP_RdCE_concat = '1' ) then
case Bus2IP_Addr(12 to 15) is
when OPCODE_WRITE => OPWrite_Request <= '1';
when OPCODE_READ => OPRead_Request <= '1';
when OPCODE_CLEAR => OPClear_Request <= '1';
when OPCODE_MANUAL_RESET => OPManualReset_Request <= '1';
when others => Error_Request <= '1';
end case;
end if;
end if;
end process BUS_CMD_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_STATE_PROC
-- Purpose: Synchronous FSM controller for the master state machine
-- *************************************************************************
MASTER_FSM_STATE_PROC: process(
Bus2IP_Clk, Soft_Reset, inside_reset, inside_reset_next, next_state) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
-- Initialize all signals...
current_state <= reset;
inside_reset <= '1';
else
-- Assign all signals to their next state...
current_state <= next_state;
inside_reset <= inside_reset_next;
end if;
end if;
end process MASTER_FSM_STATE_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_LOGIC_PROC
-- Purpose: Combinational process that contains all state machine logic and
-- state transitions for the master state machine
-- *************************************************************************
MASTER_FSM_LOGIC_PROC: process (
current_state, inside_reset, OPWrite_Request, OPRead_Request, OPManualReset_Request,
OPClear_Request, Error_Request, Bus2IP_Data, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Soft_Reset, Bus2IP_Addr, ack ) is
-- Idle Variable, concatenation of all request signals
variable idle_concat : std_logic_vector(0 to 4);
begin
IP2Bus_Error <= '0'; -- no error
IP2Bus_Addr <= (others => '0');
IP2Bus_MstRdReq <= '0';
IP2Bus_MstWr_d <= (others => '0');
Reset_Done <= '0'; -- reset is done unless we override it later
next_state <= current_state;
inside_reset_next <= inside_reset;
bus_data_out <= (others => '0');
bus_data_ready <= '0';
bus_ack_ready <= '0';
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
reset_sig <= '0';
case current_state is
when idle =>
-- Assign to variable for case statement
idle_concat := (OPWrite_Request & OPRead_Request & OPClear_Request & OPManualReset_Request & Error_Request);
-- Decode request
case (idle_concat) is
when "10000" => next_state <= opwrite_begin; -- OPWrite
when "01000" => next_state <= opread_begin; -- OPRead
when "00100" => next_state <= opclear_begin; -- OPReadAll
when "00010" => next_state <= manual_reset_begin; -- Manual Reset
when "00001" => bus_data_out <= (others => '1'); -- Error!!!
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others => next_state <= idle; -- Others, stay in idle state
end case;
when wait_trans_done =>
-- Goal of this state is to return to the idle state ONLY (iff) the bus transaction has COMPLETELY ended!
bus_data_ready <= '0'; -- de-assert bus transaction signals
bus_ack_ready <= '0';
if( Bus2IP_RdCE_concat = '0' and Bus2IP_WrCE_concat = '0' ) then
next_state <= idle;
end if;
----------------------------
-- RESET: begin
----------------------------
when reset =>
reset_sig <= '1'; -- begin reset on cvCore
Reset_Done <= '0'; -- De-assert Reset_Done
next_state <= reset_core;
when reset_core =>
if (ack = '1') then
next_state <= reset_wait_4_ack;
else
next_state <= reset_core;
end if;
when reset_wait_4_ack =>
Reset_Done <= '1'; -- Assert that reset has completed
if( Soft_Reset = '0' ) then -- if reset is complete
Reset_Done <= '0'; -- de-assert that reset is complete
inside_reset_next <= '0'; -- de-assert to signal that process is no longer in reset
next_state <= idle; -- return to idle stage
end if;
----------------------------
-- RESET: end
----------------------------
----------------------------
-- MANUAL_RESET: begin
----------------------------
when manual_reset_begin =>
reset_sig <= '1'; -- begin reset on cvCore
next_state <= manual_reset_wait;
when manual_reset_wait =>
if (ack = '1') then
next_state <= manual_reset_finish;
else
next_state <= manual_reset_wait;
end if;
when manual_reset_finish =>
-- Finish transaction
bus_data_out <= x"ABCDABCD";
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- MANUAL_RESET: end
----------------------------
----------------------------
-- WRITE: begin
----------------------------
when opwrite_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_WRITE;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opwrite_wait_4_busy;
else
-- Persist with request and remain
next_state <= opwrite_begin;
end if;
when opwrite_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opwrite_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_WRITE;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opwrite_wait_4_busy;
end if;
when opwrite_finish =>
-- Finish transaction
bus_data_out <= conv_std_logic_vector(conv_integer(ret_out),32);
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- READ: begin
----------------------------
when opread_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_READ;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opread_wait_4_busy;
else
-- Persist with request and remain
next_state <= opread_begin;
end if;
when opread_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opread_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_READ;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opread_wait_4_busy;
end if;
when opread_finish =>
-- Finish transaction
bus_data_out <= conv_std_logic_vector(conv_integer(ret_out(7) & tid_out),32);
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- CLEAR: begin
----------------------------
when opclear_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_CLEAR;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opclear_wait_4_busy;
else
-- Persist with request and remain
next_state <= opclear_begin;
end if;
when opclear_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opclear_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_CLEAR;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opclear_wait_4_busy;
end if;
when opclear_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others =>
next_state <= idle;
end case; -- END CASE (current_state)
end process MASTER_FSM_LOGIC_PROC;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp1/design/pcores/plb_thread_manager_v1_00_a/hdl/vhdl/plb_thread_manager.vhd
|
9
|
24206
|
------------------------------------------------------------------------------
-- plb_thread_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_thread_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Tue Apr 14 15:01:53 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_thread_manager_v1_00_a;
use plb_thread_manager_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_thread_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_RESET_TIMEOUT : natural := 4096
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_thread_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_thread_manager is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_thread_manager_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_RESET_TIMEOUT => C_RESET_TIMEOUT
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
Access_Intr => Access_Intr,
Scheduler_Reset => Scheduler_Reset,
Scheduler_Reset_Done => Scheduler_Reset_Done,
Semaphore_Reset => Semaphore_Reset,
Semaphore_Reset_Done => Semaphore_Reset_Done,
SpinLock_Reset => SpinLock_Reset,
SpinLock_Reset_Done => SpinLock_Reset_Done,
User_IP_Reset => User_IP_Reset,
User_IP_Reset_Done => User_IP_Reset_Done,
Soft_Stop => Soft_Stop,
tm2sch_cpu_thread_id => tm2sch_cpu_thread_id,
tm2sch_opcode => tm2sch_opcode,
tm2sch_data => tm2sch_data,
tm2sch_request => tm2sch_request,
tm2sch_DOB => tm2sch_DOB,
sch2tm_ADDRB => sch2tm_ADDRB,
sch2tm_DIB => sch2tm_DIB,
sch2tm_ENB => sch2tm_ENB,
sch2tm_WEB => sch2tm_WEB,
sch2tm_busy => sch2tm_busy,
sch2tm_data => sch2tm_data,
sch2tm_next_id => sch2tm_next_id,
sch2tm_next_id_valid => sch2tm_next_id_valid
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_thread_manager_v1_00_a/hdl/vhdl/plb_thread_manager.vhd
|
9
|
24206
|
------------------------------------------------------------------------------
-- plb_thread_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_thread_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Tue Apr 14 15:01:53 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_thread_manager_v1_00_a;
use plb_thread_manager_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_thread_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_RESET_TIMEOUT : natural := 4096
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_thread_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_thread_manager is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_thread_manager_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_RESET_TIMEOUT => C_RESET_TIMEOUT
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
Access_Intr => Access_Intr,
Scheduler_Reset => Scheduler_Reset,
Scheduler_Reset_Done => Scheduler_Reset_Done,
Semaphore_Reset => Semaphore_Reset,
Semaphore_Reset_Done => Semaphore_Reset_Done,
SpinLock_Reset => SpinLock_Reset,
SpinLock_Reset_Done => SpinLock_Reset_Done,
User_IP_Reset => User_IP_Reset,
User_IP_Reset_Done => User_IP_Reset_Done,
Soft_Stop => Soft_Stop,
tm2sch_cpu_thread_id => tm2sch_cpu_thread_id,
tm2sch_opcode => tm2sch_opcode,
tm2sch_data => tm2sch_data,
tm2sch_request => tm2sch_request,
tm2sch_DOB => tm2sch_DOB,
sch2tm_ADDRB => sch2tm_ADDRB,
sch2tm_DIB => sch2tm_DIB,
sch2tm_ENB => sch2tm_ENB,
sch2tm_WEB => sch2tm_WEB,
sch2tm_busy => sch2tm_busy,
sch2tm_data => sch2tm_data,
sch2tm_next_id => sch2tm_next_id,
sch2tm_next_id_valid => sch2tm_next_id_valid
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
|
bsd-3-clause
|
jevinskie/aes-over-pcie
|
source/add_round_key.vhd
|
1
|
855
|
-- File name: add_round_key.vhd
-- Created: 2009-03-30
-- Author: Zachary Curosh
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: each byte of the state is combined with the round
-- key; each round key is derived from the cipher key using the
-- key scheduler
use work.aes.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity add_round_key is
port (
data_in : in byte;
key_in : in byte;
data_out : out byte
);
end entity add_round_key;
architecture dataflow of add_round_key is
begin
-- for each round of the addroundkey step, a subkey byte that was
-- derived from the key scheduler is added to the corresponding
-- byte of the state using bitwise XOR.
data_out <= data_in xor key_in;
end architecture dataflow;
|
bsd-3-clause
|
jevinskie/aes-over-pcie
|
source/top_top.vhd
|
1
|
3449
|
-- File name: aes_top.vhd
-- Created: 2009-04-04
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: AES top level
use work.aes.all;
use work.pcie.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity top_top is
port (
clk : in std_logic;
nrst : in std_logic;
rx_data : in byte;
rx_data_k : in std_logic;
rx_status : in std_logic_vector(2 downto 0);
rx_elec_idle : in std_logic;
phy_status : in std_logic;
rx_valid : in std_logic;
tx_detect_rx : out std_logic;
tx_elec_idle : out std_logic;
tx_comp : out std_logic;
rx_pol : out std_logic;
power_down : out std_logic_vector(1 downto 0);
tx_data : out byte;
tx_data_k : out std_logic
);
end entity top_top;
architecture structural of top_top is
signal got_key : std_logic;
signal got_pt : std_logic;
signal send_ct : std_logic;
signal aes_done : std_logic;
signal tx_data_aes : byte;
signal last_rx_data : byte;
begin
pcie_top_b : entity work.pcie_top(structural) port map (
clk => clk, nrst => nrst, rx_data => rx_data,
rx_data_k => rx_data_k, rx_status => rx_status,
rx_elec_idle => rx_elec_idle, phy_status => phy_status,
rx_valid => rx_valid, tx_detect_rx => tx_detect_rx,
tx_elec_idle => tx_elec_idle, tx_comp => tx_comp,
rx_pol => rx_pol, power_down => power_down,
tx_data => tx_data, tx_data_k => tx_data_k,
tx_data_aes => tx_data_aes, aes_done => aes_done,
got_key => got_key, got_pt => got_pt, send_ct => send_ct
);
-- leda C_1406 off
process(clk)
begin
if rising_edge(clk) then
last_rx_data <= rx_data;
end if;
end process;
-- leda C_1406 on
aes_top_b : entity work.aes_top(structural) port map (
clk => clk, nrst => nrst, rx_data => last_rx_data,
got_key => got_key, got_pt => got_pt, send_ct => send_ct,
aes_done => aes_done, tx_data => tx_data_aes
);
end architecture structural;
architecture structural_p of top_top is
signal got_key : std_logic;
signal got_pt : std_logic;
signal send_ct : std_logic;
signal aes_done : std_logic;
signal tx_data_aes : byte;
signal last_rx_data : byte;
begin
pcie_top_b : entity work.pcie_top(structural) port map (
clk => clk, nrst => nrst, rx_data => rx_data,
rx_data_k => rx_data_k, rx_status => rx_status,
rx_elec_idle => rx_elec_idle, phy_status => phy_status,
rx_valid => rx_valid, tx_detect_rx => tx_detect_rx,
tx_elec_idle => tx_elec_idle, tx_comp => tx_comp,
rx_pol => rx_pol, power_down => power_down,
tx_data => tx_data, tx_data_k => tx_data_k,
tx_data_aes => tx_data_aes, aes_done => aes_done,
got_key => got_key, got_pt => got_pt, send_ct => send_ct
);
-- leda C_1406 off
process(clk)
begin
if rising_edge(clk) then
last_rx_data <= rx_data;
end if;
end process;
-- leda C_1406 on
aes_top_p_b : entity work.aes_top(structural_p) port map (
clk => clk, nrst => nrst, rx_data => last_rx_data,
got_key => got_key, got_pt => got_pt, send_ct => send_ct,
aes_done => aes_done, tx_data => tx_data_aes
);
end architecture structural_p;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/ip2bus_srmux_blk.vhd
|
3
|
5669
|
-------------------------------------------------------------------------------
-- $Id: ip2bus_srmux_blk.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
-- ip2bus_srmux_blk.vhd - VHD design file
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: ip2bus_srmux_blk.vhd
--
-- Description: VHDL design file that is a wrapper around the IPIF status
-- reply MUX design.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ip2bus_srmux_blk.vhd
-- ip2bus_srmux.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
--
-- History:
-- DET Aug 21, 2001 -- First version adapted from Visual HDL output
-- LCW Nov 8, 2004 -- updated for NCSim
--
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
---------------------------------------------------------------------------------
library IEEE;
use IEEE.Std_Logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.ip2bus_srmux;
entity ip2bus_srmux_blk is
port (
DMA2Bus_Error : in std_logic;
DMA2Bus_RdAck : in std_logic;
DMA2Bus_Retry : in std_logic;
DMA2Bus_ToutSup : in std_logic;
DMA2Bus_WrAck : in std_logic;
Intr2Bus_Error : in std_logic;
Intr2Bus_RdAck : in std_logic;
Intr2Bus_Retry : in std_logic;
Intr2Bus_ToutSup : in std_logic;
Intr2Bus_WrAck : in std_logic;
IP2Bus_Error : in std_logic;
IP2Bus_Error_mx : out std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_RdAck_mx : out std_logic;
IP2Bus_Retry : in std_logic;
IP2Bus_Retry_mx : out std_logic;
IP2Bus_ToutSup : in std_logic;
IP2Bus_ToutSup_mx : out std_logic;
IP2Bus_WrAck : in std_logic;
IP2Bus_WrAck_mx : out std_logic;
RFIFO_Error : in std_logic;
RFIFO_RdAck : in std_logic;
RFIFO_Retry : in std_logic;
RFIFO_ToutSup : in std_logic;
RFIFO_WrAck : in std_logic;
Rst2Bus_Error : in std_logic;
Rst2Bus_RdAck : in std_logic;
Rst2Bus_Retry : in std_logic;
Rst2Bus_ToutSup : in std_logic;
Rst2Bus_WrAck : in std_logic;
WFIFO_Error : in std_logic;
WFIFO_RdAck : in std_logic;
WFIFO_Retry : in std_logic;
WFIFO_ToutSup : in std_logic;
WFIFO_WrAck : in std_logic
);
end ip2bus_srmux_blk;
architecture implementation of ip2bus_srmux_blk is
begin
I_IP2BUS_SRMUX: entity opb_ipif_v2_00_h.ip2bus_srmux
port map (
IP2Bus_WrAck => IP2Bus_WrAck,
IP2Bus_RdAck => IP2Bus_RdAck,
IP2Bus_Retry => IP2Bus_Retry,
IP2Bus_Error => IP2Bus_Error,
IP2Bus_ToutSup => IP2Bus_ToutSup,
WFIFO_WrAck => WFIFO_WrAck,
WFIFO_RdAck => WFIFO_RdAck,
WFIFO_Retry => WFIFO_Retry,
WFIFO_Error => WFIFO_Error,
WFIFO_ToutSup => WFIFO_ToutSup,
RFIFO_WrAck => RFIFO_WrAck,
RFIFO_RdAck => RFIFO_RdAck,
RFIFO_Retry => RFIFO_Retry,
RFIFO_Error => RFIFO_Error,
RFIFO_ToutSup => RFIFO_ToutSup,
DMA2Bus_WrAck => DMA2Bus_WrAck,
DMA2Bus_RdAck => DMA2Bus_RdAck,
DMA2Bus_Retry => DMA2Bus_Retry,
DMA2Bus_Error => DMA2Bus_Error,
DMA2Bus_ToutSup => DMA2Bus_ToutSup,
IRPT_WrAck => Intr2Bus_WrAck,
IRPT_RdAck => Intr2Bus_RdAck,
IRPT_Retry => Intr2Bus_Retry,
IRPT_Error => Intr2Bus_Error,
IRPT_ToutSup => Intr2Bus_ToutSup,
RESET_WrAck => Rst2Bus_WrAck,
RESET_RdAck => Rst2Bus_RdAck,
RESET_Retry => Rst2Bus_Retry,
RESET_Error => Rst2Bus_Error,
RESET_ToutSup => Rst2Bus_ToutSup,
IP2Bus_WrAck_mx => IP2Bus_WrAck_mx,
IP2Bus_RdAck_mx => IP2Bus_RdAck_mx,
IP2Bus_Retry_mx => IP2Bus_Retry_mx,
IP2Bus_Error_mx => IP2Bus_Error_mx,
IP2Bus_ToutSup_mx => IP2Bus_ToutSup_mx);
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/numa3_hwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/plb_sync_manager.vhd
|
9
|
47769
|
------------------------------------------------------------------------------
-- plb_sync_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_sync_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plbv46_master_single_v1_01_a;
use plbv46_master_single_v1_01_a.plbv46_master_single;
library plb_sync_manager_v1_00_a;
use plb_sync_manager_v1_00_a.user_logic;
use work.common.SYNCH_LOCK;
use work.common.SYNCH_UNLOCK;
use work.common.SYNCH_TRY;
use work.common.SYNCH_OWNER;
use work.common.SYNCH_KIND;
use work.common.SYNCH_COUNT;
use work.common.SYNCH_RESULT;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
-- C_MPLB_AWIDTH -- PLBv46 master: address bus width
-- C_MPLB_DWIDTH -- PLBv46 master: data bus width
-- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width
-- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme
-- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave
-- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
-- MPLB_Clk -- PLB main bus Clock
-- MPLB_Rst -- PLB main bus Reset
-- MD_error -- Master detected error status output
-- M_request -- Master request
-- M_priority -- Master request priority
-- M_busLock -- Master buslock
-- M_RNW -- Master read/nor write
-- M_BE -- Master byte enables
-- M_MSize -- Master data bus size
-- M_size -- Master transfer size
-- M_type -- Master transfer type
-- M_TAttribute -- Master transfer attribute
-- M_lockErr -- Master lock error indicator
-- M_abort -- Master abort bus request indicator
-- M_UABus -- Master upper address bus
-- M_ABus -- Master address bus
-- M_wrDBus -- Master write data bus
-- M_wrBurst -- Master burst write transfer indicator
-- M_rdBurst -- Master burst read transfer indicator
-- PLB_MAddrAck -- PLB reply to master for address acknowledge
-- PLB_MSSize -- PLB reply to master for slave data bus size
-- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator
-- PLB_MTimeout -- PLB reply to master for bus time out indicator
-- PLB_MBusy -- PLB reply to master for slave busy indicator
-- PLB_MRdErr -- PLB reply to master for slave read error indicator
-- PLB_MWrErr -- PLB reply to master for slave write error indicator
-- PLB_MIRQ -- PLB reply to master for slave interrupt indicator
-- PLB_MRdDBus -- PLB reply to master for read data bus
-- PLB_MRdWdAddr -- PLB reply to master for read word address
-- PLB_MRdDAck -- PLB reply to master for read data acknowledge
-- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator
-- PLB_MWrDAck -- PLB reply to master for write data acknowledge
-- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator
------------------------------------------------------------------------------
entity plb_sync_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_SCHED_BADDR : std_logic_vector := X"00000000";
C_SCHED_HADDR : std_logic_vector := X"00000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 32;
C_MPLB_P2P : integer := 0;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_MPLB_CLK_PERIOD_PS : integer := 10000
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
system_reset : in std_logic;
system_resetdone : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_UABus : out std_logic_vector(0 to 31);
M_ABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of MPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
attribute SIGIS of MPLB_Rst : signal is "RST";
end entity plb_sync_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_sync_manager is
-------------------------------------------------------------------
-- BEGIN CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(C_NUM_MUTEXES);
constant THREAD_BITS : integer := log2(C_NUM_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
function calc_base( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_SPLB_AWIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_base;
function calc_high( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_SPLB_AWIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_SPLB_AWIDTH - MUTEX_BITS - 2 to
C_SPLB_AWIDTH - 3) := (others => '1');
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - 3) := (others => '1');
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_high;
------------------------------------------
-- constants: figure out addresses of address ranges
------------------------------------------
constant LOCK_BASE:std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_LOCK);
constant LOCK_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_LOCK);
constant UNLOCK_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_UNLOCK);
constant UNLOCK_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_UNLOCK);
constant TRY_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_TRY);
constant TRY_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_TRY);
constant OWNER_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_OWNER);
constant OWNER_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_OWNER);
constant KIND_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_KIND);
constant KIND_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_KIND);
constant COUNT_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_COUNT);
constant COUNT_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_COUNT);
constant RESULT_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_RESULT);
constant RESULT_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_RESULT);
constant C_AR0_BASEADDR : std_logic_vector := LOCK_BASE;
constant C_AR0_HIGHADDR : std_logic_vector := LOCK_HIGH;
constant C_AR1_BASEADDR : std_logic_vector := UNLOCK_BASE;
constant C_AR1_HIGHADDR : std_logic_vector := UNLOCK_HIGH;
constant C_AR2_BASEADDR : std_logic_vector := TRY_BASE;
constant C_AR2_HIGHADDR : std_logic_vector := TRY_HIGH;
constant C_AR3_BASEADDR : std_logic_vector := OWNER_BASE;
constant C_AR3_HIGHADDR : std_logic_vector := OWNER_HIGH;
constant C_AR4_BASEADDR : std_logic_vector := KIND_BASE;
constant C_AR4_HIGHADDR : std_logic_vector := KIND_HIGH;
constant C_AR5_BASEADDR : std_logic_vector := COUNT_BASE;
constant C_AR5_HIGHADDR : std_logic_vector := COUNT_HIGH;
constant C_AR6_BASEADDR : std_logic_vector := RESULT_BASE;
constant C_AR6_HIGHADDR : std_logic_vector := RESULT_HIGH;
-- specify user logic address bus width, must be same as the target bus.
constant USER_AWIDTH : integer := C_SPLB_AWIDTH;
-- specify maximum data bus width among all user logic address ranges.
constant USER_DWIDTH : integer := 32;
-- specify number of user logic address ranges.
constant USER_NUM_ADDR_RNG : integer := 7;
-- specify number of user logic chip enables
constant USER_NUM_CE : integer := 1;
-- Signals for the system reset
signal master_resetdone : std_logic;
signal slave_resetdone : std_logic;
-- Signals for the master and slave interaction
signal send_ena : std_logic;
signal send_id : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal send_ack : std_logic;
-- Signals for the send thread id store
signal siaddr : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal siena : std_logic;
signal siwea : std_logic;
signal sinext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal sonext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
-------------------------------------------------------------------
-- END CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
-- constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
-- constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
-- constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
-- constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
--
-- constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
-- (
-- ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
-- ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
-- ZERO_ADDR_PAD & USER_MST_BASEADDR, -- user logic master space base address
-- ZERO_ADDR_PAD & USER_MST_HIGHADDR -- user logic master space high address
-- );
-- ------------------------------------------
-- -- Array of desired number of chip enables for each address range
-- ------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG+USER_MST_NUM_REG;
--
-- constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
-- (
-- 0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
-- 1 => pad_power2(USER_MST_NUM_REG) -- number of ce for user logic master space
-- );
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & C_AR0_BASEADDR, -- user logic address range 0 base address
ZERO_ADDR_PAD & C_AR0_HIGHADDR, -- user logic address range 0 high address
ZERO_ADDR_PAD & C_AR1_BASEADDR, -- user logic address range 1 base address
ZERO_ADDR_PAD & C_AR1_HIGHADDR, -- user logic address range 1 high address
ZERO_ADDR_PAD & C_AR2_BASEADDR, -- user logic address range 2 base address
ZERO_ADDR_PAD & C_AR2_HIGHADDR, -- user logic address range 2 high address
ZERO_ADDR_PAD & C_AR3_BASEADDR, -- user logic address range 3 base address
ZERO_ADDR_PAD & C_AR3_HIGHADDR, -- user logic address range 3 high address
ZERO_ADDR_PAD & C_AR4_BASEADDR, -- user logic address range 4 base address
ZERO_ADDR_PAD & C_AR4_HIGHADDR, -- user logic address range 4 high address
ZERO_ADDR_PAD & C_AR5_BASEADDR, -- user logic address range 5 base address
ZERO_ADDR_PAD & C_AR5_HIGHADDR, -- user logic address range 5 high address
ZERO_ADDR_PAD & C_AR6_BASEADDR, -- user logic address range 6 base address
ZERO_ADDR_PAD & C_AR6_HIGHADDR -- user logic address range 6 high address
);
-- specify desired number of chip enables for each address range,
-- typically one ce per register and each ipif service has its
-- predefined value.
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 1, -- user logic address range 0 bank (always 1 chip enable)
1 => 1, -- user logic address range 1 bank (always 1 chip enable)
2 => 1, -- user logic address range 2 bank (always 1 chip enable)
3 => 1, -- user logic address range 3 bank (always 1 chip enable)
4 => 1, -- user logic address range 4 bank (always 1 chip enable)
5 => 1, -- user logic address range 5 bank (always 1 chip enable)
6 => 1 -- user logic address range 6 bank (always 1 chip enable)
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
constant IPIF_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_MPLB_AWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_MST_CS_INDEX : integer := 1;
constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_IP2Bus_MstRd_Req : std_logic;
signal ipif_IP2Bus_MstWr_Req : std_logic;
signal ipif_IP2Bus_Mst_Addr : std_logic_vector(0 to C_MPLB_AWIDTH-1);
signal ipif_IP2Bus_Mst_BE : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
signal ipif_IP2Bus_Mst_Lock : std_logic;
signal ipif_IP2Bus_Mst_Reset : std_logic;
signal ipif_Bus2IP_Mst_CmdAck : std_logic;
signal ipif_Bus2IP_Mst_Cmplt : std_logic;
signal ipif_Bus2IP_Mst_Error : std_logic;
signal ipif_Bus2IP_Mst_Rearbitrate : std_logic;
signal ipif_Bus2IP_Mst_Cmd_Timeout : std_logic;
signal ipif_Bus2IP_MstRd_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstRd_src_rdy_n : std_logic;
signal ipif_IP2Bus_MstWr_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstWr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate plbv46_master_single
------------------------------------------
PLBV46_MASTER_SINGLE_I : entity plbv46_master_single_v1_01_a.plbv46_master_single
generic map
(
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => IPIF_MST_DWIDTH,
C_FAMILY => C_FAMILY
)
port map
(
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => MD_error,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
);
-- ------------------------------------------
-- -- instantiate User Logic
-- ------------------------------------------
-- USER_LOGIC_I : entity plb_sync_manager_v1_00_a.user_logic
-- generic map
-- (
-- -- MAP USER GENERICS BELOW THIS LINE ---------------
-- --USER generics mapped here
-- -- MAP USER GENERICS ABOVE THIS LINE ---------------
--
-- C_SLV_DWIDTH => USER_SLV_DWIDTH,
-- C_MST_AWIDTH => USER_MST_AWIDTH,
-- C_MST_DWIDTH => USER_MST_DWIDTH,
-- C_NUM_REG => USER_NUM_REG
-- )
-- port map
-- (
-- -- MAP USER PORTS BELOW THIS LINE ------------------
-- --USER ports mapped here
-- -- MAP USER PORTS ABOVE THIS LINE ------------------
--
-- Bus2IP_Clk => ipif_Bus2IP_Clk,
-- Bus2IP_Reset => ipif_Bus2IP_Reset,
-- Bus2IP_Addr => ipif_Bus2IP_Addr,
-- Bus2IP_CS => ipif_Bus2IP_CS,
-- Bus2IP_RNW => ipif_Bus2IP_RNW,
-- Bus2IP_Data => ipif_Bus2IP_Data,
-- Bus2IP_BE => ipif_Bus2IP_BE,
-- Bus2IP_RdCE => user_Bus2IP_RdCE,
-- Bus2IP_WrCE => user_Bus2IP_WrCE,
-- IP2Bus_Data => user_IP2Bus_Data,
-- IP2Bus_RdAck => user_IP2Bus_RdAck,
-- IP2Bus_WrAck => user_IP2Bus_WrAck,
-- IP2Bus_Error => user_IP2Bus_Error,
-- IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
-- IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
-- IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
-- IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
-- IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
-- IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
-- Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
-- Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
-- Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
-- Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
-- Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
-- Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
-- Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
-- IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
-- Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
-- );
--------------------------------------------------------------------------
-- Instantiate the Slave Logic
--------------------------------------------------------------------------
slave_logic_i : entity work.slave
generic map
(
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_Error => user_IP2Bus_Error,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
system_reset => system_reset,
system_resetdone => slave_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext
);
--------------------------------------------------------------------------
-- Instantiate the Master Logic
--------------------------------------------------------------------------
master_logic_i : entity work.master
generic map
(
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SCHED_BASEADDR => C_SCHED_BADDR,
C_RESULT_BASEADDR => RESULT_BASE,
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
-- Bus2IP_Addr => iBus2IP_Addr,
-- Bus2IP_Data => uBus2IP_Data,
-- Bus2IP_BE => uBus2IP_BE,
-- Bus2IP_RNW => iBus2IP_RNW,
-- Bus2IP_RdCE => uBus2IP_RdCE,
-- Bus2IP_WrCE => uBus2IP_WrCE,
-- Bus2IP_RdReq => iBus2IP_RdReq,
-- Bus2IP_WrReq => iBus2IP_WrReq,
-- Bus2IP_MstError => iBus2IP_MstError,
-- Bus2IP_MstLastAck => iBus2IP_MstLastAck,
-- Bus2IP_MstRdAck => iBus2IP_MstRdAck,
-- Bus2IP_MstWrAck => iBus2IP_MstWrAck,
-- Bus2IP_MstRetry => iBus2IP_MstRetry,
-- Bus2IP_MstTimeOut => iBus2IP_MstTimeOut,
-- IP2Bus_Addr => iIP2Bus_Addr,
-- IP2Bus_MstBE => uIP2Bus_MstBE,
-- IP2Bus_MstBurst => iIP2Bus_MstBurst,
-- IP2Bus_MstBusLock => iIP2Bus_MstBusLock,
-- IP2Bus_MstRdReq => iIP2Bus_MstRdReq,
-- IP2Bus_MstWrReq => iIP2Bus_MstWrReq,
-- IP2IP_Addr => iIP2IP_Addr,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n,
system_reset => system_reset,
system_resetdone => master_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
saddr => siaddr,
sena => siena,
swea => siwea,
sonext => sinext,
sinext => sonext
);
------------------------------------------
-- connect internal signals
------------------------------------------
-- IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
-- begin
--
-- case ipif_Bus2IP_CS is
-- when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
-- when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
-- when others => ipif_IP2Bus_Data <= (others => '0');
-- end case;
--
-- end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
user_Bus2IP_RdCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
user_Bus2IP_WrCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
user_Bus2IP_WrCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
------------------------------------------
-- hooking reset done signals
------------------------------------------
system_resetdone <= master_resetdone and slave_resetdone;
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/plb_sync_manager.vhd
|
9
|
47769
|
------------------------------------------------------------------------------
-- plb_sync_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_sync_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Thu May 7 14:29:05 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plbv46_master_single_v1_01_a;
use plbv46_master_single_v1_01_a.plbv46_master_single;
library plb_sync_manager_v1_00_a;
use plb_sync_manager_v1_00_a.user_logic;
use work.common.SYNCH_LOCK;
use work.common.SYNCH_UNLOCK;
use work.common.SYNCH_TRY;
use work.common.SYNCH_OWNER;
use work.common.SYNCH_KIND;
use work.common.SYNCH_COUNT;
use work.common.SYNCH_RESULT;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
-- C_MPLB_AWIDTH -- PLBv46 master: address bus width
-- C_MPLB_DWIDTH -- PLBv46 master: data bus width
-- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width
-- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme
-- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave
-- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
-- MPLB_Clk -- PLB main bus Clock
-- MPLB_Rst -- PLB main bus Reset
-- MD_error -- Master detected error status output
-- M_request -- Master request
-- M_priority -- Master request priority
-- M_busLock -- Master buslock
-- M_RNW -- Master read/nor write
-- M_BE -- Master byte enables
-- M_MSize -- Master data bus size
-- M_size -- Master transfer size
-- M_type -- Master transfer type
-- M_TAttribute -- Master transfer attribute
-- M_lockErr -- Master lock error indicator
-- M_abort -- Master abort bus request indicator
-- M_UABus -- Master upper address bus
-- M_ABus -- Master address bus
-- M_wrDBus -- Master write data bus
-- M_wrBurst -- Master burst write transfer indicator
-- M_rdBurst -- Master burst read transfer indicator
-- PLB_MAddrAck -- PLB reply to master for address acknowledge
-- PLB_MSSize -- PLB reply to master for slave data bus size
-- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator
-- PLB_MTimeout -- PLB reply to master for bus time out indicator
-- PLB_MBusy -- PLB reply to master for slave busy indicator
-- PLB_MRdErr -- PLB reply to master for slave read error indicator
-- PLB_MWrErr -- PLB reply to master for slave write error indicator
-- PLB_MIRQ -- PLB reply to master for slave interrupt indicator
-- PLB_MRdDBus -- PLB reply to master for read data bus
-- PLB_MRdWdAddr -- PLB reply to master for read word address
-- PLB_MRdDAck -- PLB reply to master for read data acknowledge
-- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator
-- PLB_MWrDAck -- PLB reply to master for write data acknowledge
-- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator
------------------------------------------------------------------------------
entity plb_sync_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_SCHED_BADDR : std_logic_vector := X"00000000";
C_SCHED_HADDR : std_logic_vector := X"00000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 32;
C_MPLB_P2P : integer := 0;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_MPLB_CLK_PERIOD_PS : integer := 10000
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
system_reset : in std_logic;
system_resetdone : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_UABus : out std_logic_vector(0 to 31);
M_ABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of MPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
attribute SIGIS of MPLB_Rst : signal is "RST";
end entity plb_sync_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_sync_manager is
-------------------------------------------------------------------
-- BEGIN CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(C_NUM_MUTEXES);
constant THREAD_BITS : integer := log2(C_NUM_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
function calc_base( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_SPLB_AWIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_base;
function calc_high( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_SPLB_AWIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_SPLB_AWIDTH - MUTEX_BITS - 2 to
C_SPLB_AWIDTH - 3) := (others => '1');
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - 3) := (others => '1');
addr(C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_SPLB_AWIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_high;
------------------------------------------
-- constants: figure out addresses of address ranges
------------------------------------------
constant LOCK_BASE:std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_LOCK);
constant LOCK_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_LOCK);
constant UNLOCK_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_UNLOCK);
constant UNLOCK_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_UNLOCK);
constant TRY_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_TRY);
constant TRY_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_TRY);
constant OWNER_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_OWNER);
constant OWNER_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_OWNER);
constant KIND_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_KIND);
constant KIND_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_KIND);
constant COUNT_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_COUNT);
constant COUNT_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_COUNT);
constant RESULT_BASE : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_base(SYNCH_RESULT);
constant RESULT_HIGH : std_logic_vector(0 to C_SPLB_AWIDTH-1)
:= calc_high(SYNCH_RESULT);
constant C_AR0_BASEADDR : std_logic_vector := LOCK_BASE;
constant C_AR0_HIGHADDR : std_logic_vector := LOCK_HIGH;
constant C_AR1_BASEADDR : std_logic_vector := UNLOCK_BASE;
constant C_AR1_HIGHADDR : std_logic_vector := UNLOCK_HIGH;
constant C_AR2_BASEADDR : std_logic_vector := TRY_BASE;
constant C_AR2_HIGHADDR : std_logic_vector := TRY_HIGH;
constant C_AR3_BASEADDR : std_logic_vector := OWNER_BASE;
constant C_AR3_HIGHADDR : std_logic_vector := OWNER_HIGH;
constant C_AR4_BASEADDR : std_logic_vector := KIND_BASE;
constant C_AR4_HIGHADDR : std_logic_vector := KIND_HIGH;
constant C_AR5_BASEADDR : std_logic_vector := COUNT_BASE;
constant C_AR5_HIGHADDR : std_logic_vector := COUNT_HIGH;
constant C_AR6_BASEADDR : std_logic_vector := RESULT_BASE;
constant C_AR6_HIGHADDR : std_logic_vector := RESULT_HIGH;
-- specify user logic address bus width, must be same as the target bus.
constant USER_AWIDTH : integer := C_SPLB_AWIDTH;
-- specify maximum data bus width among all user logic address ranges.
constant USER_DWIDTH : integer := 32;
-- specify number of user logic address ranges.
constant USER_NUM_ADDR_RNG : integer := 7;
-- specify number of user logic chip enables
constant USER_NUM_CE : integer := 1;
-- Signals for the system reset
signal master_resetdone : std_logic;
signal slave_resetdone : std_logic;
-- Signals for the master and slave interaction
signal send_ena : std_logic;
signal send_id : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal send_ack : std_logic;
-- Signals for the send thread id store
signal siaddr : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal siena : std_logic;
signal siwea : std_logic;
signal sinext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal sonext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
-------------------------------------------------------------------
-- END CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
-- constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
-- constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
-- constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
-- constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
--
-- constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
-- (
-- ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
-- ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
-- ZERO_ADDR_PAD & USER_MST_BASEADDR, -- user logic master space base address
-- ZERO_ADDR_PAD & USER_MST_HIGHADDR -- user logic master space high address
-- );
-- ------------------------------------------
-- -- Array of desired number of chip enables for each address range
-- ------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG+USER_MST_NUM_REG;
--
-- constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
-- (
-- 0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
-- 1 => pad_power2(USER_MST_NUM_REG) -- number of ce for user logic master space
-- );
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & C_AR0_BASEADDR, -- user logic address range 0 base address
ZERO_ADDR_PAD & C_AR0_HIGHADDR, -- user logic address range 0 high address
ZERO_ADDR_PAD & C_AR1_BASEADDR, -- user logic address range 1 base address
ZERO_ADDR_PAD & C_AR1_HIGHADDR, -- user logic address range 1 high address
ZERO_ADDR_PAD & C_AR2_BASEADDR, -- user logic address range 2 base address
ZERO_ADDR_PAD & C_AR2_HIGHADDR, -- user logic address range 2 high address
ZERO_ADDR_PAD & C_AR3_BASEADDR, -- user logic address range 3 base address
ZERO_ADDR_PAD & C_AR3_HIGHADDR, -- user logic address range 3 high address
ZERO_ADDR_PAD & C_AR4_BASEADDR, -- user logic address range 4 base address
ZERO_ADDR_PAD & C_AR4_HIGHADDR, -- user logic address range 4 high address
ZERO_ADDR_PAD & C_AR5_BASEADDR, -- user logic address range 5 base address
ZERO_ADDR_PAD & C_AR5_HIGHADDR, -- user logic address range 5 high address
ZERO_ADDR_PAD & C_AR6_BASEADDR, -- user logic address range 6 base address
ZERO_ADDR_PAD & C_AR6_HIGHADDR -- user logic address range 6 high address
);
-- specify desired number of chip enables for each address range,
-- typically one ce per register and each ipif service has its
-- predefined value.
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 1, -- user logic address range 0 bank (always 1 chip enable)
1 => 1, -- user logic address range 1 bank (always 1 chip enable)
2 => 1, -- user logic address range 2 bank (always 1 chip enable)
3 => 1, -- user logic address range 3 bank (always 1 chip enable)
4 => 1, -- user logic address range 4 bank (always 1 chip enable)
5 => 1, -- user logic address range 5 bank (always 1 chip enable)
6 => 1 -- user logic address range 6 bank (always 1 chip enable)
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
constant IPIF_MST_DWIDTH : integer := C_MPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_MPLB_AWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_MST_CS_INDEX : integer := 1;
constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_IP2Bus_MstRd_Req : std_logic;
signal ipif_IP2Bus_MstWr_Req : std_logic;
signal ipif_IP2Bus_Mst_Addr : std_logic_vector(0 to C_MPLB_AWIDTH-1);
signal ipif_IP2Bus_Mst_BE : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
signal ipif_IP2Bus_Mst_Lock : std_logic;
signal ipif_IP2Bus_Mst_Reset : std_logic;
signal ipif_Bus2IP_Mst_CmdAck : std_logic;
signal ipif_Bus2IP_Mst_Cmplt : std_logic;
signal ipif_Bus2IP_Mst_Error : std_logic;
signal ipif_Bus2IP_Mst_Rearbitrate : std_logic;
signal ipif_Bus2IP_Mst_Cmd_Timeout : std_logic;
signal ipif_Bus2IP_MstRd_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstRd_src_rdy_n : std_logic;
signal ipif_IP2Bus_MstWr_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstWr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate plbv46_master_single
------------------------------------------
PLBV46_MASTER_SINGLE_I : entity plbv46_master_single_v1_01_a.plbv46_master_single
generic map
(
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => IPIF_MST_DWIDTH,
C_FAMILY => C_FAMILY
)
port map
(
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => MD_error,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
);
-- ------------------------------------------
-- -- instantiate User Logic
-- ------------------------------------------
-- USER_LOGIC_I : entity plb_sync_manager_v1_00_a.user_logic
-- generic map
-- (
-- -- MAP USER GENERICS BELOW THIS LINE ---------------
-- --USER generics mapped here
-- -- MAP USER GENERICS ABOVE THIS LINE ---------------
--
-- C_SLV_DWIDTH => USER_SLV_DWIDTH,
-- C_MST_AWIDTH => USER_MST_AWIDTH,
-- C_MST_DWIDTH => USER_MST_DWIDTH,
-- C_NUM_REG => USER_NUM_REG
-- )
-- port map
-- (
-- -- MAP USER PORTS BELOW THIS LINE ------------------
-- --USER ports mapped here
-- -- MAP USER PORTS ABOVE THIS LINE ------------------
--
-- Bus2IP_Clk => ipif_Bus2IP_Clk,
-- Bus2IP_Reset => ipif_Bus2IP_Reset,
-- Bus2IP_Addr => ipif_Bus2IP_Addr,
-- Bus2IP_CS => ipif_Bus2IP_CS,
-- Bus2IP_RNW => ipif_Bus2IP_RNW,
-- Bus2IP_Data => ipif_Bus2IP_Data,
-- Bus2IP_BE => ipif_Bus2IP_BE,
-- Bus2IP_RdCE => user_Bus2IP_RdCE,
-- Bus2IP_WrCE => user_Bus2IP_WrCE,
-- IP2Bus_Data => user_IP2Bus_Data,
-- IP2Bus_RdAck => user_IP2Bus_RdAck,
-- IP2Bus_WrAck => user_IP2Bus_WrAck,
-- IP2Bus_Error => user_IP2Bus_Error,
-- IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
-- IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
-- IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
-- IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
-- IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
-- IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
-- Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
-- Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
-- Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
-- Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
-- Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
-- Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
-- Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
-- IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
-- Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n
-- );
--------------------------------------------------------------------------
-- Instantiate the Slave Logic
--------------------------------------------------------------------------
slave_logic_i : entity work.slave
generic map
(
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_Error => user_IP2Bus_Error,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
system_reset => system_reset,
system_resetdone => slave_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext
);
--------------------------------------------------------------------------
-- Instantiate the Master Logic
--------------------------------------------------------------------------
master_logic_i : entity work.master
generic map
(
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SCHED_BASEADDR => C_SCHED_BADDR,
C_RESULT_BASEADDR => RESULT_BASE,
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
-- Bus2IP_Addr => iBus2IP_Addr,
-- Bus2IP_Data => uBus2IP_Data,
-- Bus2IP_BE => uBus2IP_BE,
-- Bus2IP_RNW => iBus2IP_RNW,
-- Bus2IP_RdCE => uBus2IP_RdCE,
-- Bus2IP_WrCE => uBus2IP_WrCE,
-- Bus2IP_RdReq => iBus2IP_RdReq,
-- Bus2IP_WrReq => iBus2IP_WrReq,
-- Bus2IP_MstError => iBus2IP_MstError,
-- Bus2IP_MstLastAck => iBus2IP_MstLastAck,
-- Bus2IP_MstRdAck => iBus2IP_MstRdAck,
-- Bus2IP_MstWrAck => iBus2IP_MstWrAck,
-- Bus2IP_MstRetry => iBus2IP_MstRetry,
-- Bus2IP_MstTimeOut => iBus2IP_MstTimeOut,
-- IP2Bus_Addr => iIP2Bus_Addr,
-- IP2Bus_MstBE => uIP2Bus_MstBE,
-- IP2Bus_MstBurst => iIP2Bus_MstBurst,
-- IP2Bus_MstBusLock => iIP2Bus_MstBusLock,
-- IP2Bus_MstRdReq => iIP2Bus_MstRdReq,
-- IP2Bus_MstWrReq => iIP2Bus_MstWrReq,
-- IP2IP_Addr => iIP2IP_Addr,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n,
system_reset => system_reset,
system_resetdone => master_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
saddr => siaddr,
sena => siena,
swea => siwea,
sonext => sinext,
sinext => sonext
);
------------------------------------------
-- connect internal signals
------------------------------------------
-- IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
-- begin
--
-- case ipif_Bus2IP_CS is
-- when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
-- when "01" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
-- when others => ipif_IP2Bus_Data <= (others => '0');
-- end case;
--
-- end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
user_Bus2IP_RdCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_RdCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
user_Bus2IP_WrCE(0 to USER_SLV_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_SLV_CE_INDEX to USER_SLV_CE_INDEX+USER_SLV_NUM_REG-1);
user_Bus2IP_WrCE(USER_SLV_NUM_REG to USER_NUM_REG-1) <= ipif_Bus2IP_WrCE(USER_MST_CE_INDEX to USER_MST_CE_INDEX+USER_MST_NUM_REG-1);
------------------------------------------
-- hooking reset done signals
------------------------------------------
system_resetdone <= master_resetdone and slave_resetdone;
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/axi_sync_manager_v1_00_a/hdl/vhdl/count_fsm.vhd
|
11
|
5764
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity count_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end count_fsm;
architecture behavioral of count_fsm is
-- A type for the states in the count fsm
type count_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the count fsm
signal count_cs : count_state;
signal count_ns : count_state;
-- Alias the location to store the count information
alias cdata : std_logic_vector(0 to C_CWIDTH-1) is data(C_DWIDTH-C_CWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
count_update : process(clk,rst,sysrst,count_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
count_cs <= IDLE;
else
count_cs <= count_ns;
end if;
end if;
end process count_update;
count_controller : process(count_cs,start,mutex,micount) is
begin
count_ns <= count_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case count_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
count_ns <= READ;
end if;
when READ =>
count_ns <= DONE;
when DONE =>
finish <= '1';
cdata <= micount;
count_ns <= IDLE;
end case;
end process count_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_thread_manager_v1_00_a/hdl/vhdl/user_logic.vhd
|
9
|
57513
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/ipif_common_v1_00_d/hdl/vhdl/dma_sg.vhd
|
3
|
8321
|
-------------------------------------------------------------------------------
-- $Id: dma_sg.vhd,v 1.5 2003/11/04 20:11:33 ostlerf Exp $
-------------------------------------------------------------------------------
-- dma_sg entity (DMA and scatter gather)
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: dma_sg.vhd
--
-- Description: Entity declaration for dma_sg.
-- This entity defines a DMA capability that is intended
-- for embodiement inside the IPIF (IP Interface).
--
-- Four types of DMA channels are available:
-- (1) Simple DMA
-- (2) Scatter gather DMA
-- (3) Scatter gather packet transmit
-- (4) Scatter gather packet receive
--
-- An arbitrary number of channels, each of any of the types,
-- may be included in an instantiation through appropriate
-- generic settings.
--
-- Packet transmit and receive channels may be outfitted with
-- optional interrupt-coalescing support.
--
-- The maximum length of DMA transfers is user selectable and
-- using the smallest feasible value may reduce FPGA resource
-- usage.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- dma_sg.vhds
-- dma_sg_pkg.vhds
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
-- History:
-- FLO 12/19/01 -- Header added
-- -- Channels fixed at two for this version
-- -- to allow XST E.33 compatibility.
--
-- FLO 06/07/02 -- Added generic C_WFIFO_VACANCY_WIDTH.
--
-- FLO 01/30/03
-- ^^^^^^
-- Fixed Bus2IP_Data and DMA2Bus_Data at 32 bits, 0 to 31.
-- Fixed Bus2IP_BE 4 bits, 0 to 3.
-- ~~~~~~
--
-- FLO 03/02/03
-- ^^^^^^
-- Added signal DMA2Bus_MstLoc2Loc.
-- ~~~~~~
--
-- FLO 05/15/2003
-- ^^^^^^
-- Added generics C_DMA_SHORT_BURST_REMAINDER and C_DMA_BURST_SIZE.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library ipif_common_v1_00_d;
use ipif_common_v1_00_d.ipif_pkg.SLV64_ARRAY_TYPE;
use ipif_common_v1_00_d.ipif_pkg.INTEGER_ARRAY_TYPE;
library proc_common_v1_00_b;
use proc_common_v1_00_b.proc_common_pkg.log2;
entity dma_sg is
-- Four channel, 0123, simple sg tx rx coalesc.
generic (
C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
C_IPIF_ABUS_WIDTH : natural :=15;
C_CLK_PERIOD_PS : integer := 16000; --ps Period of Bus2IP_Clk.
-- The time unit, in nanoseconds, that applies to
-- the Packet Wait Bound register. The specified value of this
-- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- simulations.
C_PACKET_WAIT_UNIT_NS : integer := 1000000; --ns
C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
: INTEGER_ARRAY_TYPE
:= ( 0, 1, 2, 3 );
-- The leftmost defined bit of the LENGTH field, assuming
-- big endian bit numbering and a LSB at bit 31.
-- If the channel is a packet channel, it is assumed that
-- the number bits defined in the LENGTH register is also
-- enough bits to hold the length of a maximum sized packet.
-- ToDo, current impl requires all channels to be the same length.
C_DMA_LENGTH_WIDTH
: INTEGER_ARRAY_TYPE
:= ( 11, 11, 11, 11 );
C_LEN_FIFO_ADDR
: SLV64_ARRAY_TYPE
:= ( X"0000_0000_0000_0000",
X"0000_0000_0000_0000",
X"0000_0000_0000_3800",
X"0000_0000_0000_4800" );
C_STAT_FIFO_ADDR
: SLV64_ARRAY_TYPE
:= ( X"0000_0000_0000_0000",
X"0000_0000_0000_0000",
X"0000_0000_0000_3804",
X"0000_0000_0000_4804" );
C_INTR_COALESCE
: INTEGER_ARRAY_TYPE
:= ( 0, 0, 1, 1 );
C_DEV_BLK_ID : integer := 0;
C_DMA_BASEADDR : std_logic_vector
:= X"0000_0000_0000_0000";
C_DMA_BURST_SIZE: positive := 16; -- Must be a power of 2
C_DMA_SHORT_BURST_REMAINDER : integer := 1;
C_MA2SA_NUM_WIDTH : INTEGER := 8;
C_WFIFO_VACANCY_WIDTH : integer := 10
);
port (
DMA2Bus_Data : out std_logic_vector(0 to 31);
DMA2Bus_Addr : out std_logic_vector(0 to C_OPB_AWIDTH-1 );
DMA2Bus_MstBE : out std_logic_vector(0 to C_OPB_DWIDTH/8 - 1);
DMA2Bus_MstWrReq : out std_logic;
DMA2Bus_MstRdReq : out std_logic;
DMA2Bus_MstNum : out std_logic_vector(0 to C_MA2SA_NUM_WIDTH-1);
DMA2Bus_MstBurst : out std_logic;
DMA2Bus_MstBusLock : out std_logic;
DMA2Bus_MstLoc2Loc : out std_logic;
DMA2IP_Addr : out std_logic_vector(0 to C_IPIF_ABUS_WIDTH-3);
DMA2Bus_WrAck : out std_logic;
DMA2Bus_RdAck : out std_logic;
DMA2Bus_Retry : out std_logic;
DMA2Bus_Error : out std_logic;
DMA2Bus_ToutSup : out std_logic;
Bus2IP_MstWrAck : in std_logic;
Bus2IP_MstRdAck : in std_logic;
Mstr_sel_ma : in std_logic;
Bus2IP_MstRetry : in std_logic;
Bus2IP_MstError : in std_logic;
Bus2IP_MstTimeOut : in std_logic;
Bus2IP_BE : in std_logic_vector(0 to 3);
Bus2IP_WrReq : in std_logic;
Bus2IP_RdReq : in std_logic;
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Freeze : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_IPIF_ABUS_WIDTH-3);
Bus2IP_Data : in std_logic_vector(0 to 31);
Bus2IP_Burst : in std_logic;
WFIFO2DMA_Vacancy : in std_logic_vector(0 to C_WFIFO_VACANCY_WIDTH-1);
Bus2IP_MstLastAck : in std_logic;
DMA_RdCE : in std_logic;
DMA_WrCE : in std_logic;
IP2DMA_RxStatus_Empty : in std_logic;
IP2DMA_RxLength_Empty : in std_logic;
IP2DMA_TxStatus_Empty : in std_logic;
IP2DMA_TxLength_Full : in std_logic;
IP2Bus_DMA_Req : in std_logic;
Bus2IP_DMA_Ack : out std_logic;
DMA2Intr_Intr : out std_logic_vector(0 to C_DMA_CHAN_TYPE'length-1)
);
constant TPB : positive := C_DMA_BURST_SIZE;
end dma_sg;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_acc_vector_v1_00_a/hdl/vhdl/user_logic_hwtulslow.vhd
|
2
|
17884
|
--First version. It takes 6 cycle to process a data.
--accm
-- ************************************
-- Automatically Generated FSM
-- vector_chan
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity vector_chan is
generic(
G_ADDR_WIDTH : integer := 32;
G_DATA_WIDTH : integer := 32;
OPCODE_BITS : integer := 6;
FUNC_BITS : integer := 6
);
port
(
Vector_A_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_A_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_rENA0 : out std_logic;
Vector_A_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_B_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_B_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_rENA0 : out std_logic;
Vector_B_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_C_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_C_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_rENA0 : out std_logic;
Vector_C_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
chan1_channelDataIn : out std_logic_vector(0 to (32 - 1));
chan1_channelDataOut : in std_logic_vector(0 to (32 - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity vector_chan;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of vector_chan is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
fetch,
get_instr,
read_size,
read_index,
decode,
defunc,
halt,
addv_for_loop,
extra1,
addv_ALU,
addv_write_back,
mulv_for_loop,
extra2,
mulv_ALU,
mulv_write_back,
redv_for_loop,
extra3,
redv_ALU,
redv_write_back,
before_fetch,
xxx,
after_read_size
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal in_Vector_A_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal in_Vector_B_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal in_Vector_C_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal swapped, swapped_next : std_logic;
signal i, i_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal n, n_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal n_new, n_new_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal instruction, instruction_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal index, index_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal ret, ret_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataA1, dataA1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataA2, dataA2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataB1, dataB1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataB2, dataB2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataC1, dataC1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataC2, dataC2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataMUL, dataMUL_next : std_logic_vector(0 to G_DATA_WIDTH + G_DATA_WIDTH - 1);
signal op, op_next : std_logic_vector(0 to 5);
signal rs, rs_next : std_logic_vector(0 to 4);
signal rt, rt_next : std_logic_vector(0 to 4);
signal rd, rd_next : std_logic_vector(0 to 4);
signal sh, sh_next : std_logic_vector(0 to 4);
signal fn, fn_next : std_logic_vector(0 to 5);
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
constant OP_R : std_logic_vector(0 to OPCODE_BITS-1) := "000000";
constant FN_NOP : std_logic_vector(0 to FUNC_BITS-1) := "000000"; -- 0/00H
constant FN_ADDV : std_logic_vector(0 to FUNC_BITS-1) := "110000"; -- 0/30H
constant FN_MULV : std_logic_vector(0 to FUNC_BITS-1) := "110001"; -- 0/31
constant FN_REDV : std_logic_vector(0 to FUNC_BITS-1) := "110010"; -- 0/32
--constant OP_NOP : std_logic_vector(0 to OPCODE_BITS-1) := x"0";
--constant OP_ADD : std_logic_vector(0 to OPCODE_BITS-1) := x"1";
--constant OP_SUB : std_logic_vector(0 to OPCODE_BITS-1) := x"2";
--constant OP_ADDi : std_logic_vector(0 to OPCODE_BITS-1) := x"3";
--constant OP_SUBi : std_logic_vector(0 to OPCODE_BITS-1) := x"4";
--constant OP_ADDV : std_logic_vector(0 to OPCODE_BITS-1) := x"5";
--constant OP_SUBV : std_logic_vector(0 to OPCODE_BITS-1) := x"6";
--constant OP_ADDVS : std_logic_vector(0 to OPCODE_BITS-1) := x"7";
--constant OP_SUBVS : std_logic_vector(0 to OPCODE_BITS-1) := x"8";
--constant OP_SNEV : std_logic_vector(0 to OPCODE_BITS-1) := x"9";
--constant OP_SNEVS : std_logic_vector(0 to OPCODE_BITS-1) := x"A";
--constant OP_SLTV : std_logic_vector(0 to OPCODE_BITS-1) := x"B";
--constant OP_SLTVS : std_logic_vector(0 to OPCODE_BITS-1) := x"C";
--constant OP_CVM : std_logic_vector(0 to OPCODE_BITS-1) := x"D";
--constant OP_SVLR : std_logic_vector(0 to OPCODE_BITS-1) := x"E";
--constant OP_SVMR : std_logic_vector(0 to OPCODE_BITS-1) := x"F";
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
Vector_A_addr0 <= in_Vector_A_addr0(2 to 31) & "00";
Vector_B_addr0 <= in_Vector_B_addr0(2 to 31) & "00";
Vector_C_addr0 <= in_Vector_C_addr0(2 to 31) & "00";
-- ************************
-- BRAM implementations
-- ************************
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
swapped_next,
i_next,
n_next,
n_new_next,
instruction_next,
index_next,
ret_next,
dataA1_next,
dataA2_next,
dataB1_next,
dataB2_next,
dataC1_next,
dataC2_next,
dataMUL_next,
op_next,
rs_next,
rt_next,
rd_next,
sh_next,
fn_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
swapped <= '0';
i <= (others => '0');
n <= (others => '0');
n_new <= (others => '0');
instruction <= (others => '0');
index <= (others => '0');
ret <= (others => '0');
dataA1 <= (others => '0');
dataA2 <= (others => '0');
dataB1 <= (others => '0');
dataB2 <= (others => '0');
dataC1 <= (others => '0');
dataC2 <= (others => '0');
dataMUL <= (others => '0');
op <= (others => '0');
rs <= (others => '0');
rt <= (others => '0');
rd <= (others => '0');
sh <= (others => '0');
fn <= (others => '0');
current_state <= reset;
else
-- Transition to next state
swapped <= swapped_next;
i <= i_next;
n <= n_next;
n_new <= n_new_next;
instruction <= instruction_next;
index <= index_next;
ret <= ret_next;
dataA1 <= dataA1_next;
dataA2 <= dataA2_next;
dataB1 <= dataB1_next;
dataB2 <= dataB2_next;
dataC1 <= dataC1_next;
dataC2 <= dataC2_next;
dataMUL <= dataMUL_next;
op <= op_next;
rs <= rs_next;
rt <= rt_next;
rd <= rd_next;
sh <= sh_next;
fn <= fn_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
Vector_A_dOUT0,
Vector_B_dOUT0,
Vector_C_dOUT0,
chan1_channelDataOut, chan1_full, chan1_exists,
swapped,
i,
n,
n_new,
instruction,
index,
ret,
dataA1,
dataA2,
dataB1,
dataB2,
dataC1,
dataC2,
dataMUL,
op,
rs,
rt,
rd,
sh,
fn,
current_state) is
begin
-- Default signal assignments
swapped_next <= swapped;
i_next <= i;
n_next <= n;
n_new_next <= n_new;
instruction_next <= instruction;
index_next <= index;
ret_next <= ret;
dataA1_next <= dataA1;
dataA2_next <= dataA2;
dataB1_next <= dataB1;
dataB2_next <= dataB2;
dataC1_next <= dataC1;
dataC2_next <= dataC2;
dataMUL_next <= dataMUL;
op_next <= op;
rs_next <= rs;
rt_next <= rt;
rd_next <= rd;
sh_next <= sh;
fn_next <= fn;
in_Vector_A_addr0 <= (others => '0');
Vector_A_dIN0 <= (others => '0');
Vector_A_rENA0 <= '0';
Vector_A_wENA0 <= (others => '0');
in_Vector_B_addr0 <= (others => '0');
Vector_B_dIN0 <= (others => '0');
Vector_B_rENA0 <= '0';
Vector_B_wENA0 <= (others => '0');
in_Vector_C_addr0 <= (others => '0');
Vector_C_dIN0 <= (others => '0');
Vector_C_rENA0 <= '0';
Vector_C_wENA0 <= (others => '0');
chan1_channelDataIn <= (others => '0');
chan1_channelRead <= '0';
chan1_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when addv_ALU =>
dataC1_next <= dataA1 + dataB1;
next_state <= addv_write_back;
when addv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra1;
end if;
when addv_write_back =>
i_next <= i + 1;
in_Vector_C_addr0 <= i;
Vector_C_dIN0 <= dataC1;
Vector_C_wENA0 <= (others => '1');
Vector_C_rENA0 <= '1';
next_state <= addv_for_loop;
when decode =>
if ( op = OP_R ) then
next_state <= defunc;
end if;
when defunc =>
if ( fn = FN_NOP ) then
next_state <= halt;
elsif ( fn = FN_ADDV ) then
i_next <= index;
next_state <= addv_for_loop;
elsif ( fn = FN_MULV ) then
i_next <= index;
next_state <= mulv_for_loop;
elsif ( fn = FN_REDV ) then
i_next <= index;
next_state <= redv_for_loop;
end if;
when extra1 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= addv_ALU;
when extra2 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= mulv_ALU;
when extra3 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= redv_ALU;
when fetch =>
if chan1_exists = '0' then
next_state <= fetch;
elsif chan1_exists /= '0' then
instruction_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= get_instr;
i_next <= (others => '0');
end if;
when get_instr =>
ret_next <= (others => '0');
fn_next <= instruction(26 to 31);
sh_next <= instruction(21 to 25);
rt_next <= instruction(16 to 20);
rs_next <= instruction(11 to 15);
rd_next <= instruction(6 to 10);
op_next <= instruction(0 to 5);
next_state <= read_size;
when halt =>
next_state <= before_fetch;
i_next <= (others => '0');
when before_fetch =>
if (i >=n) then
next_state <= xxx;
else
i_next <= i +1;
in_Vector_C_addr0 <= i;
Vector_C_rENA0 <= '1';
end if;
when xxx =>
if chan1_full /= '0' then
next_state <= xxx;
elsif chan1_full = '0' then
chan1_channelDataIn <= ret;
chan1_channelWrite <= '1';
next_state <= fetch;
end if;
when mulv_ALU =>
dataMUL_next <= dataA1 * dataB1;
next_state <= mulv_write_back;
when mulv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra2;
end if;
when mulv_write_back =>
i_next <= i + 1;
in_Vector_C_addr0 <= i;
Vector_C_dIN0 <= dataMUL(32 to 63);
Vector_C_wENA0 <= (others => '1');
Vector_C_rENA0 <= '1';
next_state <= mulv_for_loop;
when read_index =>
if chan1_exists = '0' then
next_state <= read_index;
elsif chan1_exists /= '0' then
index_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= decode;
end if;
when read_size =>
if chan1_exists = '0' then
next_state <= read_size;
elsif chan1_exists /= '0' then
n_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= after_read_size;
end if;
when after_read_size =>
if (i >=n) then
next_state <= read_index;
else
i_next <= i +1;
in_Vector_C_addr0 <= i;
Vector_C_rENA0 <= '1';
end if;
when redv_ALU =>
dataMUL_next <= dataA1 * dataB1;
next_state <= redv_write_back;
when redv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra3;
end if;
when redv_write_back =>
i_next <= i + 1;
ret_next <= ret + dataMUL(32 to 63);
next_state <= redv_for_loop;
when reset =>
next_state <= fetch;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- ************************************************
-- Entity used for implementing the inferred BRAMs
-- ************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end entity infer_bram;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram is
-- Constant declarations
constant BRAM_SIZE : integer := 2 **ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
-- attribute ram_style : string;
-- attribute ram_style of BRAM_DATA : signal is "block";
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
if( WEB = '1' ) then
BRAM_DATA( conv_integer(ADDRB) ) := DIB;
end if;
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/pselect_f.vhd
|
2
|
12541
|
-------------------------------------------------------------------------------
-- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_f.vhd
--
-- Description:
-- (Note: At least as early as I.31, XST implements a carry-
-- chain structure for most decoders when these are coded in
-- inferrable VHLD. An example of such code can be seen
-- below in the "INFERRED_GEN" Generate Statement.
--
-- -> New code should not need to instantiate pselect-type
-- components.
--
-- -> Existing code can be ported to Virtex5 and later by
-- replacing pselect instances by pselect_f instances.
-- As long as the C_FAMILY parameter is not included
-- in the Generic Map, an inferred implementation
-- will result.
--
-- -> If the designer wishes to force an explicit carry-
-- chain implementation, pselect_f can be used with
-- the C_FAMILY parameter set to the target
-- Xilinx FPGA family.
-- )
--
-- Parameterizeable peripheral select (address decode).
-- AValid qualifier comes in on Carry In at bottom
-- of carry chain.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: pselect_f.vhd
-- family_support.vhd
--
-------------------------------------------------------------------------------
-- History:
-- Vaibhav & FLO 05/26/06 First Version
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Changed proc_common library version to v3_00_a
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.family_support.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- CS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_f is
generic (
C_AB : integer := 9;
C_AW : integer := 32;
C_BAR : std_logic_vector;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end entity pselect_f;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of pselect_f is
component MUXCY is
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component MUXCY;
constant NLS : natural := native_lut_size(C_FAMILY);
constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY)
or NLS=0 -- LUT not supported.
or C_AB <= NLS; -- Just one LUT
-- needed.
-----------------------------------------------------------------------------
-- C_BAR may not be indexed from 0 and may not be ascending;
-- BAR recasts C_BAR to have these properties.
-----------------------------------------------------------------------------
constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR;
type bo2sl_type is array (boolean) of std_logic;
constant bo2sl : bo2sl_type := (false => '0', true => '1');
function min(i, j: integer) return integer is
begin
if i<j then return i; else return j; end if;
end;
begin
------------------------------------------------------------------------------
-- Check that the generics are valid.
------------------------------------------------------------------------------
-- synthesis translate_off
assert (C_AB <= C_BAR'length) and (C_AB <= C_AW)
report "pselect_f generic error: " &
"(C_AB <= C_BAR'length) and (C_AB <= C_AW)" &
" does not hold."
severity failure;
-- synthesis translate_on
------------------------------------------------------------------------------
-- Build a behavioral decoder
------------------------------------------------------------------------------
INFERRED_GEN : if (USE_INFERRED = TRUE ) generate
begin
XST_WA:if C_AB > 0 generate
CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else
'0' ;
end generate XST_WA;
PASS_ON_GEN:if C_AB = 0 generate
CS <= AValid ;
end generate PASS_ON_GEN;
end generate INFERRED_GEN;
------------------------------------------------------------------------------
-- Build a structural decoder using the fast carry chain
------------------------------------------------------------------------------
GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate
constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS;
signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround
signal carry_chain : std_logic_vector(0 to NUM_LUTS);
begin
carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain.
CS <= carry_chain(0); -- Assign end of carry chain to output.
XST_WA: if NUM_LUTS > 0 generate -- workaround for XST
begin
GEN_DECODE: for i in 0 to NUM_LUTS-1 generate
constant NI : natural := i;
constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT
begin
lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT
BAR(NI*NLS to NI*NLS+BTL-1));
MUXCY_I: component MUXCY -- MUXCY
port map (
O => carry_chain(i),
CI => carry_chain(i+1),
DI => '0',
S => lut_out(i)
);
end generate GEN_DECODE;
end generate XST_WA;
end generate GEN_STRUCTURAL_A;
end imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_acc_crc_v1_00_a/hdl/vhdl/user_logic_withoutbram.vhd
|
2
|
8227
|
-- ************************************
-- Automatically Generated FSM
-- crc_channel
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity crc_channel is
generic(
G_INPUT_WIDTH : integer := 32;
G_DIVISOR_WIDTH : integer := 4;
divisor : std_logic_vector(0 to 3) := "1011"
);
port
(
fsl1_channelDataIn : out std_logic_vector(0 to (G_INPUT_WIDTH - 1));
fsl1_channelDataOut : in std_logic_vector(0 to (G_INPUT_WIDTH - 1));
fsl1_exists : in std_logic;
fsl1_full : in std_logic;
fsl1_channelRead : out std_logic;
fsl1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity crc_channel;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of crc_channel is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
idle,
do_crc
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal i, i_next : std_logic_vector(0 to 7);
signal result, result_next : std_logic_vector(0 to G_INPUT_WIDTH - 1);
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
-- ************************
-- BRAM implementations
-- ************************
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
i_next,
result_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
i <= (others => '0');
result <= (others => '0');
current_state <= reset;
else
-- Transition to next state
i <= i_next;
result <= result_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
fsl1_channelDataOut, fsl1_full, fsl1_exists,
i,
result,
current_state) is
begin
-- Default signal assignments
i_next <= i;
result_next <= result;
fsl1_channelDataIn <= (others => '0');
fsl1_channelRead <= '0';
fsl1_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when do_crc =>
if ( i < G_INPUT_WIDTH - G_DIVISOR_WIDTH + 1 ) and ( result(conv_integer(i)) = '0' ) then
i_next <= i + 1;
next_state <= do_crc;
elsif ( i < G_INPUT_WIDTH - G_DIVISOR_WIDTH + 1 ) then
result_next(conv_integer(i) to conv_integer(i) + ( G_DIVISOR_WIDTH - 1 )) <= result(conv_integer(i) to conv_integer(i) + ( G_DIVISOR_WIDTH - 1 )) xor divisor;
i_next <= i + 1;
next_state <= do_crc;
elsif fsl1_full /= '0' then
next_state <= do_crc;
elsif fsl1_full = '0' then
fsl1_channelDataIn <= result;
fsl1_channelWrite <= '1';
next_state <= idle;
end if;
when idle =>
if fsl1_exists = '0' then
next_state <= idle;
elsif fsl1_exists /= '0' then
i_next <= conv_std_logic_vector(0,8);
result_next <= fsl1_channelDataOut;
fsl1_channelRead <= '1';
next_state <= do_crc;
end if;
when reset =>
next_state <= idle;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- ************************************************
-- Entity used for implementing the inferred BRAMs
-- ************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end entity infer_bram;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram is
-- Constant declarations
constant BRAM_SIZE : integer := 2 **ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
-- attribute ram_style : string;
-- attribute ram_style of BRAM_DATA : signal is "block";
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
if( WEB = '1' ) then
BRAM_DATA( conv_integer(ADDRB) ) := DIB;
end if;
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/vivado_cores/acc_vadd/solution1/impl/ip/hdl/vhdl/acc_vadd.vhd
|
4
|
5291
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.2
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity acc_vadd is
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
sI1_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
sI1_TVALID : IN STD_LOGIC;
sI1_TREADY : OUT STD_LOGIC;
sI2_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
sI2_TVALID : IN STD_LOGIC;
sI2_TREADY : OUT STD_LOGIC;
mO1_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
mO1_TVALID : OUT STD_LOGIC;
mO1_TREADY : IN STD_LOGIC );
end;
architecture behav of acc_vadd is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"acc_vadd,hls_ip_2014_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7k325tffg900-2,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=1.600000,HLS_SYN_LAT=0,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=0,HLS_SYN_LUT=0}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0";
signal ap_sig_bdd_23 : BOOLEAN;
signal ap_sig_ioackin_mO1_TREADY : STD_LOGIC;
signal ap_reg_ioackin_mO1_TREADY : STD_LOGIC := '0';
signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_bdd_48 : BOOLEAN;
begin
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n = '0') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- ap_reg_ioackin_mO1_TREADY assign process. --
ap_reg_ioackin_mO1_TREADY_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n = '0') then
ap_reg_ioackin_mO1_TREADY <= ap_const_logic_0;
else
if ((ap_ST_st1_fsm_0 = ap_CS_fsm)) then
if (not((ap_sig_bdd_23 or (ap_const_logic_0 = ap_sig_ioackin_mO1_TREADY)))) then
ap_reg_ioackin_mO1_TREADY <= ap_const_logic_0;
elsif (ap_sig_bdd_48) then
ap_reg_ioackin_mO1_TREADY <= ap_const_logic_1;
end if;
end if;
end if;
end if;
end process;
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_CS_fsm, ap_sig_bdd_23, ap_sig_ioackin_mO1_TREADY)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "X";
end case;
end process;
-- ap_sig_bdd_23 assign process. --
ap_sig_bdd_23_assign_proc : process(sI1_TVALID, sI2_TVALID)
begin
ap_sig_bdd_23 <= ((sI1_TVALID = ap_const_logic_0) or (sI2_TVALID = ap_const_logic_0));
end process;
-- ap_sig_bdd_48 assign process. --
ap_sig_bdd_48_assign_proc : process(mO1_TREADY, ap_sig_bdd_23)
begin
ap_sig_bdd_48 <= (not(ap_sig_bdd_23) and (ap_const_logic_1 = mO1_TREADY));
end process;
-- ap_sig_ioackin_mO1_TREADY assign process. --
ap_sig_ioackin_mO1_TREADY_assign_proc : process(mO1_TREADY, ap_reg_ioackin_mO1_TREADY)
begin
if ((ap_const_logic_0 = ap_reg_ioackin_mO1_TREADY)) then
ap_sig_ioackin_mO1_TREADY <= mO1_TREADY;
else
ap_sig_ioackin_mO1_TREADY <= ap_const_logic_1;
end if;
end process;
mO1_TDATA <= std_logic_vector(unsigned(sI2_TDATA) + unsigned(sI1_TDATA));
-- mO1_TVALID assign process. --
mO1_TVALID_assign_proc : process(ap_CS_fsm, ap_sig_bdd_23, ap_reg_ioackin_mO1_TREADY)
begin
if (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not(ap_sig_bdd_23) and (ap_const_logic_0 = ap_reg_ioackin_mO1_TREADY))) then
mO1_TVALID <= ap_const_logic_1;
else
mO1_TVALID <= ap_const_logic_0;
end if;
end process;
-- sI1_TREADY assign process. --
sI1_TREADY_assign_proc : process(ap_CS_fsm, ap_sig_bdd_23, ap_sig_ioackin_mO1_TREADY)
begin
if (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_sig_bdd_23 or (ap_const_logic_0 = ap_sig_ioackin_mO1_TREADY))))) then
sI1_TREADY <= ap_const_logic_1;
else
sI1_TREADY <= ap_const_logic_0;
end if;
end process;
-- sI2_TREADY assign process. --
sI2_TREADY_assign_proc : process(ap_CS_fsm, ap_sig_bdd_23, ap_sig_ioackin_mO1_TREADY)
begin
if (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_sig_bdd_23 or (ap_const_logic_0 = ap_sig_ioackin_mO1_TREADY))))) then
sI2_TREADY <= ap_const_logic_1;
else
sI2_TREADY <= ap_const_logic_0;
end if;
end process;
end behav;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/pf_counter.vhd
|
3
|
5355
|
-------------------------------------------------------------------------------
-- $Id: pf_counter.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
-- pf_counter - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter.vhd
--
-- Description: Implements 32-bit timer/counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.2 $
-- Date: $Date: 2004/11/23 01:04:03 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input to the pf_counter_bit component
-- LCW Nov 8, 2004 -- updated for NCSim
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_bit;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter is
generic (
C_COUNT_WIDTH : integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Carry_Out : out std_logic;
Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH);
signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal count_clock_en : std_logic;
signal carry_active_high : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
alu_cy(C_COUNT_WIDTH) <= (Count_Down and Count_Load) or
(not Count_Down and not Count_load);
count_clock_en <= Count_Enable or Count_Load;
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate
begin
Counter_Bit_I : entity opb_ipif_v2_00_h.pf_counter_bit
port map (
Clk => Clk, -- [in]
Rst => Rst, -- [in]
Count_In => iCount_Out(i), -- [in]
Load_In => Load_In(i), -- [in]
Count_Load => Count_Load, -- [in]
Count_Down => Count_Down, -- [in]
Carry_In => alu_cy(i+CY_Start), -- [in]
Clock_Enable => count_clock_en, -- [in]
Result => iCount_Out(i), -- [out]
Carry_Out => alu_cy(i+(1-CY_Start))); -- [out]
end generate I_ADDSUB_GEN;
carry_active_high <= alu_cy(0) xor Count_Down;
I_CARRY_OUT: FDRE
port map (
Q => Carry_Out, -- [out]
C => Clk, -- [in]
CE => count_clock_en, -- [in]
D => carry_active_high, -- [in]
R => Rst -- [in]
);
Count_Out <= iCount_Out;
end architecture implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/attr_init_2.vhd
|
2
|
17321
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: attr_init_2.c
-- reg2 = * attr
-- reg3 = * function
-- reg4 = thread[i]
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_attr_t * attr = (hthread_attr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of attr
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
reg2_next <= intrfc2thrd_value;
-- Read the address of function
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
-- hthread_attr_init( data->attr );
when STATE_3 =>
reg3_next <= intrfc2thrd_value;
-- Push the argument to hthread_attr_init
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- Call hthread_attr_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_5;
next_state <= WAIT_STATE;
-- hthread_create( &data->thread, &data->attr, data->function, NULL );
when STATE_5 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- push data->function
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg3;
next_state <= WAIT_STATE;
return_state_next <= STATE_7;
when STATE_7 =>
-- push &data->attr
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg2;
next_state <= WAIT_STATE;
return_state_next <= STATE_8;
when STATE_8 =>
-- push &data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + 8;
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
-- call hthread_create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_10;
next_state <= WAIT_STATE;
-- retVal = hthread_join( data->thread, NULL );
when STATE_10 =>
-- Load the value of data->thread
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 8;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
reg4_next <= intrfc2thrd_value;
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_12;
when STATE_12 =>
-- push data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg4;
next_state <= WAIT_STATE;
return_state_next <= STATE_13;
when STATE_13 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_14;
next_state <= WAIT_STATE;
when STATE_14 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/numa3_hwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/send_store.vhd
|
11
|
5763
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity send_store is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
sysrst : in std_logic;
rstdone : out std_logic;
siaddr : in std_logic_vector(0 to C_TWIDTH-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to C_TWIDTH-1);
sonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end send_store;
architecture behavioral of send_store is
-- Calculate the number of mutexes to use
constant THREADS : integer := pow2( C_TWIDTH );
-- Constant for the last location to be reset
constant RST_END : std_logic_vector(0 to C_TWIDTH-1) := (others => '1');
-- Declare a storage area for the mutex data
type tstore is array(0 to THREADS-1) of std_logic_vector(0 to C_TWIDTH-1);
-- Declare signals for the mutex storage area
signal store : tstore;
signal sena : std_logic;
signal swea : std_logic;
signal saddr : std_logic_vector(0 to C_TWIDTH - 1);
signal sinput : std_logic_vector(0 to C_TWIDTH - 1);
signal soutput : std_logic_vector(0 to C_TWIDTH - 1);
-- Type for the reset state machine
type rststate is
(
IDLE,
RESET
);
-- Declare signals for the reset
signal rst_cs : rststate;
signal rena : std_logic;
signal rwea : std_logic;
signal raddr : std_logic_vector(0 to C_TWIDTH - 1);
signal raddrn : std_logic_vector(0 to C_TWIDTH - 1);
signal rnext : std_logic_vector(0 to C_TWIDTH - 1);
begin
sonext <= soutput(0 to C_TWIDTH-1);
send_mux : process(clk,rst,sysrst) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
sena <= rena;
swea <= rwea;
saddr <= raddr;
sinput <= rnext;
else
sena <= siena;
swea <= siwea;
saddr <= siaddr;
sinput <= sinext;
end if;
end if;
end process send_mux;
send_reset_controller : process(clk,rst,sysrst) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
rst_cs <= RESET;
raddr <= raddrn;
else
rst_cs <= IDLE;
end if;
end if;
end process send_reset_controller;
send_reset_logic : process(rst_cs,raddr) is
begin
rena <= '1';
rwea <= '1';
rstdone <= '1';
rnext <= (others => '0');
case rst_cs is
when IDLE =>
raddrn <= (others => '0');
when RESET =>
if( raddr = RST_END ) then
raddrn <= raddr;
else
rstdone <= '0';
raddrn <= raddr + 1;
end if;
end case;
end process send_reset_logic;
send_store_controller : process (clk) is
variable output : std_logic_vector(0 to C_TWIDTH-1);
begin
if( rising_edge(clk) ) then
if( sena = '1' ) then
if( swea = '1' ) then
store( conv_integer(saddr) ) <= sinput;
end if;
soutput <= store( conv_integer(saddr) );
end if;
end if;
end process send_store_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/opb_SynchManager_v1_00_c/hdl/vhdl/kind_fsm.vhd
|
11
|
6373
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity kind_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
rnw : in std_logic;
datain : in std_logic_vector(0 to C_DWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end kind_fsm;
architecture behavioral of kind_fsm is
-- A type for the states in the kind fsm
type kind_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the kind register fsm
signal kind_cs : kind_state;
signal kind_ns : kind_state;
-- Alias the kind input and output bits
alias kidata : std_logic_vector(0 to 1) is datain(C_DWIDTH-2 to C_DWIDTH-1);
alias kodata : std_logic_vector(0 to 1) is data(C_DWIDTH-2 to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
kind_update : process (clk,rst,sysrst,kind_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
kind_cs <= IDLE;
else
kind_cs <= kind_ns;
end if;
end if;
end process kind_update;
kind_controller : process (kind_cs,start,mutex,miowner,micount,mikind,milast,minext,rnw,datain) is
begin
kind_ns <= kind_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case kind_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
mowea <= '0';
moena <= '1';
kind_ns <= READ;
end if;
when READ =>
kind_ns <= DONE;
when DONE =>
if( rnw = '0' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
mokind <= kidata;
mocount <= micount;
monext <= minext;
molast <= milast;
finish <= '1';
kind_ns <= IDLE;
else
finish <= '1';
kodata <= mikind;
kind_ns <= IDLE;
end if;
end case;
end process kind_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/kind_fsm.vhd
|
11
|
6373
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity kind_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
rnw : in std_logic;
datain : in std_logic_vector(0 to C_DWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end kind_fsm;
architecture behavioral of kind_fsm is
-- A type for the states in the kind fsm
type kind_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the kind register fsm
signal kind_cs : kind_state;
signal kind_ns : kind_state;
-- Alias the kind input and output bits
alias kidata : std_logic_vector(0 to 1) is datain(C_DWIDTH-2 to C_DWIDTH-1);
alias kodata : std_logic_vector(0 to 1) is data(C_DWIDTH-2 to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
kind_update : process (clk,rst,sysrst,kind_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
kind_cs <= IDLE;
else
kind_cs <= kind_ns;
end if;
end if;
end process kind_update;
kind_controller : process (kind_cs,start,mutex,miowner,micount,mikind,milast,minext,rnw,datain) is
begin
kind_ns <= kind_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case kind_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
mowea <= '0';
moena <= '1';
kind_ns <= READ;
end if;
when READ =>
kind_ns <= DONE;
when DONE =>
if( rnw = '0' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
mokind <= kidata;
mocount <= micount;
monext <= minext;
molast <= milast;
finish <= '1';
kind_ns <= IDLE;
else
finish <= '1';
kodata <= mikind;
kind_ns <= IDLE;
end if;
end case;
end process kind_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp1/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/owner_fsm.vhd
|
11
|
5733
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity owner_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end owner_fsm;
architecture behavioral of owner_fsm is
-- A type for the states in the owner fsm
type owner_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the owner fsm
signal owner_cs : owner_state;
signal owner_ns : owner_state;
-- Alias owner output
alias odata : std_logic_vector(0 to C_TWIDTH-1) is data(C_DWIDTH-C_TWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
owner_update : process(clk,rst,sysrst,owner_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
owner_cs <= IDLE;
else
owner_cs <= owner_ns;
end if;
end if;
end process owner_update;
owner_controller : process(owner_cs,start,mutex,miowner) is
begin
owner_ns <= owner_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case owner_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
owner_ns <= READ;
end if;
when READ =>
owner_ns <= DONE;
when DONE =>
finish <= '1';
odata <= miowner;
owner_ns <= IDLE;
end case;
end process owner_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/owner_fsm.vhd
|
11
|
5733
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity owner_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end owner_fsm;
architecture behavioral of owner_fsm is
-- A type for the states in the owner fsm
type owner_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the owner fsm
signal owner_cs : owner_state;
signal owner_ns : owner_state;
-- Alias owner output
alias odata : std_logic_vector(0 to C_TWIDTH-1) is data(C_DWIDTH-C_TWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
owner_update : process(clk,rst,sysrst,owner_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
owner_cs <= IDLE;
else
owner_cs <= owner_ns;
end if;
end if;
end process owner_update;
owner_controller : process(owner_cs,start,mutex,miowner) is
begin
owner_ns <= owner_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case owner_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
owner_ns <= READ;
end if;
when READ =>
owner_ns <= DONE;
when DONE =>
finish <= '1';
odata <= miowner;
owner_ns <= IDLE;
end case;
end process owner_controller;
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/axi_scheduler_v1_00_a/hdl/vhdl/axi_scheduler.vhd
|
2
|
28962
|
------------------------------------------------------------------------------
-- axi_scheduler.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: axi_scheduler.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Thu Jun 26 14:24:54 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
library axi_master_lite_v1_00_a;
use axi_master_lite_v1_00_a.axi_master_lite;
library axi_scheduler_v1_00_a;
use axi_scheduler_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width
-- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width
-- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size
-- C_USE_WSTRB -- AXI4LITE slave: Write Strobe
-- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout
-- C_BASEADDR -- AXI4LITE slave: base address
-- C_HIGHADDR -- AXI4LITE slave: high address
-- C_FAMILY -- FPGA Family
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_MEM -- Number of address-ranges
-- C_SLV_AWIDTH -- Slave interface address bus width
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_M_AXI_LITE_ADDR_WIDTH -- Master-Intf address bus width
-- C_M_AXI_LITE_DATA_WIDTH -- Master-Intf data bus width
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI4LITE slave: Clock
-- S_AXI_ARESETN -- AXI4LITE slave: Reset
-- S_AXI_AWADDR -- AXI4LITE slave: Write address
-- S_AXI_AWVALID -- AXI4LITE slave: Write address valid
-- S_AXI_WDATA -- AXI4LITE slave: Write data
-- S_AXI_WSTRB -- AXI4LITE slave: Write strobe
-- S_AXI_WVALID -- AXI4LITE slave: Write data valid
-- S_AXI_BREADY -- AXI4LITE slave: Response ready
-- S_AXI_ARADDR -- AXI4LITE slave: Read address
-- S_AXI_ARVALID -- AXI4LITE slave: Read address valid
-- S_AXI_RREADY -- AXI4LITE slave: Read data ready
-- S_AXI_ARREADY -- AXI4LITE slave: read addres ready
-- S_AXI_RDATA -- AXI4LITE slave: Read data
-- S_AXI_RRESP -- AXI4LITE slave: Read data response
-- S_AXI_RVALID -- AXI4LITE slave: Read data valid
-- S_AXI_WREADY -- AXI4LITE slave: Write data ready
-- S_AXI_BRESP -- AXI4LITE slave: Response
-- S_AXI_BVALID -- AXI4LITE slave: Resonse valid
-- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready
-- m_axi_lite_aclk -- AXI4LITE master: Clock
-- m_axi_lite_aresetn -- AXI4LITE master: Reset
-- md_error -- AXI4LITE master: Error
-- m_axi_lite_arready -- AXI4LITE master: Read address ready
-- m_axi_lite_arvalid -- AXI4LITE master: read address valid
-- m_axi_lite_araddr -- AXI4LITE master: read address protection
-- m_axi_lite_arprot -- AXI4LITE master: Read address protection
-- m_axi_lite_rready -- AXI4LITE master: Read data ready
-- m_axi_lite_rvalid -- AXI4LITE master: Read data valid
-- m_axi_lite_rdata -- AXI4LITE master: Read data
-- m_axi_lite_rresp -- AXI4LITE master: read data response
-- m_axi_lite_awready -- AXI4LITE master: write address ready
-- m_axi_lite_awvalid -- AXI4LITE master: write address valid
-- m_axi_lite_awaddr -- AXI4LITE master: write address valid
-- m_axi_lite_awprot -- AXI4LITE master: write address protection
-- m_axi_lite_wready -- AXI4LITE master: write data ready
-- m_axi_lite_wvalid -- AXI4LITE master: write data valid
-- m_axi_lite_wdata -- AXI4LITE master: write data
-- m_axi_lite_wstrb -- AXI4LITE master: write data strobe
-- m_axi_lite_bready -- AXI4LITE master: read response ready
-- m_axi_lite_bvalid -- AXI4LITE master: read response valid
-- m_axi_lite_bresp -- AXI4LITE master: read response
------------------------------------------------------------------------------
entity axi_scheduler is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"00FFFFFF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 0;
C_BASEADDR : std_logic_vector(0 to 31) := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector(0 to 31) := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32;
C_M_AXI_LITE_ADDR_WIDTH : integer := 32;
C_M_AXI_LITE_DATA_WIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
Soft_Stop : in std_logic;
SWTM_DOB : in std_logic_vector(0 to 31);
SWTM_ADDRB : out std_logic_vector(0 to 8);
SWTM_DIB : out std_logic_vector(0 to 31);
SWTM_ENB : out std_logic;
SWTM_WEB : out std_logic;
TM2SCH_current_cpu_tid : in std_logic_vector(0 to 7);
TM2SCH_opcode : in std_logic_vector(0 to 5);
TM2SCH_data : in std_logic_vector(0 to 7);
TM2SCH_request : in std_logic;
SCH2TM_busy : out std_logic;
SCH2TM_data : out std_logic_vector(0 to 7);
SCH2TM_next_cpu_tid : out std_logic_vector(0 to 7);
SCH2TM_next_tid_valid : out std_logic;
Preemption_Interrupt : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
m_axi_lite_aclk : in std_logic;
m_axi_lite_aresetn : in std_logic;
md_error : out std_logic;
m_axi_lite_arready : in std_logic;
m_axi_lite_arvalid : out std_logic;
m_axi_lite_araddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_arprot : out std_logic_vector(2 downto 0);
m_axi_lite_rready : out std_logic;
m_axi_lite_rvalid : in std_logic;
m_axi_lite_rdata : in std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_rresp : in std_logic_vector(1 downto 0);
m_axi_lite_awready : in std_logic;
m_axi_lite_awvalid : out std_logic;
m_axi_lite_awaddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_awprot : out std_logic_vector(2 downto 0);
m_axi_lite_wready : in std_logic;
m_axi_lite_wvalid : out std_logic;
m_axi_lite_wdata : out std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_wstrb : out std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0);
m_axi_lite_bready : out std_logic;
m_axi_lite_bvalid : in std_logic;
m_axi_lite_bresp : in std_logic_vector(1 downto 0)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
attribute MAX_FANOUT of m_axi_lite_aclk : signal is "10000";
attribute MAX_FANOUT of m_axi_lite_aresetn : signal is "10000";
attribute SIGIS of m_axi_lite_aclk : signal is "Clk";
attribute SIGIS of m_axi_lite_aresetn : signal is "Rst";
end entity axi_scheduler;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of axi_scheduler is
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector(0 to 31) := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector(0 to 31) := C_BASEADDR or X"000000FF";
--constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
-- constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
--ZERO_ADDR_PAD & USER_MST_BASEADDR, -- user logic master space base address
--ZERO_ADDR_PAD & USER_MST_HIGHADDR -- user logic master space high address
);
constant USER_SLV_NUM_REG : integer := 1;
--constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;--+USER_MST_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space
-- 1 => (USER_MST_NUM_REG) -- number of ce for user logic master space
);
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_M_AXI_LITE_ADDR_WIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_M_AXI_LITE_DATA_WIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
--constant USER_MST_CS_INDEX : integer := 1;
--constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_ip2bus_mstrd_req : std_logic;
signal ipif_ip2bus_mstwr_req : std_logic;
signal ipif_ip2bus_mst_addr : std_logic_vector(0 to C_M_AXI_LITE_ADDR_WIDTH-1);
signal ipif_ip2bus_mst_be : std_logic_vector(0 to (C_M_AXI_LITE_DATA_WIDTH/8)-1);
signal ipif_ip2bus_mst_lock : std_logic;
signal ipif_ip2bus_mst_reset : std_logic;
signal ipif_bus2ip_mst_cmdack : std_logic;
signal ipif_bus2ip_mst_cmplt : std_logic;
signal ipif_bus2ip_mst_error : std_logic;
signal ipif_bus2ip_mst_rearbitrate : std_logic;
signal ipif_bus2ip_mst_cmd_timeout : std_logic;
signal ipif_bus2ip_mstrd_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstrd_src_rdy_n : std_logic;
signal ipif_ip2bus_mstwr_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstwr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
------------------------------------------
-- instantiate axi_master_lite
------------------------------------------
AXI_MASTER_LITE_I : entity axi_master_lite_v1_00_a.axi_master_lite
generic map
(
C_M_AXI_LITE_ADDR_WIDTH => C_M_AXI_LITE_ADDR_WIDTH,
C_M_AXI_LITE_DATA_WIDTH => C_M_AXI_LITE_DATA_WIDTH,
C_FAMILY => C_FAMILY
)
port map
(
m_axi_lite_aclk => m_axi_lite_aclk,
m_axi_lite_aresetn => m_axi_lite_aresetn,
md_error => md_error,
m_axi_lite_arready => m_axi_lite_arready,
m_axi_lite_arvalid => m_axi_lite_arvalid,
m_axi_lite_araddr => m_axi_lite_araddr,
m_axi_lite_arprot => m_axi_lite_arprot,
m_axi_lite_rready => m_axi_lite_rready,
m_axi_lite_rvalid => m_axi_lite_rvalid,
m_axi_lite_rdata => m_axi_lite_rdata,
m_axi_lite_rresp => m_axi_lite_rresp,
m_axi_lite_awready => m_axi_lite_awready,
m_axi_lite_awvalid => m_axi_lite_awvalid,
m_axi_lite_awaddr => m_axi_lite_awaddr,
m_axi_lite_awprot => m_axi_lite_awprot,
m_axi_lite_wready => m_axi_lite_wready,
m_axi_lite_wvalid => m_axi_lite_wvalid,
m_axi_lite_wdata => m_axi_lite_wdata,
m_axi_lite_wstrb => m_axi_lite_wstrb,
m_axi_lite_bready => m_axi_lite_bready,
m_axi_lite_bvalid => m_axi_lite_bvalid,
m_axi_lite_bresp => m_axi_lite_bresp,
ip2bus_mstrd_req => ipif_ip2bus_mstrd_req,
ip2bus_mstwr_req => ipif_ip2bus_mstwr_req,
ip2bus_mst_addr => ipif_ip2bus_mst_addr,
ip2bus_mst_be => ipif_ip2bus_mst_be,
ip2bus_mst_lock => ipif_ip2bus_mst_lock,
ip2bus_mst_reset => ipif_ip2bus_mst_reset,
bus2ip_mst_cmdack => ipif_bus2ip_mst_cmdack,
bus2ip_mst_cmplt => ipif_bus2ip_mst_cmplt,
bus2ip_mst_error => ipif_bus2ip_mst_error,
bus2ip_mst_rearbitrate => ipif_bus2ip_mst_rearbitrate,
bus2ip_mst_cmd_timeout => ipif_bus2ip_mst_cmd_timeout,
bus2ip_mstrd_d => ipif_bus2ip_mstrd_d,
bus2ip_mstrd_src_rdy_n => ipif_bus2ip_mstrd_src_rdy_n,
ip2bus_mstwr_d => ipif_ip2bus_mstwr_d,
bus2ip_mstwr_dst_rdy_n => ipif_bus2ip_mstwr_dst_rdy_n
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity axi_scheduler_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_MST_AWIDTH => USER_MST_AWIDTH,
C_MST_DWIDTH => USER_MST_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_SLV_DWIDTH => USER_SLV_DWIDTH
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
Soft_Reset => Soft_Reset ,
Reset_Done => Reset_Done ,
Soft_Stop => Soft_Stop ,
SWTM_DOB => SWTM_DOB ,
SWTM_ADDRB => SWTM_ADDRB ,
SWTM_DIB => SWTM_DIB ,
SWTM_ENB => SWTM_ENB ,
SWTM_WEB => SWTM_WEB ,
TM2SCH_current_cpu_tid => TM2SCH_current_cpu_tid ,
TM2SCH_opcode => TM2SCH_opcode ,
TM2SCH_data => TM2SCH_data ,
TM2SCH_request => TM2SCH_request ,
SCH2TM_busy => SCH2TM_busy ,
SCH2TM_data => SCH2TM_data ,
SCH2TM_next_cpu_tid => SCH2TM_next_cpu_tid ,
SCH2TM_next_tid_valid => SCH2TM_next_tid_valid ,
Preemption_Interrupt => Preemption_Interrupt ,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
ip2bus_mstrd_req => ipif_ip2bus_mstrd_req,
ip2bus_mstwr_req => ipif_ip2bus_mstwr_req,
ip2bus_mst_addr => ipif_ip2bus_mst_addr,
ip2bus_mst_be => ipif_ip2bus_mst_be,
ip2bus_mst_lock => ipif_ip2bus_mst_lock,
ip2bus_mst_reset => ipif_ip2bus_mst_reset,
bus2ip_mst_cmdack => ipif_bus2ip_mst_cmdack,
bus2ip_mst_cmplt => ipif_bus2ip_mst_cmplt,
bus2ip_mst_error => ipif_bus2ip_mst_error,
bus2ip_mst_rearbitrate => ipif_bus2ip_mst_rearbitrate,
bus2ip_mst_cmd_timeout => ipif_bus2ip_mst_cmd_timeout,
bus2ip_mstrd_d => ipif_bus2ip_mstrd_d,
bus2ip_mstrd_src_rdy_n => ipif_bus2ip_mstrd_src_rdy_n,
ip2bus_mstwr_d => ipif_ip2bus_mstwr_d,
bus2ip_mstwr_dst_rdy_n => ipif_bus2ip_mstwr_dst_rdy_n
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "1" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
user_Bus2IP_WrCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
-- user_Bus2IP_RdCE(USER_NUM_REG-1 downto USER_NUM_REG-USER_MST_NUM_REG) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
-- user_Bus2IP_WrCE(USER_NUM_REG-1 downto USER_NUM_REG- USER_MST_NUM_REG) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
ipif_Bus2IP_Reset <= not ipif_Bus2IP_Resetn;
end IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/ipif_common_v1_00_d/hdl/vhdl/steer_module_read.vhd
|
3
|
23737
|
--SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: steer_module_read.vhd,v 1.2 2003/05/19 17:34:26 ostlerf Exp $
-------------------------------------------------------------------------------
-- Steer_Module_Read - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: steer_module_read.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic steers data from the correct byte
-- lane to IPIF devices which may be smaller than the bus
-- width. The BE signals are also steered if the BE_Steer
-- signal is asserted, which indicates that the address space
-- being accessed has a smaller maximum data transfer size
-- than the bus size.
--
-- For writes, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- steer_module_read.vhd
--
-------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- BLT 4-26-2002 -- First version
-- ^^^^^^
-- First version of steering logic module.
-- ~~~~~~
-- BLT 11-18-2002 -- Update to version v1.00b
-- ^^^^^^
-- Updated to use ipif_common_v1_00_b, which fixed a simulation problem
-- in the ipif_steer logic
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_misc.all;
library ipif_common_v1_00_d;
use ipif_common_v1_00_d.STEER_TYPES.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of host databus attached to the IPIF
-- C_SMALLEST : integer := width of smallest device (not access size)
-- attached to the IPIF
-- C_LARGEST : integer := width of largest device (not access size)
-- attached to the IPIF
-- C_MIRROR_SIZE : integer := smallest unit of data that is mirrored
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of MAXIMUM data access allowed to
-- a particular address map decode.
--
-- Size indication (Decode_size)
-- 001 - byte
-- 010 - halfword
-- 011 - word
-- 100 - doubleword
-- 101 - 128-b
-- 110 - 256-b
-- 111 - 512-b
-- num_bytes = 2^(n-1)
--
-- BE_Steer : in BE_Steer = 1 : steer BE's onto IPIF BE bus
-- BE_Steer = 0 : don't steer BE's, pass through
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity Steer_Module_Read is
generic (
C_DWIDTH_IN : integer := 32; -- 8, 16, 32, 64, 128, 256, or 512
C_DWIDTH_OUT : integer := 64; -- 8, 16, 32, 64, 128, 256, or 512
C_SMALLEST_OUT : integer := 32; -- 8, 16, 32, 64, 128, 256, or 512
C_SMALLEST_IN : integer := 8; -- 8, 16, 32, 64, 128, 256, or 512
C_AWIDTH : integer := 32
);
port (
Data_In : in std_logic_vector(0 to C_DWIDTH_IN-1);
Addr : in std_logic_vector(0 to C_AWIDTH-1);
Decode_size : in std_logic_vector(0 to 2);
Data_Out : out std_logic_vector(0 to C_DWIDTH_OUT-1)
);
end entity Steer_Module_Read;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of Steer_Module_Read is
-------------------------------------------------------------------------------
-- Function max -- returns maximum of x and y
-------------------------------------------------------------------------------
function max(x : integer; y : integer) return integer is
begin
if x > y then return x;
else return y;
end if;
end function max;
-------------------------------------------------------------------------------
-- Function min -- returns minimum of x and y
-------------------------------------------------------------------------------
function min(x : integer; y : integer) return integer is
begin
if x < y then return x;
else return y;
end if;
end function min;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 8 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
return i;
end if;
end function log2;
-------------------------------------------------------------------------------
-- Function pwr -- returns x**y for integers x and y, y>=0
-------------------------------------------------------------------------------
function pwr(x: integer; y: integer) return integer is
variable z : integer := 1;
begin
if y = 0 then return 1;
else
for i in 1 to y loop
z := z * x;
end loop;
return z;
end if;
end function pwr;
function Addr_Start_Func (C_SMALLEST_OUT : integer;
C_DWIDTH_IN : integer)
return integer is
variable IP_Addr_Start : integer;
variable IP_Addr_Stop : integer;
begin
case C_SMALLEST_OUT is
when 8 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := 0; IP_Addr_Stop := 0;
when 32 => IP_Addr_Start := 0; IP_Addr_Stop := 1;
when 64 => IP_Addr_Start := 0; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 0; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 0; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 0; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 0; IP_Addr_Stop := 6;
end case;
when 16 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := 1; IP_Addr_Stop := 1;
when 64 => IP_Addr_Start := 1; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 1; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 1; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 1; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 1; IP_Addr_Stop := 6;
end case;
when 32 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := 2; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 2; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 2; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 2; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 2; IP_Addr_Stop := 6;
end case;
when 64 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := 3; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 3; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 3; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 3; IP_Addr_Stop := 6;
end case;
when 128 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := 4; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 4; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 4; IP_Addr_Stop := 6;
end case;
when 256 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 512 => IP_Addr_Start := 5; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 5; IP_Addr_Stop := 6;
end case;
when 512 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 512 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when others => IP_Addr_Start := 6; IP_Addr_Stop := 6;
end case;
when others => IP_Addr_Start := -1; IP_Addr_Stop := -1;
end case;
return IP_Addr_Start;
end function Addr_Start_Func;
function Addr_Stop_Func (C_SMALLEST_OUT : integer;
C_DWIDTH_IN : integer)
return integer is
variable IP_Addr_Start : integer;
variable IP_Addr_Stop : integer;
begin
case C_SMALLEST_OUT is
when 8 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := 0; IP_Addr_Stop := 0;
when 32 => IP_Addr_Start := 0; IP_Addr_Stop := 1;
when 64 => IP_Addr_Start := 0; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 0; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 0; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 0; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 0; IP_Addr_Stop := 6;
end case;
when 16 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := 1; IP_Addr_Stop := 1;
when 64 => IP_Addr_Start := 1; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 1; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 1; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 1; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 1; IP_Addr_Stop := 6;
end case;
when 32 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := 2; IP_Addr_Stop := 2;
when 128 => IP_Addr_Start := 2; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 2; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 2; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 2; IP_Addr_Stop := 6;
end case;
when 64 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := 3; IP_Addr_Stop := 3;
when 256 => IP_Addr_Start := 3; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 3; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 3; IP_Addr_Stop := 6;
end case;
when 128 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := 4; IP_Addr_Stop := 4;
when 512 => IP_Addr_Start := 4; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 4; IP_Addr_Stop := 6;
end case;
when 256 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 512 => IP_Addr_Start := 5; IP_Addr_Stop := 5;
when others => IP_Addr_Start := 5; IP_Addr_Stop := 6;
end case;
when 512 =>
case C_DWIDTH_IN is
when 8 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 16 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 32 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 64 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 128 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 256 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when 512 => IP_Addr_Start := -1; IP_Addr_Stop := -1;
when others => IP_Addr_Start := 6; IP_Addr_Stop := 6;
end case;
when others => IP_Addr_Start := -1; IP_Addr_Stop := -1;
end case;
return IP_Addr_Stop;
end function Addr_Stop_Func;
constant Addr_Size : integer_array_type(0 to 63) :=
(1=>1,3=>1,5=>1,7=>1,9=>1,11=>1,13=>1,15=>1,
17=>1,19=>1,21=>1,23=>1,25=>1,27=>1,29=>1,31=>1,
33=>1,35=>1,37=>1,39=>1,41=>1,43=>1,45=>1,47=>1,
49=>1,51=>1,53=>1,55=>1,57=>1,59=>1,61=>1,63=>1,
2=>2,6=>2,10=>2,14=>2,18=>2,22=>2,26=>2,30=>2,
34=>2,38=>2,42=>2,46=>2,50=>2,54=>2,58=>2,62=>2,
4=>4,12=>4,20=>4,28=>4,36=>4,44=>4,52=>4,60=>4,
8=>8,24=>8,40=>8,56=>8,16=>16,48=>16,32=>32,0=>64);
constant IP_Addr_Start : integer := Addr_Start_Func(C_SMALLEST_OUT,C_DWIDTH_IN);
constant IP_Addr_Stop : integer := Addr_Stop_Func(C_SMALLEST_OUT,C_DWIDTH_IN);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
MUX_PROCESS: process( Data_In,Decode_size,Addr ) is
variable factor : integer;
variable addr_loop : integer;
variable addr_integer : integer;
variable num_addr_bits : integer;
variable size : integer;
variable address : integer;
variable data_address : integer;
variable replicate_factor : integer;
begin
num_addr_bits := IP_Addr_Stop-IP_Addr_Start+1;
-- Set up default condition
if C_DWIDTH_IN <= C_DWIDTH_OUT then
for i in 0 to C_DWIDTH_OUT/C_DWIDTH_IN-1 loop
Data_Out(i*C_DWIDTH_IN to (i+1)*C_DWIDTH_IN-1) <= Data_In;
end loop;
else
Data_Out <= Data_In(0 to C_DWIDTH_OUT-1);
end if;
if C_DWIDTH_IN > C_SMALLEST_IN then -- Data_Out is a function of Decode_size
for k in log2(C_SMALLEST_IN/8)+1 to log2(C_DWIDTH_IN/8)+1 loop -- 1,2,3,4,5,6,7 6 for now
factor := pwr(2,k)/2; -- 1,2,4,8,16,32,64 number of byte lanes
if Decode_size = Conv_std_logic_vector(k,3) then
if IP_Addr_Start > -1 then -- Data_Out IS a function of address -- TESTED
for j in 0 to pwr(2,num_addr_bits)-1 loop
if Addr(C_AWIDTH-IP_Addr_Stop-1 to C_AWIDTH-IP_Addr_Start-1) = Conv_std_logic_vector(j,num_addr_bits) then
address := j*pwr(2,IP_Addr_Start); -- generate real address from j loop variable
data_address := address;
if address = 0 then -- special case for address zero
size := factor*8; -- size in bits
end if;
if address > 0 then -- else look up in size table
size := ADDR_SIZE(address)*8; -- size in bits
end if;
if size <= C_DWIDTH_OUT then -- for case when data at address is smaller than host data bus
replicate_factor := C_DWIDTH_OUT/size;
while data_address >= factor loop -- modulo operator since mod doesn't work in Synplify if right side isn't constant
data_address := data_address - factor;
end loop;
for r in 0 to replicate_factor-1 loop
for m in 0 to size-1 loop -- set first "size" data to data on Data_In at "address"
Data_Out(r*size+m) <= Data_In(data_address*8 + m);
end loop;
end loop;
else -- for case when data at address is larger than host data bus, just det first C_DWIDTH_OUT bits
Data_Out(0 to C_DWIDTH_OUT-1) <= Data_In(address*8 to address*8+C_DWIDTH_OUT-1);
end if;
end if;
end loop;
else -- Data_Out is not a function of address
if factor*8 <= C_DWIDTH_OUT then
for m in 0 to C_DWIDTH_OUT/(factor*8)-1 loop
Data_Out(factor*8*m to factor*8*(m+1)-1) <= Data_In(0 to factor*8-1);
end loop;
else
for m in 0 to C_DWIDTH_OUT-1 loop
Data_Out(m) <= Data_In(m mod C_DWIDTH_IN); -- just carry data across.
end loop;
end if;
end if;
end if;
end loop;
else -- Data_Out is not a function of Decode_Size
if IP_Addr_Start > -1 then -- Data_Out IS a function of address -- TESTED
for j in 0 to pwr(2,num_addr_bits)-1 loop
if Addr(C_AWIDTH-IP_Addr_Stop-1 to C_AWIDTH-IP_Addr_Start-1) = Conv_std_logic_vector(j,num_addr_bits) then
address := j*pwr(2,IP_Addr_Start); -- generate real address from j loop variable
if address = 0 then -- special case for address zero
size := C_DWIDTH_IN; -- size in bits
end if;
if address > 0 then -- else look up in size table
size := ADDR_SIZE(address)*8; -- size in bits
end if;
if size <= C_DWIDTH_OUT then -- for case when data at address is smaller than host data bus
replicate_factor := C_DWIDTH_OUT/size;
for r in 0 to replicate_factor-1 loop
for m in 0 to size-1 loop -- set first "size" data to data on Data_In at "address"
Data_Out(r*size+m) <= Data_In(address*8+m);
end loop;
end loop;
-- for m in size to C_DWIDTH_OUT-1 loop -- set remaining bits to default
-- Data_Out(m) <= Data_In(m mod C_DWIDTH_IN); -- mod in case host data bus larger than Data_In
-- end loop;
else -- for case when data at address is larger than host data bus, just det first C_DWIDTH_OUT bits
Data_Out(0 to C_DWIDTH_OUT-1) <= Data_In(address*8 to address*8+C_DWIDTH_OUT-1);
end if;
end if;
end loop;
else -- Data_Out is not a function of address
for m in 0 to C_DWIDTH_OUT-1 loop -- 0 to 3
Data_Out(m) <= Data_In(m mod C_DWIDTH_IN); -- just carry data across.
end loop;
end if;
end if;
end process MUX_PROCESS;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/attr_destroy_2.vhd
|
2
|
15751
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-- attr_destroy_2.c
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_attr_t * attr = (hthread_attr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
-- hthread_attr_init( attr );
when STATE_1 =>
-- Push the argument to hthread_attr_init
arg_next <= intrfc2thrd_value;
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Call hthread_attr_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_3;
next_state <= WAIT_STATE;
-- hthread_attr_destroy( attr );
when STATE_3 =>
-- Push the argument to hthread_attr_init
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- Call hthread_attr_destroy
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_DESTROY;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_5;
next_state <= WAIT_STATE;
-- retVal = hthread_attr_init( attr );
when STATE_5 =>
-- Push the argument to hthread_attr_init
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- Call hthread_attr_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_ATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_7;
next_state <= WAIT_STATE;
when STATE_7 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/srl_fifo2.vhd
|
2
|
14431
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo2 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo2.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo2.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.all;
entity srl_fifo2 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo2;
architecture imp of srl_fifo2 is
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic;
-- I1 : in std_logic;
-- I2 : in std_logic;
-- I3 : in std_logic);
-- end component;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/plb_hwt_exit_v1_00_a/hdl/vhdl/old/testbench.vhd
|
3
|
27888
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v1_00_b;
use proc_common_v1_00_b.proc_common_pkg.all;
library ipif_common_v1_00_e;
use ipif_common_v1_00_e.ipif_pkg.all;
library plb_ipif_v2_01_a;
use plb_ipif_v2_01_a.all;
library plb_hwti_v1_00_a;
use plb_hwti_v1_00_a.all;
library plb_v34_v1_02_a;
library opb_v20_v1_10_c;
library plb2opb_bridge_v1_01_a;
entity testbench is
end entity;
architecture behavioral of testbench is
constant PLB_NUM_MASTERS : integer := 1;
constant PLB_NUM_SLAVES : integer := 1;
constant PLB_AWIDTH : integer := 32;
constant PLB_DWIDTH : integer := 64;
constant OPB_NUM_MASTERS : integer := 1;
constant OPB_NUM_SLAVES : integer := 1;
constant OPB_AWIDTH : integer := 32;
constant OPB_DWIDTH : integer := 32;
constant DCR_AWIDTH : integer := 10;
constant DCR_DWIDTH : integer := 32;
constant PLB_MID_WIDTH : integer := 2;
constant PLB_TAWIDTH : integer := PLB_NUM_MASTERS*PLB_AWIDTH;
constant PLB_TDWIDTH : integer := PLB_NUM_MASTERS*PLB_DWIDTH;
constant PLB_SAWIDTH : integer := PLB_NUM_SLAVES*PLB_AWIDTH;
constant PLB_SDWIDTH : integer := PLB_NUM_SLAVES*PLB_DWIDTH;
constant PLB_SLVMST : integer := PLB_NUM_SLAVES*PLB_NUM_MASTERS;
constant HWTI_SLV : integer := 0;
constant HWTI_MST : integer := 0;
-- Signals for the PLB bus
signal PLB_SaddrAck : std_logic;
signal PLB2OPB_rearb : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal DCR_ABus : std_logic_vector(0 to DCR_AWIDTH-1);
signal DCR_DBus : std_logic_vector(0 to DCR_DWIDTH-1);
signal DCR_Read : std_logic;
signal DCR_Write : std_logic;
signal PLB_dcrAck : std_logic;
signal PLB_dcrDBus : std_logic_vector(0 to DCR_DWIDTH-1);
signal M_ABus : std_logic_vector(0 to PLB_TAWIDTH-1);
signal M_BE : std_logic_vector(0 to PLB_TDWIDTH/8-1);
signal M_RNW : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_abort : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_busLock : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_compress : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_guarded : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_lockErr : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_MSize : std_logic_vector(0 to PLB_NUM_MASTERS*2-1);
signal M_ordered : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_priority : std_logic_vector(0 to PLB_NUM_MASTERS*2-1);
signal M_rdBurst : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_request : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_size : std_logic_vector(0 to PLB_NUM_MASTERS*4-1);
signal M_type : std_logic_vector(0 to PLB_NUM_MASTERS*3-1);
signal M_wrBurst : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal M_wrDBus : std_logic_vector(0 to PLB_TDWIDTH-1);
signal PLB_ABus : std_logic_vector(0 to PLB_AWIDTH-1);
signal PLB_BE : std_logic_vector(0 to PLB_DWIDTH/8-1);
signal PLB_MAddrAck : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MBusy : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MErr : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MRdBTerm : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MRdDAck : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MRdDBus : std_logic_vector(0 to PLB_TDWIDTH-1);
signal PLB_MRdWdAddr : std_logic_vector(0 to PLB_NUM_MASTERS*4-1);
signal PLB_MRearbitrate : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MWrBTerm : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MWrDAck : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_MSSize : std_logic_vector(0 to PLB_NUM_MASTERS*2-1);
signal PLB_PAValid : std_logic;
signal PLB_RNW : std_logic;
signal PLB_SAValid : std_logic;
signal PLB_abort : std_logic;
signal PLB_busLock : std_logic;
signal PLB_compress : std_logic;
signal PLB_guarded : std_logic;
signal PLB_lockErr : std_logic;
signal PLB_masterID : std_logic_vector(0 to PLB_MID_WIDTH-1);
signal PLB_MSize : std_logic_vector(0 to 1);
signal PLB_ordered : std_logic;
signal PLB_pendPri : std_logic_vector(0 to 1);
signal PLB_pendReq : std_logic;
signal PLB_rdBurst : std_logic;
signal PLB_rdPrim : std_logic;
signal PLB_reqPri : std_logic_vector(0 to 1);
signal PLB_size : std_logic_vector(0 to 3);
signal PLB_type : std_logic_vector(0 to 2);
signal PLB_wrBurst : std_logic;
signal PLB_wrDBus : std_logic_vector(0 to PLB_DWIDTH-1);
signal PLB_wrPrim : std_logic;
signal Sl_addrAck : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_MErr : std_logic_vector(0 to PLB_SLVMST-1);
signal Sl_MBusy : std_logic_vector(0 to PLB_SLVMST-1);
signal Sl_rdBTerm : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_rdComp : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_rdDAck : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_rdDBus : std_logic_vector(0 to PLB_SDWIDTH-1);
signal Sl_rdWdAddr : std_logic_vector(0 to PLB_NUM_SLAVES*4-1);
signal Sl_rearbitrate : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_SSize : std_logic_vector(0 to PLB_NUM_SLAVES*2-1);
signal Sl_wait : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_wrBTerm : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_wrComp : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal Sl_wrDAck : std_logic_vector(0 to PLB_NUM_SLAVES-1);
signal PLB_SMErr : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_SMBusy : std_logic_vector(0 to PLB_NUM_MASTERS-1);
signal PLB_SrdBTerm : std_logic;
signal PLB_SrdComp : std_logic;
signal PLB_SrdDAck : std_logic;
signal PLB_SrdDBus : std_logic_vector(0 to PLB_DWIDTH-1);
signal PLB_SrdWdAddr : std_logic_vector(0 to 3);
signal PLB_Srearbitrate : std_logic;
signal PLB_Sssize : std_logic_vector(0 to 1);
signal PLB_Swait : std_logic;
signal PLB_SwrBTerm : std_logic;
signal PLB_SwrComp : std_logic;
signal PLB_SwrDAck : std_logic;
signal ArbAddrVldReg : std_logic;
signal SYS_Rst : std_logic;
signal Bus_Error_Det : std_logic;
signal PLB_Rst : std_logic;
signal PLB_Clk : std_logic;
-- Signals for the OPB bus
signal Debug_SYS_Rst : std_logic;
signal WDT_Rst : std_logic;
signal OPB_Clk : std_logic;
signal OPB_Rst : std_logic;
signal OM_ABus : std_logic_vector(0 to OPB_AWIDTH*OPB_NUM_MASTERS-1);
signal OM_BE : std_logic_vector(0 to (OPB_DWIDTH+7)/8*OPB_NUM_MASTERS-1);
signal OM_beXfer : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_busLock : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_DBus : std_logic_vector(0 to OPB_DWIDTH*OPB_NUM_MASTERS-1);
signal OM_DBusEn : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_DBusEn32_63 : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_dwXfer : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_fwXfer : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_hwXfer : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_request : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_RNW : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_select : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OM_seqAddr : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OSl_beAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_DBus : std_logic_vector(0 to OPB_DWIDTH*OPB_NUM_SLAVES-1);
signal OSl_DBusEn : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_DBusEn32_63 : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_errAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_dwAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_fwAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_hwAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_retry : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_toutSup : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OSl_xferAck : std_logic_vector(0 to OPB_NUM_SLAVES-1);
signal OPB_MRequest : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OPB_ABus : std_logic_vector(0 to OPB_AWIDTH-1);
signal OPB_BE : std_logic_vector(0 to (OPB_DWIDTH+7)/8-1);
signal OPB_beXfer : std_logic;
signal OPB_beAck : std_logic;
signal OPB_busLock : std_logic;
signal OPB_rdDBus : std_logic_vector(0 to OPB_DWIDTH-1);
signal OPB_wrDBus : std_logic_vector(0 to OPB_DWIDTH-1);
signal OPB_DBus : std_logic_vector(0 to OPB_DWIDTH-1);
signal OPB_errAck : std_logic;
signal OPB_dwAck : std_logic;
signal OPB_dwXfer : std_logic;
signal OPB_fwAck : std_logic;
signal OPB_fwXfer : std_logic;
signal OPB_hwAck : std_logic;
signal OPB_hwXfer : std_logic;
signal OPB_MGrant : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OPB_pendReq : std_logic_vector(0 to OPB_NUM_MASTERS-1);
signal OPB_retry : std_logic;
signal OPB_RNW : std_logic;
signal OPB_select : std_logic;
signal OPB_seqAddr : std_logic;
signal OPB_timeout : std_logic;
signal OPB_toutSup : std_logic;
signal OPB_xferAck : std_logic;
-- Signals for the PLB2OPB bridge
PLB_Rst : std_logic; -- unused input
PLB_Clk : std_logic;
OPB_Rst : std_logic;
OPB_Clk : std_logic;
Bus_Error_Det : std_logic;
BGI_Trans_Abort : std_logic;
PLB_abort : std_logic;
PLB_ABus : std_logic_vector (0 to C_PLB_AWIDTH-1);
PLB_BE : std_logic_vector (0 to C_PLB_DWIDTH/8-1);
PLB_busLock : std_logic;
PLB_compress : std_logic;
PLB_guarded : std_logic;
PLB_lockErr : std_logic;
PLB_masterID : std_logic_vector (0 to C_PLB_MID_WIDTH-1);
PLB_MSize : std_logic_vector (0 to 1);
PLB_ordered : std_logic;
PLB_PAValid : std_logic;
PLB_RNW : std_logic;
PLB_size : std_logic_vector (0 to 3);
PLB_type : std_logic_vector (0 to 2);
BGO_addrAck : std_logic;
BGO_MBusy : std_logic_vector (0 to C_PLB_NUM_MASTERS-1);
BGO_MErr : std_logic_vector (0 to C_PLB_NUM_MASTERS-1);
BGO_rearbitrate : std_logic;
BGO_SSize : std_logic_vector (0 to 1);
BGO_wait : std_logic;
PLB_rdPrim : std_logic;
PLB_SAValid : std_logic;
PLB_wrPrim : std_logic;
PLB_wrBurst : std_logic;
PLB_wrDBus : std_logic_vector (0 to C_PLB_DWIDTH-1);
BGO_wrBTerm : std_logic;
BGO_wrComp : std_logic;
BGO_wrDAck : std_logic;
PLB_rdBurst : std_logic;
BGO_rdBTerm : std_logic;
BGO_rdComp : std_logic;
BGO_rdDAck : std_logic;
BGO_rdDBus : std_logic_vector (0 to C_PLB_DWIDTH-1);
BGO_rdWdAddr : std_logic_vector (0 to 3) ;
OPB_DBus : std_logic_vector (0 to C_OPB_DWIDTH-1);
OPB_errAck : std_logic;
OPB_MnGrant : std_logic;
OPB_retry : std_logic;
OPB_timeout : std_logic;
OPB_xferAck : std_logic;
BGO_ABus : std_logic_vector (0 to C_OPB_AWIDTH-1);
BGO_BE : std_logic_vector (0 to C_OPB_DWIDTH/8-1) ;
BGO_busLock : std_logic;
BGO_DBus : std_logic_vector (0 to C_OPB_DWIDTH-1);
BGO_request : std_logic;
BGO_RNW : std_logic;
BGO_select : std_logic;
BGO_seqAddr : std_logic;
DCR_ABus : std_logic_vector (0 to C_DCR_AWIDTH-1);
DCR_DBus : std_logic_vector (0 to C_DCR_DWIDTH-1);
DCR_Read : std_logic;
DCR_Write : std_logic;
BGO_dcrAck : std_logic;
BGO_dcrDBus : std_logic_vector (0 to C_DCR_DWIDTH-1);
PLB2OPB_rearb : std_logic
-- Signals for the HWTI
signal tid : std_logic_vector(0 to 7);
signal arg : std_logic_vector(0 to 31);
signal opgo : std_logic;
signal opcode : std_logic_vector(0 to 7);
signal oparg : std_logic_vector(0 to 31);
signal opack : std_logic;
signal operr : std_logic;
signal opres : std_logic_vector(0 to 31);
begin
ihwti : entity plb_hwti_v1_00_a.plb_hwti
generic map
(
C_MANAG_BASEADDR => x"00000000",
C_SCHED_BASEADDR => x"00000000",
C_MUTEX_BASEADDR => x"00000000",
C_CONDV_BASEADDR => x"00000000",
C_BASEADDR => X"FFFFFFFF",
C_HIGHADDR => X"00000000",
C_PLB_AWIDTH => PLB_AWIDTH,
C_PLB_DWIDTH => PLB_DWIDTH,
C_PLB_NUM_MASTERS => PLB_NUM_MASTERS,
C_PLB_MID_WIDTH => PLB_MID_WIDTH
)
port map
(
tid => tid,
arg => arg,
opgo => opgo,
opcode => opcode,
oparg => oparg,
opack => opack,
operr => operr,
opres => opres,
PLB_Clk => PLB_Clk,
PLB_Rst => PLB_Rst,
Sl_addrAck => Sl_addrAck(0),
Sl_MBusy => Sl_MBusy(0*PLB_NUM_MASTERS to 1*PLB_NUM_MASTERS-1),
Sl_MErr => Sl_MErr(0*PLB_NUM_MASTERS to 1*PLB_NUM_MASTERS-1),
Sl_rdBTerm => Sl_rdBTerm(0),
Sl_rdComp => Sl_rdComp(0),
Sl_rdDAck => Sl_rdDAck(0),
Sl_rdDBus => Sl_rdDBus(0*PLB_DWIDTH to 1*PLB_DWIDTH-1),
Sl_rdWdAddr => Sl_rdWdAddr(0*4 to 1*4-1),
Sl_rearbitrate => Sl_rearbitrate(0),
Sl_SSize => Sl_SSize(0*2 to 1*2-1),
Sl_wait => Sl_wait(0),
Sl_wrBTerm => Sl_wrBTerm(0),
Sl_wrComp => Sl_wrComp(0),
Sl_wrDAck => SL_wrDAck(0),
PLB_abort => PLB_abort,
PLB_ABus => PLB_ABus,
PLB_BE => PLB_BE,
PLB_busLock => PLB_busLock,
PLB_compress => PLB_compress,
PLB_guarded => PLB_guarded,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_ordered => PLB_ordered,
PLB_PAValid => PLB_PAValid,
PLB_pendPri => PLB_pendPri,
PLB_pendReq => PLB_pendReq,
PLB_rdBurst => PLB_rdBurst,
PLB_rdPrim => PLB_rdPrim,
PLB_reqPri => PLB_reqPri,
PLB_RNW => PLB_RNW,
PLB_SAValid => PLB_SAValid,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
PLB_wrPrim => PLB_wrPrim,
M_abort => M_abort(0),
M_ABus => M_ABus(0*PLB_AWIDTH to 1*PLB_AWIDTH-1),
M_BE => M_BE(0*(PLB_DWIDTH/8) to 1*(PLB_DWIDTH/8)-1),
M_busLock => M_busLock(0),
M_compress => M_compress(0),
M_guarded => M_guarded(0),
M_lockErr => M_lockErr(0),
M_MSize => M_MSize(0*2 to 1*2-1),
M_ordered => M_ordered(0),
M_priority => M_priority(0*2 to 1*2-1),
M_rdBurst => M_rdBurst(0),
M_request => M_request(0),
M_RNW => M_RNW(0),
M_size => M_size(0*4 to 1*4-1),
M_type => M_type(0*3 to 1*3-1),
M_wrBurst => M_wrBurst(0),
M_wrDBus => M_wrDBus(0*PLB_DWIDTH to 1*PLB_DWIDTH-1),
PLB_MBusy => PLB_MBusy(0),
PLB_MErr => PLB_MErr(0),
PLB_MWrBTerm => PLB_MWrBTerm(0),
PLB_MWrDAck => PLB_MWrDAck(0),
PLB_MAddrAck => PLB_MAddrAck(0),
PLB_MRdBTerm => PLB_MRdBTerm(0),
PLB_MRdDAck => PLB_MRdDAck(0),
PLB_MRdDBus => PLB_MRdDBus(0*PLB_DWIDTH to 1*PLB_DWIDTH-1),
PLB_MRdWdAddr => PLB_MRdWdAddr(0*4 to 1*4-1),
PLB_MRearbitrate => PLB_MRearbitrate(0),
PLB_MSSize => PLB_MSSize(0*2 to 1*2-1)
);
iplb : entity plb_v34_v1_02_a.plb_v34
generic map
(
C_PLB_NUM_MASTERS => PLB_NUM_MASTERS,
C_PLB_NUM_SLAVES => PLB_NUM_SLAVES,
C_PLB_MID_WIDTH => PLB_MID_WIDTH,
C_PLB_AWIDTH => PLB_AWIDTH,
C_PLB_DWIDTH => PLB_DWIDTH,
C_DCR_INTFCE => 0,
C_BASEADDR => "1111111111",
C_HIGHADDR => "0000000000",
C_DCR_AWIDTH => DCR_AWIDTH,
C_DCR_DWIDTH => DCR_DWIDTH,
C_EXT_RESET_HIGH => 1,
C_IRQ_ACTIVE => '1',
C_NUM_OPBCLK_PLB2OPB_REARB => 25
)
port map
(
DCR_ABus => DCR_ABus,
DCR_DBus => DCR_DBus,
DCR_Read => DCR_Read,
DCR_Write => DCR_Write,
PLB_dcrAck => PLB_dcrAck,
PLB_dcrDBus => PLB_dcrDBus,
M_ABus => M_ABus,
M_BE => M_BE,
M_RNW => M_RNW,
M_abort => M_abort,
M_busLock => M_busLock,
M_compress => M_compress,
M_guarded => M_guarded,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_ordered => M_ordered,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
PLB_ABus => PLB_ABus,
PLB_BE => PLB_BE,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MBusy => PLB_MBusy,
PLB_MErr => PLB_MErr,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MSSize => PLB_MSSize,
PLB_PAValid => PLB_PAValid,
PLB_RNW => PLB_RNW,
PLB_SAValid => PLB_SAValid,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_compress => PLB_compress,
PLB_guarded => PLB_guarded,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_ordered => PLB_ordered,
PLB_pendPri => PLB_pendPri,
PLB_pendReq => PLB_pendReq,
PLB_rdBurst => PLB_rdBurst,
PLB_rdPrim => PLB_rdPrim,
PLB_reqPri => PLB_reqPri,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
PLB_wrPrim => PLB_wrPrim,
Sl_addrAck => Sl_addrAck,
Sl_MErr => Sl_MErr,
Sl_MBusy => Sl_MBusy,
Sl_rdBTerm => Sl_rdBTerm,
Sl_rdComp => Sl_rdComp,
Sl_rdDAck => Sl_rdDAck,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rearbitrate => Sl_rearbitrate,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_wrBTerm => Sl_wrBTerm,
Sl_wrComp => Sl_wrComp,
Sl_wrDAck => Sl_wrDAck,
PLB_SaddrAck => PLB_SaddrAck,
PLB_SMErr => PLB_SMErr,
PLB_SMBusy => PLB_SMBusy,
PLB_SrdBTerm => PLB_SrdBTerm,
PLB_SrdComp => PLB_SrdComp,
PLB_SrdDAck => PLB_SrdDAck,
PLB_SrdDBus => PLB_SrdDBus,
PLB_SrdWdAddr => PLB_SrdWdAddr,
PLB_Srearbitrate => PLB_Srearbitrate,
PLB_Sssize => PLB_Sssize,
PLB_Swait => PLB_Swait,
PLB_SwrBTerm => PLB_SwrBTerm,
PLB_SwrComp => PLB_SwrComp,
PLB_SwrDAck => PLB_SwrDAck,
PLB2OPB_rearb => PLB2OPB_rearb,
ArbAddrVldReg => ArbAddrVldReg,
SYS_Rst => SYS_Rst,
Bus_Error_Det => Bus_Error_Det,
PLB_Rst => PLB_Rst,
PLB_Clk => PLB_Clk
);
iopb : entity opb_v20_v1_10_c.opb_v20
generic map
(
C_OPB_AWIDTH => OPB_AWIDTH,
C_OPB_DWIDTH => OPB_DWIDTH,
C_NUM_MASTERS => OPB_NUM_MASTERS,
C_NUM_SLAVES => OPB_NUM_SLAVES,
C_USE_LUT_OR => 0,
C_EXT_RESET_HIGH => 1,
C_BASEADDR => x"10000000",
C_HIGHADDR => x"100001FF",
C_DYNAM_PRIORITY => 1,
C_PARK => 1,
C_PROC_INTRFCE => 1,
C_REG_GRANTS => 1,
C_DEV_BLK_ID => 0,
C_DEV_MIR_ENABLE => 0
)
port map
(
SYS_Rst => SYS_Rst,
Debug_SYS_Rst => Debug_SYS_Rst,
WDT_Rst => WDT_Rst,
OPB_Clk => OPB_Clk,
OPB_Rst => OPB_Rst,
M_ABus => OM_ABus,
M_BE => OM_BE,
M_beXfer => OM_beXfer,
M_busLock => OM_busLock,
M_DBus => OM_DBus,
M_DBusEn => OM_DBusEn,
M_DBusEn32_63 => OM_DBusEn32_63,
M_dwXfer => OM_dwXfer,
M_fwXfer => OM_fwXfer,
M_hwXfer => OM_hwXfer,
M_request => OM_request,
M_RNW => OM_RNW,
M_select => OM_select,
M_seqAddr => OM_seqAddr,
Sl_beAck => OSl_beAck,
Sl_DBus => OSl_DBus,
Sl_DBusEn => OSl_DBusEn,
Sl_DBusEn32_63 => OSl_DBusEn32_63,
Sl_errAck => OSl_errAck,
Sl_dwAck => OSl_dwAck,
Sl_fwAck => OSl_fwAck,
Sl_hwAck => OSl_hwAck,
Sl_retry => OSl_retry,
Sl_toutSup => OSl_toutSup,
Sl_xferAck => OSl_xferAck,
OPB_MRequest => OPB_MRequest,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_beXfer => OPB_beXfer,
OPB_beAck => OPB_beAck,
OPB_busLock => OPB_busLock,
OPB_rdDBus => OPB_rdDBus,
OPB_wrDBus => OPB_wrDBus,
OPB_DBus => OPB_DBus,
OPB_errAck => OPB_errAck,
OPB_dwAck => OPB_dwAck,
OPB_dwXfer => OPB_dwXfer,
OPB_fwAck => OPB_fwAck,
OPB_fwXfer => OPB_fwXfer,
OPB_hwAck => OPB_hwAck,
OPB_hwXfer => OPB_hwXfer,
OPB_MGrant => OPB_MGrant,
OPB_pendReq => OPB_pendReq,
OPB_retry => OPB_retry,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_seqAddr => OPB_seqAddr,
OPB_timeout => OPB_timeout,
OPB_toutSup => OPB_toutSup,
OPB_xferAck => OPB_xferAck
);
iplb2opb : entity plb2opb_bridge_v1_01_a.plb2opb_bridge
generic map
(
C_NO_PLB_BURST => 0,
C_DCR_INTFCE => 0,
C_NUM_ADDR_RNG => 1,
C_RNG0_BASEADDR => x"00000000";
C_RNG0_HIGHADDR => x"0003FFFF";
C_RNG1_BASEADDR => x"00000000";
C_RNG1_HIGHADDR => x"00000000";
C_RNG2_BASEADDR => x"00000000";
C_RNG2_HIGHADDR => x"00000000";
C_RNG3_BASEADDR => x"00000000";
C_RNG3_HIGHADDR => x"00000000";
C_PLB_AWIDTH => PLB_AWIDTH,
C_PLB_DWIDTH => PLB_DWIDTH,
C_PLB_NUM_MASTERS => PLB_NUM_MASTERS,
C_PLB_MID_WIDTH => PLB_MID_WIDTH,
C_OPB_AWIDTH => OPB_AWIDTH,
C_OPB_DWIDTH => OPB_DWIDTH,
C_DCR_BASEADDR => "0000000000",
C_DCR_HIGHADDR => "0000000111",
C_DCR_AWIDTH => DCR_AWIDTH,
C_DCR_DWIDTH => DCR_DWIDTH
)
port map
(
PLB_Rst => PLB_Rst,
PLB_Clk => PLB_Clk,
OPB_Rst => OPB_Rst,
OPB_Clk => OPB_Clk,
Bus_Error_Det => Bus_Error_Det,
BGI_Trans_Abort => BGI_Trans_Abort,
PLB_abort => PLB_abort,
PLB_ABus => PLB_ABus,
PLB_BE => PLB_BE,
PLB_busLock => PLB_busLock,
PLB_compress => PLB_compress,
PLB_guarded => PLB_guarded,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_ordered => PLB_ordered,
PLB_PAValid => PLB_PAValid,
PLB_RNW => PLB_RNW,
PLB_size => PLB_size,
PLB_type => PLB_type,
BGO_addrAck => BGO_addrAck,
BGO_MBusy => BGO_MBusy,
BGO_MErr => BGO_MErr,
BGO_rearbitrate => BGO_rearbitrate,
BGO_SSize => BGO_SSize,
BGO_wait => BGO_wait,
PLB_rdPrim => PLB_rdPrim,
PLB_SAValid => PLB_SAValid,
PLB_wrPrim => PLB_wrPrim,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
BGO_wrBTerm => BGO_wrBTerm,
BGO_wrComp => BGO_wrComp,
BGO_wrDAck => BGO_wrDAck,
PLB_rdBurst => PLB_rdBurst,
BGO_rdBTerm => BGO_rdBTerm,
BGO_rdComp => BGO_rdComp,
BGO_rdDAck => BGO_rdDAck,
BGO_rdDBus => BGO_rdDBus,
BGO_rdWdAddr => BGO_rdWdAddr,
OPB_DBus => OPB_DBus,
OPB_errAck => OPB_errAck,
OPB_MnGrant => OPB_MnGrant,
OPB_retry => OPB_retry,
OPB_timeout => OPB_timeout,
OPB_xferAck => OPB_xferAck,
BGO_ABus => BGO_ABus,
BGO_BE => BGO_BE,
BGO_busLock => BGO_busLock,
BGO_DBus => BGO_DBus,
BGO_request => BGO_request,
BGO_RNW => BGO_RNW,
BGO_select => BGO_select,
BGO_seqAddr => BGO_seqAddr,
DCR_ABus => DCR_ABus,
DCR_DBus => DCR_DBus,
DCR_Read => DCR_Read,
DCR_Write => DCR_Write,
BGO_dcrAck => BGO_dcrAck,
BGO_dcrDBus => BGO_dcrDBus,
PLB2OPB_rearb => PLB2OPB_rearb
);
end behavioral;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/watchdog_timer.vhd
|
3
|
12783
|
-------------------------------------------------------------------------------
-- $Id: watchdog_timer.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- watchdog_timer.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: watchdog_timer.vhd
-- Version: v1.02e
-- Description:
-- This file contains the watchdog timer and generates the
-- OPB_timeout signal if OPB_retry, OPB_xferAck, or
-- OPB_toutSup are not asserted within 15 clock cycles after
-- OPB_select is asserted.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- opb_arbiter.vhd
-- --opb_arbiter_core.vhd
-- -- ipif_regonly_slave.vhd
-- -- priority_register_logic.vhd
-- -- priority_reg.vhd
-- -- onehot2encoded.vhd
-- -- or_bits.vhd
-- -- control_register.vhd
-- -- arb2bus_data_mux.vhd
-- -- mux_onehot.vhd
-- -- or_bits.vhd
-- -- watchdog_timer.vhd
-- -- arbitration_logic.vhd
-- -- or_bits.vhd
-- -- park_lock_logic.vhd
-- -- or_bits.vhd
-- -- or_gate.vhd
-- -- or_muxcy.vhd
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 08/28/01 -- Version 1.01a creation to include IPIF v1.22a
-- ALS 10/04/01 -- Version 1.02a creation to include IPIF v1.23a
-- ALS 11/27/01
-- ^^^^^^
-- Version 1.02b created to fix registered grant problem.
-- ~~~~~~
-- ALS 01/26/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- ~~~~~~
-- ALS 01/09/03
-- ^^^^^^
-- Created version 1.02d to register OPB_timeout to improve timing
-- Registered Opb_timeout, therefore OPB_XferAck, OPB_Retry, and OPB_toutSup MUST
-- be asserted in 15 clocks instead of 16
-- ~~~~~~
-- bsbrao 09/27/04
-- ^^^^^^
-- Created version 1.02e to upgrade IPIF from opb_ipif_v1_23_a to
-- opb_ipif_v3_01_a
-- ~~~~~~
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.STD_LOGIC_ARITH.all;
--Package file that contains constant definition for RESET_ACTIVE
--and OPB_TIMEOUT_CNT
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.opb_arb_pkg.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No Generics were used for this Entity
--
-- -- OPB Interface Signals
-- input OPB_select; -- master select
-- input OPB_xferAck; -- slave transfer acknowledge
-- input OPB_retry; -- slave retry
-- input OPB_toutSup; -- slave timeout suppress
-- output OPB_timeout; -- timeout asserted OPB_TIMEOUT_CNT
-- -- clocks after OPB_select asserts
-- -- Clock and Reset
-- input Clk;
-- input Rst;
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity watchdog_timer is
port (
OPB_select : in std_logic;
OPB_xferAck : in std_logic;
OPB_retry : in std_logic;
OPB_toutSup : in std_logic;
OPB_timeout : out std_logic;
Clk : in std_logic;
Rst : in std_logic
);
end watchdog_timer;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of watchdog_timer is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- Constants used in this design are found in opb_arbiter_pkg.vhd
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal timeout_cnt : unsigned(0 to 3 ); -- output from counter
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- WATCHDOG_TIMER_PROCESS
-------------------------------------------------------------------------------
-- This process counts clocks after OPB_select is asserted while OPB_xferAck
-- and OPB_retry are negated. The assertion of OPB_toutSup suspends the counter.
-------------------------------------------------------------------------------
WATCHDOG_TIMER_PROCESS:process (Clk, Rst, OPB_select, OPB_retry, OPB_xferAck,
OPB_toutSup, timeout_cnt)
begin
if Clk'event and Clk = '1' then
-- active high, synchronous reset
if Rst = RESET_ACTIVE then
timeout_cnt <= (others => '0');
elsif OPB_select = '1' and OPB_retry = '0' and OPB_xferAck = '0' then
-- Enable timeout counter once OPB_select asserts
-- and OPB_retry and OPB_xferAck are negated.
-- Reset counter if either OPB_retry or
-- OPB_xferAck assert while OPB_select
-- is asserted
if OPB_toutSup = '0' then
timeout_cnt <= timeout_cnt + 1;
else
timeout_cnt <= timeout_cnt;
end if;
else
timeout_cnt <= (others => '0');
end if;
end if;
end process;
-------------------------------------------------------------------------------
-- TIMEOUT_PROCESS
-------------------------------------------------------------------------------
-- This process asserts the OPB_timeout signal when the output of the watchdog
-- timer is OPB_TIMEOUTCNT-2 (0-14=15 clocks) and OPB_toutSup is negated.
-- OPB_timeout is registered to improve FPGA implementation timing
-------------------------------------------------------------------------------
TIMEOUT_PROCESS:process (Clk,Rst)
begin -- process
-- Assert OPB_timeout OPB_TIMEOUT_CNT-2 clocks
-- after OPB_select asserts if OPB_toutSup is negated
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
OPB_Timeout <= '0';
elsif timeout_cnt = OPB_TIMEOUT_CNT -2 and OPB_toutSup = '0' and
OPB_select = '1' and OPB_retry = '0' and OPB_xferAck = '0'then
OPB_timeout <= '1';
else
OPB_timeout <= '0';
end if;
end if;
end process;
end implementation;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/proc_common_pkg.vhd
|
3
|
18158
|
-------------------------------------------------------------------------------
-- $Id: proc_common_pkg.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- Processor Common Library Package
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: proc_common_pkg.vhd
-- Version: v1.21b
-- Description: This file contains the constants and functions used in the
-- processor common library components.
--
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 09/12/01 -- Created from opb_arb_pkg.vhd
--
-- ALS 09/21/01
-- ^^^^^^
-- Added pwr function. Replaced log2 function with one that works for XST.
-- ~~~~~~
--
-- ALS 12/07/01
-- ^^^^^^
-- Added Addr_bits function.
-- ~~~~~~
-- ALS 01/31/02
-- ^^^^^^
-- Added max2 function.
-- ~~~~~~
-- FLO 02/22/02
-- ^^^^^^
-- Extended input argument range of log2 function to 2^30. Also, added
-- a check that the argument does not exceed this value; a failure
-- assertion violation is generated if it does not.
-- ~~~~~~
-- FLO 08/31/06
-- ^^^^^^
-- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and
-- Get_RLOC_Name. These objects are not used. Further, the functions
-- produced misleading warnings (CR419886, CR419898).
-- ~~~~~~
-- FLO 05/25/07
-- ^^^^^^
-- -Reimplemented function pad_power2 to correct error when the input
-- argument is 1. (fixes CR 303469)
-- -Added function clog2(x), which returns the integer ceiling of the
-- base 2 logarithm of x. This function can be used in place of log2
-- when wishing to avoid the XST warning, "VHDL Assertion Statement
-- with non constant condition is ignored".
-- ~~~~~~
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- need conversion function to convert reals/integers to std logic vectors
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package proc_common_pkg is
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type CHAR_TO_INT_TYPE is array (character) of integer;
-- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer;
-- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63);
-------------------------------------------------------------------------------
-- Function and Procedure Declarations
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer;
function min2 (num1, num2 : integer) return integer;
function Addr_Bits(x,y : std_logic_vector) return integer;
function clog2(x : positive) return natural;
function pad_power2 ( in_num : integer ) return integer;
function pad_4 ( in_num : integer ) return integer;
function log2(x : natural) return integer;
function pwr(x: integer; y: integer) return integer;
function String_To_Int(S : string) return integer;
function itoa (int : integer) return string;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- the RESET_ACTIVE constant should denote the logic level of an active reset
constant RESET_ACTIVE : std_logic := '1';
-- table containing strings representing hex characters for conversion to
-- integers
constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE :=
('0' => 0,
'1' => 1,
'2' => 2,
'3' => 3,
'4' => 4,
'5' => 5,
'6' => 6,
'7' => 7,
'8' => 8,
'9' => 9,
'A'|'a' => 10,
'B'|'b' => 11,
'C'|'c' => 12,
'D'|'d' => 13,
'E'|'e' => 14,
'F'|'f' => 15,
others => -1);
end proc_common_pkg;
package body proc_common_pkg is
-------------------------------------------------------------------------------
-- Function Definitions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Function max2
--
-- This function returns the greater of two numbers.
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer is
begin
if num1 >= num2 then
return num1;
else
return num2;
end if;
end function max2;
-------------------------------------------------------------------------------
-- Function min2
--
-- This function returns the lesser of two numbers.
-------------------------------------------------------------------------------
function min2 (num1, num2 : integer) return integer is
begin
if num1 <= num2 then
return num1;
else
return num2;
end if;
end function min2;
-------------------------------------------------------------------------------
-- Function Addr_bits
--
-- function to convert an address range (base address and an upper address)
-- into the number of upper address bits needed for decoding a device
-- select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits(x,y : std_logic_vector) return integer is
variable addr_xor : std_logic_vector(x'range);
variable count : integer := 0;
begin
assert x'length = y'length and (x'ascending xnor y'ascending)
report "Addr_Bits: arguments are not the same type"
severity ERROR;
addr_xor := x xor y;
for i in x'range
loop
if addr_xor(i) = '1' then return count;
end if;
count := count + 1;
end loop;
return x'length;
end Addr_Bits;
--------------------------------------------------------------------------------
-- Function clog2 - returns the integer ceiling of the base 2 logarithm of x,
-- i.e., the least integer greater than or equal to log2(x).
--------------------------------------------------------------------------------
function clog2(x : positive) return natural is
variable r : natural := 0;
variable rp : natural := 1; -- rp tracks the value 2**r
begin
while rp < x loop -- Termination condition T: x <= 2**r
-- Loop invariant L: 2**(r-1) < x
r := r + 1;
if rp > integer'high - rp then exit; end if; -- If doubling rp overflows
-- the integer range, the doubled value would exceed x, so safe to exit.
rp := rp + rp;
end loop;
-- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r
return r; --
end clog2;
-------------------------------------------------------------------------------
-- Function pad_power2
--
-- This function returns the next power of 2 from the input number. If the
-- input number is a power of 2, this function returns the input number.
--
-- This function is used to round up the number of masters to the next power
-- of 2 if the number of masters is not already a power of 2.
--
-- Input argument 0, which is not a power of two, is accepted and returns 0.
-- Input arguments less than 0 are not allowed.
-------------------------------------------------------------------------------
--
function pad_power2 (in_num : integer ) return integer is
begin
if in_num = 0 then
return 0;
else
return 2**(clog2(in_num));
end if;
end pad_power2;
-------------------------------------------------------------------------------
-- Function pad_4
--
-- This function returns the next multiple of 4 from the input number. If the
-- input number is a multiple of 4, this function returns the input number.
--
-------------------------------------------------------------------------------
--
function pad_4 (in_num : integer ) return integer is
variable out_num : integer;
begin
out_num := (((in_num-1)/4) + 1)*4;
return out_num;
end pad_4;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
--
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
return i;
end if;
end function log2;
-------------------------------------------------------------------------------
-- Function pwr -- x**y
-- negative numbers not allowed for y
-------------------------------------------------------------------------------
function pwr(x: integer; y: integer) return integer is
variable z : integer := 1;
begin
if y = 0 then return 1;
else
for i in 1 to y loop
z := z * x;
end loop;
return z;
end if;
end function pwr;
-------------------------------------------------------------------------------
-- Function itoa
--
-- The itoa function converts an integer to a text string.
-- This function is required since `image doesn't work in Synplicity
-- Valid input range is -9999 to 9999
-------------------------------------------------------------------------------
--
function itoa (int : integer) return string is
type table is array (0 to 9) of string (1 to 1);
constant LUT : table :=
("0", "1", "2", "3", "4", "5", "6", "7", "8", "9");
variable str1 : string(1 to 1);
variable str2 : string(1 to 2);
variable str3 : string(1 to 3);
variable str4 : string(1 to 4);
variable str5 : string(1 to 5);
variable abs_int : natural;
variable thousands_place : natural;
variable hundreds_place : natural;
variable tens_place : natural;
variable ones_place : natural;
variable sign : integer;
begin
abs_int := abs(int);
if abs_int > int then sign := -1;
else sign := 1;
end if;
thousands_place := abs_int/1000;
hundreds_place := (abs_int-thousands_place*1000)/100;
tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10;
ones_place :=
(abs_int-thousands_place*1000-hundreds_place*100-tens_place*10);
if sign>0 then
if thousands_place>0 then
str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) &
LUT(ones_place);
return str4;
elsif hundreds_place>0 then
str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str3;
elsif tens_place>0 then
str2 := LUT(tens_place) & LUT(ones_place);
return str2;
else
str1 := LUT(ones_place);
return str1;
end if;
else
if thousands_place>0 then
str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) &
LUT(tens_place) & LUT(ones_place);
return str5;
elsif hundreds_place>0 then
str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str4;
elsif tens_place>0 then
str3 := "-" & LUT(tens_place) & LUT(ones_place);
return str3;
else
str2 := "-" & LUT(ones_place);
return str2;
end if;
end if;
end itoa;
-----------------------------------------------------------------------------
-- Function String_To_Int
--
-- Converts a string of hex character to an integer
-- accept negative numbers
-----------------------------------------------------------------------------
function String_To_Int(S : String) return Integer is
variable Result : integer := 0;
variable Temp : integer := S'Left;
variable Negative : integer := 1;
begin
for I in S'Left to S'Right loop
-- ASCII value - 42 TBD
if (S(I) = '-') then
Temp := 0;
Negative := -1;
else
Temp := STRHEX_TO_INT_TABLE(S(I));
if (Temp = -1) then
assert false
report "Wrong value in String_To_Int conversion " & S(I)
severity error;
end if;
end if;
Result := Result * 16 + Temp;
end loop;
return (Negative * Result);
end String_To_Int;
end package body proc_common_pkg;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/direct_path_cntr_ai.vhd
|
3
|
11437
|
--ENTITY_TAG
-------------------------------------------------------------------------------
-- $Id: direct_path_cntr_ai.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $
-------------------------------------------------------------------------------
-- direct_path_cntr_ai.vhd - entity/arch
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: direct_path_cntr_ai.vhd
--
-- Description: Direct-path counter with arbitrary increment.
--
-- This is an up counter with a combinatorial direct pass-
-- through mode. The passed-through value also serves as
-- the initial "loaded" value when the counter switches to
-- count mode. In pass-though mode, Dout <= Din.
--
-- The mode is controlled by two signals, Load_n and Cnt_en.
-- The counter is in direct pass-through mode any time Load_n
-- is true (low) and up to the first cycle where Cnt_en is
-- true after Load_n goes false. When Load_n = '1' (load
-- disabled) Dout increments by Delta each time Cnt_en is
-- true at the positive edge of Clk.
--
-- The implementation has a one-LUT delay from Din to Dout
-- (via the XORCY) in direct pass-through mode and the same
-- delay plus carry-chain propogation in count mode. There
-- is an additional LUT delay (added to the Din to Dout
-- delay) from the Load_n input or from the clock edge that
-- puts the counter into count mode.
-------------------------------------------------------------------------------
-- Structure: direct_path_cntr_ai.vhd
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 12/02/2003 -- First version derived from
-- direct_path_cntr.vhd
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity direct_path_cntr_ai is
generic (
C_WIDTH : natural := 8
);
port (
Clk : in std_logic;
Din : in std_logic_vector(0 to C_WIDTH-1);
Dout : out std_logic_vector(0 to C_WIDTH-1);
Load_n : in std_logic;
Cnt_en : in std_logic;
Delta : in std_logic_vector(0 to C_WIDTH-1)
);
end direct_path_cntr_ai;
library unisim;
use unisim.vcomponents.all;
architecture imp of direct_path_cntr_ai is
signal q_i,
lut_out,
q_i_ns : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
signal sel_cntr : std_logic;
signal sel_cntr_and_Load_n : std_logic; -- AND of sel_cntr and Load_n
signal mdelta : std_logic_vector(0 to Delta'length-1); -- "My delta"
-- Delta, adjusted to assure ascending range referenced from zero.
begin
mdelta <= Delta;
----------------------------------------------------------------------------
-- Load_n takes effect combinatorially, causing Dout to be directly driven
-- from Din when Load_n is asserted. When Load_n is not asserted, then the
-- first clocking of asserted Cnt_en switches modes so that Dout is driven
-- by the register value plus one. The value of Dout is clocked into the
-- register with each Cnt_en, thus realizing the counting behavior.
-- The combinatorial override of Load_n takes place in the LUT and covers
-- the cycle that it takes for the mode to recover (since the mode FF has a
-- synchronous reset). Use of an asynchronous reset is rejected as an
-- option to avoid the requirement that Load_n be generated glitch free.
----------------------------------------------------------------------------
I_MODE_SELECTION : process(Clk)
begin
if Clk'event and Clk='1' then
if Load_n = '0' then
sel_cntr <= '0';
elsif Cnt_en = '1' then
sel_cntr <= '1';
end if;
end if;
end process;
sel_cntr_and_Load_n <= sel_cntr and Load_n;
Dout <= q_i_ns;
cry(C_WIDTH) <= '0';
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation and MUXCY carry handling.
------------------------------------------------------------------------
DELTA_LUT_GEN: if j >= C_WIDTH-mdelta'length generate
signal gen_cry: std_logic;
begin
lut_out(j) <= q_i(j) xor mdelta(mdelta'length + j - C_WIDTH)
when (sel_cntr_and_Load_n)='1'
else
Din(j);
I_MULT_AND : MULT_AND
port map (
LO => gen_cry,
I1 => sel_cntr_and_Load_n,
I0 => q_i(j)
);
MUXCY_i1: MUXCY
port map (
DI => gen_cry,
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
--
--
NON_DELTA_LUT_GEN : if j < C_WIDTH-mdelta'length generate
begin
lut_out(j) <= q_i(j) when (sel_cntr_and_Load_n)='1' else Din(j);
MUXCY_i1: MUXCY
port map (
DI => '0',
CI => cry(j+1),
S => lut_out(j),
O => cry(j)
);
end generate;
------------------------------------------------------------------------
-- Apply the effect of carry in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lut_out(j),
CI => cry(j+1),
O => q_i_ns(j)
);
FDE_i1: FDE
port map (
Q => q_i(j),
C => Clk,
CE => Cnt_en,
D => q_i_ns(j)
);
end generate;
end imp;
|
bsd-3-clause
|
masson2013/heterogeneous_hthreads
|
src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/join_5.vhd
|
2
|
16797
|
---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: join_5.c
-- RETURN_VALUE 31
-- reg6 = * function
-- reg7 = thread
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- struct test_data * data = (struct test_data *) arg;
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of function
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
reg6_next <= intrfc2thrd_value;
next_state <= STATE_3;
-- hthread_create( &data->thread, NULL, data->function, NULL );
when STATE_3 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- push data->function
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg6;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
when STATE_5 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- push &data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"00000004";
next_state <= WAIT_STATE;
return_state_next <= STATE_7;
when STATE_7 =>
-- call hthread_create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_8;
next_state <= WAIT_STATE;
-- retVal = hthread_join( data->thread, NULL );
when STATE_8 =>
-- Load the value of data->thread
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"00000004";
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
reg7_next <= intrfc2thrd_value;
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_10;
when STATE_10 =>
-- push data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg7;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_12;
next_state <= WAIT_STATE;
when STATE_12 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
|
bsd-3-clause
|
arteymix/lmc
|
lmc.vhd
|
1
|
5195
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lmc is
port(
clk: in std_logic;
rst: in std_logic;
input: in signed(11 downto 0);
output: out signed(11 downto 0) := x"000";
input_warn: out std_logic;
output_warn: out std_logic;
halt_warn: out std_logic
);
end entity;
architecture lmc of lmc is
subtype word_t is std_logic_vector(11 downto 0);
subtype instruction_t is std_logic_vector(3 downto 0);
type memory_t is array(255 downto 0) of word_t;
constant HLT: instruction_t := x"0"; -- halt (coffee break)
constant ADD: instruction_t := x"1"; -- add
constant SUB: instruction_t := x"2";
constant STA: instruction_t := x"3";
constant NOP: instruction_t := x"4";
constant LDA: instruction_t := x"5";
constant BRA: instruction_t := x"6";
constant BRZ: instruction_t := x"7";
constant BRP: instruction_t := x"8";
constant IO: instruction_t := x"9"; -- 901 in, 902 out
signal memory: memory_t := (
0 => x"901",
1 => x"1FF",
2 => x"902",
3 => x"901",
4 => x"2FF",
5 => x"902",
6 => x"901",
7 => x"3F0",
8 => x"901",
9 => x"400",
10 => x"5F0",
11 => x"902",
12 => x"901",
13 => x"70C",
14 => x"5FE",
15 => x"902",
16 => x"901",
17 => x"810",
18 => x"5FD",
19 => x"902",
20 => x"600",
-- data
253 => x"00A", -- fd
254 => x"00B", -- fe
255 => x"005", -- ff
others => x"000"
);
signal ordinal_counter: unsigned(7 downto 0) := x"00";
signal accumulator: signed(11 downto 0) := x"000";
signal instruction_register: word_t := memory(to_integer(ordinal_counter));
signal instruction: instruction_t := instruction_register(11 downto 8);
signal address: unsigned(7 downto 0) := unsigned(instruction_register(7 downto 0));
begin
instruction_register <= memory(to_integer(ordinal_counter));
instruction <= instruction_register(11 downto 8);
address <= unsigned(instruction_register(7 downto 0));
-- output combinatory
input_warn <= '1' when instruction = IO and address = x"01" else '0';
output_warn <= '1' when instruction = IO and address = x"02" else '0';
halt_warn <= '1' when instruction = HLT else '0';
output <= accumulator when instruction = IO and address = x"02" else x"000";
process (clk, rst) is
begin
if rst = '0' then -- le reset est inversé avec KEY1
ordinal_counter <= x"00";
accumulator <= x"000";
elsif falling_edge(clk) then -- l'horloge est inversé avec KEY0
case instruction is
when HLT => -- terminate the program (counter will not increase)
report "Program halted." severity NOTE;
when ADD =>
accumulator <= accumulator + signed(memory(to_integer(address)));
ordinal_counter <= ordinal_counter + 1;
when SUB =>
accumulator <= accumulator - signed(memory(to_integer(address)));
ordinal_counter <= ordinal_counter + 1;
when LDA =>
accumulator <= signed(memory(to_integer(address)));
ordinal_counter <= ordinal_counter + 1;
when NOP =>
ordinal_counter <= ordinal_counter + 1;
when STA =>
memory(to_integer(address)) <= std_logic_vector(accumulator);
ordinal_counter <= ordinal_counter + 1;
when BRA =>
ordinal_counter <= address;
when BRZ =>
if accumulator = 0 then
ordinal_counter <= address;
else
ordinal_counter <= ordinal_counter + 1;
end if;
when BRP =>
if accumulator >= 0 then
ordinal_counter <= address;
else
ordinal_counter <= ordinal_counter + 1;
end if;
when IO =>
case address is
when x"01" => -- 901
accumulator <= input;
when x"02" => -- 902
null; -- gérée dans la combinatoire de l'output
when others =>
assert FALSE report "Illegal i/o instruction." severity ERROR;
end case;
ordinal_counter <= ordinal_counter + 1;
when others =>
assert FALSE report "Illegal instruction." severity ERROR;
end case;
end if;
end process;
end architecture;
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_17x16/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
|
12
|
103154
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pM5kbFKfO3wtGOXulGoIYDHxT/fNVMImb6qtomjOjHJTBKmaspVOVrLRvtERhMiVBq054LqIbCxm
omKrNl5YFg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U1nwoEVdavnV5XVUh1tYM89A8G7MJXy2rMRUKIK7FuJgaL4t5rCIdYkN1kpAUTpZsvG8VhYnoITf
gPx+ZnpNIDq89cJuuhnbNRexElQ35WHZNk3b6Ovt1Ac8FuCqk8LwCF1khosIaYp7BoOiCymgrmD+
jO8RHibk460SEDaEPgQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NeD7mL9dCdh6jQqPQe2Cm8mOVRJ7WUTNI60h+MOarPJlSGIW3YyeTFxAlCXG3Dbm5AZqyq/7D6Um
0dS3Sz44LEfv8kzilqYBNJj687fhrDd6nKWTLbhkImuyn5pqhBbzJ385okbxTHcuGgO/U2MuNghF
/4hyyRmJT69e8g8FPic=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q5RFAyJ3EnWo/Sxj9AJNS/u/nJ1a+topTW1E806I1FKJ5rh0sAqwjYvhfUB9DJ+MlgWSdovhM98k
M+7/SZuvkwd1k36lpXZY6i/uA1H8sfli1FI/2GH+4p4nE0BCNR4yF5NyLA4ni3SAAWDe+9UndYtb
WQ8eYNjF760IHQOpzF/U1O4FBcQr858C+AHKRTQB5MrLFEiZh0igcP08K0fyLcO6ksLh1OG/Tg5A
5LkjvMAndMWosRl1q7kbUtFhn9VB+3uHP/LaHYhxdIuz9zhl4DQszY2TxfAbm62SNrnuhtIHavzl
BZa0VmJLpxgeeo8oVCm7UnkA5ETNgVvzyvFgIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwwODyIxPJaOqhXk3C0LWzZuCnht+KQbdmaYIssVWSWWhiBF07SeDxq6+8BOr7/WUBLpmVlX3NtH
C00zRUWg3fv9+q551nW3Njbt7SBWFLR7OyHIoKABDgjBeBCamlQ9LGEF7UoB7iOBYKuSk8CJ5Udm
OlrI8xSrsS0TcuIGuxA48YK0xDfcZwjmgil2FD6Fy6tQ7WVikG8CrdbvV0lyfGl8jL2kEh7RtrHe
yqNYxXs2/yw4uWcKr/Sc2VNpCsKbLpxTGFpGsQo6x3y+Wjl/pOM5+YQ6Y7r73Tg8z1AUMiPNHjbu
BN9r3R4QnDeKCwqPV6cAMGb1yVk1rwQ2l9RTRQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bF3xMUaKQqhrxLNzJRa5Xkh+JjnAh7OKs1IKf7OJVEuWA+FAG7Pd9bdwjXk9SCFBt9wbhJwHypex
SmUI0F+QkOhBdUtcKiYRnLyHBON/t5sUzO4LgYA5oJSsAdgYJgwV52GzeJEq7PWjnv6o/r0kB3sZ
MFITpDKyLwateefaL3T4xlnTv+EJjBSdMAZDiSsaUwdBOF6F+v1TwQ/iFvvJtE727/b2gHvPCMWJ
SIvS/OTpuvIqDl5nL1w3hIWnI8CAMt+BNU6/+GH9mSyKZqAjRamfpR7JHsMaT/vDxA6WTG9+HvCO
klJ9KJ0Wm8Cjlce/XTiDMdmEqMYjADeB3kxoYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224)
`protect data_block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`protect end_protected
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.cache/ip/6d1e8401c16773dd/mul_16_32_sim_netlist.vhdl
|
1
|
1137957
| null |
bsd-3-clause
|
drhodes/jade2hdl
|
test-data/vhdl-examples/and.vhdl
|
1
|
341
|
library ieee;
use ieee.std_logic_1164.all;
entity example_and is
port (
input_1 : in std_logic;
input_2 : in std_logic;
and_result : out std_logic
);
end example_and;
architecture rtl of example_and is
signal and_gate : std_logic;
begin
and_gate <= input_1 and input_2;
and_result <= and_gate;
end rtl;
|
bsd-3-clause
|
JorisBolsens/PYNQ
|
Pynq-Z1/vivado/ip/rgb2dvi_v1_2/src/OutputSERDES.vhd
|
11
|
8366
|
-------------------------------------------------------------------------------
--
-- File: OutputSERDES.vhd
-- Author: Elod Gyorgy, Mihaita Nagy
-- Original Project: HDMI output on 7-series Xilinx FPGA
-- Date: 28 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module instantiates the Xilinx 7-series primitives necessary for
-- serializing the TMDS data stream.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity OutputSERDES is
Generic (
kParallelWidth : natural := 10); -- number of parallel bits
Port (
PixelClk : in STD_LOGIC; --TMDS clock x1 (CLKDIV)
SerialClk : in STD_LOGIC; --TMDS clock x5 (CLK)
--Encoded serial data
sDataOut_p : out STD_LOGIC;
sDataOut_n : out STD_LOGIC;
--Encoded parallel data (raw)
pDataOut : in STD_LOGIC_VECTOR (kParallelWidth-1 downto 0);
aRst : in STD_LOGIC);
end OutputSERDES;
architecture Behavioral of OutputSERDES is
signal sDataOut, ocascade1, ocascade2 : std_logic;
signal pDataOut_q : std_logic_vector(13 downto 0);
begin
-- Differential output buffer for TMDS I/O standard
OutputBuffer: OBUFDS
generic map (
IOSTANDARD => "TMDS_33")
port map (
O => sDataOut_p,
OB => sDataOut_n,
I => sDataOut);
-- Serializer, 10:1 (5:1 DDR), master-slave cascaded
SerializerMaster: OSERDESE2
generic map (
DATA_RATE_OQ => "DDR",
DATA_RATE_TQ => "SDR",
DATA_WIDTH => kParallelWidth,
TRISTATE_WIDTH => 1,
TBYTE_CTL => "FALSE",
TBYTE_SRC => "FALSE",
SERDES_MODE => "MASTER")
port map (
OFB => open, -- 1-bit output: Feedback path for data
OQ => sDataOut, -- 1-bit output: Data path output
-- SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each)
SHIFTOUT1 => open,
SHIFTOUT2 => open,
TBYTEOUT => open, -- 1-bit output: Byte group tristate
TFB => open, -- 1-bit output: 3-state control
TQ => open, -- 1-bit output: 3-state control
CLK => SerialClk, -- 1-bit input: High speed clock
CLKDIV => PixelClk, -- 1-bit input: Divided clock
-- D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each)
D1 => pDataOut_q(13),
D2 => pDataOut_q(12),
D3 => pDataOut_q(11),
D4 => pDataOut_q(10),
D5 => pDataOut_q(9),
D6 => pDataOut_q(8),
D7 => pDataOut_q(7),
D8 => pDataOut_q(6),
OCE => '1', -- 1-bit input: Output data clock enable
RST => aRst, -- 1-bit input: Reset
-- SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each)
SHIFTIN1 => ocascade1,
SHIFTIN2 => ocascade2,
-- T1 - T4: 1-bit (each) input: Parallel 3-state inputs
T1 => '0',
T2 => '0',
T3 => '0',
T4 => '0',
TBYTEIN => '0', -- 1-bit input: Byte group tristate
TCE => '0' -- 1-bit input: 3-state clock enable
);
SerializerSlave: OSERDESE2
generic map (
DATA_RATE_OQ => "DDR",
DATA_RATE_TQ => "SDR",
DATA_WIDTH => kParallelWidth,
TRISTATE_WIDTH => 1,
TBYTE_CTL => "FALSE",
TBYTE_SRC => "FALSE",
SERDES_MODE => "SLAVE")
port map (
OFB => open, -- 1-bit output: Feedback path for data
OQ => open, -- 1-bit output: Data path output
-- SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each)
SHIFTOUT1 => ocascade1,
SHIFTOUT2 => ocascade2,
TBYTEOUT => open, -- 1-bit output: Byte group tristate
TFB => open, -- 1-bit output: 3-state control
TQ => open, -- 1-bit output: 3-state control
CLK => SerialClk, -- 1-bit input: High speed clock
CLKDIV => PixelClk, -- 1-bit input: Divided clock
-- D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each)
D1 => '0',
D2 => '0',
D3 => pDataOut_q(5),
D4 => pDataOut_q(4),
D5 => pDataOut_q(3),
D6 => pDataOut_q(2),
D7 => pDataOut_q(1),
D8 => pDataOut_q(0),
OCE => '1', -- 1-bit input: Output data clock enable
RST => aRst, -- 1-bit input: Reset
-- SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each)
SHIFTIN1 => '0',
SHIFTIN2 => '0',
-- T1 - T4: 1-bit (each) input: Parallel 3-state inputs
T1 => '0',
T2 => '0',
T3 => '0',
T4 => '0',
TBYTEIN => '0', -- 1-bit input: Byte group tristate
TCE => '0' -- 1-bit input: 3-state clock enable
);
-------------------------------------------------------------
-- Concatenate the serdes inputs together. Keep the timesliced
-- bits together, and placing the earliest bits on the right
-- ie, if data comes in 0, 1, 2, 3, 4, 5, 6, 7, ...
-- the output will be 3210, 7654, ...
-------------------------------------------------------------
SliceOSERDES_q: for slice_count in 0 to kParallelWidth-1 generate begin
--DVI sends least significant bit first
--OSERDESE2 sends D1 bit first
pDataOut_q(14-slice_count-1) <= pDataOut(slice_count);
end generate SliceOSERDES_q;
end Behavioral;
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.ip_user_files/ip/mul8_8/mul8_8_stub.vhdl
|
2
|
1335
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 17:57:15 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_8/mul8_8_stub.vhdl
-- Design : mul8_8
-- Purpose : Stub declaration of top-level module interface
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mul8_8 is
Port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 7 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end mul8_8;
architecture stub of mul8_8 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "CLK,A[7:0],B[7:0],P[15:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_12,Vivado 2016.4";
begin
end;
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.ip_user_files/ip/mul16_16/mul16_16_stub.vhdl
|
2
|
1351
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 17:58:33 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/mul16_16_stub.vhdl
-- Design : mul16_16
-- Purpose : Stub declaration of top-level module interface
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mul16_16 is
Port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end mul16_16;
architecture stub of mul16_16 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "CLK,A[15:0],B[15:0],P[15:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_12,Vivado 2016.4";
begin
end;
|
bsd-3-clause
|
JorisBolsens/PYNQ
|
Pynq-Z1/vivado/ip/dvi2rgb_v1_6/src/GlitchFilter.vhd
|
15
|
3919
|
-------------------------------------------------------------------------------
--
-- File: GlitchFilter.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 22 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module filters any pulses on sIn lasting less than the number of
-- periods specified in kNoOfPeriodsToFilter. The output sOut will be
-- delayed by kNoOfPeriodsToFilter cycles, but glitch-free.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity GlitchFilter is
Generic (
kNoOfPeriodsToFilter : natural);
Port (
SampleClk : in STD_LOGIC;
sIn : in STD_LOGIC;
sOut : out STD_LOGIC;
sRst : in STD_LOGIC);
end GlitchFilter;
architecture Behavioral of GlitchFilter is
signal cntPeriods : natural range 0 to kNoOfPeriodsToFilter - 1 := kNoOfPeriodsToFilter - 1;
signal sIn_q : std_logic;
begin
Bypass: if kNoOfPeriodsToFilter = 0 generate
sOut <= sIn;
end generate Bypass;
Filter: if kNoOfPeriodsToFilter > 0 generate
process (SampleClk)
begin
if Rising_Edge(SampleClk) then
sIn_q <= sIn;
if (cntPeriods = 0) then
sOut <= sIn_q;
end if;
end if;
end process;
PeriodCounter: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if (sIn_q /= sIn or sRst = '1') then --edge detected
cntPeriods <= kNoOfPeriodsToFilter - 1; --reset counter
elsif (cntPeriods /= 0) then
cntPeriods <= cntPeriods - 1; --count down
end if;
end if;
end process PeriodCounter;
end generate Filter;
end Behavioral;
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.cache/ip/3401eab6b7fd8ff8/mul8_16_sim_netlist.vhdl
|
1
|
294820
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:28:14 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mul8_16_sim_netlist.vhdl
-- Design : mul8_16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
Mr0McpgI3Rhoeldg4mYiA66S0ZMFKBG2K+tVySuJRL8+ovePPTri3+7flU6mz2HvZ1dIiSVwvLsK
rxMo83zEWzoI574rToC+rhy4yPv8A9gHFG1D0iojn7Ceq+Z0RxIna+BNS9oa7bptDJnTiPQfLiHn
RzVlfsNYtTZRUCDS+pvtgYZ69vfN5vMYehTlg32aniwkXsjHgLhio+RYNliktgcF176e871+53ag
vzTToSdKPEyHPIFJoJg2xGp6XgzkeuxLJFFzD51hsGjSkKli5mj4rgbB3OFloB4gCfgOLDyv/Z4J
VW5AWYRxYhn7m1hnx9zHeqDNM+Zthn3F7NwACg==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
X6xKsht0+HjOHf5y8rsZRhzpOnNu4zvryZVAQT/vwBJ7b/PoIkClhS1+oCKFvy3ryP3Gh2hT5yRm
+2xJCRNpaKNsH5BNsA0/k/UcY+d2PQftmnKvIjhcdErL47pUdgq76CQ7D+jemKv1c9jyUdfWz+52
REroOHTqQ3apdvqotkwbWrYyW50B2R1XW+pr5UC4+Et/RFxg2nR4i6IXoI+aZEdzRxQPOrhHog6p
B4sC1FB1Am95EgBVWrZrJITmhpBQdj2cRYTt+JCmA5x9tMMWV7dSAOOy1gYgBQD72xtBSxpyGa4k
QyXmmqm2Aj9pWMLefCF3FU7HPo68C/SLLwZfPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 207344)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 8;
attribute C_B_TYPE of i_mult : label is 0;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 3;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 23;
attribute C_OUT_LOW of i_mult : label is 8;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mul8_16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.srcs/sources_1/ip/mult16_16/hdl/xbip_utils_v3_0_vh_rfs.vhd
|
13
|
163693
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw
Uh0w/eBguw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9
qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w
hFoWrooS/C+XX320Ze4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG
eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2
U6qE6uhIEi/aDNK1SI4=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0
d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J
Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2
AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG
E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR
FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw
O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO
KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR
bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz
+27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9
BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6
X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD
uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040)
`protect data_block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`protect end_protected
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.srcs/sources_1/ip/mul17_16/hdl/xbip_utils_v3_0_vh_rfs.vhd
|
13
|
163693
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw
Uh0w/eBguw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9
qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w
hFoWrooS/C+XX320Ze4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG
eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2
U6qE6uhIEi/aDNK1SI4=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0
d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J
Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2
AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG
E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR
FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw
O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO
KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR
bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz
+27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9
BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6
X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD
uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040)
`protect data_block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`protect end_protected
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.srcs/sources_1/ip/mult16_16/hdl/xbip_pipe_v3_0_vh_rfs.vhd
|
13
|
30077
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j1+IbdCEjp9rON6GmpeUO5JwtCtNnN1RpPJWXPb8z1VONUriYeHT6geUliT2kj3j3ruQooz0qaip
cnDlo0luIQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EPib3HSaO2+CArAeOvemjeGUyGUgxzMbveLbA3orGi9nJ0JEazCwIPCLYN3/Fp6jpmXKleoSy+Yt
8aVlSldbRVUL0JefBQZLZTD12wkF8wfBQE4Sp2pdDWcEHIgUNVWl9DbkyIQLwYHP0oTW+0GVZwrJ
ZCU1E6Yxf164GIujPQo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xK5iaFQJoJv0kMguY5xUiPaUTDqZRMOZH8/ZB1Yxq06eRqsbzZHKmtGE08xScCZRlnVLo07S7cyH
RIMH/BqEnGQvUmVbCRTGjeUl4o7tWcHHIPT7lNNmhMmPxgEJyobC71LV2fyJ4dW6SuDHvP1vvVE4
7qTX+iIzcBALjrVcJ7M=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GvBFNkACpZSDf6d3aojglxv5RKUE+B8RRKiNrm7zUWZctVRoUgnqpA4jFrIulgs/o7tDqWN9mBDb
/q1cNTL8pn+ZdOCA6HTziWecOxCYCUCd05u/Vxp4e14C7m5co1IethhXVIP4JtgXmF/SDla3WE5q
uIJzYn6zdwgJSw/7iNz9Pd/+0vi8THHdMW1+mm0kUSsYmtTwhVGpXHiiWb03ht65+nT+gwGM5iFJ
nzfjybmQSbF6G5HKZ3PlbQAsYUk5eFkKJRqVLf/0XMyHdJK+9ZBUCOsM8Ktyd5syTAA5chBzULB9
lQi8iw9dNvGUbFA5FS3SHWwwSTZPZuVVkVWOPA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rgmQKXOffXLszxMSUgYbFQZ+rONPKKXl/cfmAu8056ONyDKGiUoXTrjW8PjooSi/Ud4/7i3j8v35
RjkT0tmK8Pvf46x0y7TIS1SoKTUOKDZdb2rt3k8TO3qZgW5nKyaHrn/4xAlTJYKpUChEczmgzwjp
Sz7118D3XAR7XuB33NPGnKLWNF6XTiXPysTIYvAUfhtKqXqNmvE7P39ewWO1Xk2jXBqJCYgyxHJp
ExtLkmuxpjAWsYV2ruEjfK29oWd6rRX3RhNtzQOuAuuf5qOSJbf8elnfzSQYOi2u+kcawpUMxDXR
5JWF88ZQFKexPoKVVSRVZzZXo1KKEbKFBqsECA==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YkiJ9jZYjxcmbtSRgqPcdSlRRBRe3AGVv150/BYf+XDcHuK+xaSmOYiW9EOeIYH/CxXSZdUt7CQO
67odBlUa1pIQdO33H8JDlfyt1d4rhnZyqpb9+ZIE/VSi3Sb6SC1Uy1TbW39KvEafDFBCkKBaRyIY
QU4sWxqbXLS2y8GktCt/6wHpRzcW9/8DE9uFzDA5AaYzLqMMgFHGihcIrG3g93C1JExTkj6GUN3O
aWtzydUOoE3laYAcY7AbZzdQHUjaATzo9ki73FwBuauXCOi6aak7/MhOI5f5RMOx0Xmdt5lc6+Ai
jK1AxS/deBa9TULzkyostDgQ4eAdNJ+Bn49b3w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128)
`protect data_block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`protect end_protected
|
bsd-3-clause
|
natsutan/NPU
|
fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_16/hdl/xbip_pipe_v3_0_vh_rfs.vhd
|
13
|
30077
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j1+IbdCEjp9rON6GmpeUO5JwtCtNnN1RpPJWXPb8z1VONUriYeHT6geUliT2kj3j3ruQooz0qaip
cnDlo0luIQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EPib3HSaO2+CArAeOvemjeGUyGUgxzMbveLbA3orGi9nJ0JEazCwIPCLYN3/Fp6jpmXKleoSy+Yt
8aVlSldbRVUL0JefBQZLZTD12wkF8wfBQE4Sp2pdDWcEHIgUNVWl9DbkyIQLwYHP0oTW+0GVZwrJ
ZCU1E6Yxf164GIujPQo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xK5iaFQJoJv0kMguY5xUiPaUTDqZRMOZH8/ZB1Yxq06eRqsbzZHKmtGE08xScCZRlnVLo07S7cyH
RIMH/BqEnGQvUmVbCRTGjeUl4o7tWcHHIPT7lNNmhMmPxgEJyobC71LV2fyJ4dW6SuDHvP1vvVE4
7qTX+iIzcBALjrVcJ7M=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GvBFNkACpZSDf6d3aojglxv5RKUE+B8RRKiNrm7zUWZctVRoUgnqpA4jFrIulgs/o7tDqWN9mBDb
/q1cNTL8pn+ZdOCA6HTziWecOxCYCUCd05u/Vxp4e14C7m5co1IethhXVIP4JtgXmF/SDla3WE5q
uIJzYn6zdwgJSw/7iNz9Pd/+0vi8THHdMW1+mm0kUSsYmtTwhVGpXHiiWb03ht65+nT+gwGM5iFJ
nzfjybmQSbF6G5HKZ3PlbQAsYUk5eFkKJRqVLf/0XMyHdJK+9ZBUCOsM8Ktyd5syTAA5chBzULB9
lQi8iw9dNvGUbFA5FS3SHWwwSTZPZuVVkVWOPA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rgmQKXOffXLszxMSUgYbFQZ+rONPKKXl/cfmAu8056ONyDKGiUoXTrjW8PjooSi/Ud4/7i3j8v35
RjkT0tmK8Pvf46x0y7TIS1SoKTUOKDZdb2rt3k8TO3qZgW5nKyaHrn/4xAlTJYKpUChEczmgzwjp
Sz7118D3XAR7XuB33NPGnKLWNF6XTiXPysTIYvAUfhtKqXqNmvE7P39ewWO1Xk2jXBqJCYgyxHJp
ExtLkmuxpjAWsYV2ruEjfK29oWd6rRX3RhNtzQOuAuuf5qOSJbf8elnfzSQYOi2u+kcawpUMxDXR
5JWF88ZQFKexPoKVVSRVZzZXo1KKEbKFBqsECA==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YkiJ9jZYjxcmbtSRgqPcdSlRRBRe3AGVv150/BYf+XDcHuK+xaSmOYiW9EOeIYH/CxXSZdUt7CQO
67odBlUa1pIQdO33H8JDlfyt1d4rhnZyqpb9+ZIE/VSi3Sb6SC1Uy1TbW39KvEafDFBCkKBaRyIY
QU4sWxqbXLS2y8GktCt/6wHpRzcW9/8DE9uFzDA5AaYzLqMMgFHGihcIrG3g93C1JExTkj6GUN3O
aWtzydUOoE3laYAcY7AbZzdQHUjaATzo9ki73FwBuauXCOi6aak7/MhOI5f5RMOx0Xmdt5lc6+Ai
jK1AxS/deBa9TULzkyostDgQ4eAdNJ+Bn49b3w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128)
`protect data_block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`protect end_protected
|
bsd-3-clause
|
GustaMagik/RSA_Security_Token
|
VHDL_code/ver_B/RSA_Security_Token_USB_Version/rsa_512/trunk/rtl/m_calc.vhd
|
1
|
2040
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:33:10 10/29/2009
-- Design Name:
-- Module Name: m_calc - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity m_calc is
port(
clk : in std_logic;
reset : in std_logic;
ab : in std_logic_vector (15 downto 0);
t : in std_logic_vector (15 downto 0);
n_cons : in std_logic_vector (15 downto 0);
m : out std_logic_vector (15 downto 0);
mult_valid : in std_logic; -- indica que los datos de entrada son validos
m_valid : out std_logic); -- la m calculada es valida
end m_calc;
architecture Behavioral of m_calc is
signal sum_res, next_sum_res : std_logic_vector(15 downto 0);
signal mult_valid_1, mult_valid_2 : std_logic; --delay del valido a lo largo del calculo
signal mult : std_logic_vector(31 downto 0);
begin
mult <= sum_res * n_cons;
process(clk, reset)
begin
if(clk = '1' and clk'event) then
if(reset = '1') then
sum_res <= (others => '0');
mult_valid_1 <= '0';
mult_valid_2 <= '0';
else
sum_res <= next_sum_res;
mult_valid_1 <= mult_valid;
mult_valid_2 <= mult_valid_1;
end if;
end if;
end process;
process(ab, t, mult_valid_2)
begin
m <= mult(15 downto 0);
next_sum_res <= ab+t;
m_valid <= mult_valid_2;
end process;
end Behavioral;
|
bsd-3-clause
|
GustaMagik/RSA_Security_Token
|
VHDL_code/ver_B/RSA_Security_Token_USB_Version/rsa_512/trunk/rtl/montgomery_step.vhd
|
1
|
8248
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 10:40:41 11/01/2009
-- Design Name:
-- Module Name: module_with_fifo - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity montgomery_step is
port(
clk : in std_logic;
reset : in std_logic;
valid_in : in std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0);
n : in std_logic_vector(15 downto 0);
s_prev : in std_logic_vector(15 downto 0);
n_c : in std_logic_vector(15 downto 0);
s : out std_logic_vector( 15 downto 0);
valid_out : out std_logic; -- es le valid out TODO : cambiar nombre
busy : out std_logic;
b_req : out std_logic;
a_out : out std_logic_vector(15 downto 0);
n_out : out std_logic_vector(15 downto 0); --señal que indica que el modulo está ocupado y no puede procesar nuevas peticiones
c_step : out std_logic; --genera un pulso cuando termina su computo para avisar al modulo superior
stop : in std_logic
);
end montgomery_step;
architecture Behavioral of montgomery_step is
component pe_wrapper
port(
clk : in std_logic;
reset : in std_logic;
ab_valid : in std_logic;
valid_in : in std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0);
n : in std_logic_vector(15 downto 0);
s_prev : in std_logic_vector(15 downto 0);
n_c : in std_logic_vector(15 downto 0);
s : out std_logic_vector( 15 downto 0);
data_ready : out std_logic;
fifo_req : out std_logic;
m_val : out std_logic;
reset_the_PE : in std_logic); -- estamos preparados para aceptar el siguiente dato
end component;
--Inputs
signal ab_valid : std_logic;
signal valid_mont, fifo_read, m_val, valid_mont_out, reset_pe : std_logic;
--Outputs
--definimos los estados
type state_type is (wait_valid, wait_m, mont_proc, getting_results, prep_m, b_stable);
signal state, next_state : state_type;
signal counter, next_counter : std_logic_vector(7 downto 0); -- cuenta las palabras que han salido para ir cortando
--Señales nuevas
signal mont_input_a, mont_input_n, mont_input_s : std_logic_vector(15 downto 0);
signal reg_constant, next_reg_constant, next_reg_input, reg_input : std_logic_vector(47 downto 0);
signal reg_out, reg_out_1, reg_out_2, reg_out_3, reg_out_4 : std_logic_vector(31 downto 0);
signal next_reg_out : std_logic_vector(31 downto 0);
--Cadena de registros hacia fuera
signal reg_input_1, reg_input_2, reg_input_3, reg_input_4, reg_input_5 : std_logic_vector(47 downto 0);
begin
mont : pe_wrapper port map (
clk => clk,
reset => reset,
ab_valid => ab_valid,
a => mont_input_a,
b => b,
n => mont_input_n,
s_prev => mont_input_s,
n_c => n_c,
s => s,
valid_in => valid_mont,
data_ready => valid_mont_out,
m_val => m_val,
reset_the_PE => reset_pe
);
process(clk, reset)
begin
if(clk = '1' and clk'event) then
if(reset = '1')then
state <= wait_valid;
counter <= (others => '0');
reg_constant <= (others => '0');
reg_input <= (others => '0');
reg_input_1 <= (others => '0');
reg_input_2 <= (others => '0');
reg_input_3 <= (others => '0');
reg_input_4 <= (others => '0');
reg_out <= (others => '0');
reg_out_1 <= (others => '0');
reg_out_2 <= (others => '0');
reg_out_3 <= (others => '0');
reg_out_4 <= (others => '0');
else
reg_input <= next_reg_input;
reg_input_1 <= reg_input;
reg_input_2 <= reg_input_1;
reg_input_3 <= reg_input_2;
reg_input_4 <= reg_input_3;
reg_input_5 <= reg_input_4;
reg_out <= reg_input_4(47 downto 32) & reg_input_4(31 downto 16);
reg_out_1 <= reg_out;
reg_out_2 <= reg_out_1;
reg_out_3 <= reg_out_2;
reg_out_4 <= reg_out_3;
state <= next_state;
counter <= next_counter;
reg_constant <= next_reg_constant;
end if;
end if;
end process;
process(state, valid_in, m_val, a, n, s_prev, counter, valid_mont_out, stop, reg_constant, reg_input_5, reg_out_4)
begin
--reset_fifo <= '0';
next_reg_input <= a&n&s_prev; --Propagación de la entrada TODO add variable
--next_reg_out <= a&n; --Vamos retrasando la entrada TODO add variable
a_out <= reg_out_4(31 downto 16);
n_out <= reg_out_4(15 downto 0);
next_state <= state;
next_counter <= counter;
--write_fifos <= valid_in;
ab_valid <= '0';
valid_mont <= '0';
valid_out <= '0';
reset_pe <= '0';
busy <= '1';
b_req <= '0';
c_step <= '0';
--Todo esto es nuevo
mont_input_a <= (others => '0');
mont_input_n <= (others => '0');
mont_input_s <= (others => '0');
next_reg_constant <= reg_constant;
case state is
when wait_valid =>
busy <= '0'; --esperamos la peticion
reset_pe <= '1';
if(valid_in = '1') then
b_req <= '1'; --Solicitamos al modulo externo la b
next_state <= b_stable;
next_reg_constant <= a&n&s_prev; --TODO add variable
end if;
when b_stable =>
next_state <= prep_m;
when prep_m =>
mont_input_a <= reg_constant(47 downto 32); --TODO add this to sensitivity
mont_input_n <= reg_constant(31 downto 16);
mont_input_s <= reg_constant(15 downto 0);
ab_valid <= '1';
next_state <= wait_m;
when wait_m =>
--Mantenemos las entradas para que nos calcule m correctamente
mont_input_a <= reg_constant(47 downto 32); --TODO add this to sensitivity
mont_input_n <= reg_constant(31 downto 16);
mont_input_s <= reg_constant(15 downto 0);
if (m_val = '1') then
valid_mont <= '1';
next_state <= mont_proc;
mont_input_a <= reg_input_5(47 downto 32);
mont_input_n <= reg_input_5(31 downto 16);
mont_input_s <= reg_input_5(15 downto 0);
end if;
when mont_proc =>
valid_mont <= '1';
mont_input_a <= reg_input_5(47 downto 32);
mont_input_n <= reg_input_5(31 downto 16);
mont_input_s <= reg_input_5(15 downto 0);
if(valid_mont_out = '1') then
next_counter <= x"00";
next_state <= getting_results;
end if;
when getting_results =>
valid_out <= '1';
next_counter <= counter+1;
valid_mont <= '1';
mont_input_a <= reg_input_5(47 downto 32);
mont_input_n <= reg_input_5(31 downto 16);
mont_input_s <= reg_input_5(15 downto 0);
if(counter = (x"22")) then
next_state <= wait_valid;
c_step <= '1';
reset_pe <= '1';
end if;
end case;
if(stop = '1') then
next_state <= wait_valid;
--reset_fifo <= '1';
reset_pe <= '1';
end if;
end process;
end Behavioral;
|
bsd-3-clause
|
GustaMagik/RSA_Security_Token
|
VHDL_code/ver_B/RSA_Security_Token_USB_Version/Security_Token_Top_USB.vhd
|
1
|
23239
|
--Copyright 2017 Christoffer Mathiesen, Gustav Örtenberg
--Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
--
--1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
--
--2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the
--documentation and/or other materials provided with the distribution.
--
--3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this
--software without specific prior written permission.
--
--THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
--THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS
--BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
--GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
--LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
Library IEEE;
Use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_MISC.ALL;
Use IEEE.STD_LOGIC_UNSIGNED.ALL;
Use IEEE.NUMERIC_STD.all;
Use IEEE.MATH_REAL."log2";
Use IEEE.MATH_REAL."ceil";
Use work.all;
-----------------------------------Top_Module---------------------------------------------
--This module house all submodules that make up the 'koddosa' which is a
--challenge-response system that takes signs messages of length 512 bits
--with a predefined RSA key. The messages are sent over USB UART and
--uses a simple communication protocol defined in USB_TOP
--
--The flow of the program is:
--PowerOn->Init->PIN->Input from PC->RSA-encryption->Signal data avalible to PC ->
--On keyboard press soft reset circuit (returns to INIT).
--If a wrong PIN is input MAX_TRIES times in a row the program freezes at a blank screen
------------------------------------------------------------------------------------------
Entity Security_Token_Top_USB is
Generic( --PIN settings
PIN_LENGTH : Integer := 4; --Variable length of PIN
PIN_PSWRD : STD_LOGIC_VECTOR := x"ABCD"; --PIN, should have the same amount of numbers as PIN_LENGTH indicates
MAX_TRIES : Integer := 3; --Number of tries. 3 means one initial and 2 retries
SHOW_PIN : boolean := false; --If true the characters will be printed on screen when in PIN state, if false '*' will appear
TIMEOUT_SECONDS: INTEGER := 5; --Amount of seconds the device waits for a message to sign after the PIN is put
--Encryption settings
KEY_LENGTH : Integer := 512; --Key length in bits. HAS to be 512 with current modules
EXPONENT : STD_LOGIC_VECTOR := x"b15f20094a5fbcd7605b23bb7dbe7d421556df00d266c649d019cfc87eae543f703f6870013851130d3a2ed993ef76a1c377a96b95fe326f7326a319bae5fe01"; --Exponent of the RSA
MODULO : STD_LOGIC_VECTOR := x"bb847f2d87e8030926eea2a0a3f89877e6f63c1e2f65f3791e9c85549f48863a1dcc9f8b477c36dfea2573c49fc59259efe83b9996d093b4be09666e904cb17f"; --Modulus of the RSA
R_C_VAL : STD_LOGIC_VECTOR := x"8F80651391C778113C509FDD5C205AE6648A94DBC225A1ECA53F149BCF135AFCAC7E47DF209AC030325E1904AD7D260E236CE56D6753F488E3E489D50A6C2B0E"; --R_C value
--R_C is calculated by the formula 2^(16*([Words into RSA_512] + 1) * 2) mod MODULO, in standard case 2^(1056) mod MODULO
--If you are going to use this in a real world scenario, please use self-generated keys
--String pointers
STRING_PTR_0 : unsigned := to_unsigned(0,6);
STRING_PTR_1 : unsigned := to_unsigned(10,6);
STRING_PTR_2 : unsigned := to_unsigned(21,6);
STRING_PTR_3 : unsigned := to_unsigned(38,6);
--USB settings
Frequency : integer := 100_000_000;
BAUD : integer := 115200
);
Port ( clk : in STD_LOGIC;
Hex_in : in STD_LOGIC_VECTOR(3 downto 0);
Hex_out : out STD_LOGIC_VECTOR (3 downto 0);
LCD_RS : out STD_LOGIC;
LCD_RW : out STD_LOGIC;
LCD_E : out STD_LOGIC;
LCD_DB : out STD_LOGIC_VECTOR (7 downto 0);
TXD : out STD_LOGIC;
RXD : in STD_LOGIC;
RESET : in STD_LOGIC
);
end Security_Token_Top_USB;
architecture USB_behav of Security_Token_Top_USB is
constant MemSize : integer := (KEY_LENGTH/8);
constant LCD_CLEAR : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant LCD_PRINT : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant LCD_CHANGE: STD_LOGIC_VECTOR (1 downto 0) := "10";
constant PASSWORD : STD_LOGIC_VECTOR (PIN_LENGTH * 4 - 1 downto 0) := PIN_PSWRD;
constant MEM_BUS_WIDTH : Integer := integer(ceil(log2(real(MemSize))));
constant RAM_MAX_ADDR: unsigned(MEM_BUS_WIDTH-1 downto 0) := (others => '1');
constant ROM_MAX_ADDR: unsigned(5 downto 0) := (others => '1');
constant RSA_E : STD_LOGIC_VECTOR(KEY_LENGTH-1 downto 0) := EXPONENT;
constant RSA_M : STD_LOGIC_VECTOR(KEY_LENGTH-1 downto 0) := MODULO;
constant RSA_R_C : STD_LOGIC_VECTOR(KEY_LENGTH-1 downto 0) := R_C_VAL;
type PRG_STATE is (INIT, PRINT_MSG_1, GET_INPUT, PIN, RSA_2, RSA, PRINT_MSG_2,PRINT_MSG_3);
type LCD_SELECT is (SELECT_ASCII, SELECT_ROM, SELECT_RAM);
Signal STATE : PRG_STATE := INIT;
Signal WRONG_PIN_COUNTER : unsigned(1 downto 0) := (others => '0'); --if max tries more than 3, change this vector
Signal In_data : STD_LOGIC_VECTOR(3 downto 0); --From Keyboard
signal In_data_e, LCD_INPUT, INPUT_ASCII : STD_LOGIC_VECTOR (7 downto 0) := x"00";
Signal RDY, DO_CMD, RDY_CMD, WRITE_BACK, PIN_CORRECT : STD_LOGIC := '0';
Signal flag, WE, Read_RAM, INPUT_LSB, no_print : STD_LOGIC := '0';
Signal ROM_ADDR : UNSIGNED (5 downto 0) := (others => '0');
Signal RAM_ADDR : UNSIGNED (MEM_BUS_WIDTH-1 downto 0) := (others => '0');
Signal ROM_DATA, RAM_DATA_IN, RAM_DATA_OUT, ASCII_ENCODED : STD_LOGIC_VECTOR (7 downto 0) := (others => '0');
Signal Input_counter : UNSIGNED (MEM_BUS_WIDTH-1 downto 0) := (others => '0');
Signal MODE_SELECT : STD_LOGIC_VECTOR (1 downto 0) := LCD_CLEAR;
Signal TMP_INPUT : STD_LOGIC_VECTOR (3 downto 0);
Signal RSA_RESET, RSA_DONE, RSA_WE : STD_LOGIC := '0';
Signal RSA_START_ADDR, RSA_MEM_ADDR : STD_LOGIC_VECTOR (MEM_BUS_WIDTH-1 downto 0) := (others => '0');
Signal RSA_MEM_DATA_IN, RSA_MEM_DATA_OUT : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal RSA_WORD : integer range 0 to 32 := 0;
signal RSA_byte : integer range 0 to 64 := 0;
Signal LCD_INPUT_SELECT : LCD_SELECT := SELECT_ROM;
Signal valid_in, start_in, valid_out : STD_LOGIC;
Signal x, y, m, r_c, s : STD_LOGIC_VECTOR(15 downto 0);
component Keyboard
Port ( Row_Input : in STD_LOGIC_VECTOR (3 downto 0);
Col_Input_A : out STD_LOGIC_VECTOR (3 downto 0) := (others => '1');
Output : out STD_LOGIC_VECTOR (3 downto 0) := (others => '0');
RDY : out STD_LOGIC := '0';
CLK : in STD_LOGIC;
RESET : in STD_LOGIC
);
end component;
component byte_to_six_bit_splitter
Port ( DATA_IN : in STD_LOGIC_VECTOR (7 downto 0);
DATA_OUT : out STD_LOGIC_VECTOR (5 downto 0);
INC_ADDR : out STD_LOGIC;
ACTIVE : in STD_LOGIC;
CLK : in STD_LOGIC;
RESET : in STD_LOGIC
);
end component;
component LCD
Generic (Frequency: integer := Frequency);
Port ( INPUT : in STD_LOGIC_VECTOR (7 downto 0); --ASCII IN
CLK : in STD_LOGIC; --FPGA Clock (100MHz)
RESET : in STD_LOGIC; --RESET
DATA_BUS : out STD_LOGIC_VECTOR (7 downto 0); --DB 7 downto DB 0
RW : out STD_LOGIC := '0'; --RW signal (unused as of now)
RS : out STD_LOGIC; --RS signal
E : out STD_LOGIC; --E (200Hz)
MODE_SELECT : in STD_LOGIC_VECTOR (1 downto 0); --SELECT WHAT THE SCREEN IS TO DO
RDY_CMD : out STD_LOGIC := '0'; --Tell ouside world that the ready for the command
DO_CMD : in STD_LOGIC); --Outside world tell module to do the current command
end component;
component USB_TOP is
generic ( data_addr_width : integer := MEM_BUS_WIDTH;
BAUD_RATE : integer := BAUD;
CLOCK_RATE : integer := Frequency;
OVERSAMPLES : integer := 4);
Port ( CLK : in STD_LOGIC;
RESET : in STD_LOGIC;
TXD : out STD_LOGIC;
RXD : in STD_LOGIC;
RAM_ADDR : out STD_LOGIC_VECTOR (data_addr_width-1 downto 0);
RAM_DATA_IN : in STD_LOGIC_VECTOR (7 downto 0);
RAM_DATA_OUT : out STD_LOGIC_VECTOR (7 downto 0);
RAM_WE : out STD_LOGIC;
READY_FOR_DATA : in STD_LOGIC;
RSA_DONE : in STD_LOGIC;
DATA_READY : out STD_LOGIC);
end component;
component ascii_encoder is
Port(input : in STD_LOGIC_VECTOR (7 downto 0);
output : out STD_LOGIC_VECTOR (7 downto 0)
);
end component;
component mem_array is
GENERIC(
DATA_WIDTH : integer := 8;
ADDR_WIDTH : integer := MEM_BUS_WIDTH);
Port(
ADDR : in STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0);
DATAIN : in STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0);
clk : in std_logic;
WE : in std_logic;
OUTPUT : out STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0)
);
end component;
component mem_array_ROM is
GENERIC(
DATA_WIDTH : integer := 8;
ADDR_WIDTH : integer := 6);
Port(
ADDR : in STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0);
OUTPUT : out STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0)
);
end component;
component RSA_top is
port(
clk : in std_logic;
reset : in std_logic;
valid_in : in std_logic;
start_in : in std_logic;
x : in std_logic_vector(15 downto 0); -- estos 3 son x^y mod m
y : in std_logic_vector(15 downto 0);
m : in std_logic_vector(15 downto 0);
r_c : in std_logic_vector(15 downto 0); --constante de montgomery r^2 mod m
s : out std_logic_vector(15 downto 0);
valid_out : out std_logic;
bit_size : in std_logic_vector(15 downto 0) --tamano bit del exponente y (log2(y))
);
end component;
signal RAM_DATA_IN_USB, RAM_DATA_OUT_USB : STD_LOGIC_VECTOR(7 downto 0);
signal RAM_ADDR_USB : STD_LOGIC_VECTOR(MEM_BUS_WIDTH-1 downto 0);
signal RAM_WE_USB, READY_FOR_DATA, DATA_READY: STD_LOGIC;
signal RSA_X : STD_LOGIC_VECTOR (511 downto 0);
signal RESETN, soft_reset : STD_LOGIC;
signal timeout_timer : integer := 0;
--signal clk, tog : std_logic;
begin
ASCII: ascii_encoder port map (
input => INPUT_ASCII,
output => ASCII_ENCODED
);
USB: USB_TOP Port map (
CLK => clk,
RESET => RESETN,
TXD => TXD,
RXD => RXD,
RAM_ADDR => RAM_ADDR_USB,
RAM_DATA_IN => RAM_DATA_OUT,
RAM_DATA_OUT => RAM_DATA_OUT_USB,
RAM_WE => RAM_WE_USB,
DATA_READY => DATA_READY,
READY_FOR_DATA => READY_FOR_DATA,
RSA_DONE => RSA_DONE);
RSA_MODULE: RSA_top port map(
clk => clk,
reset => RESETN,
valid_in => valid_in,
start_in => start_in,
x => x, -- estos 3 son x^y mod m
y => y,
m => m,
r_c => r_c, --constante de montgomery r^2 mod m
s => s,
valid_out => valid_out,
bit_size => x"0200" --512 --tamano bit del exponente y (log2(y))
);
SCREEN: LCD port map (
INPUT => LCD_INPUT,
CLK => clk,
RESET => RESETN,
DATA_BUS => LCD_DB,
RW => LCD_RW,
RS => LCD_RS,
E => LCD_E,
MODE_SELECT => MODE_SELECT,
RDY_CMD => RDY_CMD,
DO_CMD => DO_CMD
);
KBD : Keyboard port map (
Row_Input => Hex_in,
Col_Input_A => Hex_out,
Output => In_data,
RDY => RDY,
CLK => clk,
RESET => RESETN
);
ROM:
mem_array_ROM port map(
ADDR => STD_LOGIC_VECTOR(ROM_ADDR),
OUTPUT => ROM_DATA
);
RAM:
mem_array port map(
ADDR => STD_LOGIC_VECTOR(RAM_ADDR),
DATAIN => RAM_DATA_IN,
clk => clk,
WE => WE,
OUTPUT => RAM_DATA_OUT);
INPUT_ASCII <= "0000" & IN_DATA;
with STATE select
RAM_DATA_IN <=
RAM_DATA_OUT_USB when GET_INPUT,
RSA_MEM_DATA_IN when RSA,
RAM_DATA_OUT_USB when others;
with STATE select
RAM_ADDR <=
unsigned(RAM_ADDR_USB) when GET_INPUT,
unsigned(RSA_MEM_ADDR) when RSA, --Give the RSA access to the memory when it needs it
unsigned(RAM_ADDR_USB) when others; --Otherwise make the USB able to use it
with STATE select
WE <=
RAM_WE_USB when GET_INPUT,
RSA_WE when RSA,
RAM_WE_USB when others;
LCD_INPUT <= ROM_DATA when LCD_INPUT_SELECT = SELECT_ROM else --LCD gets data from ROM
RAM_DATA_OUT when LCD_INPUT_SELECT = SELECT_RAM else --LCD gets data from RAM
ASCII_ENCODED when (LCD_INPUT_SELECT = SELECT_ASCII AND SHOW_PIN) else -- LCD gets data from keyboard and shows the characters (show PIN)
x"2A" when (LCD_INPUT_SELECT = SELECT_ASCII AND NOT SHOW_PIN) else --LCD only prints '*' when otherwise it would read from keyboard (NOT show PIN)
ROM_DATA;
RESETN <= NOT RESET or soft_reset; --Invert the reset signal as the input is low when the button is pressed
--State changes
process(clk)
begin
if rising_edge(clk) then --synchronous reset
if RESETN = '1' then
STATE <= INIT;
flag <= '0';
ROM_ADDR <= (others => '0');
LCD_INPUT_SELECT <= SELECT_ROM;
valid_in <= '0';
start_in <= '0';
DO_CMD <= '0';
Input_counter <= (others => '0');
--WRONG_PIN_COUNTER <= (others => '0'); --Uncomment if debug
PIN_CORRECT <= '0';
READY_FOR_DATA <= '0';
RSA_DONE <= '0';
RSA_X <= (others => '0');
RSA_MEM_ADDR <= (others => '0');
RSA_BYTE <= 0;
RSA_WORD <= 0;
RSA_MEM_DATA_IN <= (others => '0');
x <= (others => '0');
y <= (others => '0');
m <= (others => '0');
r_c <= (others => '0');
READY_FOR_DATA <= '0';
soft_reset <= '0';
timeout_timer <= 0;
else
--If we are telling the screen to do a command and RDY_CMD goes to 0
--it means that the screen is working on it. Thus we should stop
--telling the screen to do commands.
if RDY_CMD = '0' and DO_CMD = '1' then
DO_CMD <= '0';
else
--reset <= '0';
case STATE is
------------------------------------------------------------------------------
when INIT =>
soft_reset <= '0';
if RDY_CMD = '1' and DO_CMD = '0' then --Wait for the LCD to be ready
MODE_SELECT <= LCD_CLEAR;
DO_CMD <= '1'; --Clear the screen
STATE <= PIN; --if PIN is unwanted, change this to Print_MSG_1
ROM_ADDR <= STRING_PTR_0 - 1;
--RESET <= '1';
flag <= '0';
end if;
------------------------------------------------------------------------------
when PIN =>
--RESET <= '0';
if flag = '0' then --If in print mode
if RDY_CMD = '1' and DO_CMD = '0' then --If the screen is ready for a command
LCD_INPUT_SELECT <= SELECT_ROM; --Use characters from ROM
MODE_SELECT <= LCD_PRINT; --Set the screen in print mode
DO_CMD <= '1'; --Execute the command
if ROM_DATA = x"00" and ROM_ADDR /= STRING_PTR_0 - 1 then --char is '\0' (and not the last rom addr)
MODE_SELECT <= LCD_CHANGE; --Set screen in change row mode
Input_counter <= to_unsigned(PIN_LENGTH, MEM_BUS_WIDTH); --Set the input counter to the PIN length
-- RAM_ADDR <= (others => '0');
PIN_CORRECT <= '1'; --Assume correct pin
flag <= '1'; --Insert PIN mode
else
ROM_ADDR <= ROM_ADDR + 1; --Inc the ROM ptr while printing
end if;
end if;
elsif RDY_CMD = '1' and DO_CMD = '0' then --If the screen is ready for a character
LCD_INPUT_SELECT <= SELECT_ASCII;
if Input_counter = 0 and PIN_CORRECT = '1' then --if the entire PIN is put and it was correct
MODE_SELECT <= LCD_CLEAR; --Clear the screen
flag <= '0'; --reset this flag
DO_CMD <= '1'; --Do the command
STATE <= PRINT_MSG_1; --move on to next part of program
ROM_ADDR <= STRING_PTR_2 - 1; --set the pointer for next part of program
WRONG_PIN_COUNTER <= (others => '0'); --Reset the amount of incorrect tries
elsif Input_counter = 0 and PIN_CORRECT = '0' then --If entire PIN is put and it was incorrect
MODE_SELECT <= LCD_CLEAR; --Clear the screen
STATE <= PRINT_MSG_3; --
ROM_ADDR <= STRING_PTR_1 - 1;
DO_CMD <= '1';
WRONG_PIN_COUNTER <= WRONG_PIN_COUNTER + 1; --Inc the counter
flag <= '0'; --reset the flag
elsif RDY = '1' then --if a character was input from the keyboard
MODE_SELECT <= LCD_PRINT;
DO_CMD <= '1';
if PASSWORD(to_integer(input_counter * 4 - 1) downto to_integer(input_counter * 4 - 4)) /= In_data then -- if the current number was wrong the entire pin is wrong
PIN_CORRECT <= '0'; --set to incorrect pin
end if;
Input_counter <= Input_counter - 1; --dec the counter
end if;
end if;
---------------------------------------------------------------------
when PRINT_MSG_3 =>
if WRONG_PIN_COUNTER < MAX_TRIES then
if RDY_CMD = '1' and DO_CMD = '0' then
LCD_INPUT_SELECT <= SELECT_ROM;
MODE_SELECT <= LCD_PRINT;
DO_CMD <= '1';
if ROM_DATA /= x"00" or ROM_ADDR = STRING_PTR_1 - 1 then --char is not '\0', continue printing
ROM_ADDR <= ROM_ADDR + 1;
elsif RDY = '1' then
MODE_SELECT <= LCD_CLEAR;
STATE <= INIT;
Input_counter <= (others => '0');
-- RAM_ADDR <= (others => '0');
else
DO_CMD <= '0';
end if;
end if;
end if;
------------------------------------------------------------------------------
when PRINT_MSG_1 =>
if RDY_CMD = '1' and DO_CMD = '0' then
LCD_INPUT_SELECT <= SELECT_ROM;
MODE_SELECT <= LCD_PRINT;
DO_CMD <= '1';
if ROM_DATA /= x"00" or ROM_ADDR = STRING_PTR_2 - 1 then --char is not '\0', continue printing
ROM_ADDR <= ROM_ADDR + 1;
else
MODE_SELECT <= LCD_CHANGE;
STATE <= GET_INPUT;
READY_FOR_DATA <= '1'; --Signal the USB-controller that we are ready for loading the RAM with data
Input_counter <= (others => '0');
-- RAM_ADDR <= (others => '0');
end if;
end if;
------------------------------------------------------------------------------
when GET_INPUT =>
READY_FOR_DATA <= '1'; --Signal the USB-controller that we are ready for loading the RAM with data
RSA_DONE <= '0'; --Signal the USB-controller that the RSA is NOT done
flag <= '1';
timeout_timer <= timeout_timer + 1;
if timeout_timer = timeout_seconds * frequency then
SOFT_RESET <= '1';
elsif DATA_READY = '1' and flag = '1' then --Data recieved. (and one cycle extra passed to let things catch up in a loop scenario)
STATE <= RSA; --Perform the RSA
READY_FOR_DATA <= '0';--And set so the USB can't write to the RAM anymore
RSA_MEM_ADDR <= (others => '0'); --reset the RSA_MEM_ADDR pointer
READY_FOR_DATA <= '0';
STATE <= RSA;
flag <= '0';
end if;
------------------------------------------------------------------------------
when RSA =>
--First prepare the data from memory to introduction into RSA_512
if flag = '0' then --if not in the writing stage
if RSA_BYTE < 64 then --Loading of the data
RSA_X(RSA_BYTE*8+7 downto RSA_BYTE*8) <= RAM_DATA_OUT;
RSA_MEM_ADDR <= RSA_MEM_ADDR + 1; --inc the pointer
RSA_BYTE <= RSA_BYTE + 1;
else
--RSA_X(RSA_BYTE*8+7 downto RSA_BYTE*8) <= RAM_DATA_OUT; --Last byte to be read
RSA_MEM_ADDR <= (others => '1'); --reset the pointer
end if;
end if;
if RSA_WORD = 32 then
valid_in <= '0';
end if;
if flag = '0' then --The loading of the RSA module
if RSA_MEM_ADDR < 31-8 then --preload the n_c value for the RSA init-sequence
m(15 downto 0) <= RSA_M(15 downto 0);
elsif RSA_MEM_ADDR = 31 - 8 then --Start the init-sequence when half-6 bytes are loaded to the register
start_in <= '1';
elsif RSA_MEM_ADDR <= 31 then --Set the flag low again and wait for 6 cycles
start_in <= '0';
elsif RSA_MEM_ADDR > 31 and RSA_WORD < 32 then --Start loading the RSA_512
x <= RSA_X(RSA_WORD*16+15 downto RSA_WORD*16); --Message value
y <= RSA_E(RSA_WORD*16+15 downto RSA_WORD*16); --Key value
m <= RSA_M(RSA_WORD*16+15 downto RSA_WORD*16); --Modulo value
r_c <= RSA_R_C(RSA_WORD*16+15 downto RSA_WORD*16); --R_C value
valid_in <= '1'; --Valid data in flag
RSA_WORD <= RSA_WORD + 1; --inc the pointer
if RSA_WORD = 31 then
--notihing
end if;
else
valid_in <= '0'; --No more data in
flag <= '1'; --set the mode to write back to memory
RSA_WORD <= 0; --reset the counter to 0
end if;
else --Writing to memory
if RSA_WORD < 32 AND valid_out = '1' then --if not the final byte from RSA_512 result (s)
RSA_X(RSA_WORD*16+15 downto RSA_WORD*16) <= s; --save it in the register
RSA_WORD <= RSA_WORD + 1; --inc the pointer
input_counter <= (others => '0');
RSA_MEM_ADDR <= (others => '1'); --Set this to max to overflow back to 0 and thus inserting the correct number in that cell
RSA_BYTE <= 0;
elsif RSA_WORD = 32 then --start writing back to RAM
if RSA_BYTE < 64 then --If we haven't written the entire result to memory
RSA_MEM_ADDR <= RSA_MEM_ADDR + 1; --increase the addr
RSA_MEM_DATA_IN <= RSA_X(RSA_BYTE*8+7 downto RSA_BYTE*8); --use the correct part of the result
RSA_WE <= '1'; --write it to memory
RSA_BYTE <= RSA_BYTE + 1; --increase the counter
else --everything written back
RSA_WE <= '0'; --stop writing
flag <= '0'; --reset this flag
RSA_DONE <= '1'; --The result is done and in memory. Tell USB-cmd so
STATE <= PRINT_MSG_2; --move on
RSA_BYTE <= 0;
RSA_WORD <= 0;
end if;
end if;
end if;
-----------------------------------------------------------------------------------------------------
when PRINT_MSG_2 =>
if RDY_CMD = '1' and DO_CMD = '0' then
MODE_SELECT <= LCD_PRINT;
DO_CMD <= '1';
LCD_INPUT_SELECT <= SELECT_ROM;
if ROM_DATA /= x"00" or ROM_ADDR = STRING_PTR_3 - 1 then --char is not '\0', continue printing
ROM_ADDR <= ROM_ADDR + 1;
else
DO_CMD <= '0';
if RDY = '1' then --wait for a press on the keyboard
Input_counter <= (others => '0');
soft_reset <= '1';
STATE <= INIT;
end if;
end if;
end if;
------------------------------------------------------------------------------
when others =>
--kill me
end case;
end if;
end if;
end if;
end process;
end USB_behav;
|
bsd-3-clause
|
bzero/freezing-spice
|
src/mem.vhd
|
2
|
352
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.common.all;
use work.mem_pkg.all;
entity memory_stage is
port (mem_d : in mem_in;
mem_q : out mem_out);
end entity memory_stage;
architecture Behavioral of memory_stage is
begin -- architecture Behavioral
end architecture Behavioral;
|
bsd-3-clause
|
iti-luebeck/RTeasy1
|
src/main/resources/vhdltmpl/demux.vhd
|
1
|
2446
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY demux IS
GENERIC(
select_width, line_width : positive;
default_out : std_logic
);
PORT(
INPUT : IN std_logic_vector(line_width-1 DOWNTO 0);
SEL : IN std_logic_vector(select_width-1 DOWNTO 0);
FLOOD : IN std_logic; -- FLOOD=1 causes all bits of OUTPUT to be set to 1
OUTPUT : OUT std_logic_vector(2**select_width*line_width-1 DOWNTO 0)
);
END demux;
ARCHITECTURE recursive OF demux IS
SIGNAL subdemux_0_IN, subdemux_1_IN : std_logic_vector(line_width-1 DOWNTO 0);
COMPONENT demux
GENERIC(
select_width, line_width : positive;
default_out : std_logic
);
PORT(
INPUT : IN std_logic_vector(line_width-1 DOWNTO 0);
SEL : IN std_logic_vector(select_width-1 DOWNTO 0);
FLOOD : IN std_logic; -- FLOOD=1 causes all bits of OUTPUT to be set to 1
OUTPUT : OUT std_logic_vector(2**select_width*line_width-1 DOWNTO 0)
);
END COMPONENT;
FOR ALL : demux USE ENTITY WORK.demux(recursive);
BEGIN
demux1to2: IF select_width=1 GENERATE
OUTPUT(line_width-1 DOWNTO 0) <= (OTHERS => '1') WHEN FLOOD='1'
ELSE INPUT WHEN SEL="0" ELSE (OTHERS => default_out);
OUTPUT(2*line_width-1 DOWNTO line_width) <= (OTHERS => '1') WHEN FLOOD='1'
ELSE INPUT WHEN SEL="1" ELSE (OTHERS => default_out);
END GENERATE;
demux1toN: IF select_width>1 GENERATE
subdemux_0: demux
GENERIC MAP(select_width => select_width-1, line_width => line_width,
default_out => default_out)
PORT MAP(INPUT => subdemux_0_IN,
SEL => SEL(select_width-2 DOWNTO 0),
FLOOD => FLOOD,
OUTPUT => OUTPUT(2**(select_width-1)*line_width-1 DOWNTO 0));
subdemux_1: demux
GENERIC MAP(select_width => select_width-1, line_width => line_width,
default_out => default_out)
PORT MAP(INPUT => subdemux_1_IN,
SEL => SEL(select_width-2 DOWNTO 0),
FLOOD => FLOOD,
OUTPUT => OUTPUT(2**select_width*line_width-1
DOWNTO 2**(select_width-1)*line_width));
subdemux_0_IN <= INPUT WHEN SEL(select_width-1)='0'
ELSE (OTHERS => default_out);
subdemux_1_IN <= INPUT WHEN SEL(select_width-1)='1'
ELSE (OTHERS => default_out);
END GENERATE;
END recursive;
|
bsd-3-clause
|
iti-luebeck/RTeasy1
|
src/main/resources/vhdltmpl/cu_architecture_signals.vhd
|
3
|
168
|
SIGNAL I_BUFFERED : std_logic_vector(0 TO %%I_MAX);
SIGNAL C_SIG : std_logic_vector(0 TO %%C_MAX);
SIGNAL STATE, NEXTSTATE : std_logic_vector(%%STATEWIDTH_M1 DOWNTO 0);
|
bsd-3-clause
|
eamadio/fpgaMSP430
|
fmsp430/dbg/fmsp_dbg_hwbrk.vhd
|
1
|
14279
|
------------------------------------------------------------------------------
--! Copyright (C) 2009 , Olivier Girard
--
--! Redistribution and use in source and binary forms, with or without
--! modification, are permitted provided that the following conditions
--! are met:
--! * Redistributions of source code must retain the above copyright
--! notice, this list of conditions and the following disclaimer.
--! * Redistributions in binary form must reproduce the above copyright
--! notice, this list of conditions and the following disclaimer in the
--! documentation and/or other materials provided with the distribution.
--! * Neither the name of the authors nor the names of its contributors
--! may be used to endorse or promote products derived from this software
--! without specific prior written permission.
--
--! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
--! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
--! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
--! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
--! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
--! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
--! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
--! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
--! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
--! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
--! THE POSSIBILITY OF SUCH DAMAGE
--
------------------------------------------------------------------------------
--
--! @file fmsp_dbg_hwbrk.vhd
--!
--! @brief fpgaMSP430 Hardware Breakpoint / Watchpoint module
--
--! @author Olivier Girard, [email protected]
--! @author Emmanuel Amadio, [email protected] (VHDL Rewrite)
--
------------------------------------------------------------------------------
--! @version 1
--! @date: 2017-04-21
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH-
use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops
use work.fmsp_dbg_package.all;
entity fmsp_dbg_hwbrk is
generic (
DBG_HWBRK_EN : boolean := false -- Include hardware breakpoints unit
);
port (
dbg_clk : in std_logic; --! Debug unit clock
dbg_rst : in std_logic; --! Debug unit reset
--! INPUTs
brk_reg_rd : in std_logic_vector(3 downto 0); --! Hardware break/watch-point register read select
brk_reg_wr : in std_logic_vector(3 downto 0); --! Hardware break/watch-point register write select
dbg_din : in std_logic_vector(15 downto 0); --! Debug register data input
decode_noirq : in std_logic; --! Frontend decode instruction
eu_mab : in std_logic_vector(15 downto 0); --! Execution-Unit Memory address bus
eu_mb_en : in std_logic; --! Execution-Unit Memory bus enable
eu_mb_wr : in std_logic_vector(1 downto 0); --! Execution-Unit Memory bus write transfer
pc : in std_logic_vector(15 downto 0); --! Program counter
--! OUTPUTs
brk_halt : out std_logic; --! Hardware breakpoint command
brk_pnd : out std_logic; --! Hardware break/watch-point pending
brk_dout : out std_logic_vector(15 downto 0) --! Hardware break/watch-point register data input
);
end entity fmsp_dbg_hwbrk;
architecture RTL of fmsp_dbg_hwbrk is
constant C_HWBRK_RANGE : std_logic := '0';
constant BRK_CTL : integer := 0;
constant BRK_STAT : integer := 1;
constant BRK_ADDR0 : integer := 2;
constant BRK_ADDR1 : integer := 3;
type fmsp_dbg_hwbrk_in_type is record
brk_reg_rd : std_logic_vector(3 downto 0); --! Hardware break/watch-point register read select
brk_reg_wr : std_logic_vector(3 downto 0); --! Hardware break/watch-point register write select
dbg_din : std_logic_vector(15 downto 0); --! Debug register data input
decode_noirq : std_logic; --! Frontend decode instruction
eu_mab : std_logic_vector(15 downto 0); --! Execution-Unit Memory address bus
eu_mb_en : std_logic; --! Execution-Unit Memory bus enable
eu_mb_wr : std_logic_vector(1 downto 0); --! Execution-Unit Memory bus write transfer
pc : std_logic_vector(15 downto 0); --! Program counter
end record;
type reg_type is record
brk_ctl : std_logic_vector(4 downto 0);
brk_stat : std_logic_vector(5 downto 0);
brk_addr0 : std_logic_vector(15 downto 0);
brk_addr1 : std_logic_vector(15 downto 0);
end record;
signal d : fmsp_dbg_hwbrk_in_type;
signal r : reg_type := ( brk_ctl => "00000",
brk_stat => "000000",
brk_addr0 => x"0000",
brk_addr1 => x"0000"
);
signal rin : reg_type;
begin
d.brk_reg_rd <= brk_reg_rd;
d.brk_reg_wr <= brk_reg_wr;
d.dbg_din <= dbg_din;
d.decode_noirq <= decode_noirq;
d.eu_mab <= eu_mab;
d.eu_mb_en <= eu_mb_en;
d.eu_mb_wr <= eu_mb_wr;
d.pc <= pc;
COMB : process (d, r)
variable v : reg_type;
variable v_brk_ctl_wr : std_logic;
variable v_brk_ctl_full : std_logic_vector(7 downto 0);
variable v_brk_stat_wr : std_logic;
variable v_brk_stat_set : std_logic_vector(5 downto 0);
variable v_brk_stat_clr : std_logic_vector(5 downto 0);
variable v_brk_stat_full : std_logic_vector(7 downto 0);
variable v_brk_pnd : std_logic;
variable v_brk_addr0_wr : std_logic;
variable v_brk_addr1_wr : std_logic;
variable v_brk_ctl_rd : std_logic_vector(15 downto 0);
variable v_brk_stat_rd : std_logic_vector(15 downto 0);
variable v_brk_addr0_rd : std_logic_vector(15 downto 0);
variable v_brk_addr1_rd : std_logic_vector(15 downto 0);
variable v_brk_dout : std_logic_vector(15 downto 0);
variable v_equ_d_addr0 : std_logic;
variable v_equ_d_addr1 : std_logic;
variable v_equ_d_range : std_logic;
variable v_equ_i_addr0 : std_logic;
variable v_equ_i_addr1 : std_logic;
variable v_equ_i_range : std_logic;
--! Detect Instruction read access
variable v_i_addr0_rd : std_logic;
variable v_i_addr1_rd : std_logic;
variable v_i_range_rd : std_logic;
--! Detect Execution-Unit write access
variable v_d_addr0_wr : std_logic;
variable v_d_addr1_wr : std_logic;
variable v_d_range_wr : std_logic;
--! Detect DATA read acces
variable v_d_addr0_rd : std_logic;
variable v_d_addr1_rd : std_logic;
variable v_d_range_rd : std_logic;
--! Set flags
variable v_addr0_rd_set : std_logic;
variable v_addr0_wr_set : std_logic;
variable v_addr1_rd_set : std_logic;
variable v_addr1_wr_set : std_logic;
variable v_range_rd_set : std_logic;
variable v_range_wr_set : std_logic;
--! Break CPU
variable v_brk_halt : std_logic;
begin
--! default assignment
v := r;
--! overriding assignments
--============================================================================
--! 4) BREAKPOINT / WATCHPOINT GENERATION
--============================================================================
--! Comparators
-----------------------------
--! Note: here the comparison logic is instanciated several times in order
--! to improve the timings, at the cost of a bit more area.
v_equ_d_addr0 := '0';
v_equ_d_addr1 := '0';
v_equ_d_range := '0';
if ( UNSIGNED(d.eu_mab) = UNSIGNED(r.brk_addr0) ) then
v_equ_d_addr0 := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE));
end if;
if ( UNSIGNED(d.eu_mab) = UNSIGNED(r.brk_addr1) ) then
v_equ_d_addr1 := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE));
end if;
if ( ( UNSIGNED(d.eu_mab) >= UNSIGNED(r.brk_addr0) )
or ( UNSIGNED(d.eu_mab) <= UNSIGNED(r.brk_addr1) ) ) then
v_equ_d_range := d.eu_mb_en and not(r.brk_ctl(C_BRK_RANGE)) and C_HWBRK_RANGE;
end if;
v_equ_i_addr0 := '0';
v_equ_i_addr1 := '0';
v_equ_i_range := '0';
if ( UNSIGNED(d.pc) = UNSIGNED(r.brk_addr0) ) then
v_equ_i_addr0 := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE));
end if;
if ( UNSIGNED(d.pc) = UNSIGNED(r.brk_addr1) ) then
v_equ_i_addr1 := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE));
end if;
if ( ( UNSIGNED(d.pc) >= UNSIGNED(r.brk_addr0) )
or ( UNSIGNED(d.pc) <= UNSIGNED(r.brk_addr1) ) ) then
v_equ_i_range := d.decode_noirq and not(r.brk_ctl(C_BRK_RANGE)) and C_HWBRK_RANGE;
end if;
--! Detect accesses
-----------------------------
--! Detect Instruction read access
v_i_addr0_rd := v_equ_i_addr0 and r.brk_ctl(C_BRK_I_EN);
v_i_addr1_rd := v_equ_i_addr1 and r.brk_ctl(C_BRK_I_EN);
v_i_range_rd := v_equ_i_range and r.brk_ctl(C_BRK_I_EN);
--! Detect Execution-Unit write access
v_d_addr0_wr := v_equ_d_addr0 and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1));
v_d_addr1_wr := v_equ_d_addr1 and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1));
v_d_range_wr := v_equ_d_range and not(r.brk_ctl(C_BRK_I_EN)) and (d.eu_mb_wr(0) or d.eu_mb_wr(1));
--! Detect DATA read access
v_d_addr0_rd := v_equ_d_addr0 and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1));
v_d_addr1_rd := v_equ_d_addr1 and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1));
v_d_range_rd := v_equ_d_range and not(r.brk_ctl(C_BRK_I_EN)) and not(d.eu_mb_wr(0) or d.eu_mb_wr(1));
--! Set flags
v_addr0_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_addr0_rd or v_i_addr0_rd);
v_addr0_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_addr0_wr;
v_addr1_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_addr1_rd or v_i_addr1_rd);
v_addr1_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_addr1_wr;
v_range_rd_set := r.brk_ctl(C_BRK_MODE_RD) and (v_d_range_rd or v_i_range_rd);
v_range_wr_set := r.brk_ctl(C_BRK_MODE_WR) and v_d_range_wr;
--=============================================================================
--! 2) CONFIGURATION REGISTERS
--=============================================================================
--! BRK_CTL Register
-------------------------------------------------------------------------------
--! 7 6 5 4 3 2 1 0
--! Reserved RANGE_MODE INST_EN BREAK_EN ACCESS_MODE
--
--! ACCESS_MODE: - 00 : Disabled
--! - 01 : Detect read access
--! - 10 : Detect write access
--! - 11 : Detect read/write access
--! NOTE: '10' & '11' modes are not supported on the instruction flow
--
--! BREAK_EN: - 0 : Watchmode enable
--! - 1 : Break enable
--
--! INST_EN: - 0 : Checks are done on the execution unit (data flow)
--! - 1 : Checks are done on the frontend (instruction flow)
--
--! RANGE_MODE: - 0 : Address match on BRK_ADDR0 or BRK_ADDR1
--! - 1 : Address match on BRK_ADDR0->BRK_ADDR1 range
--
-------------------------------------------------------------------------------
v_brk_ctl_wr := d.brk_reg_wr(BRK_CTL);
if (v_brk_ctl_wr) then
v.brk_ctl := (C_HWBRK_RANGE and d.dbg_din(4)) & d.dbg_din(3 downto 0);
end if;
v_brk_ctl_full := "000" & r.brk_ctl;
--! BRK_STAT Register
-------------------------------------------------------------------------------
--! 7 6 5 4 3 2 1 0
--! Reserved RANGE_WR RANGE_RD ADDR1_WR ADDR1_RD ADDR0_WR ADDR0_RD
-------------------------------------------------------------------------------
v_brk_stat_wr := d.brk_reg_wr(BRK_STAT);
v_brk_stat_set := (v_range_wr_set and C_HWBRK_RANGE)
& (v_range_rd_set and C_HWBRK_RANGE)
& v_addr1_wr_set & v_addr1_rd_set
& v_addr0_wr_set & v_addr0_rd_set;
v_brk_stat_clr := not(d.dbg_din(5 downto 0));
if (v_brk_stat_wr) then
v.brk_stat := v_brk_stat_set or (r.brk_stat and v_brk_stat_clr);
else
v.brk_stat := v_brk_stat_set or r.brk_stat;
end if;
v_brk_stat_full := "00" & r.brk_stat;
v_brk_pnd := r.brk_stat(0) or r.brk_stat(1) or r.brk_stat(2) or r.brk_stat(3) or r.brk_stat(4) or r.brk_stat(5);
--! BRK_ADDR0 Register
-------------------------------------------------------------------------------
v_brk_addr0_wr := d.brk_reg_wr(BRK_ADDR0);
if (v_brk_addr0_wr) then
v.brk_addr0 := d.dbg_din;
end if;
--! BRK_ADDR1/DATA0 Register
-------------------------------------------------------------------------------
v_brk_addr1_wr := d.brk_reg_wr(BRK_ADDR1);
if (v_brk_addr1_wr) then
v.brk_addr1 := d.dbg_din;
end if;
--============================================================================
--! 3) DATA OUTPUT GENERATION
--============================================================================
v_brk_ctl_rd := x"0000";
v_brk_stat_rd := x"0000";
v_brk_addr0_rd := x"0000";
v_brk_addr1_rd := x"0000";
if ( d.brk_reg_rd(BRK_CTL) = '1' ) then
v_brk_ctl_rd := x"00" & v_brk_ctl_full;
end if;
if ( d.brk_reg_rd(BRK_STAT) = '1' ) then
v_brk_stat_rd := x"00" & v_brk_stat_full;
end if;
if ( d.brk_reg_rd(BRK_CTL) = '1' ) then
v_brk_addr0_rd := r.brk_addr0;
end if;
if ( d.brk_reg_rd(BRK_STAT) = '1' ) then
v_brk_addr1_rd := r.brk_addr1;
end if;
v_brk_dout := v_brk_ctl_rd
or v_brk_stat_rd
or v_brk_addr0_rd
or v_brk_addr1_rd;
--! Break CPU
v_brk_halt := '0';
if ( v_brk_stat_set /= "000000" ) then
v_brk_halt := r.brk_ctl(C_BRK_EN);
end if;
if (DBG_HWBRK_EN = false) then
v_brk_halt := '0';
v_brk_pnd := '0';
v_brk_dout := x"0000";
end if;
--! drive register inputs
rin <= v;
--! drive module outputs
brk_halt <= v_brk_halt; --! Hardware breakpoint command
brk_pnd <= v_brk_pnd; --! Hardware break/watch-point pending
brk_dout <= v_brk_dout; --! Hardware break/watch-point register data input
end process COMB;
REGS : process (dbg_clk,dbg_rst)
begin
if (dbg_rst = '1') then
r <= ( brk_ctl => "00000",
brk_stat => "000000",
brk_addr0 => x"0000",
brk_addr1 => x"0000"
);
elsif rising_edge(dbg_clk) then
r <= rin;
end if;
end process REGS;
end RTL;
|
bsd-3-clause
|
eamadio/fpgaMSP430
|
fmsp430/per/fmsp_gpio.vhd
|
1
|
33253
|
------------------------------------------------------------------------------
--! Copyright (C) 2009 , Olivier Girard
--
--! Redistribution and use in source and binary forms, with or without
--! modification, are permitted provided that the following conditions
--! are met:
--! * Redistributions of source code must retain the above copyright
--! notice, this list of conditions and the following disclaimer.
--! * Redistributions in binary form must reproduce the above copyright
--! notice, this list of conditions and the following disclaimer in the
--! documentation and/or other materials provided with the distribution.
--! * Neither the name of the authors nor the names of its contributors
--! may be used to endorse or promote products derived from this software
--! without specific prior written permission.
--
--! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
--! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
--! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
--! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
--! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
--! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
--! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
--! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
--! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
--! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
--! THE POSSIBILITY OF SUCH DAMAGE
--
------------------------------------------------------------------------------
--
--! @file fmsp_gpio.vhd
--!
--! @brief fpgaMSP430 constant Digital I/O interface
--
--! @author Emmanuel Amadio, [email protected]
--
------------------------------------------------------------------------------
--! @version 1
--! @date: 2017-04-21
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH-
use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops
use ieee.math_real.all;
use work.fmsp_misc_package.all;
use work.fmsp_per_package.all;
use work.fmsp_functions.all;
entity fmsp_gpio is
generic (
P1_EN : boolean := true; --! Enable Port 1
P2_EN : boolean := true; --! Enable Port 2
P3_EN : boolean := false; --! Enable Port 3
P4_EN : boolean := false; --! Enable Port 4
P5_EN : boolean := false; --! Enable Port 5
P6_EN : boolean := false; --! Enable Port 6
SYNC_P1 : boolean := true; --! Synchronize Port 1 inputs
SYNC_P2 : boolean := true; --! Synchronize Port 2 inputs
SYNC_P3 : boolean := true; --! Synchronize Port 3 inputs
SYNC_P4 : boolean := true; --! Synchronize Port 4 inputs
SYNC_P5 : boolean := true; --! Synchronize Port 5 inputs
SYNC_P6 : boolean := true --! Synchronize Port 6 inputs
);
port (
mclk : in std_logic; --! Main system clock
mrst : in std_logic; --! Main system reset
--! INPUTs
p1_din : in std_logic_vector(7 downto 0); --! Port 1 data input
p2_din : in std_logic_vector(7 downto 0); --! Port 2 data input
p3_din : in std_logic_vector(7 downto 0); --! Port 3 data input
p4_din : in std_logic_vector(7 downto 0); --! Port 4 data input
p5_din : in std_logic_vector(7 downto 0); --! Port 5 data input
p6_din : in std_logic_vector(7 downto 0); --! Port 6 data input
per_addr : in std_logic_vector(13 downto 0); --! Peripheral address
per_din : in std_logic_vector(15 downto 0); --! Peripheral data input
per_en : in std_logic; --! Peripheral enable (high active)
per_we : in std_logic_vector(1 downto 0); --! Peripheral write enable (high active)
--! OUTPUTs
irq_port1 : out std_logic; --! Port 1 interrupt
irq_port2 : out std_logic; --! Port 2 interrupt
p1_dout : out std_logic_vector(7 downto 0); --! Port 1 data output
p1_dout_en : out std_logic_vector(7 downto 0); --! Port 1 data output enable
p1_sel : out std_logic_vector(7 downto 0); --! Port 1 function select
p2_dout : out std_logic_vector(7 downto 0); --! Port 2 data output
p2_dout_en : out std_logic_vector(7 downto 0); --! Port 2 data output enable
p2_sel : out std_logic_vector(7 downto 0); --! Port 2 function select
p3_dout : out std_logic_vector(7 downto 0); --! Port 3 data output
p3_dout_en : out std_logic_vector(7 downto 0); --! Port 3 data output enable
p3_sel : out std_logic_vector(7 downto 0); --! Port 3 function select
p4_dout : out std_logic_vector(7 downto 0); --! Port 4 data output
p4_dout_en : out std_logic_vector(7 downto 0); --! Port 4 data output enable
p4_sel : out std_logic_vector(7 downto 0); --! Port 4 function select
p5_dout : out std_logic_vector(7 downto 0); --! Port 5 data output
p5_dout_en : out std_logic_vector(7 downto 0); --! Port 5 data output enable
p5_sel : out std_logic_vector(7 downto 0); --! Port 5 function select
p6_dout : out std_logic_vector(7 downto 0); --! Port 6 data output
p6_dout_en : out std_logic_vector(7 downto 0); --! Port 6 data output enable
p6_sel : out std_logic_vector(7 downto 0); --! Port 6 function select
per_dout : out std_logic_vector(15 downto 0) --! Peripheral data output
);
end entity fmsp_gpio;
architecture RTL of fmsp_gpio is
--=============================================================================
--! 1) PARAMETER DECLARATION
--=============================================================================
--! Register base address (must be aligned to decoder bit width)
constant BASE_ADDR : std_logic_vector(14 downto 0) := "000000000000000";
--! Decoder bit width (defines how many bits are considered for address decoding)
constant DEC_WD : integer := 7;
--! Register addresses offset
constant LED_CTRL : integer := 0; --! ''h0,
constant P1IN : integer := 32; --! ''h20, --! Port 1
constant P1OUT : integer := 33; --! ''h21,
constant P1DIR : integer := 34; --! ''h22,
constant P1IFG : integer := 35; --! ''h23,
constant P1IES : integer := 36; --! ''h24,
constant P1IE : integer := 37; --! ''h25,
constant P1SEL : integer := 38; --! ''h26,
constant P2IN : integer := 40; --! ''h28, --! Port 2
constant P2OUT : integer := 41; --! ''h29,
constant P2DIR : integer := 42; --! ''h2A,
constant P2IFG : integer := 43; --! ''h2B,
constant P2IES : integer := 44; --! ''h2C,
constant P2IE : integer := 45; --! ''h2D,
constant P2SEL : integer := 46; --! ''h2E,
constant P3IN : integer := 24; --! ''h18, --! Port 3
constant P3OUT : integer := 25; --! ''h19,
constant P3DIR : integer := 26; --! ''h1A,
constant P3SEL : integer := 27; --! ''h1B,
constant P4IN : integer := 28; --! ''h1C, --! Port 4
constant P4OUT : integer := 29; --! ''h1D,
constant P4DIR : integer := 30; --! ''h1E,
constant P4SEL : integer := 31; --! ''h1F,
constant P5IN : integer := 48; --! ''h30, --! Port 5
constant P5OUT : integer := 49; --! ''h31,
constant P5DIR : integer := 50; --! ''h32,
constant P5SEL : integer := 51; --! ''h33,
constant P6IN : integer := 52; --! ''h34, --! Port 6
constant P6OUT : integer := 53; --! ''h35,
constant P6DIR : integer := 54; --! ''h36,
constant P6SEL : integer := 55; --! ''h37;
--! Register one-hot decoder utilities
constant DEC_SZ : integer := (2**DEC_WD);
type fmsp_gpio_in_type is record
p1_din : std_logic_vector(7 downto 0); --! Port 1 data input
p2_din : std_logic_vector(7 downto 0); --! Port 2 data input
p3_din : std_logic_vector(7 downto 0); --! Port 3 data input
p4_din : std_logic_vector(7 downto 0); --! Port 4 data input
p5_din : std_logic_vector(7 downto 0); --! Port 5 data input
p6_din : std_logic_vector(7 downto 0); --! Port 6 data input
per_addr : std_logic_vector(13 downto 0); --! Peripheral address
per_din : std_logic_vector(15 downto 0); --! Peripheral data input
per_en : std_logic; --! Peripheral enable (high active)
per_we : std_logic_vector(1 downto 0); --! Peripheral write enable (high active)
--! From sub modules
p1in : std_logic_vector(7 downto 0); --! Port 1 data input
p2in : std_logic_vector(7 downto 0); --! Port 2 data input
p3in : std_logic_vector(7 downto 0); --! Port 3 data input
p4in : std_logic_vector(7 downto 0); --! Port 4 data input
p5in : std_logic_vector(7 downto 0); --! Port 5 data input
p6in : std_logic_vector(7 downto 0); --! Port 6 data input
end record;
type reg_type is record
p1out : std_logic_vector(7 downto 0);
p1dir : std_logic_vector(7 downto 0);
p1ifg : std_logic_vector(7 downto 0);
p1ies : std_logic_vector(7 downto 0);
p1ie : std_logic_vector(7 downto 0);
p1sel : std_logic_vector(7 downto 0);
p2out : std_logic_vector(7 downto 0);
p2dir : std_logic_vector(7 downto 0);
p2ifg : std_logic_vector(7 downto 0);
p2ies : std_logic_vector(7 downto 0);
p2ie : std_logic_vector(7 downto 0);
p2sel : std_logic_vector(7 downto 0);
p3out : std_logic_vector(7 downto 0);
p3dir : std_logic_vector(7 downto 0);
p3sel : std_logic_vector(7 downto 0);
p4out : std_logic_vector(7 downto 0);
p4dir : std_logic_vector(7 downto 0);
p4sel : std_logic_vector(7 downto 0);
p5out : std_logic_vector(7 downto 0);
p5dir : std_logic_vector(7 downto 0);
p5sel : std_logic_vector(7 downto 0);
p6out : std_logic_vector(7 downto 0);
p6dir : std_logic_vector(7 downto 0);
p6sel : std_logic_vector(7 downto 0);
p1in_dly : std_logic_vector(7 downto 0);
p2in_dly : std_logic_vector(7 downto 0);
end record;
signal d : fmsp_gpio_in_type;
signal r : reg_type := ( p1out => "00000000",
p1dir => "00000000",
p1ifg => "00000000",
p1ies => "00000000",
p1ie => "00000000",
p1sel => "00000000",
p2out => "00000000",
p2dir => "00000000",
p2ifg => "00000000",
p2ies => "00000000",
p2ie => "00000000",
p2sel => "00000000",
p3out => "00000000",
p3dir => "00000000",
p3sel => "00000000",
p4out => "00000000",
p4dir => "00000000",
p4sel => "00000000",
p5out => "00000000",
p5dir => "00000000",
p5sel => "00000000",
p6out => "00000000",
p6dir => "00000000",
p6sel => "00000000",
p1in_dly => "00000000",
p2in_dly => "00000000"
);
signal rin : reg_type;
begin
d.p1_din <= p1_din;
d.p2_din <= p2_din;
d.p3_din <= p3_din;
d.p4_din <= p4_din;
d.p5_din <= p5_din;
d.p6_din <= p6_din;
d.per_addr <= per_addr;
d.per_din <= per_din;
d.per_en <= per_en;
d.per_we <= per_we;
COMB : process (d, r)
variable v : reg_type;
--! Local register selection
variable v_reg_sel : std_logic;
--! Register local address
variable v_reg_addr : std_logic_vector(DEC_WD-2 downto 0);
--! Register address decode
variable v_reg_dec : std_logic_vector((DEC_SZ/2)-1 downto 0);
--! Read/Write probes
variable v_reg_lo_write : std_logic;
variable v_reg_hi_write : std_logic;
variable v_reg_read : std_logic;
--! Read/Write vectors
variable v_reg_wr : std_logic_vector(DEC_SZ-1 downto 0);
variable v_reg_rd : std_logic_vector(DEC_SZ-1 downto 0);
--! P1OUT Register
variable v_p1in : std_logic_vector(7 downto 0);
variable v_p1out_wr : std_logic;
variable v_p1out_nxt : std_logic_vector(7 downto 0);
--! P1DIR Register
variable v_p1dir_wr : std_logic;
variable v_p1dir_nxt : std_logic_vector(7 downto 0);
variable v_p1_dout_en : std_logic_vector(7 downto 0);
--! P1IFG Register
variable v_p1ifg_wr : std_logic;
variable v_p1ifg_nxt : std_logic_vector(7 downto 0);
variable v_p1ifg_set : std_logic_vector(7 downto 0);
--! P1IES Register
variable v_p1ies_wr : std_logic;
variable v_p1ies_nxt : std_logic_vector(7 downto 0);
--! P1IE Register
variable v_p1ie_wr : std_logic;
variable v_p1ie_nxt : std_logic_vector(7 downto 0);
--! P1SEL Register
variable v_p1sel_wr : std_logic;
variable v_p1sel_nxt : std_logic_vector(7 downto 0);
variable v_p1_sel : std_logic_vector(7 downto 0);
--! P2IN Register
variable v_p2in : std_logic_vector(7 downto 0);
--! P2OUT Register
variable v_p2out_wr : std_logic;
variable v_p2out_nxt : std_logic_vector(7 downto 0);
--! P2DIR Register
variable v_p2dir_wr : std_logic;
variable v_p2dir_nxt : std_logic_vector(7 downto 0);
variable v_p2_dout_en : std_logic_vector(7 downto 0);
--! P2IFG Register
variable v_p2ifg_wr : std_logic;
variable v_p2ifg_nxt : std_logic_vector(7 downto 0);
variable v_p2ifg_set : std_logic_vector(7 downto 0);
--! P2IES Register
variable v_p2ies_wr : std_logic;
variable v_p2ies_nxt : std_logic_vector(7 downto 0);
--! P2IE Register
variable v_p2ie_wr : std_logic;
variable v_p2ie_nxt : std_logic_vector(7 downto 0);
--! P2SEL Register
variable v_p2sel_wr : std_logic;
variable v_p2sel_nxt : std_logic_vector(7 downto 0);
variable v_p2_sel : std_logic_vector(7 downto 0);
--! P3OUT Register
variable v_p3in : std_logic_vector(7 downto 0);
variable v_p3out_wr : std_logic;
variable v_p3out_nxt : std_logic_vector(7 downto 0);
--! P3DIR Register
variable v_p3dir_wr : std_logic;
variable v_p3dir_nxt : std_logic_vector(7 downto 0);
variable v_p3_dout_en : std_logic;
--! P3SEL Register
variable v_p3sel_wr : std_logic;
variable v_p3sel_nxt : std_logic_vector(7 downto 0);
variable v_p3_sel : std_logic_vector(7 downto 0);
--! P4OUT Register
variable v_p4in : std_logic_vector(7 downto 0);
variable v_p4out_wr : std_logic;
variable v_p4out_nxt : std_logic_vector(7 downto 0);
--! P4DIR Register
variable v_p4dir_wr : std_logic;
variable v_p4dir_nxt : std_logic_vector(7 downto 0);
variable v_p4_dout_en : std_logic_vector(7 downto 0);
--! P4SEL Register
variable v_p4sel_wr : std_logic;
variable v_p4sel_nxt : std_logic_vector(7 downto 0);
variable v_p4_sel : std_logic_vector(7 downto 0);
--! P5OUT Register
variable v_p5in : std_logic_vector(7 downto 0);
variable v_p5out_wr : std_logic;
variable v_p5out_nxt : std_logic_vector(7 downto 0);
--! P5DIR Register
variable v_p5dir_wr : std_logic;
variable v_p5dir_nxt : std_logic_vector(7 downto 0);
variable v_p5_dout_en : std_logic;
--! P5SEL Register
variable v_p5sel_wr : std_logic;
variable v_p5sel_nxt : std_logic_vector(7 downto 0);
variable v_p5_sel : std_logic_vector(7 downto 0);
--! P6OUT Register
variable v_p6in : std_logic_vector(7 downto 0);
variable v_p6out_wr : std_logic;
variable v_p6out_nxt : std_logic_vector(7 downto 0);
--! P6DIR Register
variable v_p6dir_wr : std_logic;
variable v_p6dir_nxt : std_logic_vector(7 downto 0);
variable v_p6_dout_en : std_logic_vector(7 downto 0);
--! P6SEL Register
variable v_p6sel_wr : std_logic;
variable v_p6sel_nxt : std_logic_vector(7 downto 0);
variable v_p6_sel : std_logic_vector(7 downto 0);
--! 4) INTERRUPT GENERATION
--! Port 1 interrupt
--! Edge detection
variable v_p1in_re : std_logic_vector(7 downto 0);
variable v_p1in_fe : std_logic_vector(7 downto 0);
--! Set interrupt flag
--variable v_p1ifg_set : std_logic;
--! Generate CPU interrupt
variable v_irq_port1 : std_logic;
--! Port 1 interrupt
--------------------
--! Delay input
--! Edge detection
variable v_p2in_re : std_logic_vector(7 downto 0);
variable v_p2in_fe : std_logic_vector(7 downto 0);
--! Set interrupt flag
--variable v_p2ifg_set : std_logic;
--! Generate CPU interrupt
variable v_irq_port2 : std_logic;
--! Data output mux
variable v_p1in_rd : std_logic_vector(15 downto 0);
variable v_p1out_rd : std_logic_vector(15 downto 0);
variable v_p1dir_rd : std_logic_vector(15 downto 0);
variable v_p1ifg_rd : std_logic_vector(15 downto 0);
variable v_p1ies_rd : std_logic_vector(15 downto 0);
variable v_p1ie_rd : std_logic_vector(15 downto 0);
variable v_p1sel_rd : std_logic_vector(15 downto 0);
variable v_p2in_rd : std_logic_vector(15 downto 0);
variable v_p2out_rd : std_logic_vector(15 downto 0);
variable v_p2dir_rd : std_logic_vector(15 downto 0);
variable v_p2ifg_rd : std_logic_vector(15 downto 0);
variable v_p2ies_rd : std_logic_vector(15 downto 0);
variable v_p2ie_rd : std_logic_vector(15 downto 0);
variable v_p2sel_rd : std_logic_vector(15 downto 0);
variable v_p3in_rd : std_logic_vector(15 downto 0);
variable v_p3out_rd : std_logic_vector(15 downto 0);
variable v_p3dir_rd : std_logic_vector(15 downto 0);
variable v_p3sel_rd : std_logic_vector(15 downto 0);
variable v_p4in_rd : std_logic_vector(15 downto 0);
variable v_p4out_rd : std_logic_vector(15 downto 0);
variable v_p4dir_rd : std_logic_vector(15 downto 0);
variable v_p4sel_rd : std_logic_vector(15 downto 0);
variable v_p5in_rd : std_logic_vector(15 downto 0);
variable v_p5out_rd : std_logic_vector(15 downto 0);
variable v_p5dir_rd : std_logic_vector(15 downto 0);
variable v_p5sel_rd : std_logic_vector(15 downto 0);
variable v_p6in_rd : std_logic_vector(15 downto 0);
variable v_p6out_rd : std_logic_vector(15 downto 0);
variable v_p6dir_rd : std_logic_vector(15 downto 0);
variable v_p6sel_rd : std_logic_vector(15 downto 0);
variable v_per_dout : std_logic_vector(15 downto 0);
begin
--! default assignment
v := r;
--! overriding assignments
--============================================================================
--! 2) REGISTER DECODER
--============================================================================
--! Local register selection
if ( d.per_addr(13 downto DEC_WD-1) = BASE_ADDR(14 downto DEC_WD) ) then
v_reg_sel := d.per_en;
else
v_reg_sel := '0';
end if;
--! Register local address
v_reg_addr := d.per_addr(DEC_WD-2 downto 0);
--! Register address decode
v_reg_dec := onehot(v_reg_addr);
--! Read/Write probes
v_reg_lo_write := v_reg_sel and d.per_we(0);
v_reg_hi_write := v_reg_sel and d.per_we(1);
v_reg_read := v_reg_sel and not(d.per_we(0) or d.per_we(1));
--! Read/Write vectors
for i in 0 to (DEC_SZ/2)-1 loop
v_reg_wr((i*2)+0) := v_reg_dec(i) and v_reg_lo_write;
v_reg_wr((i*2)+1) := v_reg_dec(i) and v_reg_hi_write;
v_reg_rd((i*2)+0) := v_reg_dec(i) and v_reg_read;
v_reg_rd((i*2)+1) := v_reg_dec(i) and v_reg_read;
end loop;
--============================================================================
--! 4) INTERRUPT GENERATION
--============================================================================
--! Port 1 interrupt
if (P1_EN = false) then
v_p1in := "00000000";
elsif (SYNC_P1 = true) then
v_p1in := d.p1in;
else
v_p1in := d.p1_din;
end if;
--! Delay input
v.p1in_dly := v_p1in;
--! Edge detection
v_p1in_re := v_p1in and not( r.p1in_dly);
v_p1in_fe := not(v_p1in) and r.p1in_dly;
--! Set interrupt flag
for i in 0 to 7 loop
if (P1_EN = false) then
v_p1ifg_set(i) := '0';
elsif (r.p1ies(i) = '1') then
v_p1ifg_set(i) := v_p1in_fe(i);
else
v_p1ifg_set(i) := v_p1in_re(i);
end if;
end loop;
--! Generate CPU interrupt
if (P1_EN = false) then
v_irq_port1 := '0';
elsif ( (r.p1ie and r.p1ifg) /= "00000000") then
v_irq_port1 := '1';
else
v_irq_port1 := '0';
end if;
--! Port 2 interrupt
if (P2_EN = false) then
v_p2in := "00000000";
elsif (SYNC_P2 = true) then
v_p2in := d.p2in;
else
v_p2in := d.p2_din;
end if;
--! Delay input
v.p2in_dly := v_p2in;
--! Edge detection
v_p2in_re := d.p2in and not( r.p2in_dly);
v_p2in_fe := not(d.p2in) and r.p2in_dly;
--! Set interrupt flag
for i in 0 to 7 loop
if (P2_EN = false) then
v_p2ifg_set(i) := '0';
elsif (r.p2ies(i) = '1') then
v_p2ifg_set(i) := v_p2in_fe(i);
else
v_p2ifg_set(i) := v_p2in_re(i);
end if;
end loop;
--! Generate CPU interrupt
if (P2_EN = false) then
v_irq_port2 := '0';
elsif ( (r.p2ie and r.p2ifg) /= "00000000") then
v_irq_port2 := '1';
else
v_irq_port2 := '0';
end if;
--============================================================================
--! 3) REGISTERS
--============================================================================
--! P1IFG Register
v_p1ifg_wr := v_reg_wr(P1IFG);
v_p1ifg_nxt := byte_per_select_din( P1IFG, d.per_din );
if (P1_EN = false) then
v.p1ifg := "00000000";
elsif (v_p1ies_wr = '1') then
v.p1ifg := v_p1ifg_nxt or v_p1ifg_set;
else
v.p1ifg := r.p1ifg or v_p1ifg_set;
end if;
--! P1IES Register
v_p1ies_wr := v_reg_wr(P1IES);
v_p1ies_nxt := byte_per_select_din( P1IES, d.per_din );
if (P1_EN = false) then
v.p1ies := "00000000";
elsif (v_p1ies_wr = '1') then
v.p1ies := v_p1ies_nxt;
end if;
--! P1IE Register
v_p1ie_wr := v_reg_wr(P1IE);
v_p1ie_nxt := byte_per_select_din( P1IE, d.per_din );
if (P1_EN = false) then
v.p1ie := "00000000";
elsif (v_p1ie_wr = '1') then
v.p1ie := v_p1ie_nxt;
end if;
--! P2IFG Register
v_p2ifg_wr := v_reg_wr(P2IFG);
v_p2ifg_nxt := byte_per_select_din( P2IFG, d.per_din );
if (P2_EN = false) then
v.p2ifg := "00000000";
elsif (v_p2ies_wr = '1') then
v.p2ifg := v_p2ifg_nxt or v_p2ifg_set;
else
v.p2ifg := r.p2ifg or v_p2ifg_set;
end if;
--! P2IES Register
v_p2ies_wr := v_reg_wr(P2IES);
v_p2ies_nxt := byte_per_select_din( P2IES, d.per_din );
if (P2_EN = false) then
v.p2ies := "00000000";
elsif (v_p2ies_wr = '1') then
v.p2ies := v_p2ies_nxt;
end if;
--! P2IE Register
v_p2ie_wr := v_reg_wr(P2IE);
v_p2ie_nxt := byte_per_select_din( P2IE, d.per_din );
if (P2_EN = false) then
v.p2ie := "00000000";
elsif (v_p2ie_wr = '1') then
v.p2ie := v_p2ie_nxt;
end if;
--! P1OUT Register
v_p1out_wr := v_reg_wr(P1OUT);
v_p1out_nxt := byte_per_select_din( P1OUT, d.per_din );
if (P1_EN = false) then
v.p1out := "00000000";
elsif (v_p1out_wr = '1') then
v.p1out := v_p1out_nxt;
end if;
--! P1DIR Register
v_p1dir_wr := v_reg_wr(P1DIR);
v_p1dir_nxt := byte_per_select_din( P1DIR, d.per_din );
if (P1_EN = false) then
v.p1dir := "00000000";
elsif (v_p1dir_wr = '1') then
v.p1dir := v_p1dir_nxt;
end if;
--! P1SEL Register
v_p1sel_wr := v_reg_wr(P1SEL);
v_p1sel_nxt := byte_per_select_din( P1SEL, d.per_din );
if (P1_EN = false) then
v.p1sel := "00000000";
elsif (v_p1sel_wr = '1') then
v.p1sel := v_p1sel_nxt;
end if;
--! P2OUT Register
v_p2out_wr := v_reg_wr(P2OUT);
v_p2out_nxt := byte_per_select_din( P2OUT, d.per_din );
if (P2_EN = false) then
v.p2out := "00000000";
elsif (v_p2out_wr = '1') then
v.p2out := v_p2out_nxt;
end if;
--! P2DIR Register
v_p2dir_wr := v_reg_wr(P2DIR);
v_p2dir_nxt := byte_per_select_din( P2DIR, d.per_din );
if (P2_EN = false) then
v.p2dir := "00000000";
elsif (v_p2dir_wr = '1') then
v.p2dir := v_p2dir_nxt;
end if;
--! P2SEL Register
v_p2sel_wr := v_reg_wr(P2SEL);
v_p2sel_nxt := byte_per_select_din( P2SEL, d.per_din );
if (P2_EN = false) then
v.p2sel := "00000000";
elsif (v_p2sel_wr = '1') then
v.p2sel := v_p2sel_nxt;
end if;
--! P3IN Register
if (P3_EN = false) then
v_p3in := "00000000";
elsif (SYNC_P3 = true) then
v_p3in := d.p3in;
else
v_p3in := d.p3_din;
end if;
--! P3OUT Register
v_p3out_wr := v_reg_wr(P3OUT);
v_p3out_nxt := byte_per_select_din( P3OUT, d.per_din );
if (P3_EN = false) then
v.p3out := "00000000";
elsif (v_p3out_wr = '1') then
v.p3out := v_p3out_nxt;
end if;
--! P3DIR Register
v_p3dir_wr := v_reg_wr(P3DIR);
v_p3dir_nxt := byte_per_select_din( P3DIR, d.per_din );
if (P3_EN = false) then
v.p3dir := "00000000";
elsif (v_p3dir_wr = '1') then
v.p3dir := v_p3dir_nxt;
end if;
--! P3SEL Register
v_p3sel_wr := v_reg_wr(P3SEL);
v_p3sel_nxt := byte_per_select_din( P3SEL, d.per_din );
if (P3_EN = false) then
v.p3sel := "00000000";
elsif (v_p3sel_wr = '1') then
v.p3sel := v_p3sel_nxt;
end if;
--! P4IN Register
if (P4_EN = false) then
v_p4in := "00000000";
elsif (SYNC_P4 = true) then
v_p4in := d.p4in;
else
v_p4in := d.p4_din;
end if;
--! P4OUT Register
v_p4out_wr := v_reg_wr(P4OUT);
v_p4out_nxt := byte_per_select_din( P4OUT, d.per_din );
if (P4_EN = false) then
v.p4out := "00000000";
elsif (v_p4out_wr = '1') then
v.p4out := v_p4out_nxt;
end if;
--! P4DIR Register
v_p4dir_wr := v_reg_wr(P4DIR);
v_p4dir_nxt := byte_per_select_din( P4DIR, d.per_din );
if (P4_EN = false) then
v.p4dir := "00000000";
elsif (v_p4dir_wr = '1') then
v.p4dir := v_p4dir_nxt;
end if;
--! P4SEL Register
v_p4sel_wr := v_reg_wr(P4SEL);
v_p4sel_nxt := byte_per_select_din( P4SEL, d.per_din );
if (P4_EN = false) then
v.p4sel := "00000000";
elsif (v_p4sel_wr = '1') then
v.p4sel := v_p4sel_nxt;
end if;
--! P5IN Register
if (P5_EN = false) then
v_p5in := "00000000";
elsif (SYNC_P5 = true) then
v_p5in := d.p5in;
else
v_p5in := d.p5_din;
end if;
--! P5OUT Register
v_p5out_wr := v_reg_wr(P5OUT);
v_p5out_nxt := byte_per_select_din( P5OUT, d.per_din );
if (P5_EN = false) then
v.p5out := "00000000";
elsif (v_p5out_wr = '1') then
v.p5out := v_p5out_nxt;
end if;
--! P5DIR Register
v_p5dir_wr := v_reg_wr(P5DIR);
v_p5dir_nxt := byte_per_select_din( P5DIR, d.per_din );
if (P5_EN = false) then
v.p5dir := "00000000";
elsif (v_p5dir_wr = '1') then
v.p5dir := v_p5dir_nxt;
end if;
--! P5SEL Register
v_p5sel_wr := v_reg_wr(P5SEL);
v_p5sel_nxt := byte_per_select_din( P5SEL, d.per_din );
if (P5_EN = false) then
v.p5sel := "00000000";
elsif (v_p5sel_wr = '1') then
v.p5sel := v_p5sel_nxt;
end if;
--! P6IN Register
if (P6_EN = false) then
v_p6in := "00000000";
elsif (SYNC_P6 = true) then
v_p6in := d.p6in;
else
v_p6in := d.p6_din;
end if;
--! P6OUT Register
v_p6out_wr := v_reg_wr(P6OUT);
v_p6out_nxt := byte_per_select_din( P6OUT, d.per_din );
if (P6_EN = false) then
v.p6out := "00000000";
elsif (v_p6out_wr = '1') then
v.p6out := v_p6out_nxt;
end if;
--! P6DIR Register
v_p6dir_wr := v_reg_wr(P6DIR);
v_p6dir_nxt := byte_per_select_din( P6DIR, d.per_din );
if (P6_EN = false) then
v.p6dir := "00000000";
elsif (v_p6dir_wr = '1') then
v.p6dir := v_p6dir_nxt;
end if;
--! P6SEL Register
v_p6sel_wr := v_reg_wr(P6SEL);
v_p6sel_nxt := byte_per_select_din( P6SEL, d.per_din );
if (P6_EN = false) then
v.p6sel := "00000000";
elsif (v_p6sel_wr = '1') then
v.p6sel := v_p6sel_nxt;
end if;
--============================================================================
--! 5) DATA OUTPUT GENERATION
--============================================================================
--! Data output mux
v_p1in_rd := byte_per_select_dout( P1IN, v_reg_rd, v_p1in );
v_p1out_rd := byte_per_select_dout( P1OUT, v_reg_rd, r.p1out );
v_p1dir_rd := byte_per_select_dout( P1DIR, v_reg_rd, r.p1dir );
v_p1ifg_rd := byte_per_select_dout( P1IFG, v_reg_rd, r.p1ifg );
v_p1ies_rd := byte_per_select_dout( P1IES, v_reg_rd, r.p1ies );
v_p1ie_rd := byte_per_select_dout( P1IE, v_reg_rd, r.p1ie );
v_p1sel_rd := byte_per_select_dout( P1SEL, v_reg_rd, r.p1sel );
v_p2in_rd := byte_per_select_dout( P2IN, v_reg_rd, v_p2in );
v_p2out_rd := byte_per_select_dout( P2OUT, v_reg_rd, r.p2out );
v_p2dir_rd := byte_per_select_dout( P2DIR, v_reg_rd, r.p2dir );
v_p2ifg_rd := byte_per_select_dout( P2IFG, v_reg_rd, r.p2ifg );
v_p2ies_rd := byte_per_select_dout( P2IES, v_reg_rd, r.p2ies );
v_p2ie_rd := byte_per_select_dout( P2IE, v_reg_rd, r.p2ie );
v_p2sel_rd := byte_per_select_dout( P2SEL, v_reg_rd, r.p2sel );
v_p3in_rd := byte_per_select_dout( P3IN, v_reg_rd, v_p3in );
v_p3out_rd := byte_per_select_dout( P3OUT, v_reg_rd, r.p3out );
v_p3dir_rd := byte_per_select_dout( P3DIR, v_reg_rd, r.p3dir );
v_p3sel_rd := byte_per_select_dout( P3SEL, v_reg_rd, r.p3sel );
v_p4in_rd := byte_per_select_dout( P4IN, v_reg_rd, v_p4in );
v_p4out_rd := byte_per_select_dout( P4OUT, v_reg_rd, r.p4out );
v_p4dir_rd := byte_per_select_dout( P4DIR, v_reg_rd, r.p4dir );
v_p4sel_rd := byte_per_select_dout( P4SEL, v_reg_rd, r.p4sel );
v_p5in_rd := byte_per_select_dout( P5IN, v_reg_rd, v_p5in );
v_p5out_rd := byte_per_select_dout( P5OUT, v_reg_rd, r.p5out );
v_p5dir_rd := byte_per_select_dout( P5DIR, v_reg_rd, r.p5dir );
v_p5sel_rd := byte_per_select_dout( P5SEL, v_reg_rd, r.p5sel );
v_p6in_rd := byte_per_select_dout( P6IN, v_reg_rd, v_p6in );
v_p6out_rd := byte_per_select_dout( P6OUT, v_reg_rd, r.p6out );
v_p6dir_rd := byte_per_select_dout( P6DIR, v_reg_rd, r.p6dir );
v_p6sel_rd := byte_per_select_dout( P6SEL, v_reg_rd, r.p6sel );
v_per_dout := v_p1in_rd
or v_p1out_rd
or v_p1dir_rd
or v_p1ifg_rd
or v_p1ies_rd
or v_p1ie_rd
or v_p1sel_rd
or v_p2in_rd
or v_p2out_rd
or v_p2dir_rd
or v_p2ifg_rd
or v_p2ies_rd
or v_p2ie_rd
or v_p2sel_rd
or v_p3in_rd
or v_p3out_rd
or v_p3dir_rd
or v_p3sel_rd
or v_p4in_rd
or v_p4out_rd
or v_p4dir_rd
or v_p4sel_rd
or v_p5in_rd
or v_p5out_rd
or v_p5dir_rd
or v_p5sel_rd
or v_p6in_rd
or v_p6out_rd
or v_p6dir_rd
or v_p6sel_rd;
--! drive register inputs
rin <= v;
--! drive module outputs
irq_port1 <= v_irq_port1; --! Port 1 interrupt
irq_port2 <= v_irq_port2; --! Port 2 interrupt
p1_dout <= r.p1out; --! Port 1 data output
p1_dout_en <= r.p1dir; --! Port 1 data output enable
p1_sel <= r.p1sel; --! Port 1 function select
p2_dout <= r.p2out; --! Port 2 data output
p2_dout_en <= r.p2dir; --! Port 2 data output enable
p2_sel <= r.p2sel; --! Port 2 function select
p3_dout <= r.p3out; --! Port 3 data output
p3_dout_en <= r.p3dir; --! Port 3 data output enable
p3_sel <= r.p3sel; --! Port 3 function select
p4_dout <= r.p4out; --! Port 4 data output
p4_dout_en <= r.p4dir; --! Port 4 data output enable
p4_sel <= r.p4sel; --! Port 4 function select
p5_dout <= r.p5out; --! Port 5 data output
p5_dout_en <= r.p5dir; --! Port 5 data output enable
p5_sel <= r.p5sel; --! Port 5 function select
p6_dout <= r.p6out; --! Port 6 data output
p6_dout_en <= r.p6dir; --! Port 6 data output enable
p6_sel <= r.p6sel; --! Port 6 function select
per_dout <= v_per_dout; --! Peripheral data output
end process COMB;
REGS : process (mclk,mrst)
begin
if (mrst = '1') then
r.p1out <= "00000000";
r.p1dir <= "00000000";
r.p1ifg <= "00000000";
r.p1ies <= "00000000";
r.p1ie <= "00000000";
r.p1sel <= "00000000";
r.p2out <= "00000000";
r.p2dir <= "00000000";
r.p2ifg <= "00000000";
r.p2ies <= "00000000";
r.p2ie <= "00000000";
r.p2sel <= "00000000";
r.p3out <= "00000000";
r.p3dir <= "00000000";
r.p3sel <= "00000000";
r.p4out <= "00000000";
r.p4dir <= "00000000";
r.p4sel <= "00000000";
r.p5out <= "00000000";
r.p5dir <= "00000000";
r.p5sel <= "00000000";
r.p6out <= "00000000";
r.p6dir <= "00000000";
r.p6sel <= "00000000";
r.p1in_dly <= "00000000";
r.p2in_dly <= "00000000";
elsif rising_edge(mclk) then
r <= rin;
end if;
end process REGS;
p1_in_sync : for i in 0 to 7 generate
sync_cell_p1in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p1_din(i),
data_out => d.p1in(i)
);
end generate;
p2_in_sync : for i in 0 to 7 generate
sync_cell_p2in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p2_din(i),
data_out => d.p2in(i)
);
end generate;
p3_in_sync : for i in 0 to 7 generate
sync_cell_p3in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p3_din(i),
data_out => d.p3in(i)
);
end generate;
p4_in_sync : for i in 0 to 7 generate
sync_cell_p4in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p4_din(i),
data_out => d.p4in(i)
);
end generate;
p5_in_sync : for i in 0 to 7 generate
sync_cell_p5in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p5_din(i),
data_out => d.p5in(i)
);
end generate;
p6_in_sync : for i in 0 to 7 generate
sync_cell_p6in : fmsp_sync_cell
port map(
clk => mclk,
rst => mrst,
data_in => p6_din(i),
data_out => d.p6in(i)
);
end generate;
end RTL; --! fmsp_gpio
|
bsd-3-clause
|
loa-org/loa-hdl
|
modules/utils/tb/fractional_clock_divider_tb.vhd
|
1
|
523
|
library ieee;
use ieee.std_logic_1164.all;
use work.utils_pkg.all;
entity fractional_clock_divider_tb is
end fractional_clock_divider_tb;
architecture tb of fractional_clock_divider_tb is
signal clk : std_logic := '0';
signal output : std_logic;
begin
clk <= not clk after 10 NS; -- 50 Mhz clock
uut : fractional_clock_divider
generic map (
MUL => 41,
DIV => 31250,
WIDTH => 16 )
port map(
clk_out_p => output,
clk => clk);
end tb;
|
bsd-3-clause
|
loa-org/loa-hdl
|
modules/signalprocessing/hdl/signalprocessing_pkg.vhd
|
2
|
6015
|
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.bus_pkg.all;
use work.adc_ltc2351_pkg.all;
-------------------------------------------------------------------------------
package signalprocessing_pkg is
constant CALC_WIDTH : natural := 18; -- Width of all calculations.
constant INPUT_WIDTH : natural := 14; -- Width of ADC values
-- All calculations are based on that type:
subtype goertzel_data_type is signed(CALC_WIDTH-1 downto 0);
-- The result of the Goertzel Algorithm are always a pair of two values
type goertzel_result_type is array (1 downto 0) of goertzel_data_type;
-- The result for more channels and frequencies:
type goertzel_results_type is array (natural range <>, natural range <>) of goertzel_result_type;
-- One input to the algorithm.
subtype goertzel_input_type is signed(INPUT_WIDTH-1 downto 0);
-- The input for many different channels
type goertzel_inputs_type is array (natural range <>) of goertzel_input_type;
-- One goertzel coefficient corresponds to a certain frequency.
subtype goertzel_coef_type is signed(CALC_WIDTH-1 downto 0);
-- The input for different frequencies
type goertzel_coefs_type is array (natural range <>) of goertzel_coef_type;
component goertzel
generic (
Q : natural;
SAMPLES : natural
);
port (
clk : in std_logic;
coef_p : in unsigned(17 downto 0);
start_p : in std_logic;
adc_value_p : in signed(13 downto 0);
result_p : out goertzel_result_type;
done_p : out std_logic
);
end component;
component goertzel_pipelined
generic (
Q : natural;
CHANNELS : natural;
FREQUENCIES : natural;
SAMPLES : natural);
port (
coefs_p : in goertzel_coefs_type;
inputs_p : in goertzel_inputs_type;
start_p : in std_logic;
results_p : out goertzel_results_type;
done_p : out std_logic;
clk : in std_logic);
end component;
----------------------------------------------------------------------------
-- New version, consists of pipeline, muxes and control_unit
----------------------------------------------------------------------------
component goertzel_pipeline is
generic (
Q : natural);
port (
coef_p : in goertzel_coef_type;
input_p : in goertzel_input_type;
delay_p : in goertzel_result_type;
result_p : out goertzel_result_type;
clk : in std_logic);
end component goertzel_pipeline;
component goertzel_muxes is
generic (
CHANNELS : positive;
FREQUENCIES : positive);
port (
mux_delay1_p : in std_logic;
mux_delay2_p : in std_logic;
mux_coef : in natural range FREQUENCIES-1 downto 0;
mux_input : in natural range CHANNELS-1 downto 0;
bram_data : in goertzel_result_type;
coefs_p : in goertzel_coefs_type;
inputs_p : in goertzel_inputs_type;
delay1_p : out goertzel_data_type;
delay2_p : out goertzel_data_type;
coef_p : out goertzel_coef_type;
input_p : out goertzel_input_type);
end component goertzel_muxes;
component goertzel_control_unit is
generic (
SAMPLES : positive;
FREQUENCIES : positive;
CHANNELS : positive);
port (
start_p : in std_logic;
ready_p : out std_logic := '0';
bram_addr_p : out std_logic_vector(7 downto 0) := (others => '0');
bram_we_p : out std_logic := '0';
mux_delay1_p : out std_logic := '0';
mux_delay2_p : out std_logic := '0';
mux_coef_p : out natural range FREQUENCIES-1 downto 0 := 0;
mux_input_p : out natural range CHANNELS-1 downto 0 := 0;
clk : in std_logic);
end component goertzel_control_unit;
component goertzel_pipelined_v2 is
generic (
FREQUENCIES : positive;
CHANNELS : positive;
SAMPLES : positive;
Q : positive);
port (
start_p : in std_logic;
bram_addr_p : out std_logic_vector(7 downto 0);
bram_data_i : in std_logic_vector(35 downto 0);
bram_data_o : out std_logic_vector(35 downto 0);
bram_we_p : out std_logic;
ready_p : out std_logic;
enable_p : in std_logic;
coefs_p : in goertzel_coefs_type(FREQUENCIES-1 downto 0);
inputs_p : in goertzel_inputs_type(CHANNELS-1 downto 0);
clk : in std_logic);
end component goertzel_pipelined_v2;
----------------------------------------------------------------------------
-- Helpers
----------------------------------------------------------------------------
constant TIMESTAMP_WIDTH : natural := 48; -- 2**48 - 1 * 20 us = 65 days. This counter will not overflow.
subtype timestamp_type is signed(TIMESTAMP_WIDTH-1 downto 0);
component timestamp_generator is
port (
timestamp_o_p : out timestamp_type;
clk : in std_logic);
end component timestamp_generator;
component timestamp_taker is
generic (
BASE_ADDRESS : integer);
port (
timestamp_i_p : in timestamp_type;
trigger_i_p : in std_logic;
bank_x_i_p : in std_logic;
bank_y_i_p : in std_logic;
bus_o : out busdevice_out_type;
bus_i : in busdevice_in_type;
clk : in std_logic);
end component;
end signalprocessing_pkg;
|
bsd-3-clause
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.